From ba312402ee7043af499cc91690654bc5320f1295 Mon Sep 17 00:00:00 2001 From: jhb Date: Fri, 4 Nov 2016 18:45:06 +0000 Subject: [PATCH] MFC 295778,296249,296333,296383,296471,296478,296481,296485,296488-296491, 296493-296496,296544,296710-296711,297863,299685: Catch up to changes to the internal shared code. Note that this merge includes two different firmware updates, but the effective change is to update to the last version (1.15.37.0). As such, I've trimmed the log message of the first update (1.15.28.0). In addition, the M_WAIT macro added in t4_regs.h had to be renamed to CXGBE_M_WAIT to avoid a collision on 10.x that is not present on 11. 295778: cxgbe: catch up with the latest hardware-related definitions. 296249: cxgbe(4): Update T5 and T4 firmwares to 1.15.28.0. 296333: cxgbe(4): First of many changes to reduce diffs with internal shared code: - Rename some CamelCase variables. - s/t4_link_start/t4_link_l1cfg/g - Pull in t4_get_port_type_description. - Move t4_wait_op_done to t4_hw.c. - Flip the order of the RDMA stats. - Remove unsused function t4_iq_start_stop. - Move t4_wait_op_done and t4_wait_op_done_val to t4_hw.c 296383: cxgbe(4): Very basic T6 awareness. This is part of ongoing work to update to the latest internal shared code. - Add a chip_params structure to keep track of hardware constants for all generations of Terminators handled by cxgbe. - Update t4_hw_pci_read_cfg4 to work with T6. - Update the hardware debug sysctls (hidden within dev...misc.*) to work with T6. Most of the changes are in the decoders for the CIM logic analyzer and the MPS TCAM. - Acquire the regwin lock around indirect register accesses. 296471: cxgbe(4): Updated register dumps. - Get the list of registers to read during a regdump from the shared code instead of the OS specific code. This follows a similar move internally. The shared code includes the list for T6. - Update cxgbetool to be able to decode T5 VF, T6, and T6 VF register dumps (and catch up with some updates to T4 and T5 register decode). 296478: cxgbe(4): Add a struct sge_params to store per-adapter SGE parameters. Move the code that reads all the parameters to t4_init_sge_params in the shared code. Use these per-adapter values instead of globals. 296481: cxgbe(4): Overhaul the shared code that deals with the chip's TP block, which is responsible for filtering and RSS. Add the ability to use filters that match on PF/VF (aka "VNIC id") while here. This is mutually exclusive with filtering on outer VLAN tag with Q-in-Q. 296485: cxgbe(4): Update the interrupt handlers for hardware errors. 296488: cxgbe(4): Updates to mailbox routines in the shared code. 296489: cxgbe(4): Updates to the shared routines that deal with the serial EEPROM, flash, and VPD. 296490: cxgbe(4): Remove __devinit and SPEED_ as part of catch up with internal shared code. 296491: cxgbe(4): Updates to shared routines that get/set various parameters via the firmware. 296493: cxgbe(4): Use t4_link_down_rc_str in shared code to decode the reason the link is down, instead of doing it in OS specific code. 296494: cxgbe(4): Many new functions in the shared code, unused at this time. 296495: cxgbe(4): Fix t4_tp_get_rdma_stats. 296496: cxgbe(4): Minor updates to the shared routines that deal with firmware images. 296544: cxgbe(4): Reshuffle and rototill t4_hw.c, solely to reduce diffs with the internal shared code. 296710: cxgbe(4): Catch up with the latest list of card capabilities as reported by the firmware. 296711: cxgbe(4): Fix typo in previous commit. 297863: Rename the 'M_B' macro in t4_regs.h to 'CXGBE_M_B'. This fixes a conflict with the M_B macro in powerpc's exposed by the recent addition of DDB commands to the cxgbe driver. 299685: cxgbe(4): Update T5 and T4 firmwares to 1.15.37.0. These firmwares were obtained from the "Chelsio T5/T4 Unified Wire v2.12.0.3 for Linux" release. Changes since 1.14.4.0 (which is the firmware in -STABLE branches) are in the "Release Notes" accompanying the Unified Wire release and are copy-pasted here as well. 22.1. T5 Firmware +++++++++++++++++++++++++++++++++ Version : 1.15.37.0 Date : 04/27/2016 ================================================================================ FIXES ----- BASE: - Fixed an issue in FW_RSS_VI_CONFIG_CMD handling where the default ingress queue was ignored. - Fixed an issue where adapter failed to load fw by adjusting DRAM frequency. - Fixed an issue in watchdog which was causing VM bring-up failure after reboot. - Fixed 40G link failures with some switches when auto-negotiation enabled. - Fixed to improve on link bring-up time. - Per port buffer groups size doubled to improve performance. - Fixed an issue where bogus d3hot bits were set causing traffic stall. - Fixed an issue where sometimes adapter was not seen after reboot. - Fixed an issue where iWARP was crashing in conjunction with traffic management. - Fixed an issue where link failed to come up after removing twinax cable and inserting optical module. ETH - Fixed a link flap issue on T580-CR. OFLD - Fixed a potential iSCSI data corruption issue by disabling RxFragEn flag. FOiSCSI - Fixed an issue in recovery path where connection was getting closed before recovery processing was done. - Fixed an issue in TCP port reuse. - Fixed an issue in recovery path when large number (>64) of iSCSI connections were in use. - Returned ENETUNREACH if IP was not been provisioned yet and driver tried to use given inerface. - Fixed an issue where fw was sending ENETUNREACH event for normal tcp disconnection. DCBX - Fixed an issue where iscsi tlv is sent incorrectly to host. (DCBX CEE) - Fixed an issue where apply bit set for APP id was affecting the ETS and PFC settings.(DCBX IEEE) - Fixed an issue where app priority values are not handled correctly in fw. (DCBX IEEE) - Fixed an issue where enable/disable dcbx can cause crash. (DCBX CEE,DCBX IEEE) FOFCoE - Removed BB6 support. ENHANCEMENTS ------------ BASE: - Added new interface to program DCA settings in SGE contexts; allow 32-byte IQE size - Added PTP interface fw_ptp_ts to support PTP Frequeny and Offset adjustment. - Added MPS raw interface. ETH: - New mailbox command FW_DCB_IEEE_CMD api added for IEEE dcbx. OFLD: - WR opcode is returned to host in cqe error response. 22.2. T4 Firmware +++++++++++++++++ Version : 1.15.37.0 Date : 04/27/2016 ================================================================================ FIXES ----- BASE: - Fixed an issue in FW_RSS_VI_CONFIG_CMD handling where default ingress queue was ignored. - Fixed an issue in watchdog which was causing VM bring-up failure after reboot. - Per port buffer groups size doubled to improve performance. - Fixed an issue where iWARP was crashing in conjunction with traffic management. FOiSCSI: - Fixed an issue in recovery path where connection was getting closed before recovery processing was done. - Fixed an issue in TCP port reuse. - Fixed an issue in recovery path when large number (>64) of iSCSI connections were in use. - Returned ENETUNREACH if IP had not been provisioned yet and driver tried to use given inerface. DCBX - Fixed an issue where iscsi tlv is sent incorrectly to host.(DCBX CEE) - Fixed an issue where enable/disable dcbx can cause crash in firmware.(DCBX CEE) FOiSCSI - Fixes an issue where fw was sending ENETUNREACH event for normal tcp disconnection. FOFCoE - Removed BB6 support. ENHANCEMENTS ------------ BASE: - Added MPS raw interface. ETH: - New mailbox command FW_DCB_IEEE_CMD api added for IEEE dcbx. ================================================================================ Sponsored by: Chelsio Communications git-svn-id: svn://svn.freebsd.org/base/stable/10@308304 ccf9f872-aa2e-dd11-9fc8-001c23d0bc1f --- sys/conf/files | 4 +- sys/dev/cxgbe/adapter.h | 32 +- sys/dev/cxgbe/common/common.h | 198 +- sys/dev/cxgbe/common/t4_hw.c | 11031 ++-- sys/dev/cxgbe/common/t4_hw.h | 17 +- sys/dev/cxgbe/common/t4_msg.h | 629 +- sys/dev/cxgbe/common/t4_regs.h | 20592 +++++- sys/dev/cxgbe/common/t4_regs_values.h | 73 +- sys/dev/cxgbe/common/t4_tcb.h | 13 +- sys/dev/cxgbe/firmware/t4fw-1.14.4.0.bin.uu | 9495 --- sys/dev/cxgbe/firmware/t4fw-1.15.37.0.bin.uu | 9522 +++ sys/dev/cxgbe/firmware/t4fw_cfg.txt | 5 +- sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt | 5 +- sys/dev/cxgbe/firmware/t4fw_interface.h | 639 +- sys/dev/cxgbe/firmware/t5fw-1.14.4.0.bin.uu | 10447 --- sys/dev/cxgbe/firmware/t5fw-1.15.37.0.bin.uu | 10501 +++ sys/dev/cxgbe/firmware/t5fw_cfg.txt | 12 +- sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt | 12 +- sys/dev/cxgbe/iw_cxgbe/device.c | 19 +- sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h | 1 - sys/dev/cxgbe/iw_cxgbe/qp.c | 6 +- sys/dev/cxgbe/osdep.h | 14 +- sys/dev/cxgbe/t4_ioctl.h | 11 +- sys/dev/cxgbe/t4_main.c | 1515 +- sys/dev/cxgbe/t4_netmap.c | 23 +- sys/dev/cxgbe/t4_sge.c | 160 +- sys/dev/cxgbe/tom/t4_connect.c | 12 +- sys/modules/cxgbe/t4_firmware/Makefile | 2 +- sys/modules/cxgbe/t5_firmware/Makefile | 2 +- tools/tools/cxgbetool/cxgbetool.c | 185 +- tools/tools/cxgbetool/reg_defs_t4.c | 182 +- tools/tools/cxgbetool/reg_defs_t4vf.c | 55 +- tools/tools/cxgbetool/reg_defs_t5.c | 162 +- tools/tools/cxgbetool/reg_defs_t6.c | 57337 +++++++++++++++++ 34 files changed, 107739 insertions(+), 25174 deletions(-) delete mode 100644 sys/dev/cxgbe/firmware/t4fw-1.14.4.0.bin.uu create mode 100644 sys/dev/cxgbe/firmware/t4fw-1.15.37.0.bin.uu delete mode 100644 sys/dev/cxgbe/firmware/t5fw-1.14.4.0.bin.uu create mode 100644 sys/dev/cxgbe/firmware/t5fw-1.15.37.0.bin.uu create mode 100644 tools/tools/cxgbetool/reg_defs_t6.c diff --git a/sys/conf/files b/sys/conf/files index afdbfe796..40ce6bb7d 100644 --- a/sys/conf/files +++ b/sys/conf/files @@ -1188,7 +1188,7 @@ t4fw.fwo optional cxgbe \ no-implicit-rule \ clean "t4fw.fwo" t4fw.fw optional cxgbe \ - dependency "$S/dev/cxgbe/firmware/t4fw-1.14.4.0.bin.uu" \ + dependency "$S/dev/cxgbe/firmware/t4fw-1.15.37.0.bin.uu" \ compile-with "${NORMAL_FW}" \ no-obj no-implicit-rule \ clean "t4fw.fw" @@ -1212,7 +1212,7 @@ t5fw.fwo optional cxgbe \ no-implicit-rule \ clean "t5fw.fwo" t5fw.fw optional cxgbe \ - dependency "$S/dev/cxgbe/firmware/t5fw-1.14.4.0.bin.uu" \ + dependency "$S/dev/cxgbe/firmware/t5fw-1.15.37.0.bin.uu" \ compile-with "${NORMAL_FW}" \ no-obj no-implicit-rule \ clean "t5fw.fw" diff --git a/sys/dev/cxgbe/adapter.h b/sys/dev/cxgbe/adapter.h index 60c4ddb72..afb0da03b 100644 --- a/sys/dev/cxgbe/adapter.h +++ b/sys/dev/cxgbe/adapter.h @@ -664,13 +664,6 @@ struct sge_nm_txq { } __aligned(CACHE_LINE_SIZE); struct sge { - int timer_val[SGE_NTIMERS]; - int counter_val[SGE_NCOUNTERS]; - int fl_starve_threshold; - int fl_starve_threshold2; - int eq_s_qpp; - int iq_s_qpp; - int nrxq; /* total # of Ethernet rx queues */ int ntxq; /* total # of Ethernet tx tx queues */ int nofldrxq; /* total # of TOE rx queues */ @@ -695,8 +688,6 @@ struct sge { struct sge_iq **iqmap; /* iq->cntxt_id to iq mapping */ struct sge_eq **eqmap; /* eq->cntxt_id to eq mapping */ - int pad_boundary; - int pack_boundary; int8_t safe_hwidx1; /* may not have room for metadata */ int8_t safe_hwidx2; /* with room for metadata and maybe more */ struct sw_zone_info sw_zone_info[SW_ZONE_SIZES]; @@ -728,6 +719,8 @@ struct adapter { unsigned int pf; unsigned int mbox; + unsigned int vpd_busy; + unsigned int vpd_flag; /* Interrupt information */ int intr_type; @@ -746,9 +739,9 @@ struct adapter { struct sge sge; int lro_timeout; - struct taskqueue *tq[NCHAN]; /* General purpose taskqueues */ + struct taskqueue *tq[MAX_NCHAN]; /* General purpose taskqueues */ struct port_info *port[MAX_NPORTS]; - uint8_t chan_map[NCHAN]; + uint8_t chan_map[MAX_NCHAN]; void *tom_softc; /* (struct tom_data *) */ struct tom_tunables tt; @@ -775,12 +768,16 @@ struct adapter { char cfg_file[32]; u_int cfcsum; struct adapter_params params; + const struct chip_params *chip_params; struct t4_virt_res vres; + uint16_t nbmcaps; uint16_t linkcaps; + uint16_t switchcaps; uint16_t niccaps; uint16_t toecaps; uint16_t rdmacaps; + uint16_t tlscaps; uint16_t iscsicaps; uint16_t fcoecaps; @@ -797,7 +794,7 @@ struct adapter { struct mtx regwin_lock; /* for indirect reads and memory windows */ an_handler_t an_handler __aligned(CACHE_LINE_SIZE); - fw_msg_handler_t fw_msg_handler[5]; /* NUM_FW6_TYPES */ + fw_msg_handler_t fw_msg_handler[7]; /* NUM_FW6_TYPES */ cpl_handler_t cpl_handler[0xef]; /* NUM_CPL_CMDS */ const char *last_op; @@ -1000,6 +997,17 @@ tx_resume_threshold(struct sge_eq *eq) return (eq->sidx / 4); } +static inline int +t4_use_ldst(struct adapter *sc) +{ + +#ifdef notyet + return (sc->flags & FW_OK || !sc->use_bd); +#else + return (0); +#endif +} + /* t4_main.c */ int t4_os_find_pci_capability(struct adapter *, int); int t4_os_pci_save_state(struct adapter *); diff --git a/sys/dev/cxgbe/common/common.h b/sys/dev/cxgbe/common/common.h index 820354ab6..8a832526d 100644 --- a/sys/dev/cxgbe/common/common.h +++ b/sys/dev/cxgbe/common/common.h @@ -32,6 +32,9 @@ #include "t4_hw.h" +#define GLBL_INTR_MASK (F_CIM | F_MPS | F_PL | F_PCIE | F_MC0 | F_EDC0 | \ + F_EDC1 | F_LE | F_TP | F_MA | F_PM_TX | F_PM_RX | F_ULP_RX | \ + F_CPL_SWITCH | F_SGE | F_ULP_TX) enum { MAX_NPORTS = 4, /* max # of ports */ @@ -42,11 +45,17 @@ enum { MACADDR_LEN = 12, /* MAC Address length */ }; +enum { + T4_REGMAP_SIZE = (160 * 1024), + T5_REGMAP_SIZE = (332 * 1024), +}; + enum { MEM_EDC0, MEM_EDC1, MEM_MC, MEM_MC0 = MEM_MC, MEM_MC1 }; enum { MEMWIN0_APERTURE = 2048, MEMWIN0_BASE = 0x1b800, + MEMWIN1_APERTURE = 32768, MEMWIN1_BASE = 0x28000, @@ -168,10 +177,10 @@ struct lb_port_stats { }; struct tp_tcp_stats { - u32 tcpOutRsts; - u64 tcpInSegs; - u64 tcpOutSegs; - u64 tcpRetransSegs; + u32 tcp_out_rsts; + u64 tcp_in_segs; + u64 tcp_out_segs; + u64 tcp_retrans_segs; }; struct tp_usm_stats { @@ -181,50 +190,72 @@ struct tp_usm_stats { }; struct tp_fcoe_stats { - u32 framesDDP; - u32 framesDrop; - u64 octetsDDP; + u32 frames_ddp; + u32 frames_drop; + u64 octets_ddp; }; struct tp_err_stats { - u32 macInErrs[4]; - u32 hdrInErrs[4]; - u32 tcpInErrs[4]; - u32 tnlCongDrops[4]; - u32 ofldChanDrops[4]; - u32 tnlTxDrops[4]; - u32 ofldVlanDrops[4]; - u32 tcp6InErrs[4]; - u32 ofldNoNeigh; - u32 ofldCongDefer; + u32 mac_in_errs[MAX_NCHAN]; + u32 hdr_in_errs[MAX_NCHAN]; + u32 tcp_in_errs[MAX_NCHAN]; + u32 tnl_cong_drops[MAX_NCHAN]; + u32 ofld_chan_drops[MAX_NCHAN]; + u32 tnl_tx_drops[MAX_NCHAN]; + u32 ofld_vlan_drops[MAX_NCHAN]; + u32 tcp6_in_errs[MAX_NCHAN]; + u32 ofld_no_neigh; + u32 ofld_cong_defer; }; struct tp_proxy_stats { - u32 proxy[4]; + u32 proxy[MAX_NCHAN]; }; struct tp_cpl_stats { - u32 req[4]; - u32 rsp[4]; + u32 req[MAX_NCHAN]; + u32 rsp[MAX_NCHAN]; }; struct tp_rdma_stats { - u32 rqe_dfr_mod; u32 rqe_dfr_pkt; + u32 rqe_dfr_mod; +}; + +struct sge_params { + int timer_val[SGE_NTIMERS]; + int counter_val[SGE_NCOUNTERS]; + int fl_starve_threshold; + int fl_starve_threshold2; + int page_shift; + int eq_s_qpp; + int iq_s_qpp; + int spg_len; + int pad_boundary; + int pack_boundary; + int fl_pktshift; }; struct tp_params { - unsigned int ntxchan; /* # of Tx channels */ unsigned int tre; /* log2 of core clocks per TP tick */ unsigned int dack_re; /* DACK timer resolution */ unsigned int la_mask; /* what events are recorded by TP LA */ - unsigned short tx_modq[NCHAN]; /* channel to modulation queue map */ + unsigned short tx_modq[MAX_NCHAN]; /* channel to modulation queue map */ + uint32_t vlan_pri_map; uint32_t ingress_config; - int8_t vlan_shift; - int8_t vnic_shift; + uint32_t rx_pkt_encap; + + int8_t fcoe_shift; int8_t port_shift; + int8_t vnic_shift; + int8_t vlan_shift; + int8_t tos_shift; int8_t protocol_shift; + int8_t ethertype_shift; + int8_t macmatch_shift; + int8_t matchtype_shift; + int8_t frag_shift; }; struct vpd_params { @@ -252,7 +283,21 @@ struct devlog_params { u32 size; /* size of log */ }; +/* Stores chip specific parameters */ +struct chip_params { + u8 nchan; + u8 pm_stats_cnt; + u8 cng_ch_bits_log; /* congestion channel map bits width */ + u8 nsched_cls; + u8 cim_num_obq; + u16 mps_rplc_size; + u16 vfcount; + u32 sge_fl_db; + u16 mps_tcam_size; +}; + struct adapter_params { + struct sge_params sge; struct tp_params tp; struct vpd_params vpd; struct pci_params pci; @@ -291,6 +336,19 @@ struct adapter_params { #define CHELSIO_T4 0x4 #define CHELSIO_T5 0x5 +#define CHELSIO_T6 0x6 + +/* + * State needed to monitor the forward progress of SGE Ingress DMA activities + * and possible hangs. + */ +struct sge_idma_monitor_state { + unsigned int idma_1s_thresh; /* 1s threshold in Core Clock ticks */ + unsigned int idma_stalled[2]; /* synthesized stalled timers in HZ */ + unsigned int idma_state[2]; /* IDMA Hang detect state */ + unsigned int idma_qid[2]; /* IDMA Hung Ingress Queue ID */ + unsigned int idma_warn[2]; /* time to warning in HZ */ +}; struct trace_params { u32 data[TRACE_LEN / 4]; @@ -365,6 +423,11 @@ static inline int is_t5(struct adapter *adap) return adap->params.chipid == CHELSIO_T5; } +static inline int is_t6(struct adapter *adap) +{ + return adap->params.chipid == CHELSIO_T6; +} + static inline int is_fpga(struct adapter *adap) { return adap->params.fpga; @@ -381,6 +444,14 @@ static inline unsigned int us_to_core_ticks(const struct adapter *adap, return (us * adap->params.vpd.cclk) / 1000; } +static inline unsigned int core_ticks_to_us(const struct adapter *adapter, + unsigned int ticks) +{ + /* add Core Clock / 2 to round ticks to nearest uS */ + return ((ticks * 1000 + adapter->params.vpd.cclk/2) / + adapter->params.vpd.cclk); +} + static inline unsigned int dack_ticks_to_usec(const struct adapter *adap, unsigned int ticks) { @@ -388,19 +459,20 @@ static inline unsigned int dack_ticks_to_usec(const struct adapter *adap, } void t4_set_reg_field(struct adapter *adap, unsigned int addr, u32 mask, u32 val); -int t4_wait_op_done_val(struct adapter *adapter, int reg, u32 mask, int polarity, - int attempts, int delay, u32 *valp); - -static inline int t4_wait_op_done(struct adapter *adapter, int reg, u32 mask, - int polarity, int attempts, int delay) -{ - return t4_wait_op_done_val(adapter, reg, mask, polarity, attempts, - delay, NULL); -} +int t4_wr_mbox_meat_timeout(struct adapter *adap, int mbox, const void *cmd, + int size, void *rpl, bool sleep_ok, int timeout); int t4_wr_mbox_meat(struct adapter *adap, int mbox, const void *cmd, int size, void *rpl, bool sleep_ok); +static inline int t4_wr_mbox_timeout(struct adapter *adap, int mbox, + const void *cmd, int size, void *rpl, + int timeout) +{ + return t4_wr_mbox_meat_timeout(adap, mbox, cmd, size, rpl, true, + timeout); +} + static inline int t4_wr_mbox(struct adapter *adap, int mbox, const void *cmd, int size, void *rpl) { @@ -430,7 +502,7 @@ void t4_intr_clear(struct adapter *adapter); int t4_slow_intr_handler(struct adapter *adapter); int t4_hash_mac_addr(const u8 *addr); -int t4_link_start(struct adapter *adap, unsigned int mbox, unsigned int port, +int t4_link_l1cfg(struct adapter *adap, unsigned int mbox, unsigned int port, struct link_config *lc); int t4_restart_aneg(struct adapter *adap, unsigned int mbox, unsigned int port); int t4_seeprom_read(struct adapter *adapter, u32 addr, u32 *data); @@ -439,21 +511,31 @@ int t4_eeprom_ptov(unsigned int phys_addr, unsigned int fn, unsigned int sz); int t4_seeprom_wp(struct adapter *adapter, int enable); int t4_read_flash(struct adapter *adapter, unsigned int addr, unsigned int nwords, u32 *data, int byte_oriented); +int t4_write_flash(struct adapter *adapter, unsigned int addr, + unsigned int n, const u8 *data, int byte_oriented); int t4_load_fw(struct adapter *adapter, const u8 *fw_data, unsigned int size); +int t4_fwcache(struct adapter *adap, enum fw_params_param_dev_fwcache op); +int t5_fw_init_extern_mem(struct adapter *adap); +int t4_load_bootcfg(struct adapter *adapter, const u8 *cfg_data, unsigned int size); int t4_load_boot(struct adapter *adap, u8 *boot_data, unsigned int boot_addr, unsigned int size); +int t4_flash_erase_sectors(struct adapter *adapter, int start, int end); int t4_flash_cfg_addr(struct adapter *adapter); int t4_load_cfg(struct adapter *adapter, const u8 *cfg_data, unsigned int size); int t4_get_fw_version(struct adapter *adapter, u32 *vers); int t4_get_tp_version(struct adapter *adapter, u32 *vers); -int t4_check_fw_version(struct adapter *adapter); +int t4_get_exprom_version(struct adapter *adapter, u32 *vers); int t4_init_hw(struct adapter *adapter, u32 fw_params); -int t4_prep_adapter(struct adapter *adapter); +int t4_prep_adapter(struct adapter *adapter, u8 *buf); +int t4_shutdown_adapter(struct adapter *adapter); +int t4_init_devlog_params(struct adapter *adapter, int fw_attach); +int t4_init_sge_params(struct adapter *adapter); int t4_init_tp_params(struct adapter *adap); int t4_filter_field_shift(const struct adapter *adap, int filter_sel); -int t4_port_init(struct port_info *p, int mbox, int pf, int vf); -int t4_reinit_adapter(struct adapter *adap); +int t4_port_init(struct adapter *adap, int mbox, int pf, int vf, int port_id); void t4_fatal_err(struct adapter *adapter); +void t4_db_full(struct adapter *adapter); +void t4_db_dropped(struct adapter *adapter); int t4_set_trace_filter(struct adapter *adapter, const struct trace_params *tp, int filter_index, int enable); void t4_get_trace_filter(struct adapter *adapter, struct trace_params *tp, @@ -465,8 +547,10 @@ int t4_config_glbl_rss(struct adapter *adapter, int mbox, unsigned int mode, int t4_config_vi_rss(struct adapter *adapter, int mbox, unsigned int viid, unsigned int flags, unsigned int defq); int t4_read_rss(struct adapter *adapter, u16 *entries); +void t4_fw_tp_pio_rw(struct adapter *adap, u32 *vals, unsigned int nregs, + unsigned int start_index, unsigned int rw); void t4_read_rss_key(struct adapter *adapter, u32 *key); -void t4_write_rss_key(struct adapter *adap, const u32 *key, int idx); +void t4_write_rss_key(struct adapter *adap, u32 *key, int idx); void t4_read_rss_pf_config(struct adapter *adapter, unsigned int index, u32 *valp); void t4_write_rss_pf_config(struct adapter *adapter, unsigned int index, u32 val); void t4_read_rss_vf_config(struct adapter *adapter, unsigned int index, @@ -493,12 +577,24 @@ int t4_cim_read_la(struct adapter *adap, u32 *la_buf, unsigned int *wrptr); void t4_cim_read_pif_la(struct adapter *adap, u32 *pif_req, u32 *pif_rsp, unsigned int *pif_req_wrptr, unsigned int *pif_rsp_wrptr); void t4_cim_read_ma_la(struct adapter *adap, u32 *ma_req, u32 *ma_rsp); +int t4_get_flash_params(struct adapter *adapter); + +u32 t4_read_pcie_cfg4(struct adapter *adap, int reg, int drv_fw_attach); int t4_mc_read(struct adapter *adap, int idx, u32 addr, __be32 *data, u64 *parity); int t4_edc_read(struct adapter *adap, int idx, u32 addr, __be32 *data, u64 *parity); int t4_mem_read(struct adapter *adap, int mtype, u32 addr, u32 size, __be32 *data); +void t4_idma_monitor_init(struct adapter *adapter, + struct sge_idma_monitor_state *idma); +void t4_idma_monitor(struct adapter *adapter, + struct sge_idma_monitor_state *idma, + int hz, int ticks); + +unsigned int t4_get_regs_len(struct adapter *adapter); +void t4_get_regs(struct adapter *adap, u8 *buf, size_t buf_size); +const char *t4_get_port_type_description(enum fw_port_type port_type); void t4_get_port_stats(struct adapter *adap, int idx, struct port_stats *p); void t4_get_port_stats_offset(struct adapter *adap, int idx, struct port_stats *stats, @@ -552,6 +648,13 @@ int t4_fw_initialize(struct adapter *adap, unsigned int mbox); int t4_query_params(struct adapter *adap, unsigned int mbox, unsigned int pf, unsigned int vf, unsigned int nparams, const u32 *params, u32 *val); +int t4_query_params_rw(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int nparams, const u32 *params, + u32 *val, int rw); +int t4_set_params_timeout(struct adapter *adap, unsigned int mbox, + unsigned int pf, unsigned int vf, + unsigned int nparams, const u32 *params, + const u32 *val, int timeout); int t4_set_params(struct adapter *adap, unsigned int mbox, unsigned int pf, unsigned int vf, unsigned int nparams, const u32 *params, const u32 *val); @@ -580,6 +683,8 @@ int t4_change_mac(struct adapter *adap, unsigned int mbox, unsigned int viid, int idx, const u8 *addr, bool persist, bool add_smt); int t4_set_addr_hash(struct adapter *adap, unsigned int mbox, unsigned int viid, bool ucast, u64 vec, bool sleep_ok); +int t4_enable_vi_params(struct adapter *adap, unsigned int mbox, + unsigned int viid, bool rx_en, bool tx_en, bool dcb_en); int t4_enable_vi(struct adapter *adap, unsigned int mbox, unsigned int viid, bool rx_en, bool tx_en); int t4_identify_port(struct adapter *adap, unsigned int mbox, unsigned int viid, @@ -596,9 +701,9 @@ int t4_i2c_wr(struct adapter *adap, unsigned int mbox, int port, unsigned int devid, unsigned int offset, unsigned int len, u8 *buf); -int t4_iq_start_stop(struct adapter *adap, unsigned int mbox, bool start, - unsigned int pf, unsigned int vf, unsigned int iqid, - unsigned int fl0id, unsigned int fl1id); +int t4_iq_stop(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int iqtype, unsigned int iqid, + unsigned int fl0id, unsigned int fl1id); int t4_iq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, unsigned int vf, unsigned int iqtype, unsigned int iqid, unsigned int fl0id, unsigned int fl1id); @@ -613,6 +718,7 @@ int t4_sge_ctxt_rd(struct adapter *adap, unsigned int mbox, unsigned int cid, int t4_sge_ctxt_rd_bd(struct adapter *adap, unsigned int cid, enum ctxt_type ctype, u32 *data); int t4_sge_ctxt_flush(struct adapter *adap, unsigned int mbox); +const char *t4_link_down_rc_str(unsigned char link_down_rc); int t4_handle_fw_rpl(struct adapter *adap, const __be64 *rpl); int t4_fwaddrspace_write(struct adapter *adap, unsigned int mbox, u32 addr, u32 val); int t4_sched_config(struct adapter *adapter, int type, int minmaxen, @@ -621,4 +727,10 @@ int t4_sched_params(struct adapter *adapter, int type, int level, int mode, int rateunit, int ratemode, int channel, int cl, int minrate, int maxrate, int weight, int pktsize, int sleep_ok); +int t4_config_watchdog(struct adapter *adapter, unsigned int mbox, + unsigned int pf, unsigned int vf, + unsigned int timeout, unsigned int action); +int t4_get_devlog_level(struct adapter *adapter, unsigned int *level); +int t4_set_devlog_level(struct adapter *adapter, unsigned int level); +void t4_sge_decode_idma_state(struct adapter *adapter, int state); #endif /* __CHELSIO_COMMON_H */ diff --git a/sys/dev/cxgbe/common/t4_hw.c b/sys/dev/cxgbe/common/t4_hw.c index 31e86687f..a6182978e 100644 --- a/sys/dev/cxgbe/common/t4_hw.c +++ b/sys/dev/cxgbe/common/t4_hw.c @@ -1,5 +1,5 @@ /*- - * Copyright (c) 2012 Chelsio Communications, Inc. + * Copyright (c) 2012, 2016 Chelsio Communications, Inc. * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -57,8 +57,8 @@ __FBSDID("$FreeBSD$"); * at the time it indicated completion is stored there. Returns 0 if the * operation completes and -EAGAIN otherwise. */ -int t4_wait_op_done_val(struct adapter *adapter, int reg, u32 mask, - int polarity, int attempts, int delay, u32 *valp) +static int t4_wait_op_done_val(struct adapter *adapter, int reg, u32 mask, + int polarity, int attempts, int delay, u32 *valp) { while (1) { u32 val = t4_read_reg(adapter, reg); @@ -75,6 +75,13 @@ int t4_wait_op_done_val(struct adapter *adapter, int reg, u32 mask, } } +static inline int t4_wait_op_done(struct adapter *adapter, int reg, u32 mask, + int polarity, int attempts, int delay) +{ + return t4_wait_op_done_val(adapter, reg, mask, polarity, attempts, + delay, NULL); +} + /** * t4_set_reg_field - set a register field to a value * @adapter: the adapter to program @@ -107,8 +114,8 @@ void t4_set_reg_field(struct adapter *adapter, unsigned int addr, u32 mask, * register pair. */ void t4_read_indirect(struct adapter *adap, unsigned int addr_reg, - unsigned int data_reg, u32 *vals, unsigned int nregs, - unsigned int start_idx) + unsigned int data_reg, u32 *vals, + unsigned int nregs, unsigned int start_idx) { while (nregs--) { t4_write_reg(adap, addr_reg, start_idx); @@ -144,26 +151,49 @@ void t4_write_indirect(struct adapter *adap, unsigned int addr_reg, * mechanism. This guarantees that we get the real value even if we're * operating within a Virtual Machine and the Hypervisor is trapping our * Configuration Space accesses. + * + * N.B. This routine should only be used as a last resort: the firmware uses + * the backdoor registers on a regular basis and we can end up + * conflicting with it's uses! */ u32 t4_hw_pci_read_cfg4(adapter_t *adap, int reg) { - t4_write_reg(adap, A_PCIE_CFG_SPACE_REQ, - F_ENABLE | F_LOCALCFG | V_FUNCTION(adap->pf) | - V_REGISTER(reg)); - return t4_read_reg(adap, A_PCIE_CFG_SPACE_DATA); + u32 req = V_FUNCTION(adap->pf) | V_REGISTER(reg); + u32 val; + + if (chip_id(adap) <= CHELSIO_T5) + req |= F_ENABLE; + else + req |= F_T6_ENABLE; + + if (is_t4(adap)) + req |= F_LOCALCFG; + + t4_write_reg(adap, A_PCIE_CFG_SPACE_REQ, req); + val = t4_read_reg(adap, A_PCIE_CFG_SPACE_DATA); + + /* + * Reset F_ENABLE to 0 so reads of PCIE_CFG_SPACE_DATA won't cause a + * Configuration Space read. (None of the other fields matter when + * F_ENABLE is 0 so a simple register write is easier than a + * read-modify-write via t4_set_reg_field().) + */ + t4_write_reg(adap, A_PCIE_CFG_SPACE_REQ, 0); + + return val; } /* - * t4_report_fw_error - report firmware error - * @adap: the adapter + * t4_report_fw_error - report firmware error + * @adap: the adapter * - * The adapter firmware can indicate error conditions to the host. - * This routine prints out the reason for the firmware error (as - * reported by the firmware). + * The adapter firmware can indicate error conditions to the host. + * If the firmware has indicated an error, print out the reason for + * the firmware error. */ static void t4_report_fw_error(struct adapter *adap) { - static const char *reason[] = { + static const char *const reason[] = { "Crash", /* PCIE_FW_EVAL_CRASH */ "During Device Preparation", /* PCIE_FW_EVAL_PREP */ "During Device Configuration", /* PCIE_FW_EVAL_CONF */ @@ -178,7 +208,7 @@ static void t4_report_fw_error(struct adapter *adap) pcie_fw = t4_read_reg(adap, A_PCIE_FW); if (pcie_fw & F_PCIE_FW_ERR) CH_ERR(adap, "Firmware reports adapter error: %s\n", - reason[G_PCIE_FW_EVAL(pcie_fw)]); + reason[G_PCIE_FW_EVAL(pcie_fw)]); } /* @@ -194,25 +224,27 @@ static void get_mbox_rpl(struct adapter *adap, __be64 *rpl, int nflit, /* * Handle a FW assertion reported in a mailbox. */ -static void fw_asrt(struct adapter *adap, u32 mbox_addr) +static void fw_asrt(struct adapter *adap, struct fw_debug_cmd *asrt) { - struct fw_debug_cmd asrt; - - get_mbox_rpl(adap, (__be64 *)&asrt, sizeof(asrt) / 8, mbox_addr); - CH_ALERT(adap, "FW assertion at %.16s:%u, val0 %#x, val1 %#x\n", - asrt.u.assert.filename_0_7, ntohl(asrt.u.assert.line), - ntohl(asrt.u.assert.x), ntohl(asrt.u.assert.y)); + CH_ALERT(adap, + "FW assertion at %.16s:%u, val0 %#x, val1 %#x\n", + asrt->u.assert.filename_0_7, + be32_to_cpu(asrt->u.assert.line), + be32_to_cpu(asrt->u.assert.x), + be32_to_cpu(asrt->u.assert.y)); } #define X_CIM_PF_NOACCESS 0xeeeeeeee /** - * t4_wr_mbox_meat - send a command to FW through the given mailbox + * t4_wr_mbox_meat_timeout - send a command to FW through the given mailbox * @adap: the adapter * @mbox: index of the mailbox to use * @cmd: the command to write * @size: command length in bytes * @rpl: where to optionally store the reply * @sleep_ok: if true we may sleep while awaiting command completion + * @timeout: time to wait for command to finish before timing out + * (negative implies @sleep_ok=false) * * Sends the given command to FW through the selected mailbox and waits * for the FW to execute the command. If @rpl is not %NULL it is used to @@ -221,14 +253,17 @@ static void fw_asrt(struct adapter *adap, u32 mbox_addr) * INITIALIZE can take a considerable amount of time to execute. * @sleep_ok determines whether we may sleep while awaiting the response. * If sleeping is allowed we use progressive backoff otherwise we spin. + * Note that passing in a negative @timeout is an alternate mechanism + * for specifying @sleep_ok=false. This is useful when a higher level + * interface allows for specification of @timeout but not @sleep_ok ... * * The return value is 0 on success or a negative errno on failure. A * failure can happen either because we are not able to execute the * command or FW executes it but signals an error. In the latter case * the return value is the error code indicated by FW (negated). */ -int t4_wr_mbox_meat(struct adapter *adap, int mbox, const void *cmd, int size, - void *rpl, bool sleep_ok) +int t4_wr_mbox_meat_timeout(struct adapter *adap, int mbox, const void *cmd, + int size, void *rpl, bool sleep_ok, int timeout) { /* * We delay in small increments at first in an effort to maintain @@ -238,43 +273,97 @@ int t4_wr_mbox_meat(struct adapter *adap, int mbox, const void *cmd, int size, static const int delay[] = { 1, 1, 3, 5, 10, 10, 20, 50, 100 }; - u32 v; u64 res; - int i, ms, delay_idx; + int i, ms, delay_idx, ret; const __be64 *p = cmd; u32 data_reg = PF_REG(mbox, A_CIM_PF_MAILBOX_DATA); u32 ctl_reg = PF_REG(mbox, A_CIM_PF_MAILBOX_CTRL); + u32 ctl; + __be64 cmd_rpl[MBOX_LEN/8]; + u32 pcie_fw; if ((size & 15) || size > MBOX_LEN) return -EINVAL; - v = G_MBOWNER(t4_read_reg(adap, ctl_reg)); - for (i = 0; v == X_MBOWNER_NONE && i < 3; i++) - v = G_MBOWNER(t4_read_reg(adap, ctl_reg)); + /* + * If we have a negative timeout, that implies that we can't sleep. + */ + if (timeout < 0) { + sleep_ok = false; + timeout = -timeout; + } + + /* + * Attempt to gain access to the mailbox. + */ + for (i = 0; i < 4; i++) { + ctl = t4_read_reg(adap, ctl_reg); + v = G_MBOWNER(ctl); + if (v != X_MBOWNER_NONE) + break; + } + + /* + * If we were unable to gain access, dequeue ourselves from the + * mailbox atomic access list and report the error to our caller. + */ + if (v != X_MBOWNER_PL) { + t4_report_fw_error(adap); + ret = (v == X_MBOWNER_FW) ? -EBUSY : -ETIMEDOUT; + return ret; + } - if (v != X_MBOWNER_PL) - return v ? -EBUSY : -ETIMEDOUT; + /* + * If we gain ownership of the mailbox and there's a "valid" message + * in it, this is likely an asynchronous error message from the + * firmware. So we'll report that and then proceed on with attempting + * to issue our own command ... which may well fail if the error + * presaged the firmware crashing ... + */ + if (ctl & F_MBMSGVALID) { + CH_ERR(adap, "found VALID command in mbox %u: " + "%llx %llx %llx %llx %llx %llx %llx %llx\n", mbox, + (unsigned long long)t4_read_reg64(adap, data_reg), + (unsigned long long)t4_read_reg64(adap, data_reg + 8), + (unsigned long long)t4_read_reg64(adap, data_reg + 16), + (unsigned long long)t4_read_reg64(adap, data_reg + 24), + (unsigned long long)t4_read_reg64(adap, data_reg + 32), + (unsigned long long)t4_read_reg64(adap, data_reg + 40), + (unsigned long long)t4_read_reg64(adap, data_reg + 48), + (unsigned long long)t4_read_reg64(adap, data_reg + 56)); + } + /* + * Copy in the new mailbox command and send it on its way ... + */ for (i = 0; i < size; i += 8, p++) t4_write_reg64(adap, data_reg + i, be64_to_cpu(*p)); CH_DUMP_MBOX(adap, mbox, data_reg); t4_write_reg(adap, ctl_reg, F_MBMSGVALID | V_MBOWNER(X_MBOWNER_FW)); - t4_read_reg(adap, ctl_reg); /* flush write */ + t4_read_reg(adap, ctl_reg); /* flush write */ delay_idx = 0; ms = delay[0]; - for (i = 0; i < FW_CMD_MAX_TIMEOUT; i += ms) { + /* + * Loop waiting for the reply; bail out if we time out or the firmware + * reports an error. + */ + for (i = 0; + !((pcie_fw = t4_read_reg(adap, A_PCIE_FW)) & F_PCIE_FW_ERR) && + i < timeout; + i += ms) { if (sleep_ok) { ms = delay[delay_idx]; /* last element may repeat */ if (delay_idx < ARRAY_SIZE(delay) - 1) delay_idx++; msleep(ms); - } else + } else { mdelay(ms); + } v = t4_read_reg(adap, ctl_reg); if (v == X_CIM_PF_NOACCESS) @@ -286,15 +375,20 @@ int t4_wr_mbox_meat(struct adapter *adap, int mbox, const void *cmd, int size, continue; } + /* + * Retrieve the command reply and release the mailbox. + */ + get_mbox_rpl(adap, cmd_rpl, size/8, data_reg); + t4_write_reg(adap, ctl_reg, V_MBOWNER(X_MBOWNER_NONE)); + CH_DUMP_MBOX(adap, mbox, data_reg); - res = t4_read_reg64(adap, data_reg); + res = be64_to_cpu(cmd_rpl[0]); if (G_FW_CMD_OP(res >> 32) == FW_DEBUG_CMD) { - fw_asrt(adap, data_reg); + fw_asrt(adap, (struct fw_debug_cmd *)cmd_rpl); res = V_FW_CMD_RETVAL(EIO); } else if (rpl) - get_mbox_rpl(adap, rpl, size / 8, data_reg); - t4_write_reg(adap, ctl_reg, V_MBOWNER(X_MBOWNER_NONE)); + memcpy(rpl, cmd_rpl, size); return -G_FW_CMD_RETVAL((int)res); } } @@ -304,11 +398,58 @@ int t4_wr_mbox_meat(struct adapter *adap, int mbox, const void *cmd, int size, * the error and also check to see if the firmware reported any * errors ... */ + ret = (pcie_fw & F_PCIE_FW_ERR) ? -ENXIO : -ETIMEDOUT; CH_ERR(adap, "command %#x in mailbox %d timed out\n", *(const u8 *)cmd, mbox); - if (t4_read_reg(adap, A_PCIE_FW) & F_PCIE_FW_ERR) - t4_report_fw_error(adap); - return -ETIMEDOUT; + + t4_report_fw_error(adap); + t4_fatal_err(adap); + return ret; +} + +int t4_wr_mbox_meat(struct adapter *adap, int mbox, const void *cmd, int size, + void *rpl, bool sleep_ok) +{ + return t4_wr_mbox_meat_timeout(adap, mbox, cmd, size, rpl, + sleep_ok, FW_CMD_MAX_TIMEOUT); + +} + +static int t4_edc_err_read(struct adapter *adap, int idx) +{ + u32 edc_ecc_err_addr_reg; + u32 edc_bist_status_rdata_reg; + + if (is_t4(adap)) { + CH_WARN(adap, "%s: T4 NOT supported.\n", __func__); + return 0; + } + if (idx != 0 && idx != 1) { + CH_WARN(adap, "%s: idx %d NOT supported.\n", __func__, idx); + return 0; + } + + edc_ecc_err_addr_reg = EDC_T5_REG(A_EDC_H_ECC_ERR_ADDR, idx); + edc_bist_status_rdata_reg = EDC_T5_REG(A_EDC_H_BIST_STATUS_RDATA, idx); + + CH_WARN(adap, + "edc%d err addr 0x%x: 0x%x.\n", + idx, edc_ecc_err_addr_reg, + t4_read_reg(adap, edc_ecc_err_addr_reg)); + CH_WARN(adap, + "bist: 0x%x, status %llx %llx %llx %llx %llx %llx %llx %llx %llx.\n", + edc_bist_status_rdata_reg, + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 8), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 16), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 24), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 32), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 40), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 48), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 56), + (unsigned long long)t4_read_reg64(adap, edc_bist_status_rdata_reg + 64)); + + return 0; } /** @@ -492,9 +633,1957 @@ int t4_mem_read(struct adapter *adap, int mtype, u32 addr, u32 len, return 0; } +/* + * Return the specified PCI-E Configuration Space register from our Physical + * Function. We try first via a Firmware LDST Command (if fw_attach != 0) + * since we prefer to let the firmware own all of these registers, but if that + * fails we go for it directly ourselves. + */ +u32 t4_read_pcie_cfg4(struct adapter *adap, int reg, int drv_fw_attach) +{ + + /* + * If fw_attach != 0, construct and send the Firmware LDST Command to + * retrieve the specified PCI-E Configuration Space register. + */ + if (drv_fw_attach != 0) { + struct fw_ldst_cmd ldst_cmd; + int ret; + + memset(&ldst_cmd, 0, sizeof(ldst_cmd)); + ldst_cmd.op_to_addrspace = + cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_READ | + V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_FUNC_PCIE)); + ldst_cmd.cycles_to_len16 = cpu_to_be32(FW_LEN16(ldst_cmd)); + ldst_cmd.u.pcie.select_naccess = V_FW_LDST_CMD_NACCESS(1); + ldst_cmd.u.pcie.ctrl_to_fn = + (F_FW_LDST_CMD_LC | V_FW_LDST_CMD_FN(adap->pf)); + ldst_cmd.u.pcie.r = reg; + + /* + * If the LDST Command succeeds, return the result, otherwise + * fall through to reading it directly ourselves ... + */ + ret = t4_wr_mbox(adap, adap->mbox, &ldst_cmd, sizeof(ldst_cmd), + &ldst_cmd); + if (ret == 0) + return be32_to_cpu(ldst_cmd.u.pcie.data[0]); + + CH_WARN(adap, "Firmware failed to return " + "Configuration Space register %d, err = %d\n", + reg, -ret); + } + + /* + * Read the desired Configuration Space register via the PCI-E + * Backdoor mechanism. + */ + return t4_hw_pci_read_cfg4(adap, reg); +} + +/** + * t4_get_regs_len - return the size of the chips register set + * @adapter: the adapter + * + * Returns the size of the chip's BAR0 register space. + */ +unsigned int t4_get_regs_len(struct adapter *adapter) +{ + unsigned int chip_version = chip_id(adapter); + + switch (chip_version) { + case CHELSIO_T4: + return T4_REGMAP_SIZE; + + case CHELSIO_T5: + case CHELSIO_T6: + return T5_REGMAP_SIZE; + } + + CH_ERR(adapter, + "Unsupported chip version %d\n", chip_version); + return 0; +} + +/** + * t4_get_regs - read chip registers into provided buffer + * @adap: the adapter + * @buf: register buffer + * @buf_size: size (in bytes) of register buffer + * + * If the provided register buffer isn't large enough for the chip's + * full register range, the register dump will be truncated to the + * register buffer's size. + */ +void t4_get_regs(struct adapter *adap, u8 *buf, size_t buf_size) +{ + static const unsigned int t4_reg_ranges[] = { + 0x1008, 0x1108, + 0x1180, 0x1184, + 0x1190, 0x1194, + 0x11a0, 0x11a4, + 0x11b0, 0x11b4, + 0x11fc, 0x123c, + 0x1300, 0x173c, + 0x1800, 0x18fc, + 0x3000, 0x30d8, + 0x30e0, 0x30e4, + 0x30ec, 0x5910, + 0x5920, 0x5924, + 0x5960, 0x5960, + 0x5968, 0x5968, + 0x5970, 0x5970, + 0x5978, 0x5978, + 0x5980, 0x5980, + 0x5988, 0x5988, + 0x5990, 0x5990, + 0x5998, 0x5998, + 0x59a0, 0x59d4, + 0x5a00, 0x5ae0, + 0x5ae8, 0x5ae8, + 0x5af0, 0x5af0, + 0x5af8, 0x5af8, + 0x6000, 0x6098, + 0x6100, 0x6150, + 0x6200, 0x6208, + 0x6240, 0x6248, + 0x6280, 0x62b0, + 0x62c0, 0x6338, + 0x6370, 0x638c, + 0x6400, 0x643c, + 0x6500, 0x6524, + 0x6a00, 0x6a04, + 0x6a14, 0x6a38, + 0x6a60, 0x6a70, + 0x6a78, 0x6a78, + 0x6b00, 0x6b0c, + 0x6b1c, 0x6b84, + 0x6bf0, 0x6bf8, + 0x6c00, 0x6c0c, + 0x6c1c, 0x6c84, + 0x6cf0, 0x6cf8, + 0x6d00, 0x6d0c, + 0x6d1c, 0x6d84, + 0x6df0, 0x6df8, + 0x6e00, 0x6e0c, + 0x6e1c, 0x6e84, + 0x6ef0, 0x6ef8, + 0x6f00, 0x6f0c, + 0x6f1c, 0x6f84, + 0x6ff0, 0x6ff8, + 0x7000, 0x700c, + 0x701c, 0x7084, + 0x70f0, 0x70f8, + 0x7100, 0x710c, + 0x711c, 0x7184, + 0x71f0, 0x71f8, + 0x7200, 0x720c, + 0x721c, 0x7284, + 0x72f0, 0x72f8, + 0x7300, 0x730c, + 0x731c, 0x7384, + 0x73f0, 0x73f8, + 0x7400, 0x7450, + 0x7500, 0x7530, + 0x7600, 0x760c, + 0x7614, 0x761c, + 0x7680, 0x76cc, + 0x7700, 0x7798, + 0x77c0, 0x77fc, + 0x7900, 0x79fc, + 0x7b00, 0x7b58, + 0x7b60, 0x7b84, + 0x7b8c, 0x7c38, + 0x7d00, 0x7d38, + 0x7d40, 0x7d80, + 0x7d8c, 0x7ddc, + 0x7de4, 0x7e04, + 0x7e10, 0x7e1c, + 0x7e24, 0x7e38, + 0x7e40, 0x7e44, + 0x7e4c, 0x7e78, + 0x7e80, 0x7ea4, + 0x7eac, 0x7edc, + 0x7ee8, 0x7efc, + 0x8dc0, 0x8e04, + 0x8e10, 0x8e1c, + 0x8e30, 0x8e78, + 0x8ea0, 0x8eb8, + 0x8ec0, 0x8f6c, + 0x8fc0, 0x9008, + 0x9010, 0x9058, + 0x9060, 0x9060, + 0x9068, 0x9074, + 0x90fc, 0x90fc, + 0x9400, 0x9408, + 0x9410, 0x9458, + 0x9600, 0x9600, + 0x9608, 0x9638, + 0x9640, 0x96bc, + 0x9800, 0x9808, + 0x9820, 0x983c, + 0x9850, 0x9864, + 0x9c00, 0x9c6c, + 0x9c80, 0x9cec, + 0x9d00, 0x9d6c, + 0x9d80, 0x9dec, + 0x9e00, 0x9e6c, + 0x9e80, 0x9eec, + 0x9f00, 0x9f6c, + 0x9f80, 0x9fec, + 0xd004, 0xd004, + 0xd010, 0xd03c, + 0xdfc0, 0xdfe0, + 0xe000, 0xea7c, + 0xf000, 0x11190, + 0x19040, 0x1906c, + 0x19078, 0x19080, + 0x1908c, 0x190e4, + 0x190f0, 0x190f8, + 0x19100, 0x19110, + 0x19120, 0x19124, + 0x19150, 0x19194, + 0x1919c, 0x191b0, + 0x191d0, 0x191e8, + 0x19238, 0x1924c, + 0x193f8, 0x1943c, + 0x1944c, 0x19474, + 0x19490, 0x194e0, + 0x194f0, 0x194f8, + 0x19800, 0x19c08, + 0x19c10, 0x19c90, + 0x19ca0, 0x19ce4, + 0x19cf0, 0x19d40, + 0x19d50, 0x19d94, + 0x19da0, 0x19de8, + 0x19df0, 0x19e40, + 0x19e50, 0x19e90, + 0x19ea0, 0x19f4c, + 0x1a000, 0x1a004, + 0x1a010, 0x1a06c, + 0x1a0b0, 0x1a0e4, + 0x1a0ec, 0x1a0f4, + 0x1a100, 0x1a108, + 0x1a114, 0x1a120, + 0x1a128, 0x1a130, + 0x1a138, 0x1a138, + 0x1a190, 0x1a1c4, + 0x1a1fc, 0x1a1fc, + 0x1e040, 0x1e04c, + 0x1e284, 0x1e28c, + 0x1e2c0, 0x1e2c0, + 0x1e2e0, 0x1e2e0, + 0x1e300, 0x1e384, + 0x1e3c0, 0x1e3c8, + 0x1e440, 0x1e44c, + 0x1e684, 0x1e68c, + 0x1e6c0, 0x1e6c0, + 0x1e6e0, 0x1e6e0, + 0x1e700, 0x1e784, + 0x1e7c0, 0x1e7c8, + 0x1e840, 0x1e84c, + 0x1ea84, 0x1ea8c, + 0x1eac0, 0x1eac0, + 0x1eae0, 0x1eae0, + 0x1eb00, 0x1eb84, + 0x1ebc0, 0x1ebc8, + 0x1ec40, 0x1ec4c, + 0x1ee84, 0x1ee8c, + 0x1eec0, 0x1eec0, + 0x1eee0, 0x1eee0, + 0x1ef00, 0x1ef84, + 0x1efc0, 0x1efc8, + 0x1f040, 0x1f04c, + 0x1f284, 0x1f28c, + 0x1f2c0, 0x1f2c0, + 0x1f2e0, 0x1f2e0, + 0x1f300, 0x1f384, + 0x1f3c0, 0x1f3c8, + 0x1f440, 0x1f44c, + 0x1f684, 0x1f68c, + 0x1f6c0, 0x1f6c0, + 0x1f6e0, 0x1f6e0, + 0x1f700, 0x1f784, + 0x1f7c0, 0x1f7c8, + 0x1f840, 0x1f84c, + 0x1fa84, 0x1fa8c, + 0x1fac0, 0x1fac0, + 0x1fae0, 0x1fae0, + 0x1fb00, 0x1fb84, + 0x1fbc0, 0x1fbc8, + 0x1fc40, 0x1fc4c, + 0x1fe84, 0x1fe8c, + 0x1fec0, 0x1fec0, + 0x1fee0, 0x1fee0, + 0x1ff00, 0x1ff84, + 0x1ffc0, 0x1ffc8, + 0x20000, 0x2002c, + 0x20100, 0x2013c, + 0x20190, 0x201a0, + 0x201a8, 0x201b8, + 0x201c4, 0x201c8, + 0x20200, 0x20318, + 0x20400, 0x204b4, + 0x204c0, 0x20528, + 0x20540, 0x20614, + 0x21000, 0x21040, + 0x2104c, 0x21060, + 0x210c0, 0x210ec, + 0x21200, 0x21268, + 0x21270, 0x21284, + 0x212fc, 0x21388, + 0x21400, 0x21404, + 0x21500, 0x21500, + 0x21510, 0x21518, + 0x2152c, 0x21530, + 0x2153c, 0x2153c, + 0x21550, 0x21554, + 0x21600, 0x21600, + 0x21608, 0x2161c, + 0x21624, 0x21628, + 0x21630, 0x21634, + 0x2163c, 0x2163c, + 0x21700, 0x2171c, + 0x21780, 0x2178c, + 0x21800, 0x21818, + 0x21820, 0x21828, + 0x21830, 0x21848, + 0x21850, 0x21854, + 0x21860, 0x21868, + 0x21870, 0x21870, + 0x21878, 0x21898, + 0x218a0, 0x218a8, + 0x218b0, 0x218c8, + 0x218d0, 0x218d4, + 0x218e0, 0x218e8, + 0x218f0, 0x218f0, + 0x218f8, 0x21a18, + 0x21a20, 0x21a28, + 0x21a30, 0x21a48, + 0x21a50, 0x21a54, + 0x21a60, 0x21a68, + 0x21a70, 0x21a70, + 0x21a78, 0x21a98, + 0x21aa0, 0x21aa8, + 0x21ab0, 0x21ac8, + 0x21ad0, 0x21ad4, + 0x21ae0, 0x21ae8, + 0x21af0, 0x21af0, + 0x21af8, 0x21c18, + 0x21c20, 0x21c20, + 0x21c28, 0x21c30, + 0x21c38, 0x21c38, + 0x21c80, 0x21c98, + 0x21ca0, 0x21ca8, + 0x21cb0, 0x21cc8, + 0x21cd0, 0x21cd4, + 0x21ce0, 0x21ce8, + 0x21cf0, 0x21cf0, + 0x21cf8, 0x21d7c, + 0x21e00, 0x21e04, + 0x22000, 0x2202c, + 0x22100, 0x2213c, + 0x22190, 0x221a0, + 0x221a8, 0x221b8, + 0x221c4, 0x221c8, + 0x22200, 0x22318, + 0x22400, 0x224b4, + 0x224c0, 0x22528, + 0x22540, 0x22614, + 0x23000, 0x23040, + 0x2304c, 0x23060, + 0x230c0, 0x230ec, + 0x23200, 0x23268, + 0x23270, 0x23284, + 0x232fc, 0x23388, + 0x23400, 0x23404, + 0x23500, 0x23500, + 0x23510, 0x23518, + 0x2352c, 0x23530, + 0x2353c, 0x2353c, + 0x23550, 0x23554, + 0x23600, 0x23600, + 0x23608, 0x2361c, + 0x23624, 0x23628, + 0x23630, 0x23634, + 0x2363c, 0x2363c, + 0x23700, 0x2371c, + 0x23780, 0x2378c, + 0x23800, 0x23818, + 0x23820, 0x23828, + 0x23830, 0x23848, + 0x23850, 0x23854, + 0x23860, 0x23868, + 0x23870, 0x23870, + 0x23878, 0x23898, + 0x238a0, 0x238a8, + 0x238b0, 0x238c8, + 0x238d0, 0x238d4, + 0x238e0, 0x238e8, + 0x238f0, 0x238f0, + 0x238f8, 0x23a18, + 0x23a20, 0x23a28, + 0x23a30, 0x23a48, + 0x23a50, 0x23a54, + 0x23a60, 0x23a68, + 0x23a70, 0x23a70, + 0x23a78, 0x23a98, + 0x23aa0, 0x23aa8, + 0x23ab0, 0x23ac8, + 0x23ad0, 0x23ad4, + 0x23ae0, 0x23ae8, + 0x23af0, 0x23af0, + 0x23af8, 0x23c18, + 0x23c20, 0x23c20, + 0x23c28, 0x23c30, + 0x23c38, 0x23c38, + 0x23c80, 0x23c98, + 0x23ca0, 0x23ca8, + 0x23cb0, 0x23cc8, + 0x23cd0, 0x23cd4, + 0x23ce0, 0x23ce8, + 0x23cf0, 0x23cf0, + 0x23cf8, 0x23d7c, + 0x23e00, 0x23e04, + 0x24000, 0x2402c, + 0x24100, 0x2413c, + 0x24190, 0x241a0, + 0x241a8, 0x241b8, + 0x241c4, 0x241c8, + 0x24200, 0x24318, + 0x24400, 0x244b4, + 0x244c0, 0x24528, + 0x24540, 0x24614, + 0x25000, 0x25040, + 0x2504c, 0x25060, + 0x250c0, 0x250ec, + 0x25200, 0x25268, + 0x25270, 0x25284, + 0x252fc, 0x25388, + 0x25400, 0x25404, + 0x25500, 0x25500, + 0x25510, 0x25518, + 0x2552c, 0x25530, + 0x2553c, 0x2553c, + 0x25550, 0x25554, + 0x25600, 0x25600, + 0x25608, 0x2561c, + 0x25624, 0x25628, + 0x25630, 0x25634, + 0x2563c, 0x2563c, + 0x25700, 0x2571c, + 0x25780, 0x2578c, + 0x25800, 0x25818, + 0x25820, 0x25828, + 0x25830, 0x25848, + 0x25850, 0x25854, + 0x25860, 0x25868, + 0x25870, 0x25870, + 0x25878, 0x25898, + 0x258a0, 0x258a8, + 0x258b0, 0x258c8, + 0x258d0, 0x258d4, + 0x258e0, 0x258e8, + 0x258f0, 0x258f0, + 0x258f8, 0x25a18, + 0x25a20, 0x25a28, + 0x25a30, 0x25a48, + 0x25a50, 0x25a54, + 0x25a60, 0x25a68, + 0x25a70, 0x25a70, + 0x25a78, 0x25a98, + 0x25aa0, 0x25aa8, + 0x25ab0, 0x25ac8, + 0x25ad0, 0x25ad4, + 0x25ae0, 0x25ae8, + 0x25af0, 0x25af0, + 0x25af8, 0x25c18, + 0x25c20, 0x25c20, + 0x25c28, 0x25c30, + 0x25c38, 0x25c38, + 0x25c80, 0x25c98, + 0x25ca0, 0x25ca8, + 0x25cb0, 0x25cc8, + 0x25cd0, 0x25cd4, + 0x25ce0, 0x25ce8, + 0x25cf0, 0x25cf0, + 0x25cf8, 0x25d7c, + 0x25e00, 0x25e04, + 0x26000, 0x2602c, + 0x26100, 0x2613c, + 0x26190, 0x261a0, + 0x261a8, 0x261b8, + 0x261c4, 0x261c8, + 0x26200, 0x26318, + 0x26400, 0x264b4, + 0x264c0, 0x26528, + 0x26540, 0x26614, + 0x27000, 0x27040, + 0x2704c, 0x27060, + 0x270c0, 0x270ec, + 0x27200, 0x27268, + 0x27270, 0x27284, + 0x272fc, 0x27388, + 0x27400, 0x27404, + 0x27500, 0x27500, + 0x27510, 0x27518, + 0x2752c, 0x27530, + 0x2753c, 0x2753c, + 0x27550, 0x27554, + 0x27600, 0x27600, + 0x27608, 0x2761c, + 0x27624, 0x27628, + 0x27630, 0x27634, + 0x2763c, 0x2763c, + 0x27700, 0x2771c, + 0x27780, 0x2778c, + 0x27800, 0x27818, + 0x27820, 0x27828, + 0x27830, 0x27848, + 0x27850, 0x27854, + 0x27860, 0x27868, + 0x27870, 0x27870, + 0x27878, 0x27898, + 0x278a0, 0x278a8, + 0x278b0, 0x278c8, + 0x278d0, 0x278d4, + 0x278e0, 0x278e8, + 0x278f0, 0x278f0, + 0x278f8, 0x27a18, + 0x27a20, 0x27a28, + 0x27a30, 0x27a48, + 0x27a50, 0x27a54, + 0x27a60, 0x27a68, + 0x27a70, 0x27a70, + 0x27a78, 0x27a98, + 0x27aa0, 0x27aa8, + 0x27ab0, 0x27ac8, + 0x27ad0, 0x27ad4, + 0x27ae0, 0x27ae8, + 0x27af0, 0x27af0, + 0x27af8, 0x27c18, + 0x27c20, 0x27c20, + 0x27c28, 0x27c30, + 0x27c38, 0x27c38, + 0x27c80, 0x27c98, + 0x27ca0, 0x27ca8, + 0x27cb0, 0x27cc8, + 0x27cd0, 0x27cd4, + 0x27ce0, 0x27ce8, + 0x27cf0, 0x27cf0, + 0x27cf8, 0x27d7c, + 0x27e00, 0x27e04, + }; + + static const unsigned int t5_reg_ranges[] = { + 0x1008, 0x10c0, + 0x10cc, 0x10f8, + 0x1100, 0x1100, + 0x110c, 0x1148, + 0x1180, 0x1184, + 0x1190, 0x1194, + 0x11a0, 0x11a4, + 0x11b0, 0x11b4, + 0x11fc, 0x123c, + 0x1280, 0x173c, + 0x1800, 0x18fc, + 0x3000, 0x3028, + 0x3060, 0x30b0, + 0x30b8, 0x30d8, + 0x30e0, 0x30fc, + 0x3140, 0x357c, + 0x35a8, 0x35cc, + 0x35ec, 0x35ec, + 0x3600, 0x5624, + 0x56cc, 0x56ec, + 0x56f4, 0x5720, + 0x5728, 0x575c, + 0x580c, 0x5814, + 0x5890, 0x589c, + 0x58a4, 0x58ac, + 0x58b8, 0x58bc, + 0x5940, 0x59c8, + 0x59d0, 0x59dc, + 0x59fc, 0x5a18, + 0x5a60, 0x5a70, + 0x5a80, 0x5a9c, + 0x5b94, 0x5bfc, + 0x6000, 0x6020, + 0x6028, 0x6040, + 0x6058, 0x609c, + 0x60a8, 0x614c, + 0x7700, 0x7798, + 0x77c0, 0x78fc, + 0x7b00, 0x7b58, + 0x7b60, 0x7b84, + 0x7b8c, 0x7c54, + 0x7d00, 0x7d38, + 0x7d40, 0x7d80, + 0x7d8c, 0x7ddc, + 0x7de4, 0x7e04, + 0x7e10, 0x7e1c, + 0x7e24, 0x7e38, + 0x7e40, 0x7e44, + 0x7e4c, 0x7e78, + 0x7e80, 0x7edc, + 0x7ee8, 0x7efc, + 0x8dc0, 0x8de0, + 0x8df8, 0x8e04, + 0x8e10, 0x8e84, + 0x8ea0, 0x8f84, + 0x8fc0, 0x9058, + 0x9060, 0x9060, + 0x9068, 0x90f8, + 0x9400, 0x9408, + 0x9410, 0x9470, + 0x9600, 0x9600, + 0x9608, 0x9638, + 0x9640, 0x96f4, + 0x9800, 0x9808, + 0x9820, 0x983c, + 0x9850, 0x9864, + 0x9c00, 0x9c6c, + 0x9c80, 0x9cec, + 0x9d00, 0x9d6c, + 0x9d80, 0x9dec, + 0x9e00, 0x9e6c, + 0x9e80, 0x9eec, + 0x9f00, 0x9f6c, + 0x9f80, 0xa020, + 0xd004, 0xd004, + 0xd010, 0xd03c, + 0xdfc0, 0xdfe0, + 0xe000, 0x1106c, + 0x11074, 0x11088, + 0x1109c, 0x1117c, + 0x11190, 0x11204, + 0x19040, 0x1906c, + 0x19078, 0x19080, + 0x1908c, 0x190e8, + 0x190f0, 0x190f8, + 0x19100, 0x19110, + 0x19120, 0x19124, + 0x19150, 0x19194, + 0x1919c, 0x191b0, + 0x191d0, 0x191e8, + 0x19238, 0x19290, + 0x193f8, 0x19428, + 0x19430, 0x19444, + 0x1944c, 0x1946c, + 0x19474, 0x19474, + 0x19490, 0x194cc, + 0x194f0, 0x194f8, + 0x19c00, 0x19c08, + 0x19c10, 0x19c60, + 0x19c94, 0x19ce4, + 0x19cf0, 0x19d40, + 0x19d50, 0x19d94, + 0x19da0, 0x19de8, + 0x19df0, 0x19e10, + 0x19e50, 0x19e90, + 0x19ea0, 0x19f24, + 0x19f34, 0x19f34, + 0x19f40, 0x19f50, + 0x19f90, 0x19fb4, + 0x19fc4, 0x19fe4, + 0x1a000, 0x1a004, + 0x1a010, 0x1a06c, + 0x1a0b0, 0x1a0e4, + 0x1a0ec, 0x1a0f8, + 0x1a100, 0x1a108, + 0x1a114, 0x1a120, + 0x1a128, 0x1a130, + 0x1a138, 0x1a138, + 0x1a190, 0x1a1c4, + 0x1a1fc, 0x1a1fc, + 0x1e008, 0x1e00c, + 0x1e040, 0x1e044, + 0x1e04c, 0x1e04c, + 0x1e284, 0x1e290, + 0x1e2c0, 0x1e2c0, + 0x1e2e0, 0x1e2e0, + 0x1e300, 0x1e384, + 0x1e3c0, 0x1e3c8, + 0x1e408, 0x1e40c, + 0x1e440, 0x1e444, + 0x1e44c, 0x1e44c, + 0x1e684, 0x1e690, + 0x1e6c0, 0x1e6c0, + 0x1e6e0, 0x1e6e0, + 0x1e700, 0x1e784, + 0x1e7c0, 0x1e7c8, + 0x1e808, 0x1e80c, + 0x1e840, 0x1e844, + 0x1e84c, 0x1e84c, + 0x1ea84, 0x1ea90, + 0x1eac0, 0x1eac0, + 0x1eae0, 0x1eae0, + 0x1eb00, 0x1eb84, + 0x1ebc0, 0x1ebc8, + 0x1ec08, 0x1ec0c, + 0x1ec40, 0x1ec44, + 0x1ec4c, 0x1ec4c, + 0x1ee84, 0x1ee90, + 0x1eec0, 0x1eec0, + 0x1eee0, 0x1eee0, + 0x1ef00, 0x1ef84, + 0x1efc0, 0x1efc8, + 0x1f008, 0x1f00c, + 0x1f040, 0x1f044, + 0x1f04c, 0x1f04c, + 0x1f284, 0x1f290, + 0x1f2c0, 0x1f2c0, + 0x1f2e0, 0x1f2e0, + 0x1f300, 0x1f384, + 0x1f3c0, 0x1f3c8, + 0x1f408, 0x1f40c, + 0x1f440, 0x1f444, + 0x1f44c, 0x1f44c, + 0x1f684, 0x1f690, + 0x1f6c0, 0x1f6c0, + 0x1f6e0, 0x1f6e0, + 0x1f700, 0x1f784, + 0x1f7c0, 0x1f7c8, + 0x1f808, 0x1f80c, + 0x1f840, 0x1f844, + 0x1f84c, 0x1f84c, + 0x1fa84, 0x1fa90, + 0x1fac0, 0x1fac0, + 0x1fae0, 0x1fae0, + 0x1fb00, 0x1fb84, + 0x1fbc0, 0x1fbc8, + 0x1fc08, 0x1fc0c, + 0x1fc40, 0x1fc44, + 0x1fc4c, 0x1fc4c, + 0x1fe84, 0x1fe90, + 0x1fec0, 0x1fec0, + 0x1fee0, 0x1fee0, + 0x1ff00, 0x1ff84, + 0x1ffc0, 0x1ffc8, + 0x30000, 0x30030, + 0x30038, 0x30038, + 0x30040, 0x30040, + 0x30100, 0x30144, + 0x30190, 0x301a0, + 0x301a8, 0x301b8, + 0x301c4, 0x301c8, + 0x301d0, 0x301d0, + 0x30200, 0x30318, + 0x30400, 0x304b4, + 0x304c0, 0x3052c, + 0x30540, 0x3061c, + 0x30800, 0x30828, + 0x30834, 0x30834, + 0x308c0, 0x30908, + 0x30910, 0x309ac, + 0x30a00, 0x30a14, + 0x30a1c, 0x30a2c, + 0x30a44, 0x30a50, + 0x30a74, 0x30a74, + 0x30a7c, 0x30afc, + 0x30b08, 0x30c24, + 0x30d00, 0x30d00, + 0x30d08, 0x30d14, + 0x30d1c, 0x30d20, + 0x30d3c, 0x30d3c, + 0x30d48, 0x30d50, + 0x31200, 0x3120c, + 0x31220, 0x31220, + 0x31240, 0x31240, + 0x31600, 0x3160c, + 0x31a00, 0x31a1c, + 0x31e00, 0x31e20, + 0x31e38, 0x31e3c, + 0x31e80, 0x31e80, + 0x31e88, 0x31ea8, + 0x31eb0, 0x31eb4, + 0x31ec8, 0x31ed4, + 0x31fb8, 0x32004, + 0x32200, 0x32200, + 0x32208, 0x32240, + 0x32248, 0x32280, + 0x32288, 0x322c0, + 0x322c8, 0x322fc, + 0x32600, 0x32630, + 0x32a00, 0x32abc, + 0x32b00, 0x32b10, + 0x32b20, 0x32b30, + 0x32b40, 0x32b50, + 0x32b60, 0x32b70, + 0x33000, 0x33028, + 0x33030, 0x33048, + 0x33060, 0x33068, + 0x33070, 0x3309c, + 0x330f0, 0x33128, + 0x33130, 0x33148, + 0x33160, 0x33168, + 0x33170, 0x3319c, + 0x331f0, 0x33238, + 0x33240, 0x33240, + 0x33248, 0x33250, + 0x3325c, 0x33264, + 0x33270, 0x332b8, + 0x332c0, 0x332e4, + 0x332f8, 0x33338, + 0x33340, 0x33340, + 0x33348, 0x33350, + 0x3335c, 0x33364, + 0x33370, 0x333b8, + 0x333c0, 0x333e4, + 0x333f8, 0x33428, + 0x33430, 0x33448, + 0x33460, 0x33468, + 0x33470, 0x3349c, + 0x334f0, 0x33528, + 0x33530, 0x33548, + 0x33560, 0x33568, + 0x33570, 0x3359c, + 0x335f0, 0x33638, + 0x33640, 0x33640, + 0x33648, 0x33650, + 0x3365c, 0x33664, + 0x33670, 0x336b8, + 0x336c0, 0x336e4, + 0x336f8, 0x33738, + 0x33740, 0x33740, + 0x33748, 0x33750, + 0x3375c, 0x33764, + 0x33770, 0x337b8, + 0x337c0, 0x337e4, + 0x337f8, 0x337fc, + 0x33814, 0x33814, + 0x3382c, 0x3382c, + 0x33880, 0x3388c, + 0x338e8, 0x338ec, + 0x33900, 0x33928, + 0x33930, 0x33948, + 0x33960, 0x33968, + 0x33970, 0x3399c, + 0x339f0, 0x33a38, + 0x33a40, 0x33a40, + 0x33a48, 0x33a50, + 0x33a5c, 0x33a64, + 0x33a70, 0x33ab8, + 0x33ac0, 0x33ae4, + 0x33af8, 0x33b10, + 0x33b28, 0x33b28, + 0x33b3c, 0x33b50, + 0x33bf0, 0x33c10, + 0x33c28, 0x33c28, + 0x33c3c, 0x33c50, + 0x33cf0, 0x33cfc, + 0x34000, 0x34030, + 0x34038, 0x34038, + 0x34040, 0x34040, + 0x34100, 0x34144, + 0x34190, 0x341a0, + 0x341a8, 0x341b8, + 0x341c4, 0x341c8, + 0x341d0, 0x341d0, + 0x34200, 0x34318, + 0x34400, 0x344b4, + 0x344c0, 0x3452c, + 0x34540, 0x3461c, + 0x34800, 0x34828, + 0x34834, 0x34834, + 0x348c0, 0x34908, + 0x34910, 0x349ac, + 0x34a00, 0x34a14, + 0x34a1c, 0x34a2c, + 0x34a44, 0x34a50, + 0x34a74, 0x34a74, + 0x34a7c, 0x34afc, + 0x34b08, 0x34c24, + 0x34d00, 0x34d00, + 0x34d08, 0x34d14, + 0x34d1c, 0x34d20, + 0x34d3c, 0x34d3c, + 0x34d48, 0x34d50, + 0x35200, 0x3520c, + 0x35220, 0x35220, + 0x35240, 0x35240, + 0x35600, 0x3560c, + 0x35a00, 0x35a1c, + 0x35e00, 0x35e20, + 0x35e38, 0x35e3c, + 0x35e80, 0x35e80, + 0x35e88, 0x35ea8, + 0x35eb0, 0x35eb4, + 0x35ec8, 0x35ed4, + 0x35fb8, 0x36004, + 0x36200, 0x36200, + 0x36208, 0x36240, + 0x36248, 0x36280, + 0x36288, 0x362c0, + 0x362c8, 0x362fc, + 0x36600, 0x36630, + 0x36a00, 0x36abc, + 0x36b00, 0x36b10, + 0x36b20, 0x36b30, + 0x36b40, 0x36b50, + 0x36b60, 0x36b70, + 0x37000, 0x37028, + 0x37030, 0x37048, + 0x37060, 0x37068, + 0x37070, 0x3709c, + 0x370f0, 0x37128, + 0x37130, 0x37148, + 0x37160, 0x37168, + 0x37170, 0x3719c, + 0x371f0, 0x37238, + 0x37240, 0x37240, + 0x37248, 0x37250, + 0x3725c, 0x37264, + 0x37270, 0x372b8, + 0x372c0, 0x372e4, + 0x372f8, 0x37338, + 0x37340, 0x37340, + 0x37348, 0x37350, + 0x3735c, 0x37364, + 0x37370, 0x373b8, + 0x373c0, 0x373e4, + 0x373f8, 0x37428, + 0x37430, 0x37448, + 0x37460, 0x37468, + 0x37470, 0x3749c, + 0x374f0, 0x37528, + 0x37530, 0x37548, + 0x37560, 0x37568, + 0x37570, 0x3759c, + 0x375f0, 0x37638, + 0x37640, 0x37640, + 0x37648, 0x37650, + 0x3765c, 0x37664, + 0x37670, 0x376b8, + 0x376c0, 0x376e4, + 0x376f8, 0x37738, + 0x37740, 0x37740, + 0x37748, 0x37750, + 0x3775c, 0x37764, + 0x37770, 0x377b8, + 0x377c0, 0x377e4, + 0x377f8, 0x377fc, + 0x37814, 0x37814, + 0x3782c, 0x3782c, + 0x37880, 0x3788c, + 0x378e8, 0x378ec, + 0x37900, 0x37928, + 0x37930, 0x37948, + 0x37960, 0x37968, + 0x37970, 0x3799c, + 0x379f0, 0x37a38, + 0x37a40, 0x37a40, + 0x37a48, 0x37a50, + 0x37a5c, 0x37a64, + 0x37a70, 0x37ab8, + 0x37ac0, 0x37ae4, + 0x37af8, 0x37b10, + 0x37b28, 0x37b28, + 0x37b3c, 0x37b50, + 0x37bf0, 0x37c10, + 0x37c28, 0x37c28, + 0x37c3c, 0x37c50, + 0x37cf0, 0x37cfc, + 0x38000, 0x38030, + 0x38038, 0x38038, + 0x38040, 0x38040, + 0x38100, 0x38144, + 0x38190, 0x381a0, + 0x381a8, 0x381b8, + 0x381c4, 0x381c8, + 0x381d0, 0x381d0, + 0x38200, 0x38318, + 0x38400, 0x384b4, + 0x384c0, 0x3852c, + 0x38540, 0x3861c, + 0x38800, 0x38828, + 0x38834, 0x38834, + 0x388c0, 0x38908, + 0x38910, 0x389ac, + 0x38a00, 0x38a14, + 0x38a1c, 0x38a2c, + 0x38a44, 0x38a50, + 0x38a74, 0x38a74, + 0x38a7c, 0x38afc, + 0x38b08, 0x38c24, + 0x38d00, 0x38d00, + 0x38d08, 0x38d14, + 0x38d1c, 0x38d20, + 0x38d3c, 0x38d3c, + 0x38d48, 0x38d50, + 0x39200, 0x3920c, + 0x39220, 0x39220, + 0x39240, 0x39240, + 0x39600, 0x3960c, + 0x39a00, 0x39a1c, + 0x39e00, 0x39e20, + 0x39e38, 0x39e3c, + 0x39e80, 0x39e80, + 0x39e88, 0x39ea8, + 0x39eb0, 0x39eb4, + 0x39ec8, 0x39ed4, + 0x39fb8, 0x3a004, + 0x3a200, 0x3a200, + 0x3a208, 0x3a240, + 0x3a248, 0x3a280, + 0x3a288, 0x3a2c0, + 0x3a2c8, 0x3a2fc, + 0x3a600, 0x3a630, + 0x3aa00, 0x3aabc, + 0x3ab00, 0x3ab10, + 0x3ab20, 0x3ab30, + 0x3ab40, 0x3ab50, + 0x3ab60, 0x3ab70, + 0x3b000, 0x3b028, + 0x3b030, 0x3b048, + 0x3b060, 0x3b068, + 0x3b070, 0x3b09c, + 0x3b0f0, 0x3b128, + 0x3b130, 0x3b148, + 0x3b160, 0x3b168, + 0x3b170, 0x3b19c, + 0x3b1f0, 0x3b238, + 0x3b240, 0x3b240, + 0x3b248, 0x3b250, + 0x3b25c, 0x3b264, + 0x3b270, 0x3b2b8, + 0x3b2c0, 0x3b2e4, + 0x3b2f8, 0x3b338, + 0x3b340, 0x3b340, + 0x3b348, 0x3b350, + 0x3b35c, 0x3b364, + 0x3b370, 0x3b3b8, + 0x3b3c0, 0x3b3e4, + 0x3b3f8, 0x3b428, + 0x3b430, 0x3b448, + 0x3b460, 0x3b468, + 0x3b470, 0x3b49c, + 0x3b4f0, 0x3b528, + 0x3b530, 0x3b548, + 0x3b560, 0x3b568, + 0x3b570, 0x3b59c, + 0x3b5f0, 0x3b638, + 0x3b640, 0x3b640, + 0x3b648, 0x3b650, + 0x3b65c, 0x3b664, + 0x3b670, 0x3b6b8, + 0x3b6c0, 0x3b6e4, + 0x3b6f8, 0x3b738, + 0x3b740, 0x3b740, + 0x3b748, 0x3b750, + 0x3b75c, 0x3b764, + 0x3b770, 0x3b7b8, + 0x3b7c0, 0x3b7e4, + 0x3b7f8, 0x3b7fc, + 0x3b814, 0x3b814, + 0x3b82c, 0x3b82c, + 0x3b880, 0x3b88c, + 0x3b8e8, 0x3b8ec, + 0x3b900, 0x3b928, + 0x3b930, 0x3b948, + 0x3b960, 0x3b968, + 0x3b970, 0x3b99c, + 0x3b9f0, 0x3ba38, + 0x3ba40, 0x3ba40, + 0x3ba48, 0x3ba50, + 0x3ba5c, 0x3ba64, + 0x3ba70, 0x3bab8, + 0x3bac0, 0x3bae4, + 0x3baf8, 0x3bb10, + 0x3bb28, 0x3bb28, + 0x3bb3c, 0x3bb50, + 0x3bbf0, 0x3bc10, + 0x3bc28, 0x3bc28, + 0x3bc3c, 0x3bc50, + 0x3bcf0, 0x3bcfc, + 0x3c000, 0x3c030, + 0x3c038, 0x3c038, + 0x3c040, 0x3c040, + 0x3c100, 0x3c144, + 0x3c190, 0x3c1a0, + 0x3c1a8, 0x3c1b8, + 0x3c1c4, 0x3c1c8, + 0x3c1d0, 0x3c1d0, + 0x3c200, 0x3c318, + 0x3c400, 0x3c4b4, + 0x3c4c0, 0x3c52c, + 0x3c540, 0x3c61c, + 0x3c800, 0x3c828, + 0x3c834, 0x3c834, + 0x3c8c0, 0x3c908, + 0x3c910, 0x3c9ac, + 0x3ca00, 0x3ca14, + 0x3ca1c, 0x3ca2c, + 0x3ca44, 0x3ca50, + 0x3ca74, 0x3ca74, + 0x3ca7c, 0x3cafc, + 0x3cb08, 0x3cc24, + 0x3cd00, 0x3cd00, + 0x3cd08, 0x3cd14, + 0x3cd1c, 0x3cd20, + 0x3cd3c, 0x3cd3c, + 0x3cd48, 0x3cd50, + 0x3d200, 0x3d20c, + 0x3d220, 0x3d220, + 0x3d240, 0x3d240, + 0x3d600, 0x3d60c, + 0x3da00, 0x3da1c, + 0x3de00, 0x3de20, + 0x3de38, 0x3de3c, + 0x3de80, 0x3de80, + 0x3de88, 0x3dea8, + 0x3deb0, 0x3deb4, + 0x3dec8, 0x3ded4, + 0x3dfb8, 0x3e004, + 0x3e200, 0x3e200, + 0x3e208, 0x3e240, + 0x3e248, 0x3e280, + 0x3e288, 0x3e2c0, + 0x3e2c8, 0x3e2fc, + 0x3e600, 0x3e630, + 0x3ea00, 0x3eabc, + 0x3eb00, 0x3eb10, + 0x3eb20, 0x3eb30, + 0x3eb40, 0x3eb50, + 0x3eb60, 0x3eb70, + 0x3f000, 0x3f028, + 0x3f030, 0x3f048, + 0x3f060, 0x3f068, + 0x3f070, 0x3f09c, + 0x3f0f0, 0x3f128, + 0x3f130, 0x3f148, + 0x3f160, 0x3f168, + 0x3f170, 0x3f19c, + 0x3f1f0, 0x3f238, + 0x3f240, 0x3f240, + 0x3f248, 0x3f250, + 0x3f25c, 0x3f264, + 0x3f270, 0x3f2b8, + 0x3f2c0, 0x3f2e4, + 0x3f2f8, 0x3f338, + 0x3f340, 0x3f340, + 0x3f348, 0x3f350, + 0x3f35c, 0x3f364, + 0x3f370, 0x3f3b8, + 0x3f3c0, 0x3f3e4, + 0x3f3f8, 0x3f428, + 0x3f430, 0x3f448, + 0x3f460, 0x3f468, + 0x3f470, 0x3f49c, + 0x3f4f0, 0x3f528, + 0x3f530, 0x3f548, + 0x3f560, 0x3f568, + 0x3f570, 0x3f59c, + 0x3f5f0, 0x3f638, + 0x3f640, 0x3f640, + 0x3f648, 0x3f650, + 0x3f65c, 0x3f664, + 0x3f670, 0x3f6b8, + 0x3f6c0, 0x3f6e4, + 0x3f6f8, 0x3f738, + 0x3f740, 0x3f740, + 0x3f748, 0x3f750, + 0x3f75c, 0x3f764, + 0x3f770, 0x3f7b8, + 0x3f7c0, 0x3f7e4, + 0x3f7f8, 0x3f7fc, + 0x3f814, 0x3f814, + 0x3f82c, 0x3f82c, + 0x3f880, 0x3f88c, + 0x3f8e8, 0x3f8ec, + 0x3f900, 0x3f928, + 0x3f930, 0x3f948, + 0x3f960, 0x3f968, + 0x3f970, 0x3f99c, + 0x3f9f0, 0x3fa38, + 0x3fa40, 0x3fa40, + 0x3fa48, 0x3fa50, + 0x3fa5c, 0x3fa64, + 0x3fa70, 0x3fab8, + 0x3fac0, 0x3fae4, + 0x3faf8, 0x3fb10, + 0x3fb28, 0x3fb28, + 0x3fb3c, 0x3fb50, + 0x3fbf0, 0x3fc10, + 0x3fc28, 0x3fc28, + 0x3fc3c, 0x3fc50, + 0x3fcf0, 0x3fcfc, + 0x40000, 0x4000c, + 0x40040, 0x40050, + 0x40060, 0x40068, + 0x4007c, 0x4008c, + 0x40094, 0x400b0, + 0x400c0, 0x40144, + 0x40180, 0x4018c, + 0x40200, 0x40254, + 0x40260, 0x40264, + 0x40270, 0x40288, + 0x40290, 0x40298, + 0x402ac, 0x402c8, + 0x402d0, 0x402e0, + 0x402f0, 0x402f0, + 0x40300, 0x4033c, + 0x403f8, 0x403fc, + 0x41304, 0x413c4, + 0x41400, 0x4140c, + 0x41414, 0x4141c, + 0x41480, 0x414d0, + 0x44000, 0x44054, + 0x4405c, 0x44078, + 0x440c0, 0x44174, + 0x44180, 0x441ac, + 0x441b4, 0x441b8, + 0x441c0, 0x44254, + 0x4425c, 0x44278, + 0x442c0, 0x44374, + 0x44380, 0x443ac, + 0x443b4, 0x443b8, + 0x443c0, 0x44454, + 0x4445c, 0x44478, + 0x444c0, 0x44574, + 0x44580, 0x445ac, + 0x445b4, 0x445b8, + 0x445c0, 0x44654, + 0x4465c, 0x44678, + 0x446c0, 0x44774, + 0x44780, 0x447ac, + 0x447b4, 0x447b8, + 0x447c0, 0x44854, + 0x4485c, 0x44878, + 0x448c0, 0x44974, + 0x44980, 0x449ac, + 0x449b4, 0x449b8, + 0x449c0, 0x449fc, + 0x45000, 0x45004, + 0x45010, 0x45030, + 0x45040, 0x45060, + 0x45068, 0x45068, + 0x45080, 0x45084, + 0x450a0, 0x450b0, + 0x45200, 0x45204, + 0x45210, 0x45230, + 0x45240, 0x45260, + 0x45268, 0x45268, + 0x45280, 0x45284, + 0x452a0, 0x452b0, + 0x460c0, 0x460e4, + 0x47000, 0x4703c, + 0x47044, 0x4708c, + 0x47200, 0x47250, + 0x47400, 0x47408, + 0x47414, 0x47420, + 0x47600, 0x47618, + 0x47800, 0x47814, + 0x48000, 0x4800c, + 0x48040, 0x48050, + 0x48060, 0x48068, + 0x4807c, 0x4808c, + 0x48094, 0x480b0, + 0x480c0, 0x48144, + 0x48180, 0x4818c, + 0x48200, 0x48254, + 0x48260, 0x48264, + 0x48270, 0x48288, + 0x48290, 0x48298, + 0x482ac, 0x482c8, + 0x482d0, 0x482e0, + 0x482f0, 0x482f0, + 0x48300, 0x4833c, + 0x483f8, 0x483fc, + 0x49304, 0x493c4, + 0x49400, 0x4940c, + 0x49414, 0x4941c, + 0x49480, 0x494d0, + 0x4c000, 0x4c054, + 0x4c05c, 0x4c078, + 0x4c0c0, 0x4c174, + 0x4c180, 0x4c1ac, + 0x4c1b4, 0x4c1b8, + 0x4c1c0, 0x4c254, + 0x4c25c, 0x4c278, + 0x4c2c0, 0x4c374, + 0x4c380, 0x4c3ac, + 0x4c3b4, 0x4c3b8, + 0x4c3c0, 0x4c454, + 0x4c45c, 0x4c478, + 0x4c4c0, 0x4c574, + 0x4c580, 0x4c5ac, + 0x4c5b4, 0x4c5b8, + 0x4c5c0, 0x4c654, + 0x4c65c, 0x4c678, + 0x4c6c0, 0x4c774, + 0x4c780, 0x4c7ac, + 0x4c7b4, 0x4c7b8, + 0x4c7c0, 0x4c854, + 0x4c85c, 0x4c878, + 0x4c8c0, 0x4c974, + 0x4c980, 0x4c9ac, + 0x4c9b4, 0x4c9b8, + 0x4c9c0, 0x4c9fc, + 0x4d000, 0x4d004, + 0x4d010, 0x4d030, + 0x4d040, 0x4d060, + 0x4d068, 0x4d068, + 0x4d080, 0x4d084, + 0x4d0a0, 0x4d0b0, + 0x4d200, 0x4d204, + 0x4d210, 0x4d230, + 0x4d240, 0x4d260, + 0x4d268, 0x4d268, + 0x4d280, 0x4d284, + 0x4d2a0, 0x4d2b0, + 0x4e0c0, 0x4e0e4, + 0x4f000, 0x4f03c, + 0x4f044, 0x4f08c, + 0x4f200, 0x4f250, + 0x4f400, 0x4f408, + 0x4f414, 0x4f420, + 0x4f600, 0x4f618, + 0x4f800, 0x4f814, + 0x50000, 0x50084, + 0x50090, 0x500cc, + 0x50400, 0x50400, + 0x50800, 0x50884, + 0x50890, 0x508cc, + 0x50c00, 0x50c00, + 0x51000, 0x5101c, + 0x51300, 0x51308, + }; + + static const unsigned int t6_reg_ranges[] = { + 0x1008, 0x101c, + 0x1024, 0x10a8, + 0x10b4, 0x10f8, + 0x1100, 0x1114, + 0x111c, 0x112c, + 0x1138, 0x113c, + 0x1144, 0x114c, + 0x1180, 0x1184, + 0x1190, 0x1194, + 0x11a0, 0x11a4, + 0x11b0, 0x11b4, + 0x11fc, 0x1274, + 0x1280, 0x133c, + 0x1800, 0x18fc, + 0x3000, 0x302c, + 0x3060, 0x30b0, + 0x30b8, 0x30d8, + 0x30e0, 0x30fc, + 0x3140, 0x357c, + 0x35a8, 0x35cc, + 0x35ec, 0x35ec, + 0x3600, 0x5624, + 0x56cc, 0x56ec, + 0x56f4, 0x5720, + 0x5728, 0x575c, + 0x580c, 0x5814, + 0x5890, 0x589c, + 0x58a4, 0x58ac, + 0x58b8, 0x58bc, + 0x5940, 0x595c, + 0x5980, 0x598c, + 0x59b0, 0x59c8, + 0x59d0, 0x59dc, + 0x59fc, 0x5a18, + 0x5a60, 0x5a6c, + 0x5a80, 0x5a8c, + 0x5a94, 0x5a9c, + 0x5b94, 0x5bfc, + 0x5c10, 0x5e48, + 0x5e50, 0x5e94, + 0x5ea0, 0x5eb0, + 0x5ec0, 0x5ec0, + 0x5ec8, 0x5ed0, + 0x5ee0, 0x5ee0, + 0x5ef0, 0x5ef0, + 0x5f00, 0x5f00, + 0x6000, 0x6020, + 0x6028, 0x6040, + 0x6058, 0x609c, + 0x60a8, 0x619c, + 0x7700, 0x7798, + 0x77c0, 0x7880, + 0x78cc, 0x78fc, + 0x7b00, 0x7b58, + 0x7b60, 0x7b84, + 0x7b8c, 0x7c54, + 0x7d00, 0x7d38, + 0x7d40, 0x7d84, + 0x7d8c, 0x7ddc, + 0x7de4, 0x7e04, + 0x7e10, 0x7e1c, + 0x7e24, 0x7e38, + 0x7e40, 0x7e44, + 0x7e4c, 0x7e78, + 0x7e80, 0x7edc, + 0x7ee8, 0x7efc, + 0x8dc0, 0x8de4, + 0x8df8, 0x8e04, + 0x8e10, 0x8e84, + 0x8ea0, 0x8f88, + 0x8fb8, 0x9058, + 0x9060, 0x9060, + 0x9068, 0x90f8, + 0x9100, 0x9124, + 0x9400, 0x9470, + 0x9600, 0x9600, + 0x9608, 0x9638, + 0x9640, 0x9704, + 0x9710, 0x971c, + 0x9800, 0x9808, + 0x9820, 0x983c, + 0x9850, 0x9864, + 0x9c00, 0x9c6c, + 0x9c80, 0x9cec, + 0x9d00, 0x9d6c, + 0x9d80, 0x9dec, + 0x9e00, 0x9e6c, + 0x9e80, 0x9eec, + 0x9f00, 0x9f6c, + 0x9f80, 0xa020, + 0xd004, 0xd03c, + 0xd100, 0xd118, + 0xd200, 0xd214, + 0xd220, 0xd234, + 0xd240, 0xd254, + 0xd260, 0xd274, + 0xd280, 0xd294, + 0xd2a0, 0xd2b4, + 0xd2c0, 0xd2d4, + 0xd2e0, 0xd2f4, + 0xd300, 0xd31c, + 0xdfc0, 0xdfe0, + 0xe000, 0xf008, + 0xf010, 0xf018, + 0xf020, 0xf028, + 0x11000, 0x11014, + 0x11048, 0x1106c, + 0x11074, 0x11088, + 0x11098, 0x11120, + 0x1112c, 0x1117c, + 0x11190, 0x112e0, + 0x11300, 0x1130c, + 0x12000, 0x1206c, + 0x19040, 0x1906c, + 0x19078, 0x19080, + 0x1908c, 0x190e8, + 0x190f0, 0x190f8, + 0x19100, 0x19110, + 0x19120, 0x19124, + 0x19150, 0x19194, + 0x1919c, 0x191b0, + 0x191d0, 0x191e8, + 0x19238, 0x19290, + 0x192a4, 0x192b0, + 0x192bc, 0x192bc, + 0x19348, 0x1934c, + 0x193f8, 0x19418, + 0x19420, 0x19428, + 0x19430, 0x19444, + 0x1944c, 0x1946c, + 0x19474, 0x19474, + 0x19490, 0x194cc, + 0x194f0, 0x194f8, + 0x19c00, 0x19c48, + 0x19c50, 0x19c80, + 0x19c94, 0x19c98, + 0x19ca0, 0x19cbc, + 0x19ce4, 0x19ce4, + 0x19cf0, 0x19cf8, + 0x19d00, 0x19d28, + 0x19d50, 0x19d78, + 0x19d94, 0x19d98, + 0x19da0, 0x19dc8, + 0x19df0, 0x19e10, + 0x19e50, 0x19e6c, + 0x19ea0, 0x19ebc, + 0x19ec4, 0x19ef4, + 0x19f04, 0x19f2c, + 0x19f34, 0x19f34, + 0x19f40, 0x19f50, + 0x19f90, 0x19fac, + 0x19fc4, 0x19fc8, + 0x19fd0, 0x19fe4, + 0x1a000, 0x1a004, + 0x1a010, 0x1a06c, + 0x1a0b0, 0x1a0e4, + 0x1a0ec, 0x1a0f8, + 0x1a100, 0x1a108, + 0x1a114, 0x1a120, + 0x1a128, 0x1a130, + 0x1a138, 0x1a138, + 0x1a190, 0x1a1c4, + 0x1a1fc, 0x1a1fc, + 0x1e008, 0x1e00c, + 0x1e040, 0x1e044, + 0x1e04c, 0x1e04c, + 0x1e284, 0x1e290, + 0x1e2c0, 0x1e2c0, + 0x1e2e0, 0x1e2e0, + 0x1e300, 0x1e384, + 0x1e3c0, 0x1e3c8, + 0x1e408, 0x1e40c, + 0x1e440, 0x1e444, + 0x1e44c, 0x1e44c, + 0x1e684, 0x1e690, + 0x1e6c0, 0x1e6c0, + 0x1e6e0, 0x1e6e0, + 0x1e700, 0x1e784, + 0x1e7c0, 0x1e7c8, + 0x1e808, 0x1e80c, + 0x1e840, 0x1e844, + 0x1e84c, 0x1e84c, + 0x1ea84, 0x1ea90, + 0x1eac0, 0x1eac0, + 0x1eae0, 0x1eae0, + 0x1eb00, 0x1eb84, + 0x1ebc0, 0x1ebc8, + 0x1ec08, 0x1ec0c, + 0x1ec40, 0x1ec44, + 0x1ec4c, 0x1ec4c, + 0x1ee84, 0x1ee90, + 0x1eec0, 0x1eec0, + 0x1eee0, 0x1eee0, + 0x1ef00, 0x1ef84, + 0x1efc0, 0x1efc8, + 0x1f008, 0x1f00c, + 0x1f040, 0x1f044, + 0x1f04c, 0x1f04c, + 0x1f284, 0x1f290, + 0x1f2c0, 0x1f2c0, + 0x1f2e0, 0x1f2e0, + 0x1f300, 0x1f384, + 0x1f3c0, 0x1f3c8, + 0x1f408, 0x1f40c, + 0x1f440, 0x1f444, + 0x1f44c, 0x1f44c, + 0x1f684, 0x1f690, + 0x1f6c0, 0x1f6c0, + 0x1f6e0, 0x1f6e0, + 0x1f700, 0x1f784, + 0x1f7c0, 0x1f7c8, + 0x1f808, 0x1f80c, + 0x1f840, 0x1f844, + 0x1f84c, 0x1f84c, + 0x1fa84, 0x1fa90, + 0x1fac0, 0x1fac0, + 0x1fae0, 0x1fae0, + 0x1fb00, 0x1fb84, + 0x1fbc0, 0x1fbc8, + 0x1fc08, 0x1fc0c, + 0x1fc40, 0x1fc44, + 0x1fc4c, 0x1fc4c, + 0x1fe84, 0x1fe90, + 0x1fec0, 0x1fec0, + 0x1fee0, 0x1fee0, + 0x1ff00, 0x1ff84, + 0x1ffc0, 0x1ffc8, + 0x30000, 0x30030, + 0x30038, 0x30038, + 0x30040, 0x30040, + 0x30048, 0x30048, + 0x30050, 0x30050, + 0x3005c, 0x30060, + 0x30068, 0x30068, + 0x30070, 0x30070, + 0x30100, 0x30168, + 0x30190, 0x301a0, + 0x301a8, 0x301b8, + 0x301c4, 0x301c8, + 0x301d0, 0x301d0, + 0x30200, 0x30320, + 0x30400, 0x304b4, + 0x304c0, 0x3052c, + 0x30540, 0x3061c, + 0x30800, 0x308a0, + 0x308c0, 0x30908, + 0x30910, 0x309b8, + 0x30a00, 0x30a04, + 0x30a0c, 0x30a14, + 0x30a1c, 0x30a2c, + 0x30a44, 0x30a50, + 0x30a74, 0x30a74, + 0x30a7c, 0x30afc, + 0x30b08, 0x30c24, + 0x30d00, 0x30d14, + 0x30d1c, 0x30d3c, + 0x30d44, 0x30d4c, + 0x30d54, 0x30d74, + 0x30d7c, 0x30d7c, + 0x30de0, 0x30de0, + 0x30e00, 0x30ed4, + 0x30f00, 0x30fa4, + 0x30fc0, 0x30fc4, + 0x31000, 0x31004, + 0x31080, 0x310fc, + 0x31208, 0x31220, + 0x3123c, 0x31254, + 0x31300, 0x31300, + 0x31308, 0x3131c, + 0x31338, 0x3133c, + 0x31380, 0x31380, + 0x31388, 0x313a8, + 0x313b4, 0x313b4, + 0x31400, 0x31420, + 0x31438, 0x3143c, + 0x31480, 0x31480, + 0x314a8, 0x314a8, + 0x314b0, 0x314b4, + 0x314c8, 0x314d4, + 0x31a40, 0x31a4c, + 0x31af0, 0x31b20, + 0x31b38, 0x31b3c, + 0x31b80, 0x31b80, + 0x31ba8, 0x31ba8, + 0x31bb0, 0x31bb4, + 0x31bc8, 0x31bd4, + 0x32140, 0x3218c, + 0x321f0, 0x321f4, + 0x32200, 0x32200, + 0x32218, 0x32218, + 0x32400, 0x32400, + 0x32408, 0x3241c, + 0x32618, 0x32620, + 0x32664, 0x32664, + 0x326a8, 0x326a8, + 0x326ec, 0x326ec, + 0x32a00, 0x32abc, + 0x32b00, 0x32b38, + 0x32b40, 0x32b58, + 0x32b60, 0x32b78, + 0x32c00, 0x32c00, + 0x32c08, 0x32c3c, + 0x32e00, 0x32e2c, + 0x32f00, 0x32f2c, + 0x33000, 0x3302c, + 0x33034, 0x33050, + 0x33058, 0x33058, + 0x33060, 0x3308c, + 0x3309c, 0x330ac, + 0x330c0, 0x330c0, + 0x330c8, 0x330d0, + 0x330d8, 0x330e0, + 0x330ec, 0x3312c, + 0x33134, 0x33150, + 0x33158, 0x33158, + 0x33160, 0x3318c, + 0x3319c, 0x331ac, + 0x331c0, 0x331c0, + 0x331c8, 0x331d0, + 0x331d8, 0x331e0, + 0x331ec, 0x33290, + 0x33298, 0x332c4, + 0x332e4, 0x33390, + 0x33398, 0x333c4, + 0x333e4, 0x3342c, + 0x33434, 0x33450, + 0x33458, 0x33458, + 0x33460, 0x3348c, + 0x3349c, 0x334ac, + 0x334c0, 0x334c0, + 0x334c8, 0x334d0, + 0x334d8, 0x334e0, + 0x334ec, 0x3352c, + 0x33534, 0x33550, + 0x33558, 0x33558, + 0x33560, 0x3358c, + 0x3359c, 0x335ac, + 0x335c0, 0x335c0, + 0x335c8, 0x335d0, + 0x335d8, 0x335e0, + 0x335ec, 0x33690, + 0x33698, 0x336c4, + 0x336e4, 0x33790, + 0x33798, 0x337c4, + 0x337e4, 0x337fc, + 0x33814, 0x33814, + 0x33854, 0x33868, + 0x33880, 0x3388c, + 0x338c0, 0x338d0, + 0x338e8, 0x338ec, + 0x33900, 0x3392c, + 0x33934, 0x33950, + 0x33958, 0x33958, + 0x33960, 0x3398c, + 0x3399c, 0x339ac, + 0x339c0, 0x339c0, + 0x339c8, 0x339d0, + 0x339d8, 0x339e0, + 0x339ec, 0x33a90, + 0x33a98, 0x33ac4, + 0x33ae4, 0x33b10, + 0x33b24, 0x33b28, + 0x33b38, 0x33b50, + 0x33bf0, 0x33c10, + 0x33c24, 0x33c28, + 0x33c38, 0x33c50, + 0x33cf0, 0x33cfc, + 0x34000, 0x34030, + 0x34038, 0x34038, + 0x34040, 0x34040, + 0x34048, 0x34048, + 0x34050, 0x34050, + 0x3405c, 0x34060, + 0x34068, 0x34068, + 0x34070, 0x34070, + 0x34100, 0x34168, + 0x34190, 0x341a0, + 0x341a8, 0x341b8, + 0x341c4, 0x341c8, + 0x341d0, 0x341d0, + 0x34200, 0x34320, + 0x34400, 0x344b4, + 0x344c0, 0x3452c, + 0x34540, 0x3461c, + 0x34800, 0x348a0, + 0x348c0, 0x34908, + 0x34910, 0x349b8, + 0x34a00, 0x34a04, + 0x34a0c, 0x34a14, + 0x34a1c, 0x34a2c, + 0x34a44, 0x34a50, + 0x34a74, 0x34a74, + 0x34a7c, 0x34afc, + 0x34b08, 0x34c24, + 0x34d00, 0x34d14, + 0x34d1c, 0x34d3c, + 0x34d44, 0x34d4c, + 0x34d54, 0x34d74, + 0x34d7c, 0x34d7c, + 0x34de0, 0x34de0, + 0x34e00, 0x34ed4, + 0x34f00, 0x34fa4, + 0x34fc0, 0x34fc4, + 0x35000, 0x35004, + 0x35080, 0x350fc, + 0x35208, 0x35220, + 0x3523c, 0x35254, + 0x35300, 0x35300, + 0x35308, 0x3531c, + 0x35338, 0x3533c, + 0x35380, 0x35380, + 0x35388, 0x353a8, + 0x353b4, 0x353b4, + 0x35400, 0x35420, + 0x35438, 0x3543c, + 0x35480, 0x35480, + 0x354a8, 0x354a8, + 0x354b0, 0x354b4, + 0x354c8, 0x354d4, + 0x35a40, 0x35a4c, + 0x35af0, 0x35b20, + 0x35b38, 0x35b3c, + 0x35b80, 0x35b80, + 0x35ba8, 0x35ba8, + 0x35bb0, 0x35bb4, + 0x35bc8, 0x35bd4, + 0x36140, 0x3618c, + 0x361f0, 0x361f4, + 0x36200, 0x36200, + 0x36218, 0x36218, + 0x36400, 0x36400, + 0x36408, 0x3641c, + 0x36618, 0x36620, + 0x36664, 0x36664, + 0x366a8, 0x366a8, + 0x366ec, 0x366ec, + 0x36a00, 0x36abc, + 0x36b00, 0x36b38, + 0x36b40, 0x36b58, + 0x36b60, 0x36b78, + 0x36c00, 0x36c00, + 0x36c08, 0x36c3c, + 0x36e00, 0x36e2c, + 0x36f00, 0x36f2c, + 0x37000, 0x3702c, + 0x37034, 0x37050, + 0x37058, 0x37058, + 0x37060, 0x3708c, + 0x3709c, 0x370ac, + 0x370c0, 0x370c0, + 0x370c8, 0x370d0, + 0x370d8, 0x370e0, + 0x370ec, 0x3712c, + 0x37134, 0x37150, + 0x37158, 0x37158, + 0x37160, 0x3718c, + 0x3719c, 0x371ac, + 0x371c0, 0x371c0, + 0x371c8, 0x371d0, + 0x371d8, 0x371e0, + 0x371ec, 0x37290, + 0x37298, 0x372c4, + 0x372e4, 0x37390, + 0x37398, 0x373c4, + 0x373e4, 0x3742c, + 0x37434, 0x37450, + 0x37458, 0x37458, + 0x37460, 0x3748c, + 0x3749c, 0x374ac, + 0x374c0, 0x374c0, + 0x374c8, 0x374d0, + 0x374d8, 0x374e0, + 0x374ec, 0x3752c, + 0x37534, 0x37550, + 0x37558, 0x37558, + 0x37560, 0x3758c, + 0x3759c, 0x375ac, + 0x375c0, 0x375c0, + 0x375c8, 0x375d0, + 0x375d8, 0x375e0, + 0x375ec, 0x37690, + 0x37698, 0x376c4, + 0x376e4, 0x37790, + 0x37798, 0x377c4, + 0x377e4, 0x377fc, + 0x37814, 0x37814, + 0x37854, 0x37868, + 0x37880, 0x3788c, + 0x378c0, 0x378d0, + 0x378e8, 0x378ec, + 0x37900, 0x3792c, + 0x37934, 0x37950, + 0x37958, 0x37958, + 0x37960, 0x3798c, + 0x3799c, 0x379ac, + 0x379c0, 0x379c0, + 0x379c8, 0x379d0, + 0x379d8, 0x379e0, + 0x379ec, 0x37a90, + 0x37a98, 0x37ac4, + 0x37ae4, 0x37b10, + 0x37b24, 0x37b28, + 0x37b38, 0x37b50, + 0x37bf0, 0x37c10, + 0x37c24, 0x37c28, + 0x37c38, 0x37c50, + 0x37cf0, 0x37cfc, + 0x40040, 0x40040, + 0x40080, 0x40084, + 0x40100, 0x40100, + 0x40140, 0x401bc, + 0x40200, 0x40214, + 0x40228, 0x40228, + 0x40240, 0x40258, + 0x40280, 0x40280, + 0x40304, 0x40304, + 0x40330, 0x4033c, + 0x41304, 0x413c8, + 0x413d0, 0x413dc, + 0x413f0, 0x413f0, + 0x41400, 0x4140c, + 0x41414, 0x4141c, + 0x41480, 0x414d0, + 0x44000, 0x4407c, + 0x440c0, 0x441ac, + 0x441b4, 0x4427c, + 0x442c0, 0x443ac, + 0x443b4, 0x4447c, + 0x444c0, 0x445ac, + 0x445b4, 0x4467c, + 0x446c0, 0x447ac, + 0x447b4, 0x4487c, + 0x448c0, 0x449ac, + 0x449b4, 0x44a7c, + 0x44ac0, 0x44bac, + 0x44bb4, 0x44c7c, + 0x44cc0, 0x44dac, + 0x44db4, 0x44e7c, + 0x44ec0, 0x44fac, + 0x44fb4, 0x4507c, + 0x450c0, 0x451ac, + 0x451b4, 0x451fc, + 0x45800, 0x45804, + 0x45810, 0x45830, + 0x45840, 0x45860, + 0x45868, 0x45868, + 0x45880, 0x45884, + 0x458a0, 0x458b0, + 0x45a00, 0x45a04, + 0x45a10, 0x45a30, + 0x45a40, 0x45a60, + 0x45a68, 0x45a68, + 0x45a80, 0x45a84, + 0x45aa0, 0x45ab0, + 0x460c0, 0x460e4, + 0x47000, 0x4703c, + 0x47044, 0x4708c, + 0x47200, 0x47250, + 0x47400, 0x47408, + 0x47414, 0x47420, + 0x47600, 0x47618, + 0x47800, 0x47814, + 0x47820, 0x4782c, + 0x50000, 0x50084, + 0x50090, 0x500cc, + 0x50300, 0x50384, + 0x50400, 0x50400, + 0x50800, 0x50884, + 0x50890, 0x508cc, + 0x50b00, 0x50b84, + 0x50c00, 0x50c00, + 0x51000, 0x51020, + 0x51028, 0x510b0, + 0x51300, 0x51324, + }; + + u32 *buf_end = (u32 *)(buf + buf_size); + const unsigned int *reg_ranges; + int reg_ranges_size, range; + unsigned int chip_version = chip_id(adap); + + /* + * Select the right set of register ranges to dump depending on the + * adapter chip type. + */ + switch (chip_version) { + case CHELSIO_T4: + reg_ranges = t4_reg_ranges; + reg_ranges_size = ARRAY_SIZE(t4_reg_ranges); + break; + + case CHELSIO_T5: + reg_ranges = t5_reg_ranges; + reg_ranges_size = ARRAY_SIZE(t5_reg_ranges); + break; + + case CHELSIO_T6: + reg_ranges = t6_reg_ranges; + reg_ranges_size = ARRAY_SIZE(t6_reg_ranges); + break; + + default: + CH_ERR(adap, + "Unsupported chip version %d\n", chip_version); + return; + } + + /* + * Clear the register buffer and insert the appropriate register + * values selected by the above register ranges. + */ + memset(buf, 0, buf_size); + for (range = 0; range < reg_ranges_size; range += 2) { + unsigned int reg = reg_ranges[range]; + unsigned int last_reg = reg_ranges[range + 1]; + u32 *bufp = (u32 *)(buf + reg); + + /* + * Iterate across the register range filling in the register + * buffer but don't write past the end of the register buffer. + */ + while (reg <= last_reg && bufp < buf_end) { + *bufp++ = t4_read_reg(adap, reg); + reg += sizeof(u32); + } + } +} + /* * Partial EEPROM Vital Product Data structure. Includes only the ID and - * VPD-R header. + * VPD-R sections. */ struct t4_vpd_hdr { u8 id_tag; @@ -507,14 +2596,65 @@ struct t4_vpd_hdr { /* * EEPROM reads take a few tens of us while writes can take a bit over 5 ms. */ -#define EEPROM_MAX_RD_POLL 40 -#define EEPROM_MAX_WR_POLL 6 -#define EEPROM_STAT_ADDR 0x7bfc -#define VPD_BASE 0x400 -#define VPD_BASE_OLD 0 -#define VPD_LEN 1024 +#define EEPROM_DELAY 10 /* 10us per poll spin */ +#define EEPROM_MAX_POLL 5000 /* x 5000 == 50ms */ + +#define EEPROM_STAT_ADDR 0x7bfc +#define VPD_BASE 0x400 +#define VPD_BASE_OLD 0 +#define VPD_LEN 1024 #define VPD_INFO_FLD_HDR_SIZE 3 -#define CHELSIO_VPD_UNIQUE_ID 0x82 +#define CHELSIO_VPD_UNIQUE_ID 0x82 + +/* + * Small utility function to wait till any outstanding VPD Access is complete. + * We have a per-adapter state variable "VPD Busy" to indicate when we have a + * VPD Access in flight. This allows us to handle the problem of having a + * previous VPD Access time out and prevent an attempt to inject a new VPD + * Request before any in-flight VPD reguest has completed. + */ +static int t4_seeprom_wait(struct adapter *adapter) +{ + unsigned int base = adapter->params.pci.vpd_cap_addr; + int max_poll; + + /* + * If no VPD Access is in flight, we can just return success right + * away. + */ + if (!adapter->vpd_busy) + return 0; + + /* + * Poll the VPD Capability Address/Flag register waiting for it + * to indicate that the operation is complete. + */ + max_poll = EEPROM_MAX_POLL; + do { + u16 val; + + udelay(EEPROM_DELAY); + t4_os_pci_read_cfg2(adapter, base + PCI_VPD_ADDR, &val); + + /* + * If the operation is complete, mark the VPD as no longer + * busy and return success. + */ + if ((val & PCI_VPD_ADDR_F) == adapter->vpd_flag) { + adapter->vpd_busy = 0; + return 0; + } + } while (--max_poll); + + /* + * Failure! Note that we leave the VPD Busy status set in order to + * avoid pushing a new VPD Access request into the VPD Capability till + * the current operation eventually succeeds. It's a bug to issue a + * new request when an existing request is in flight and will result + * in corrupt hardware state. + */ + return -ETIMEDOUT; +} /** * t4_seeprom_read - read a serial EEPROM location @@ -528,23 +2668,44 @@ struct t4_vpd_hdr { */ int t4_seeprom_read(struct adapter *adapter, u32 addr, u32 *data) { - u16 val; - int attempts = EEPROM_MAX_RD_POLL; unsigned int base = adapter->params.pci.vpd_cap_addr; + int ret; + /* + * VPD Accesses must alway be 4-byte aligned! + */ if (addr >= EEPROMVSIZE || (addr & 3)) return -EINVAL; - t4_os_pci_write_cfg2(adapter, base + PCI_VPD_ADDR, (u16)addr); - do { - udelay(10); - t4_os_pci_read_cfg2(adapter, base + PCI_VPD_ADDR, &val); - } while (!(val & PCI_VPD_ADDR_F) && --attempts); + /* + * Wait for any previous operation which may still be in flight to + * complete. + */ + ret = t4_seeprom_wait(adapter); + if (ret) { + CH_ERR(adapter, "VPD still busy from previous operation\n"); + return ret; + } - if (!(val & PCI_VPD_ADDR_F)) { - CH_ERR(adapter, "reading EEPROM address 0x%x failed\n", addr); - return -EIO; + /* + * Issue our new VPD Read request, mark the VPD as being busy and wait + * for our request to complete. If it doesn't complete, note the + * error and return it to our caller. Note that we do not reset the + * VPD Busy status! + */ + t4_os_pci_write_cfg2(adapter, base + PCI_VPD_ADDR, (u16)addr); + adapter->vpd_busy = 1; + adapter->vpd_flag = PCI_VPD_ADDR_F; + ret = t4_seeprom_wait(adapter); + if (ret) { + CH_ERR(adapter, "VPD read of address %#x failed\n", addr); + return ret; } + + /* + * Grab the returned data, swizzle it into our endianess and + * return success. + */ t4_os_pci_read_cfg4(adapter, base + PCI_VPD_DATA, data); *data = le32_to_cpu(*data); return 0; @@ -562,26 +2723,59 @@ int t4_seeprom_read(struct adapter *adapter, u32 addr, u32 *data) */ int t4_seeprom_write(struct adapter *adapter, u32 addr, u32 data) { - u16 val; - int attempts = EEPROM_MAX_WR_POLL; unsigned int base = adapter->params.pci.vpd_cap_addr; + int ret; + u32 stats_reg; + int max_poll; + /* + * VPD Accesses must alway be 4-byte aligned! + */ if (addr >= EEPROMVSIZE || (addr & 3)) return -EINVAL; + /* + * Wait for any previous operation which may still be in flight to + * complete. + */ + ret = t4_seeprom_wait(adapter); + if (ret) { + CH_ERR(adapter, "VPD still busy from previous operation\n"); + return ret; + } + + /* + * Issue our new VPD Read request, mark the VPD as being busy and wait + * for our request to complete. If it doesn't complete, note the + * error and return it to our caller. Note that we do not reset the + * VPD Busy status! + */ t4_os_pci_write_cfg4(adapter, base + PCI_VPD_DATA, cpu_to_le32(data)); t4_os_pci_write_cfg2(adapter, base + PCI_VPD_ADDR, (u16)addr | PCI_VPD_ADDR_F); + adapter->vpd_busy = 1; + adapter->vpd_flag = 0; + ret = t4_seeprom_wait(adapter); + if (ret) { + CH_ERR(adapter, "VPD write of address %#x failed\n", addr); + return ret; + } + + /* + * Reset PCI_VPD_DATA register after a transaction and wait for our + * request to complete. If it doesn't complete, return error. + */ + t4_os_pci_write_cfg4(adapter, base + PCI_VPD_DATA, 0); + max_poll = EEPROM_MAX_POLL; do { - msleep(1); - t4_os_pci_read_cfg2(adapter, base + PCI_VPD_ADDR, &val); - } while ((val & PCI_VPD_ADDR_F) && --attempts); + udelay(EEPROM_DELAY); + t4_seeprom_read(adapter, EEPROM_STAT_ADDR, &stats_reg); + } while ((stats_reg & 0x1) && --max_poll); + if (!max_poll) + return -ETIMEDOUT; - if (val & PCI_VPD_ADDR_F) { - CH_ERR(adapter, "write to EEPROM address 0x%x failed\n", addr); - return -EIO; - } + /* Return success! */ return 0; } @@ -630,33 +2824,33 @@ int t4_seeprom_wp(struct adapter *adapter, int enable) * get_vpd_keyword_val - Locates an information field keyword in the VPD * @v: Pointer to buffered vpd data structure * @kw: The keyword to search for - * + * * Returns the value of the information field keyword or * -ENOENT otherwise. */ static int get_vpd_keyword_val(const struct t4_vpd_hdr *v, const char *kw) { - int i; - unsigned int offset , len; - const u8 *buf = &v->id_tag; - const u8 *vpdr_len = &v->vpdr_tag; - offset = sizeof(struct t4_vpd_hdr); - len = (u16)vpdr_len[1] + ((u16)vpdr_len[2] << 8); - - if (len + sizeof(struct t4_vpd_hdr) > VPD_LEN) { - return -ENOENT; - } + int i; + unsigned int offset , len; + const u8 *buf = (const u8 *)v; + const u8 *vpdr_len = &v->vpdr_len[0]; + offset = sizeof(struct t4_vpd_hdr); + len = (u16)vpdr_len[0] + ((u16)vpdr_len[1] << 8); + + if (len + sizeof(struct t4_vpd_hdr) > VPD_LEN) { + return -ENOENT; + } - for (i = offset; i + VPD_INFO_FLD_HDR_SIZE <= offset + len;) { - if(memcmp(buf + i , kw , 2) == 0){ - i += VPD_INFO_FLD_HDR_SIZE; - return i; - } + for (i = offset; i + VPD_INFO_FLD_HDR_SIZE <= offset + len;) { + if(memcmp(buf + i , kw , 2) == 0){ + i += VPD_INFO_FLD_HDR_SIZE; + return i; + } - i += VPD_INFO_FLD_HDR_SIZE + buf[i+2]; - } + i += VPD_INFO_FLD_HDR_SIZE + buf[i+2]; + } - return -ENOENT; + return -ENOENT; } @@ -664,14 +2858,16 @@ static int get_vpd_keyword_val(const struct t4_vpd_hdr *v, const char *kw) * get_vpd_params - read VPD parameters from VPD EEPROM * @adapter: adapter to read * @p: where to store the parameters + * @vpd: caller provided temporary space to read the VPD into * * Reads card parameters stored in VPD EEPROM. */ -static int get_vpd_params(struct adapter *adapter, struct vpd_params *p) +static int get_vpd_params(struct adapter *adapter, struct vpd_params *p, + u8 *vpd) { int i, ret, addr; int ec, sn, pn, na; - u8 vpd[VPD_LEN], csum; + u8 csum; const struct t4_vpd_hdr *v; /* @@ -679,31 +2875,43 @@ static int get_vpd_params(struct adapter *adapter, struct vpd_params *p) * it at 0. */ ret = t4_seeprom_read(adapter, VPD_BASE, (u32 *)(vpd)); + if (ret) + return (ret); + + /* + * The VPD shall have a unique identifier specified by the PCI SIG. + * For chelsio adapters, the identifier is 0x82. The first byte of a VPD + * shall be CHELSIO_VPD_UNIQUE_ID (0x82). The VPD programming software + * is expected to automatically put this entry at the + * beginning of the VPD. + */ addr = *vpd == CHELSIO_VPD_UNIQUE_ID ? VPD_BASE : VPD_BASE_OLD; - for (i = 0; i < sizeof(vpd); i += 4) { + for (i = 0; i < VPD_LEN; i += 4) { ret = t4_seeprom_read(adapter, addr + i, (u32 *)(vpd + i)); if (ret) return ret; } v = (const struct t4_vpd_hdr *)vpd; - + #define FIND_VPD_KW(var,name) do { \ var = get_vpd_keyword_val(v , name); \ if (var < 0) { \ CH_ERR(adapter, "missing VPD keyword " name "\n"); \ return -EINVAL; \ } \ -} while (0) +} while (0) FIND_VPD_KW(i, "RV"); for (csum = 0; i >= 0; i--) csum += vpd[i]; if (csum) { - CH_ERR(adapter, "corrupted VPD EEPROM, actual csum %u\n", csum); + CH_ERR(adapter, + "corrupted VPD EEPROM, actual csum %u\n", csum); return -EINVAL; } + FIND_VPD_KW(ec, "EC"); FIND_VPD_KW(sn, "SN"); FIND_VPD_KW(pn, "PN"); @@ -729,16 +2937,16 @@ static int get_vpd_params(struct adapter *adapter, struct vpd_params *p) /* serial flash and firmware constants and flash config file constants */ enum { - SF_ATTEMPTS = 10, /* max retries for SF operations */ + SF_ATTEMPTS = 10, /* max retries for SF operations */ /* flash command opcodes */ - SF_PROG_PAGE = 2, /* program page */ - SF_WR_DISABLE = 4, /* disable writes */ - SF_RD_STATUS = 5, /* read status register */ - SF_WR_ENABLE = 6, /* enable writes */ - SF_RD_DATA_FAST = 0xb, /* read flash */ - SF_RD_ID = 0x9f, /* read ID */ - SF_ERASE_SECTOR = 0xd8, /* erase sector */ + SF_PROG_PAGE = 2, /* program page */ + SF_WR_DISABLE = 4, /* disable writes */ + SF_RD_STATUS = 5, /* read status register */ + SF_WR_ENABLE = 6, /* enable writes */ + SF_RD_DATA_FAST = 0xb, /* read flash */ + SF_RD_ID = 0x9f, /* read ID */ + SF_ERASE_SECTOR = 0xd8, /* erase sector */ }; /** @@ -832,7 +3040,7 @@ static int flash_wait_op(struct adapter *adapter, int attempts, int delay) * Read the specified number of 32-bit words from the serial flash. * If @byte_oriented is set the read data is stored as a byte array * (i.e., big-endian), otherwise as 32-bit words in the platform's - * natural endianess. + * natural endianness. */ int t4_read_flash(struct adapter *adapter, unsigned int addr, unsigned int nwords, u32 *data, int byte_oriented) @@ -855,7 +3063,7 @@ int t4_read_flash(struct adapter *adapter, unsigned int addr, if (ret) return ret; if (byte_oriented) - *data = htonl(*data); + *data = (__force __u32)(cpu_to_be32(*data)); } return 0; } @@ -870,10 +3078,10 @@ int t4_read_flash(struct adapter *adapter, unsigned int addr, * * Writes up to a page of data (256 bytes) to the serial flash starting * at the given address. All the data must be written to the same page. - * If @byte_oriented is set the write data is stored as byte stream + * If @byte_oriented is set the write data is stored as byte stream * (i.e. matches what on disk), otherwise in big-endian. */ -static int t4_write_flash(struct adapter *adapter, unsigned int addr, +int t4_write_flash(struct adapter *adapter, unsigned int addr, unsigned int n, const u8 *data, int byte_oriented) { int ret; @@ -895,7 +3103,7 @@ static int t4_write_flash(struct adapter *adapter, unsigned int addr, val = (val << 8) + *data++; if (!byte_oriented) - val = htonl(val); + val = cpu_to_be32(val); ret = sf1_write(adapter, c, c != left, 1, val); if (ret) @@ -914,8 +3122,9 @@ static int t4_write_flash(struct adapter *adapter, unsigned int addr, return ret; if (memcmp(data - n, (u8 *)buf + offset, n)) { - CH_ERR(adapter, "failed to correctly write the flash page " - "at %#x\n", addr); + CH_ERR(adapter, + "failed to correctly write the flash page at %#x\n", + addr); return -EIO; } return 0; @@ -934,8 +3143,8 @@ static int t4_write_flash(struct adapter *adapter, unsigned int addr, */ int t4_get_fw_version(struct adapter *adapter, u32 *vers) { - return t4_read_flash(adapter, - FLASH_FW_START + offsetof(struct fw_hdr, fw_ver), 1, + return t4_read_flash(adapter, FLASH_FW_START + + offsetof(struct fw_hdr, fw_ver), 1, vers, 0); } @@ -948,63 +3157,46 @@ int t4_get_fw_version(struct adapter *adapter, u32 *vers) */ int t4_get_tp_version(struct adapter *adapter, u32 *vers) { - return t4_read_flash(adapter, FLASH_FW_START + offsetof(struct fw_hdr, - tp_microcode_ver), + return t4_read_flash(adapter, FLASH_FW_START + + offsetof(struct fw_hdr, tp_microcode_ver), 1, vers, 0); } /** - * t4_check_fw_version - check if the FW is compatible with this driver + * t4_get_exprom_version - return the Expansion ROM version (if any) * @adapter: the adapter + * @vers: where to place the version * - * Checks if an adapter's FW is compatible with the driver. Returns 0 - * if there's exact match, a negative error if the version could not be - * read or there's a major version mismatch, and a positive value if the - * expected major version is found but there's a minor version mismatch. + * Reads the Expansion ROM header from FLASH and returns the version + * number (if present) through the @vers return value pointer. We return + * this in the Firmware Version Format since it's convenient. Return + * 0 on success, -ENOENT if no Expansion ROM is present. */ -int t4_check_fw_version(struct adapter *adapter) +int t4_get_exprom_version(struct adapter *adap, u32 *vers) { - int ret, major, minor, micro; - int exp_major, exp_minor, exp_micro; + struct exprom_header { + unsigned char hdr_arr[16]; /* must start with 0x55aa */ + unsigned char hdr_ver[4]; /* Expansion ROM version */ + } *hdr; + u32 exprom_header_buf[DIV_ROUND_UP(sizeof(struct exprom_header), + sizeof(u32))]; + int ret; - ret = t4_get_fw_version(adapter, &adapter->params.fw_vers); - if (!ret) - ret = t4_get_tp_version(adapter, &adapter->params.tp_vers); + ret = t4_read_flash(adap, FLASH_EXP_ROM_START, + ARRAY_SIZE(exprom_header_buf), exprom_header_buf, + 0); if (ret) return ret; - major = G_FW_HDR_FW_VER_MAJOR(adapter->params.fw_vers); - minor = G_FW_HDR_FW_VER_MINOR(adapter->params.fw_vers); - micro = G_FW_HDR_FW_VER_MICRO(adapter->params.fw_vers); - - switch (chip_id(adapter)) { - case CHELSIO_T4: - exp_major = T4FW_VERSION_MAJOR; - exp_minor = T4FW_VERSION_MINOR; - exp_micro = T4FW_VERSION_MICRO; - break; - case CHELSIO_T5: - exp_major = T5FW_VERSION_MAJOR; - exp_minor = T5FW_VERSION_MINOR; - exp_micro = T5FW_VERSION_MICRO; - break; - default: - CH_ERR(adapter, "Unsupported chip type, %x\n", - chip_id(adapter)); - return -EINVAL; - } - - if (major != exp_major) { /* major mismatch - fail */ - CH_ERR(adapter, "card FW has major version %u, driver wants " - "%u\n", major, exp_major); - return -EINVAL; - } - - if (minor == exp_minor && micro == exp_micro) - return 0; /* perfect match */ + hdr = (struct exprom_header *)exprom_header_buf; + if (hdr->hdr_arr[0] != 0x55 || hdr->hdr_arr[1] != 0xaa) + return -ENOENT; - /* Minor/micro version mismatch. Report it but often it's OK. */ - return 1; + *vers = (V_FW_HDR_FW_VER_MAJOR(hdr->hdr_ver[0]) | + V_FW_HDR_FW_VER_MINOR(hdr->hdr_ver[1]) | + V_FW_HDR_FW_VER_MICRO(hdr->hdr_ver[2]) | + V_FW_HDR_FW_VER_BUILD(hdr->hdr_ver[3])); + return 0; } /** @@ -1015,17 +3207,21 @@ int t4_check_fw_version(struct adapter *adapter) * * Erases the sectors in the given inclusive range. */ -static int t4_flash_erase_sectors(struct adapter *adapter, int start, int end) +int t4_flash_erase_sectors(struct adapter *adapter, int start, int end) { int ret = 0; + if (end >= adapter->params.sf_nsec) + return -EINVAL; + while (start <= end) { if ((ret = sf1_write(adapter, 1, 0, 1, SF_WR_ENABLE)) != 0 || (ret = sf1_write(adapter, 4, 0, 1, SF_ERASE_SECTOR | (start << 8))) != 0 || (ret = flash_wait_op(adapter, 14, 500)) != 0) { - CH_ERR(adapter, "erase of flash sector %d failed, " - "error %d\n", start, ret); + CH_ERR(adapter, + "erase of flash sector %d failed, error %d\n", + start, ret); break; } start++; @@ -1054,76 +3250,39 @@ int t4_flash_cfg_addr(struct adapter *adapter) return FLASH_CFG_START; } +/* + * Return TRUE if the specified firmware matches the adapter. I.e. T4 + * firmware for T4 adapters, T5 firmware for T5 adapters, etc. We go ahead + * and emit an error message for mismatched firmware to save our caller the + * effort ... + */ +static int t4_fw_matches_chip(struct adapter *adap, + const struct fw_hdr *hdr) +{ + /* + * The expression below will return FALSE for any unsupported adapter + * which will keep us "honest" in the future ... + */ + if ((is_t4(adap) && hdr->chip == FW_HDR_CHIP_T4) || + (is_t5(adap) && hdr->chip == FW_HDR_CHIP_T5) || + (is_t6(adap) && hdr->chip == FW_HDR_CHIP_T6)) + return 1; + + CH_ERR(adap, + "FW image (%d) is not suitable for this adapter (%d)\n", + hdr->chip, chip_id(adap)); + return 0; +} + /** - * t4_load_cfg - download config file + * t4_load_fw - download firmware * @adap: the adapter - * @cfg_data: the cfg text file to write - * @size: text file size + * @fw_data: the firmware image to write + * @size: image size * - * Write the supplied config text file to the card's serial flash. + * Write the supplied firmware image to the card's serial flash. */ -int t4_load_cfg(struct adapter *adap, const u8 *cfg_data, unsigned int size) -{ - int ret, i, n, cfg_addr; - unsigned int addr; - unsigned int flash_cfg_start_sec; - unsigned int sf_sec_size = adap->params.sf_size / adap->params.sf_nsec; - - cfg_addr = t4_flash_cfg_addr(adap); - if (cfg_addr < 0) - return cfg_addr; - - addr = cfg_addr; - flash_cfg_start_sec = addr / SF_SEC_SIZE; - - if (size > FLASH_CFG_MAX_SIZE) { - CH_ERR(adap, "cfg file too large, max is %u bytes\n", - FLASH_CFG_MAX_SIZE); - return -EFBIG; - } - - i = DIV_ROUND_UP(FLASH_CFG_MAX_SIZE, /* # of sectors spanned */ - sf_sec_size); - ret = t4_flash_erase_sectors(adap, flash_cfg_start_sec, - flash_cfg_start_sec + i - 1); - /* - * If size == 0 then we're simply erasing the FLASH sectors associated - * with the on-adapter Firmware Configuration File. - */ - if (ret || size == 0) - goto out; - - /* this will write to the flash up to SF_PAGE_SIZE at a time */ - for (i = 0; i< size; i+= SF_PAGE_SIZE) { - if ( (size - i) < SF_PAGE_SIZE) - n = size - i; - else - n = SF_PAGE_SIZE; - ret = t4_write_flash(adap, addr, n, cfg_data, 1); - if (ret) - goto out; - - addr += SF_PAGE_SIZE; - cfg_data += SF_PAGE_SIZE; - } - -out: - if (ret) - CH_ERR(adap, "config file %s failed %d\n", - (size == 0 ? "clear" : "download"), ret); - return ret; -} - - -/** - * t4_load_fw - download firmware - * @adap: the adapter - * @fw_data: the firmware image to write - * @size: image size - * - * Write the supplied firmware image to the card's serial flash. - */ -int t4_load_fw(struct adapter *adap, const u8 *fw_data, unsigned int size) +int t4_load_fw(struct adapter *adap, const u8 *fw_data, unsigned int size) { u32 csum; int ret, addr; @@ -1145,40 +3304,39 @@ int t4_load_fw(struct adapter *adap, const u8 *fw_data, unsigned int size) fw_start = FLASH_FW_START; fw_size = FLASH_FW_MAX_SIZE; } + if (!size) { CH_ERR(adap, "FW image has no data\n"); return -EINVAL; } if (size & 511) { - CH_ERR(adap, "FW image size not multiple of 512 bytes\n"); + CH_ERR(adap, + "FW image size not multiple of 512 bytes\n"); return -EINVAL; } - if (ntohs(hdr->len512) * 512 != size) { - CH_ERR(adap, "FW image size differs from size in FW header\n"); + if ((unsigned int) be16_to_cpu(hdr->len512) * 512 != size) { + CH_ERR(adap, + "FW image size differs from size in FW header\n"); return -EINVAL; } if (size > fw_size) { - CH_ERR(adap, "FW image too large, max is %u bytes\n", fw_size); + CH_ERR(adap, "FW image too large, max is %u bytes\n", + fw_size); return -EFBIG; } - if ((is_t4(adap) && hdr->chip != FW_HDR_CHIP_T4) || - (is_t5(adap) && hdr->chip != FW_HDR_CHIP_T5)) { - CH_ERR(adap, - "FW image (%d) is not suitable for this adapter (%d)\n", - hdr->chip, chip_id(adap)); + if (!t4_fw_matches_chip(adap, hdr)) return -EINVAL; - } for (csum = 0, i = 0; i < size / sizeof(csum); i++) - csum += ntohl(p[i]); + csum += be32_to_cpu(p[i]); if (csum != 0xffffffff) { - CH_ERR(adap, "corrupted firmware image, checksum %#x\n", - csum); + CH_ERR(adap, + "corrupted firmware image, checksum %#x\n", csum); return -EINVAL; } - i = DIV_ROUND_UP(size, sf_sec_size); /* # of sectors spanned */ + i = DIV_ROUND_UP(size, sf_sec_size); /* # of sectors spanned */ ret = t4_flash_erase_sectors(adap, fw_start_sec, fw_start_sec + i - 1); if (ret) goto out; @@ -1189,7 +3347,7 @@ int t4_load_fw(struct adapter *adap, const u8 *fw_data, unsigned int size) * first page with a bad version. */ memcpy(first_page, fw_data, SF_PAGE_SIZE); - ((struct fw_hdr *)first_page)->fw_ver = htonl(0xffffffff); + ((struct fw_hdr *)first_page)->fw_ver = cpu_to_be32(0xffffffff); ret = t4_write_flash(adap, fw_start, SF_PAGE_SIZE, first_page, 1); if (ret) goto out; @@ -1208,4545 +3366,5669 @@ int t4_load_fw(struct adapter *adap, const u8 *fw_data, unsigned int size) sizeof(hdr->fw_ver), (const u8 *)&hdr->fw_ver, 1); out: if (ret) - CH_ERR(adap, "firmware download failed, error %d\n", ret); + CH_ERR(adap, "firmware download failed, error %d\n", + ret); return ret; } -/* BIOS boot headers */ -typedef struct pci_expansion_rom_header { - u8 signature[2]; /* ROM Signature. Should be 0xaa55 */ - u8 reserved[22]; /* Reserved per processor Architecture data */ - u8 pcir_offset[2]; /* Offset to PCI Data Structure */ -} pci_exp_rom_header_t; /* PCI_EXPANSION_ROM_HEADER */ - -/* Legacy PCI Expansion ROM Header */ -typedef struct legacy_pci_expansion_rom_header { - u8 signature[2]; /* ROM Signature. Should be 0xaa55 */ - u8 size512; /* Current Image Size in units of 512 bytes */ - u8 initentry_point[4]; - u8 cksum; /* Checksum computed on the entire Image */ - u8 reserved[16]; /* Reserved */ - u8 pcir_offset[2]; /* Offset to PCI Data Struture */ -} legacy_pci_exp_rom_header_t; /* LEGACY_PCI_EXPANSION_ROM_HEADER */ - -/* EFI PCI Expansion ROM Header */ -typedef struct efi_pci_expansion_rom_header { - u8 signature[2]; // ROM signature. The value 0xaa55 - u8 initialization_size[2]; /* Units 512. Includes this header */ - u8 efi_signature[4]; /* Signature from EFI image header. 0x0EF1 */ - u8 efi_subsystem[2]; /* Subsystem value for EFI image header */ - u8 efi_machine_type[2]; /* Machine type from EFI image header */ - u8 compression_type[2]; /* Compression type. */ - /* - * Compression type definition - * 0x0: uncompressed - * 0x1: Compressed - * 0x2-0xFFFF: Reserved - */ - u8 reserved[8]; /* Reserved */ - u8 efi_image_header_offset[2]; /* Offset to EFI Image */ - u8 pcir_offset[2]; /* Offset to PCI Data Structure */ -} efi_pci_exp_rom_header_t; /* EFI PCI Expansion ROM Header */ - -/* PCI Data Structure Format */ -typedef struct pcir_data_structure { /* PCI Data Structure */ - u8 signature[4]; /* Signature. The string "PCIR" */ - u8 vendor_id[2]; /* Vendor Identification */ - u8 device_id[2]; /* Device Identification */ - u8 vital_product[2]; /* Pointer to Vital Product Data */ - u8 length[2]; /* PCIR Data Structure Length */ - u8 revision; /* PCIR Data Structure Revision */ - u8 class_code[3]; /* Class Code */ - u8 image_length[2]; /* Image Length. Multiple of 512B */ - u8 code_revision[2]; /* Revision Level of Code/Data */ - u8 code_type; /* Code Type. */ - /* - * PCI Expansion ROM Code Types - * 0x00: Intel IA-32, PC-AT compatible. Legacy - * 0x01: Open Firmware standard for PCI. FCODE - * 0x02: Hewlett-Packard PA RISC. HP reserved - * 0x03: EFI Image. EFI - * 0x04-0xFF: Reserved. - */ - u8 indicator; /* Indicator. Identifies the last image in the ROM */ - u8 reserved[2]; /* Reserved */ -} pcir_data_t; /* PCI__DATA_STRUCTURE */ - -/* BOOT constants */ -enum { - BOOT_FLASH_BOOT_ADDR = 0x0,/* start address of boot image in flash */ - BOOT_SIGNATURE = 0xaa55, /* signature of BIOS boot ROM */ - BOOT_SIZE_INC = 512, /* image size measured in 512B chunks */ - BOOT_MIN_SIZE = sizeof(pci_exp_rom_header_t), /* basic header */ - BOOT_MAX_SIZE = 1024*BOOT_SIZE_INC, /* 1 byte * length increment */ - VENDOR_ID = 0x1425, /* Vendor ID */ - PCIR_SIGNATURE = 0x52494350 /* PCIR signature */ -}; - -/* - * modify_device_id - Modifies the device ID of the Boot BIOS image - * @adatper: the device ID to write. - * @boot_data: the boot image to modify. - * - * Write the supplied device ID to the boot BIOS image. +/** + * t4_fwcache - firmware cache operation + * @adap: the adapter + * @op : the operation (flush or flush and invalidate) */ -static void modify_device_id(int device_id, u8 *boot_data) +int t4_fwcache(struct adapter *adap, enum fw_params_param_dev_fwcache op) { - legacy_pci_exp_rom_header_t *header; - pcir_data_t *pcir_header; - u32 cur_header = 0; + struct fw_params_cmd c; - /* - * Loop through all chained images and change the device ID's - */ - while (1) { - header = (legacy_pci_exp_rom_header_t *) &boot_data[cur_header]; - pcir_header = (pcir_data_t *) &boot_data[cur_header + - le16_to_cpu(*(u16*)header->pcir_offset)]; + memset(&c, 0, sizeof(c)); + c.op_to_vfn = + cpu_to_be32(V_FW_CMD_OP(FW_PARAMS_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + V_FW_PARAMS_CMD_PFN(adap->pf) | + V_FW_PARAMS_CMD_VFN(0)); + c.retval_len16 = cpu_to_be32(FW_LEN16(c)); + c.param[0].mnem = + cpu_to_be32(V_FW_PARAMS_MNEM(FW_PARAMS_MNEM_DEV) | + V_FW_PARAMS_PARAM_X(FW_PARAMS_PARAM_DEV_FWCACHE)); + c.param[0].val = (__force __be32)op; - /* - * Only modify the Device ID if code type is Legacy or HP. - * 0x00: Okay to modify - * 0x01: FCODE. Do not be modify - * 0x03: Okay to modify - * 0x04-0xFF: Do not modify - */ - if (pcir_header->code_type == 0x00) { - u8 csum = 0; - int i; + return t4_wr_mbox(adap, adap->mbox, &c, sizeof(c), NULL); +} - /* - * Modify Device ID to match current adatper - */ - *(u16*) pcir_header->device_id = device_id; +void t4_cim_read_pif_la(struct adapter *adap, u32 *pif_req, u32 *pif_rsp, + unsigned int *pif_req_wrptr, + unsigned int *pif_rsp_wrptr) +{ + int i, j; + u32 cfg, val, req, rsp; - /* - * Set checksum temporarily to 0. - * We will recalculate it later. - */ - header->cksum = 0x0; + cfg = t4_read_reg(adap, A_CIM_DEBUGCFG); + if (cfg & F_LADBGEN) + t4_write_reg(adap, A_CIM_DEBUGCFG, cfg ^ F_LADBGEN); - /* - * Calculate and update checksum - */ - for (i = 0; i < (header->size512 * 512); i++) - csum += (u8)boot_data[cur_header + i]; + val = t4_read_reg(adap, A_CIM_DEBUGSTS); + req = G_POLADBGWRPTR(val); + rsp = G_PILADBGWRPTR(val); + if (pif_req_wrptr) + *pif_req_wrptr = req; + if (pif_rsp_wrptr) + *pif_rsp_wrptr = rsp; - /* - * Invert summed value to create the checksum - * Writing new checksum value directly to the boot data - */ - boot_data[cur_header + 7] = -csum; + for (i = 0; i < CIM_PIFLA_SIZE; i++) { + for (j = 0; j < 6; j++) { + t4_write_reg(adap, A_CIM_DEBUGCFG, V_POLADBGRDPTR(req) | + V_PILADBGRDPTR(rsp)); + *pif_req++ = t4_read_reg(adap, A_CIM_PO_LA_DEBUGDATA); + *pif_rsp++ = t4_read_reg(adap, A_CIM_PI_LA_DEBUGDATA); + req++; + rsp++; + } + req = (req + 2) & M_POLADBGRDPTR; + rsp = (rsp + 2) & M_PILADBGRDPTR; + } + t4_write_reg(adap, A_CIM_DEBUGCFG, cfg); +} - } else if (pcir_header->code_type == 0x03) { +void t4_cim_read_ma_la(struct adapter *adap, u32 *ma_req, u32 *ma_rsp) +{ + u32 cfg; + int i, j, idx; - /* - * Modify Device ID to match current adatper - */ - *(u16*) pcir_header->device_id = device_id; + cfg = t4_read_reg(adap, A_CIM_DEBUGCFG); + if (cfg & F_LADBGEN) + t4_write_reg(adap, A_CIM_DEBUGCFG, cfg ^ F_LADBGEN); + for (i = 0; i < CIM_MALA_SIZE; i++) { + for (j = 0; j < 5; j++) { + idx = 8 * i + j; + t4_write_reg(adap, A_CIM_DEBUGCFG, V_POLADBGRDPTR(idx) | + V_PILADBGRDPTR(idx)); + *ma_req++ = t4_read_reg(adap, A_CIM_PO_LA_MADEBUGDATA); + *ma_rsp++ = t4_read_reg(adap, A_CIM_PI_LA_MADEBUGDATA); } + } + t4_write_reg(adap, A_CIM_DEBUGCFG, cfg); +} +void t4_ulprx_read_la(struct adapter *adap, u32 *la_buf) +{ + unsigned int i, j; - /* - * Check indicator element to identify if this is the last - * image in the ROM. - */ - if (pcir_header->indicator & 0x80) - break; + for (i = 0; i < 8; i++) { + u32 *p = la_buf + i; - /* - * Move header pointer up to the next image in the ROM. - */ - cur_header += header->size512 * 512; + t4_write_reg(adap, A_ULP_RX_LA_CTL, i); + j = t4_read_reg(adap, A_ULP_RX_LA_WRPTR); + t4_write_reg(adap, A_ULP_RX_LA_RDPTR, j); + for (j = 0; j < ULPRX_LA_SIZE; j++, p += 8) + *p = t4_read_reg(adap, A_ULP_RX_LA_RDDATA); } } -/* - * t4_load_boot - download boot flash - * @adapter: the adapter - * @boot_data: the boot image to write - * @boot_addr: offset in flash to write boot_data - * @size: image size - * - * Write the supplied boot image to the card's serial flash. - * The boot image has the following sections: a 28-byte header and the - * boot image. - */ -int t4_load_boot(struct adapter *adap, u8 *boot_data, - unsigned int boot_addr, unsigned int size) -{ - pci_exp_rom_header_t *header; - int pcir_offset ; - pcir_data_t *pcir_header; - int ret, addr; - uint16_t device_id; - unsigned int i; - unsigned int boot_sector = boot_addr * 1024; - unsigned int sf_sec_size = adap->params.sf_size / adap->params.sf_nsec; - - /* - * Make sure the boot image does not encroach on the firmware region - */ - if ((boot_sector + size) >> 16 > FLASH_FW_START_SEC) { - CH_ERR(adap, "boot image encroaching on firmware region\n"); - return -EFBIG; - } - - /* - * Number of sectors spanned - */ - i = DIV_ROUND_UP(size ? size : FLASH_BOOTCFG_MAX_SIZE, - sf_sec_size); - ret = t4_flash_erase_sectors(adap, boot_sector >> 16, - (boot_sector >> 16) + i - 1); - - /* - * If size == 0 then we're simply erasing the FLASH sectors associated - * with the on-adapter option ROM file - */ - if (ret || (size == 0)) - goto out; - - /* Get boot header */ - header = (pci_exp_rom_header_t *)boot_data; - pcir_offset = le16_to_cpu(*(u16 *)header->pcir_offset); - /* PCIR Data Structure */ - pcir_header = (pcir_data_t *) &boot_data[pcir_offset]; - - /* - * Perform some primitive sanity testing to avoid accidentally - * writing garbage over the boot sectors. We ought to check for - * more but it's not worth it for now ... - */ - if (size < BOOT_MIN_SIZE || size > BOOT_MAX_SIZE) { - CH_ERR(adap, "boot image too small/large\n"); - return -EFBIG; - } - - /* - * Check BOOT ROM header signature - */ - if (le16_to_cpu(*(u16*)header->signature) != BOOT_SIGNATURE ) { - CH_ERR(adap, "Boot image missing signature\n"); - return -EINVAL; - } - - /* - * Check PCI header signature - */ - if (le32_to_cpu(*(u32*)pcir_header->signature) != PCIR_SIGNATURE) { - CH_ERR(adap, "PCI header missing signature\n"); - return -EINVAL; - } - - /* - * Check Vendor ID matches Chelsio ID - */ - if (le16_to_cpu(*(u16*)pcir_header->vendor_id) != VENDOR_ID) { - CH_ERR(adap, "Vendor ID missing signature\n"); - return -EINVAL; - } - - /* - * Retrieve adapter's device ID - */ - t4_os_pci_read_cfg2(adap, PCI_DEVICE_ID, &device_id); - /* Want to deal with PF 0 so I strip off PF 4 indicator */ - device_id = (device_id & 0xff) | 0x4000; - - /* - * Check PCIE Device ID - */ - if (le16_to_cpu(*(u16*)pcir_header->device_id) != device_id) { - /* - * Change the device ID in the Boot BIOS image to match - * the Device ID of the current adapter. - */ - modify_device_id(device_id, boot_data); - } - - /* - * Skip over the first SF_PAGE_SIZE worth of data and write it after - * we finish copying the rest of the boot image. This will ensure - * that the BIOS boot header will only be written if the boot image - * was written in full. - */ - addr = boot_sector; - for (size -= SF_PAGE_SIZE; size; size -= SF_PAGE_SIZE) { - addr += SF_PAGE_SIZE; - boot_data += SF_PAGE_SIZE; - ret = t4_write_flash(adap, addr, SF_PAGE_SIZE, boot_data, 0); - if (ret) - goto out; - } - - ret = t4_write_flash(adap, boot_sector, SF_PAGE_SIZE, boot_data, 0); - -out: - if (ret) - CH_ERR(adap, "boot image download failed, error %d\n", ret); - return ret; -} +#define ADVERT_MASK (FW_PORT_CAP_SPEED_100M | FW_PORT_CAP_SPEED_1G |\ + FW_PORT_CAP_SPEED_10G | FW_PORT_CAP_SPEED_40G | \ + FW_PORT_CAP_SPEED_100G | FW_PORT_CAP_ANEG) /** - * t4_read_cimq_cfg - read CIM queue configuration - * @adap: the adapter - * @base: holds the queue base addresses in bytes - * @size: holds the queue sizes in bytes - * @thres: holds the queue full thresholds in bytes + * t4_link_l1cfg - apply link configuration to MAC/PHY + * @phy: the PHY to setup + * @mac: the MAC to setup + * @lc: the requested link configuration * - * Returns the current configuration of the CIM queues, starting with - * the IBQs, then the OBQs. + * Set up a port's MAC and PHY according to a desired link configuration. + * - If the PHY can auto-negotiate first decide what to advertise, then + * enable/disable auto-negotiation as desired, and reset. + * - If the PHY does not auto-negotiate just reset it. + * - If auto-negotiation is off set the MAC to the proper speed/duplex/FC, + * otherwise do it later based on the outcome of auto-negotiation. */ -void t4_read_cimq_cfg(struct adapter *adap, u16 *base, u16 *size, u16 *thres) +int t4_link_l1cfg(struct adapter *adap, unsigned int mbox, unsigned int port, + struct link_config *lc) { - unsigned int i, v; - int cim_num_obq = is_t4(adap) ? CIM_NUM_OBQ : CIM_NUM_OBQ_T5; - - for (i = 0; i < CIM_NUM_IBQ; i++) { - t4_write_reg(adap, A_CIM_QUEUE_CONFIG_REF, F_IBQSELECT | - V_QUENUMSELECT(i)); - v = t4_read_reg(adap, A_CIM_QUEUE_CONFIG_CTRL); - *base++ = G_CIMQBASE(v) * 256; /* value is in 256-byte units */ - *size++ = G_CIMQSIZE(v) * 256; /* value is in 256-byte units */ - *thres++ = G_QUEFULLTHRSH(v) * 8; /* 8-byte unit */ - } - for (i = 0; i < cim_num_obq; i++) { - t4_write_reg(adap, A_CIM_QUEUE_CONFIG_REF, F_OBQSELECT | - V_QUENUMSELECT(i)); - v = t4_read_reg(adap, A_CIM_QUEUE_CONFIG_CTRL); - *base++ = G_CIMQBASE(v) * 256; /* value is in 256-byte units */ - *size++ = G_CIMQSIZE(v) * 256; /* value is in 256-byte units */ - } -} + struct fw_port_cmd c; + unsigned int fc = 0, mdi = V_FW_PORT_CAP_MDI(FW_PORT_CAP_MDI_AUTO); -/** - * t4_read_cim_ibq - read the contents of a CIM inbound queue - * @adap: the adapter - * @qid: the queue index - * @data: where to store the queue contents - * @n: capacity of @data in 32-bit words - * - * Reads the contents of the selected CIM queue starting at address 0 up - * to the capacity of @data. @n must be a multiple of 4. Returns < 0 on - * error and the number of 32-bit words actually read on success. - */ -int t4_read_cim_ibq(struct adapter *adap, unsigned int qid, u32 *data, size_t n) -{ - int i, err; - unsigned int addr; - const unsigned int nwords = CIM_IBQ_SIZE * 4; + lc->link_ok = 0; + if (lc->requested_fc & PAUSE_RX) + fc |= FW_PORT_CAP_FC_RX; + if (lc->requested_fc & PAUSE_TX) + fc |= FW_PORT_CAP_FC_TX; - if (qid > 5 || (n & 3)) - return -EINVAL; + memset(&c, 0, sizeof(c)); + c.op_to_portid = cpu_to_be32(V_FW_CMD_OP(FW_PORT_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_EXEC | + V_FW_PORT_CMD_PORTID(port)); + c.action_to_len16 = + cpu_to_be32(V_FW_PORT_CMD_ACTION(FW_PORT_ACTION_L1_CFG) | + FW_LEN16(c)); - addr = qid * nwords; - if (n > nwords) - n = nwords; + if (!(lc->supported & FW_PORT_CAP_ANEG)) { + c.u.l1cfg.rcap = cpu_to_be32((lc->supported & ADVERT_MASK) | + fc); + lc->fc = lc->requested_fc & (PAUSE_RX | PAUSE_TX); + } else if (lc->autoneg == AUTONEG_DISABLE) { + c.u.l1cfg.rcap = cpu_to_be32(lc->requested_speed | fc | mdi); + lc->fc = lc->requested_fc & (PAUSE_RX | PAUSE_TX); + } else + c.u.l1cfg.rcap = cpu_to_be32(lc->advertising | fc | mdi); - for (i = 0; i < n; i++, addr++) { - t4_write_reg(adap, A_CIM_IBQ_DBG_CFG, V_IBQDBGADDR(addr) | - F_IBQDBGEN); - /* - * It might take 3-10ms before the IBQ debug read access is - * allowed. Wait for 1 Sec with a delay of 1 usec. - */ - err = t4_wait_op_done(adap, A_CIM_IBQ_DBG_CFG, F_IBQDBGBUSY, 0, - 1000000, 1); - if (err) - return err; - *data++ = t4_read_reg(adap, A_CIM_IBQ_DBG_DATA); - } - t4_write_reg(adap, A_CIM_IBQ_DBG_CFG, 0); - return i; + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_read_cim_obq - read the contents of a CIM outbound queue + * t4_restart_aneg - restart autonegotiation * @adap: the adapter - * @qid: the queue index - * @data: where to store the queue contents - * @n: capacity of @data in 32-bit words + * @mbox: mbox to use for the FW command + * @port: the port id * - * Reads the contents of the selected CIM queue starting at address 0 up - * to the capacity of @data. @n must be a multiple of 4. Returns < 0 on - * error and the number of 32-bit words actually read on success. + * Restarts autonegotiation for the selected port. */ -int t4_read_cim_obq(struct adapter *adap, unsigned int qid, u32 *data, size_t n) +int t4_restart_aneg(struct adapter *adap, unsigned int mbox, unsigned int port) { - int i, err; - unsigned int addr, v, nwords; - int cim_num_obq = is_t4(adap) ? CIM_NUM_OBQ : CIM_NUM_OBQ_T5; - - if (qid >= cim_num_obq || (n & 3)) - return -EINVAL; - - t4_write_reg(adap, A_CIM_QUEUE_CONFIG_REF, F_OBQSELECT | - V_QUENUMSELECT(qid)); - v = t4_read_reg(adap, A_CIM_QUEUE_CONFIG_CTRL); - - addr = G_CIMQBASE(v) * 64; /* muliple of 256 -> muliple of 4 */ - nwords = G_CIMQSIZE(v) * 64; /* same */ - if (n > nwords) - n = nwords; + struct fw_port_cmd c; - for (i = 0; i < n; i++, addr++) { - t4_write_reg(adap, A_CIM_OBQ_DBG_CFG, V_OBQDBGADDR(addr) | - F_OBQDBGEN); - err = t4_wait_op_done(adap, A_CIM_OBQ_DBG_CFG, F_OBQDBGBUSY, 0, - 2, 1); - if (err) - return err; - *data++ = t4_read_reg(adap, A_CIM_OBQ_DBG_DATA); - } - t4_write_reg(adap, A_CIM_OBQ_DBG_CFG, 0); - return i; + memset(&c, 0, sizeof(c)); + c.op_to_portid = cpu_to_be32(V_FW_CMD_OP(FW_PORT_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_EXEC | + V_FW_PORT_CMD_PORTID(port)); + c.action_to_len16 = + cpu_to_be32(V_FW_PORT_CMD_ACTION(FW_PORT_ACTION_L1_CFG) | + FW_LEN16(c)); + c.u.l1cfg.rcap = cpu_to_be32(FW_PORT_CAP_ANEG); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } -enum { - CIM_QCTL_BASE = 0, - CIM_CTL_BASE = 0x2000, - CIM_PBT_ADDR_BASE = 0x2800, - CIM_PBT_LRF_BASE = 0x3000, - CIM_PBT_DATA_BASE = 0x3800 +typedef void (*int_handler_t)(struct adapter *adap); + +struct intr_info { + unsigned int mask; /* bits to check in interrupt status */ + const char *msg; /* message to print or NULL */ + short stat_idx; /* stat counter to increment or -1 */ + unsigned short fatal; /* whether the condition reported is fatal */ + int_handler_t int_handler; /* platform-specific int handler */ }; /** - * t4_cim_read - read a block from CIM internal address space - * @adap: the adapter - * @addr: the start address within the CIM address space - * @n: number of words to read - * @valp: where to store the result + * t4_handle_intr_status - table driven interrupt handler + * @adapter: the adapter that generated the interrupt + * @reg: the interrupt status register to process + * @acts: table of interrupt actions * - * Reads a block of 4-byte words from the CIM intenal address space. + * A table driven interrupt handler that applies a set of masks to an + * interrupt status word and performs the corresponding actions if the + * interrupts described by the mask have occurred. The actions include + * optionally emitting a warning or alert message. The table is terminated + * by an entry specifying mask 0. Returns the number of fatal interrupt + * conditions. */ -int t4_cim_read(struct adapter *adap, unsigned int addr, unsigned int n, - unsigned int *valp) +static int t4_handle_intr_status(struct adapter *adapter, unsigned int reg, + const struct intr_info *acts) { - int ret = 0; - - if (t4_read_reg(adap, A_CIM_HOST_ACC_CTRL) & F_HOSTBUSY) - return -EBUSY; + int fatal = 0; + unsigned int mask = 0; + unsigned int status = t4_read_reg(adapter, reg); - for ( ; !ret && n--; addr += 4) { - t4_write_reg(adap, A_CIM_HOST_ACC_CTRL, addr); - ret = t4_wait_op_done(adap, A_CIM_HOST_ACC_CTRL, F_HOSTBUSY, - 0, 5, 2); - if (!ret) - *valp++ = t4_read_reg(adap, A_CIM_HOST_ACC_DATA); + for ( ; acts->mask; ++acts) { + if (!(status & acts->mask)) + continue; + if (acts->fatal) { + fatal++; + CH_ALERT(adapter, "%s (0x%x)\n", acts->msg, + status & acts->mask); + } else if (acts->msg) + CH_WARN_RATELIMIT(adapter, "%s (0x%x)\n", acts->msg, + status & acts->mask); + if (acts->int_handler) + acts->int_handler(adapter); + mask |= acts->mask; } - return ret; + status &= mask; + if (status) /* clear processed interrupts */ + t4_write_reg(adapter, reg, status); + return fatal; } -/** - * t4_cim_write - write a block into CIM internal address space - * @adap: the adapter - * @addr: the start address within the CIM address space - * @n: number of words to write - * @valp: set of values to write - * - * Writes a block of 4-byte words into the CIM intenal address space. +/* + * Interrupt handler for the PCIE module. */ -int t4_cim_write(struct adapter *adap, unsigned int addr, unsigned int n, - const unsigned int *valp) +static void pcie_intr_handler(struct adapter *adapter) { - int ret = 0; + static const struct intr_info sysbus_intr_info[] = { + { F_RNPP, "RXNP array parity error", -1, 1 }, + { F_RPCP, "RXPC array parity error", -1, 1 }, + { F_RCIP, "RXCIF array parity error", -1, 1 }, + { F_RCCP, "Rx completions control array parity error", -1, 1 }, + { F_RFTP, "RXFT array parity error", -1, 1 }, + { 0 } + }; + static const struct intr_info pcie_port_intr_info[] = { + { F_TPCP, "TXPC array parity error", -1, 1 }, + { F_TNPP, "TXNP array parity error", -1, 1 }, + { F_TFTP, "TXFT array parity error", -1, 1 }, + { F_TCAP, "TXCA array parity error", -1, 1 }, + { F_TCIP, "TXCIF array parity error", -1, 1 }, + { F_RCAP, "RXCA array parity error", -1, 1 }, + { F_OTDD, "outbound request TLP discarded", -1, 1 }, + { F_RDPE, "Rx data parity error", -1, 1 }, + { F_TDUE, "Tx uncorrectable data error", -1, 1 }, + { 0 } + }; + static const struct intr_info pcie_intr_info[] = { + { F_MSIADDRLPERR, "MSI AddrL parity error", -1, 1 }, + { F_MSIADDRHPERR, "MSI AddrH parity error", -1, 1 }, + { F_MSIDATAPERR, "MSI data parity error", -1, 1 }, + { F_MSIXADDRLPERR, "MSI-X AddrL parity error", -1, 1 }, + { F_MSIXADDRHPERR, "MSI-X AddrH parity error", -1, 1 }, + { F_MSIXDATAPERR, "MSI-X data parity error", -1, 1 }, + { F_MSIXDIPERR, "MSI-X DI parity error", -1, 1 }, + { F_PIOCPLPERR, "PCI PIO completion FIFO parity error", -1, 1 }, + { F_PIOREQPERR, "PCI PIO request FIFO parity error", -1, 1 }, + { F_TARTAGPERR, "PCI PCI target tag FIFO parity error", -1, 1 }, + { F_CCNTPERR, "PCI CMD channel count parity error", -1, 1 }, + { F_CREQPERR, "PCI CMD channel request parity error", -1, 1 }, + { F_CRSPPERR, "PCI CMD channel response parity error", -1, 1 }, + { F_DCNTPERR, "PCI DMA channel count parity error", -1, 1 }, + { F_DREQPERR, "PCI DMA channel request parity error", -1, 1 }, + { F_DRSPPERR, "PCI DMA channel response parity error", -1, 1 }, + { F_HCNTPERR, "PCI HMA channel count parity error", -1, 1 }, + { F_HREQPERR, "PCI HMA channel request parity error", -1, 1 }, + { F_HRSPPERR, "PCI HMA channel response parity error", -1, 1 }, + { F_CFGSNPPERR, "PCI config snoop FIFO parity error", -1, 1 }, + { F_FIDPERR, "PCI FID parity error", -1, 1 }, + { F_INTXCLRPERR, "PCI INTx clear parity error", -1, 1 }, + { F_MATAGPERR, "PCI MA tag parity error", -1, 1 }, + { F_PIOTAGPERR, "PCI PIO tag parity error", -1, 1 }, + { F_RXCPLPERR, "PCI Rx completion parity error", -1, 1 }, + { F_RXWRPERR, "PCI Rx write parity error", -1, 1 }, + { F_RPLPERR, "PCI replay buffer parity error", -1, 1 }, + { F_PCIESINT, "PCI core secondary fault", -1, 1 }, + { F_PCIEPINT, "PCI core primary fault", -1, 1 }, + { F_UNXSPLCPLERR, "PCI unexpected split completion error", -1, + 0 }, + { 0 } + }; - if (t4_read_reg(adap, A_CIM_HOST_ACC_CTRL) & F_HOSTBUSY) - return -EBUSY; + static const struct intr_info t5_pcie_intr_info[] = { + { F_MSTGRPPERR, "Master Response Read Queue parity error", + -1, 1 }, + { F_MSTTIMEOUTPERR, "Master Timeout FIFO parity error", -1, 1 }, + { F_MSIXSTIPERR, "MSI-X STI SRAM parity error", -1, 1 }, + { F_MSIXADDRLPERR, "MSI-X AddrL parity error", -1, 1 }, + { F_MSIXADDRHPERR, "MSI-X AddrH parity error", -1, 1 }, + { F_MSIXDATAPERR, "MSI-X data parity error", -1, 1 }, + { F_MSIXDIPERR, "MSI-X DI parity error", -1, 1 }, + { F_PIOCPLGRPPERR, "PCI PIO completion Group FIFO parity error", + -1, 1 }, + { F_PIOREQGRPPERR, "PCI PIO request Group FIFO parity error", + -1, 1 }, + { F_TARTAGPERR, "PCI PCI target tag FIFO parity error", -1, 1 }, + { F_MSTTAGQPERR, "PCI master tag queue parity error", -1, 1 }, + { F_CREQPERR, "PCI CMD channel request parity error", -1, 1 }, + { F_CRSPPERR, "PCI CMD channel response parity error", -1, 1 }, + { F_DREQWRPERR, "PCI DMA channel write request parity error", + -1, 1 }, + { F_DREQPERR, "PCI DMA channel request parity error", -1, 1 }, + { F_DRSPPERR, "PCI DMA channel response parity error", -1, 1 }, + { F_HREQWRPERR, "PCI HMA channel count parity error", -1, 1 }, + { F_HREQPERR, "PCI HMA channel request parity error", -1, 1 }, + { F_HRSPPERR, "PCI HMA channel response parity error", -1, 1 }, + { F_CFGSNPPERR, "PCI config snoop FIFO parity error", -1, 1 }, + { F_FIDPERR, "PCI FID parity error", -1, 1 }, + { F_VFIDPERR, "PCI INTx clear parity error", -1, 1 }, + { F_MAGRPPERR, "PCI MA group FIFO parity error", -1, 1 }, + { F_PIOTAGPERR, "PCI PIO tag parity error", -1, 1 }, + { F_IPRXHDRGRPPERR, "PCI IP Rx header group parity error", + -1, 1 }, + { F_IPRXDATAGRPPERR, "PCI IP Rx data group parity error", + -1, 1 }, + { F_RPLPERR, "PCI IP replay buffer parity error", -1, 1 }, + { F_IPSOTPERR, "PCI IP SOT buffer parity error", -1, 1 }, + { F_TRGT1GRPPERR, "PCI TRGT1 group FIFOs parity error", -1, 1 }, + { F_READRSPERR, "Outbound read error", -1, + 0 }, + { 0 } + }; - for ( ; !ret && n--; addr += 4) { - t4_write_reg(adap, A_CIM_HOST_ACC_DATA, *valp++); - t4_write_reg(adap, A_CIM_HOST_ACC_CTRL, addr | F_HOSTWRITE); - ret = t4_wait_op_done(adap, A_CIM_HOST_ACC_CTRL, F_HOSTBUSY, - 0, 5, 2); - } - return ret; -} + int fat; -static int t4_cim_write1(struct adapter *adap, unsigned int addr, unsigned int val) -{ - return t4_cim_write(adap, addr, 1, &val); + if (is_t4(adapter)) + fat = t4_handle_intr_status(adapter, + A_PCIE_CORE_UTL_SYSTEM_BUS_AGENT_STATUS, + sysbus_intr_info) + + t4_handle_intr_status(adapter, + A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_STATUS, + pcie_port_intr_info) + + t4_handle_intr_status(adapter, A_PCIE_INT_CAUSE, + pcie_intr_info); + else + fat = t4_handle_intr_status(adapter, A_PCIE_INT_CAUSE, + t5_pcie_intr_info); + if (fat) + t4_fatal_err(adapter); } -/** - * t4_cim_ctl_read - read a block from CIM control region - * @adap: the adapter - * @addr: the start address within the CIM control region - * @n: number of words to read - * @valp: where to store the result - * - * Reads a block of 4-byte words from the CIM control region. +/* + * TP interrupt handler. */ -int t4_cim_ctl_read(struct adapter *adap, unsigned int addr, unsigned int n, - unsigned int *valp) +static void tp_intr_handler(struct adapter *adapter) { - return t4_cim_read(adap, addr + CIM_CTL_BASE, n, valp); + static const struct intr_info tp_intr_info[] = { + { 0x3fffffff, "TP parity error", -1, 1 }, + { F_FLMTXFLSTEMPTY, "TP out of Tx pages", -1, 1 }, + { 0 } + }; + + if (t4_handle_intr_status(adapter, A_TP_INT_CAUSE, tp_intr_info)) + t4_fatal_err(adapter); } -/** - * t4_cim_read_la - read CIM LA capture buffer - * @adap: the adapter - * @la_buf: where to store the LA data - * @wrptr: the HW write pointer within the capture buffer - * - * Reads the contents of the CIM LA buffer with the most recent entry at - * the end of the returned data and with the entry at @wrptr first. - * We try to leave the LA in the running state we find it in. +/* + * SGE interrupt handler. */ -int t4_cim_read_la(struct adapter *adap, u32 *la_buf, unsigned int *wrptr) +static void sge_intr_handler(struct adapter *adapter) { - int i, ret; - unsigned int cfg, val, idx; + u64 v; + u32 err; - ret = t4_cim_read(adap, A_UP_UP_DBG_LA_CFG, 1, &cfg); - if (ret) - return ret; + static const struct intr_info sge_intr_info[] = { + { F_ERR_CPL_EXCEED_IQE_SIZE, + "SGE received CPL exceeding IQE size", -1, 1 }, + { F_ERR_INVALID_CIDX_INC, + "SGE GTS CIDX increment too large", -1, 0 }, + { F_ERR_CPL_OPCODE_0, "SGE received 0-length CPL", -1, 0 }, + { F_DBFIFO_LP_INT, NULL, -1, 0, t4_db_full }, + { F_ERR_DATA_CPL_ON_HIGH_QID1 | F_ERR_DATA_CPL_ON_HIGH_QID0, + "SGE IQID > 1023 received CPL for FL", -1, 0 }, + { F_ERR_BAD_DB_PIDX3, "SGE DBP 3 pidx increment too large", -1, + 0 }, + { F_ERR_BAD_DB_PIDX2, "SGE DBP 2 pidx increment too large", -1, + 0 }, + { F_ERR_BAD_DB_PIDX1, "SGE DBP 1 pidx increment too large", -1, + 0 }, + { F_ERR_BAD_DB_PIDX0, "SGE DBP 0 pidx increment too large", -1, + 0 }, + { F_ERR_ING_CTXT_PRIO, + "SGE too many priority ingress contexts", -1, 0 }, + { F_INGRESS_SIZE_ERR, "SGE illegal ingress QID", -1, 0 }, + { F_EGRESS_SIZE_ERR, "SGE illegal egress QID", -1, 0 }, + { 0 } + }; - if (cfg & F_UPDBGLAEN) { /* LA is running, freeze it */ - ret = t4_cim_write1(adap, A_UP_UP_DBG_LA_CFG, 0); - if (ret) - return ret; + static const struct intr_info t4t5_sge_intr_info[] = { + { F_ERR_DROPPED_DB, NULL, -1, 0, t4_db_dropped }, + { F_DBFIFO_HP_INT, NULL, -1, 0, t4_db_full }, + { F_ERR_EGR_CTXT_PRIO, + "SGE too many priority egress contexts", -1, 0 }, + { 0 } + }; + + /* + * For now, treat below interrupts as fatal so that we disable SGE and + * get better debug */ + static const struct intr_info t6_sge_intr_info[] = { + { F_ERR_PCIE_ERROR0 | F_ERR_PCIE_ERROR1, + "SGE PCIe error for a DBP thread", -1, 1 }, + { F_FATAL_WRE_LEN, + "SGE Actual WRE packet is less than advertized length", + -1, 1 }, + { 0 } + }; + + v = (u64)t4_read_reg(adapter, A_SGE_INT_CAUSE1) | + ((u64)t4_read_reg(adapter, A_SGE_INT_CAUSE2) << 32); + if (v) { + CH_ALERT(adapter, "SGE parity error (%#llx)\n", + (unsigned long long)v); + t4_write_reg(adapter, A_SGE_INT_CAUSE1, v); + t4_write_reg(adapter, A_SGE_INT_CAUSE2, v >> 32); } - ret = t4_cim_read(adap, A_UP_UP_DBG_LA_CFG, 1, &val); - if (ret) - goto restart; + v |= t4_handle_intr_status(adapter, A_SGE_INT_CAUSE3, sge_intr_info); + if (chip_id(adapter) <= CHELSIO_T5) + v |= t4_handle_intr_status(adapter, A_SGE_INT_CAUSE3, + t4t5_sge_intr_info); + else + v |= t4_handle_intr_status(adapter, A_SGE_INT_CAUSE3, + t6_sge_intr_info); - idx = G_UPDBGLAWRPTR(val); - if (wrptr) - *wrptr = idx; + err = t4_read_reg(adapter, A_SGE_ERROR_STATS); + if (err & F_ERROR_QID_VALID) { + CH_ERR(adapter, "SGE error for queue %u\n", G_ERROR_QID(err)); + if (err & F_UNCAPTURED_ERROR) + CH_ERR(adapter, "SGE UNCAPTURED_ERROR set (clearing)\n"); + t4_write_reg(adapter, A_SGE_ERROR_STATS, F_ERROR_QID_VALID | + F_UNCAPTURED_ERROR); + } - for (i = 0; i < adap->params.cim_la_size; i++) { - ret = t4_cim_write1(adap, A_UP_UP_DBG_LA_CFG, - V_UPDBGLARDPTR(idx) | F_UPDBGLARDEN); - if (ret) - break; - ret = t4_cim_read(adap, A_UP_UP_DBG_LA_CFG, 1, &val); - if (ret) - break; - if (val & F_UPDBGLARDEN) { - ret = -ETIMEDOUT; - break; - } - ret = t4_cim_read(adap, A_UP_UP_DBG_LA_DATA, 1, &la_buf[i]); - if (ret) - break; - idx = (idx + 1) & M_UPDBGLARDPTR; - } -restart: - if (cfg & F_UPDBGLAEN) { - int r = t4_cim_write1(adap, A_UP_UP_DBG_LA_CFG, - cfg & ~F_UPDBGLARDEN); - if (!ret) - ret = r; - } - return ret; + if (v != 0) + t4_fatal_err(adapter); } -void t4_cim_read_pif_la(struct adapter *adap, u32 *pif_req, u32 *pif_rsp, - unsigned int *pif_req_wrptr, - unsigned int *pif_rsp_wrptr) -{ - int i, j; - u32 cfg, val, req, rsp; +#define CIM_OBQ_INTR (F_OBQULP0PARERR | F_OBQULP1PARERR | F_OBQULP2PARERR |\ + F_OBQULP3PARERR | F_OBQSGEPARERR | F_OBQNCSIPARERR) +#define CIM_IBQ_INTR (F_IBQTP0PARERR | F_IBQTP1PARERR | F_IBQULPPARERR |\ + F_IBQSGEHIPARERR | F_IBQSGELOPARERR | F_IBQNCSIPARERR) - cfg = t4_read_reg(adap, A_CIM_DEBUGCFG); - if (cfg & F_LADBGEN) - t4_write_reg(adap, A_CIM_DEBUGCFG, cfg ^ F_LADBGEN); +/* + * CIM interrupt handler. + */ +static void cim_intr_handler(struct adapter *adapter) +{ + static const struct intr_info cim_intr_info[] = { + { F_PREFDROPINT, "CIM control register prefetch drop", -1, 1 }, + { CIM_OBQ_INTR, "CIM OBQ parity error", -1, 1 }, + { CIM_IBQ_INTR, "CIM IBQ parity error", -1, 1 }, + { F_MBUPPARERR, "CIM mailbox uP parity error", -1, 1 }, + { F_MBHOSTPARERR, "CIM mailbox host parity error", -1, 1 }, + { F_TIEQINPARERRINT, "CIM TIEQ outgoing parity error", -1, 1 }, + { F_TIEQOUTPARERRINT, "CIM TIEQ incoming parity error", -1, 1 }, + { 0 } + }; + static const struct intr_info cim_upintr_info[] = { + { F_RSVDSPACEINT, "CIM reserved space access", -1, 1 }, + { F_ILLTRANSINT, "CIM illegal transaction", -1, 1 }, + { F_ILLWRINT, "CIM illegal write", -1, 1 }, + { F_ILLRDINT, "CIM illegal read", -1, 1 }, + { F_ILLRDBEINT, "CIM illegal read BE", -1, 1 }, + { F_ILLWRBEINT, "CIM illegal write BE", -1, 1 }, + { F_SGLRDBOOTINT, "CIM single read from boot space", -1, 1 }, + { F_SGLWRBOOTINT, "CIM single write to boot space", -1, 1 }, + { F_BLKWRBOOTINT, "CIM block write to boot space", -1, 1 }, + { F_SGLRDFLASHINT, "CIM single read from flash space", -1, 1 }, + { F_SGLWRFLASHINT, "CIM single write to flash space", -1, 1 }, + { F_BLKWRFLASHINT, "CIM block write to flash space", -1, 1 }, + { F_SGLRDEEPROMINT, "CIM single EEPROM read", -1, 1 }, + { F_SGLWREEPROMINT, "CIM single EEPROM write", -1, 1 }, + { F_BLKRDEEPROMINT, "CIM block EEPROM read", -1, 1 }, + { F_BLKWREEPROMINT, "CIM block EEPROM write", -1, 1 }, + { F_SGLRDCTLINT , "CIM single read from CTL space", -1, 1 }, + { F_SGLWRCTLINT , "CIM single write to CTL space", -1, 1 }, + { F_BLKRDCTLINT , "CIM block read from CTL space", -1, 1 }, + { F_BLKWRCTLINT , "CIM block write to CTL space", -1, 1 }, + { F_SGLRDPLINT , "CIM single read from PL space", -1, 1 }, + { F_SGLWRPLINT , "CIM single write to PL space", -1, 1 }, + { F_BLKRDPLINT , "CIM block read from PL space", -1, 1 }, + { F_BLKWRPLINT , "CIM block write to PL space", -1, 1 }, + { F_REQOVRLOOKUPINT , "CIM request FIFO overwrite", -1, 1 }, + { F_RSPOVRLOOKUPINT , "CIM response FIFO overwrite", -1, 1 }, + { F_TIMEOUTINT , "CIM PIF timeout", -1, 1 }, + { F_TIMEOUTMAINT , "CIM PIF MA timeout", -1, 1 }, + { 0 } + }; + int fat; - val = t4_read_reg(adap, A_CIM_DEBUGSTS); - req = G_POLADBGWRPTR(val); - rsp = G_PILADBGWRPTR(val); - if (pif_req_wrptr) - *pif_req_wrptr = req; - if (pif_rsp_wrptr) - *pif_rsp_wrptr = rsp; + if (t4_read_reg(adapter, A_PCIE_FW) & F_PCIE_FW_ERR) + t4_report_fw_error(adapter); - for (i = 0; i < CIM_PIFLA_SIZE; i++) { - for (j = 0; j < 6; j++) { - t4_write_reg(adap, A_CIM_DEBUGCFG, V_POLADBGRDPTR(req) | - V_PILADBGRDPTR(rsp)); - *pif_req++ = t4_read_reg(adap, A_CIM_PO_LA_DEBUGDATA); - *pif_rsp++ = t4_read_reg(adap, A_CIM_PI_LA_DEBUGDATA); - req++; - rsp++; - } - req = (req + 2) & M_POLADBGRDPTR; - rsp = (rsp + 2) & M_PILADBGRDPTR; - } - t4_write_reg(adap, A_CIM_DEBUGCFG, cfg); + fat = t4_handle_intr_status(adapter, A_CIM_HOST_INT_CAUSE, + cim_intr_info) + + t4_handle_intr_status(adapter, A_CIM_HOST_UPACC_INT_CAUSE, + cim_upintr_info); + if (fat) + t4_fatal_err(adapter); } -void t4_cim_read_ma_la(struct adapter *adap, u32 *ma_req, u32 *ma_rsp) +/* + * ULP RX interrupt handler. + */ +static void ulprx_intr_handler(struct adapter *adapter) { - u32 cfg; - int i, j, idx; - - cfg = t4_read_reg(adap, A_CIM_DEBUGCFG); - if (cfg & F_LADBGEN) - t4_write_reg(adap, A_CIM_DEBUGCFG, cfg ^ F_LADBGEN); + static const struct intr_info ulprx_intr_info[] = { + { F_CAUSE_CTX_1, "ULPRX channel 1 context error", -1, 1 }, + { F_CAUSE_CTX_0, "ULPRX channel 0 context error", -1, 1 }, + { 0x7fffff, "ULPRX parity error", -1, 1 }, + { 0 } + }; - for (i = 0; i < CIM_MALA_SIZE; i++) { - for (j = 0; j < 5; j++) { - idx = 8 * i + j; - t4_write_reg(adap, A_CIM_DEBUGCFG, V_POLADBGRDPTR(idx) | - V_PILADBGRDPTR(idx)); - *ma_req++ = t4_read_reg(adap, A_CIM_PO_LA_MADEBUGDATA); - *ma_rsp++ = t4_read_reg(adap, A_CIM_PI_LA_MADEBUGDATA); - } - } - t4_write_reg(adap, A_CIM_DEBUGCFG, cfg); + if (t4_handle_intr_status(adapter, A_ULP_RX_INT_CAUSE, ulprx_intr_info)) + t4_fatal_err(adapter); } -/** - * t4_tp_read_la - read TP LA capture buffer - * @adap: the adapter - * @la_buf: where to store the LA data - * @wrptr: the HW write pointer within the capture buffer - * - * Reads the contents of the TP LA buffer with the most recent entry at - * the end of the returned data and with the entry at @wrptr first. - * We leave the LA in the running state we find it in. +/* + * ULP TX interrupt handler. */ -void t4_tp_read_la(struct adapter *adap, u64 *la_buf, unsigned int *wrptr) +static void ulptx_intr_handler(struct adapter *adapter) { - bool last_incomplete; - unsigned int i, cfg, val, idx; - - cfg = t4_read_reg(adap, A_TP_DBG_LA_CONFIG) & 0xffff; - if (cfg & F_DBGLAENABLE) /* freeze LA */ - t4_write_reg(adap, A_TP_DBG_LA_CONFIG, - adap->params.tp.la_mask | (cfg ^ F_DBGLAENABLE)); - - val = t4_read_reg(adap, A_TP_DBG_LA_CONFIG); - idx = G_DBGLAWPTR(val); - last_incomplete = G_DBGLAMODE(val) >= 2 && (val & F_DBGLAWHLF) == 0; - if (last_incomplete) - idx = (idx + 1) & M_DBGLARPTR; - if (wrptr) - *wrptr = idx; - - val &= 0xffff; - val &= ~V_DBGLARPTR(M_DBGLARPTR); - val |= adap->params.tp.la_mask; - - for (i = 0; i < TPLA_SIZE; i++) { - t4_write_reg(adap, A_TP_DBG_LA_CONFIG, V_DBGLARPTR(idx) | val); - la_buf[i] = t4_read_reg64(adap, A_TP_DBG_LA_DATAL); - idx = (idx + 1) & M_DBGLARPTR; - } - - /* Wipe out last entry if it isn't valid */ - if (last_incomplete) - la_buf[TPLA_SIZE - 1] = ~0ULL; + static const struct intr_info ulptx_intr_info[] = { + { F_PBL_BOUND_ERR_CH3, "ULPTX channel 3 PBL out of bounds", -1, + 0 }, + { F_PBL_BOUND_ERR_CH2, "ULPTX channel 2 PBL out of bounds", -1, + 0 }, + { F_PBL_BOUND_ERR_CH1, "ULPTX channel 1 PBL out of bounds", -1, + 0 }, + { F_PBL_BOUND_ERR_CH0, "ULPTX channel 0 PBL out of bounds", -1, + 0 }, + { 0xfffffff, "ULPTX parity error", -1, 1 }, + { 0 } + }; - if (cfg & F_DBGLAENABLE) /* restore running state */ - t4_write_reg(adap, A_TP_DBG_LA_CONFIG, - cfg | adap->params.tp.la_mask); + if (t4_handle_intr_status(adapter, A_ULP_TX_INT_CAUSE, ulptx_intr_info)) + t4_fatal_err(adapter); } -void t4_ulprx_read_la(struct adapter *adap, u32 *la_buf) +/* + * PM TX interrupt handler. + */ +static void pmtx_intr_handler(struct adapter *adapter) { - unsigned int i, j; - - for (i = 0; i < 8; i++) { - u32 *p = la_buf + i; + static const struct intr_info pmtx_intr_info[] = { + { F_PCMD_LEN_OVFL0, "PMTX channel 0 pcmd too large", -1, 1 }, + { F_PCMD_LEN_OVFL1, "PMTX channel 1 pcmd too large", -1, 1 }, + { F_PCMD_LEN_OVFL2, "PMTX channel 2 pcmd too large", -1, 1 }, + { F_ZERO_C_CMD_ERROR, "PMTX 0-length pcmd", -1, 1 }, + { 0xffffff0, "PMTX framing error", -1, 1 }, + { F_OESPI_PAR_ERROR, "PMTX oespi parity error", -1, 1 }, + { F_DB_OPTIONS_PAR_ERROR, "PMTX db_options parity error", -1, + 1 }, + { F_ICSPI_PAR_ERROR, "PMTX icspi parity error", -1, 1 }, + { F_C_PCMD_PAR_ERROR, "PMTX c_pcmd parity error", -1, 1}, + { 0 } + }; - t4_write_reg(adap, A_ULP_RX_LA_CTL, i); - j = t4_read_reg(adap, A_ULP_RX_LA_WRPTR); - t4_write_reg(adap, A_ULP_RX_LA_RDPTR, j); - for (j = 0; j < ULPRX_LA_SIZE; j++, p += 8) - *p = t4_read_reg(adap, A_ULP_RX_LA_RDDATA); - } + if (t4_handle_intr_status(adapter, A_PM_TX_INT_CAUSE, pmtx_intr_info)) + t4_fatal_err(adapter); } -#define ADVERT_MASK (FW_PORT_CAP_SPEED_100M | FW_PORT_CAP_SPEED_1G |\ - FW_PORT_CAP_SPEED_10G | FW_PORT_CAP_SPEED_40G | \ - FW_PORT_CAP_SPEED_100G | FW_PORT_CAP_ANEG) - -/** - * t4_link_start - apply link configuration to MAC/PHY - * @phy: the PHY to setup - * @mac: the MAC to setup - * @lc: the requested link configuration - * - * Set up a port's MAC and PHY according to a desired link configuration. - * - If the PHY can auto-negotiate first decide what to advertise, then - * enable/disable auto-negotiation as desired, and reset. - * - If the PHY does not auto-negotiate just reset it. - * - If auto-negotiation is off set the MAC to the proper speed/duplex/FC, - * otherwise do it later based on the outcome of auto-negotiation. +/* + * PM RX interrupt handler. */ -int t4_link_start(struct adapter *adap, unsigned int mbox, unsigned int port, - struct link_config *lc) +static void pmrx_intr_handler(struct adapter *adapter) { - struct fw_port_cmd c; - unsigned int fc = 0, mdi = V_FW_PORT_CAP_MDI(FW_PORT_CAP_MDI_AUTO); - - lc->link_ok = 0; - if (lc->requested_fc & PAUSE_RX) - fc |= FW_PORT_CAP_FC_RX; - if (lc->requested_fc & PAUSE_TX) - fc |= FW_PORT_CAP_FC_TX; + static const struct intr_info pmrx_intr_info[] = { + { F_ZERO_E_CMD_ERROR, "PMRX 0-length pcmd", -1, 1 }, + { 0x3ffff0, "PMRX framing error", -1, 1 }, + { F_OCSPI_PAR_ERROR, "PMRX ocspi parity error", -1, 1 }, + { F_DB_OPTIONS_PAR_ERROR, "PMRX db_options parity error", -1, + 1 }, + { F_IESPI_PAR_ERROR, "PMRX iespi parity error", -1, 1 }, + { F_E_PCMD_PAR_ERROR, "PMRX e_pcmd parity error", -1, 1}, + { 0 } + }; - memset(&c, 0, sizeof(c)); - c.op_to_portid = htonl(V_FW_CMD_OP(FW_PORT_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_PORT_CMD_PORTID(port)); - c.action_to_len16 = htonl(V_FW_PORT_CMD_ACTION(FW_PORT_ACTION_L1_CFG) | - FW_LEN16(c)); + if (t4_handle_intr_status(adapter, A_PM_RX_INT_CAUSE, pmrx_intr_info)) + t4_fatal_err(adapter); +} - if (!(lc->supported & FW_PORT_CAP_ANEG)) { - c.u.l1cfg.rcap = htonl((lc->supported & ADVERT_MASK) | fc); - lc->fc = lc->requested_fc & (PAUSE_RX | PAUSE_TX); - } else if (lc->autoneg == AUTONEG_DISABLE) { - c.u.l1cfg.rcap = htonl(lc->requested_speed | fc | mdi); - lc->fc = lc->requested_fc & (PAUSE_RX | PAUSE_TX); - } else - c.u.l1cfg.rcap = htonl(lc->advertising | fc | mdi); - - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); -} - -/** - * t4_restart_aneg - restart autonegotiation - * @adap: the adapter - * @mbox: mbox to use for the FW command - * @port: the port id - * - * Restarts autonegotiation for the selected port. +/* + * CPL switch interrupt handler. */ -int t4_restart_aneg(struct adapter *adap, unsigned int mbox, unsigned int port) +static void cplsw_intr_handler(struct adapter *adapter) { - struct fw_port_cmd c; + static const struct intr_info cplsw_intr_info[] = { + { F_CIM_OP_MAP_PERR, "CPLSW CIM op_map parity error", -1, 1 }, + { F_CIM_OVFL_ERROR, "CPLSW CIM overflow", -1, 1 }, + { F_TP_FRAMING_ERROR, "CPLSW TP framing error", -1, 1 }, + { F_SGE_FRAMING_ERROR, "CPLSW SGE framing error", -1, 1 }, + { F_CIM_FRAMING_ERROR, "CPLSW CIM framing error", -1, 1 }, + { F_ZERO_SWITCH_ERROR, "CPLSW no-switch error", -1, 1 }, + { 0 } + }; - memset(&c, 0, sizeof(c)); - c.op_to_portid = htonl(V_FW_CMD_OP(FW_PORT_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_PORT_CMD_PORTID(port)); - c.action_to_len16 = htonl(V_FW_PORT_CMD_ACTION(FW_PORT_ACTION_L1_CFG) | - FW_LEN16(c)); - c.u.l1cfg.rcap = htonl(FW_PORT_CAP_ANEG); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + if (t4_handle_intr_status(adapter, A_CPL_INTR_CAUSE, cplsw_intr_info)) + t4_fatal_err(adapter); } -struct intr_info { - unsigned int mask; /* bits to check in interrupt status */ - const char *msg; /* message to print or NULL */ - short stat_idx; /* stat counter to increment or -1 */ - unsigned short fatal; /* whether the condition reported is fatal */ -}; - -/** - * t4_handle_intr_status - table driven interrupt handler - * @adapter: the adapter that generated the interrupt - * @reg: the interrupt status register to process - * @acts: table of interrupt actions - * - * A table driven interrupt handler that applies a set of masks to an - * interrupt status word and performs the corresponding actions if the - * interrupts described by the mask have occured. The actions include - * optionally emitting a warning or alert message. The table is terminated - * by an entry specifying mask 0. Returns the number of fatal interrupt - * conditions. +/* + * LE interrupt handler. */ -static int t4_handle_intr_status(struct adapter *adapter, unsigned int reg, - const struct intr_info *acts) +static void le_intr_handler(struct adapter *adap) { - int fatal = 0; - unsigned int mask = 0; - unsigned int status = t4_read_reg(adapter, reg); + unsigned int chip_ver = chip_id(adap); + static const struct intr_info le_intr_info[] = { + { F_LIPMISS, "LE LIP miss", -1, 0 }, + { F_LIP0, "LE 0 LIP error", -1, 0 }, + { F_PARITYERR, "LE parity error", -1, 1 }, + { F_UNKNOWNCMD, "LE unknown command", -1, 1 }, + { F_REQQPARERR, "LE request queue parity error", -1, 1 }, + { 0 } + }; - for ( ; acts->mask; ++acts) { - if (!(status & acts->mask)) - continue; - if (acts->fatal) { - fatal++; - CH_ALERT(adapter, "%s (0x%x)\n", - acts->msg, status & acts->mask); - } else if (acts->msg) - CH_WARN_RATELIMIT(adapter, "%s (0x%x)\n", - acts->msg, status & acts->mask); - mask |= acts->mask; - } - status &= mask; - if (status) /* clear processed interrupts */ - t4_write_reg(adapter, reg, status); - return fatal; + static const struct intr_info t6_le_intr_info[] = { + { F_T6_LIPMISS, "LE LIP miss", -1, 0 }, + { F_T6_LIP0, "LE 0 LIP error", -1, 0 }, + { F_TCAMINTPERR, "LE parity error", -1, 1 }, + { F_T6_UNKNOWNCMD, "LE unknown command", -1, 1 }, + { F_SSRAMINTPERR, "LE request queue parity error", -1, 1 }, + { 0 } + }; + + if (t4_handle_intr_status(adap, A_LE_DB_INT_CAUSE, + (chip_ver <= CHELSIO_T5) ? + le_intr_info : t6_le_intr_info)) + t4_fatal_err(adap); } /* - * Interrupt handler for the PCIE module. + * MPS interrupt handler. */ -static void pcie_intr_handler(struct adapter *adapter) +static void mps_intr_handler(struct adapter *adapter) { - static struct intr_info sysbus_intr_info[] = { - { F_RNPP, "RXNP array parity error", -1, 1 }, - { F_RPCP, "RXPC array parity error", -1, 1 }, - { F_RCIP, "RXCIF array parity error", -1, 1 }, - { F_RCCP, "Rx completions control array parity error", -1, 1 }, - { F_RFTP, "RXFT array parity error", -1, 1 }, + static const struct intr_info mps_rx_intr_info[] = { + { 0xffffff, "MPS Rx parity error", -1, 1 }, { 0 } }; - static struct intr_info pcie_port_intr_info[] = { - { F_TPCP, "TXPC array parity error", -1, 1 }, - { F_TNPP, "TXNP array parity error", -1, 1 }, - { F_TFTP, "TXFT array parity error", -1, 1 }, - { F_TCAP, "TXCA array parity error", -1, 1 }, - { F_TCIP, "TXCIF array parity error", -1, 1 }, - { F_RCAP, "RXCA array parity error", -1, 1 }, - { F_OTDD, "outbound request TLP discarded", -1, 1 }, - { F_RDPE, "Rx data parity error", -1, 1 }, - { F_TDUE, "Tx uncorrectable data error", -1, 1 }, + static const struct intr_info mps_tx_intr_info[] = { + { V_TPFIFO(M_TPFIFO), "MPS Tx TP FIFO parity error", -1, 1 }, + { F_NCSIFIFO, "MPS Tx NC-SI FIFO parity error", -1, 1 }, + { V_TXDATAFIFO(M_TXDATAFIFO), "MPS Tx data FIFO parity error", + -1, 1 }, + { V_TXDESCFIFO(M_TXDESCFIFO), "MPS Tx desc FIFO parity error", + -1, 1 }, + { F_BUBBLE, "MPS Tx underflow", -1, 1 }, + { F_SECNTERR, "MPS Tx SOP/EOP error", -1, 1 }, + { F_FRMERR, "MPS Tx framing error", -1, 1 }, { 0 } }; - static struct intr_info pcie_intr_info[] = { - { F_MSIADDRLPERR, "MSI AddrL parity error", -1, 1 }, - { F_MSIADDRHPERR, "MSI AddrH parity error", -1, 1 }, - { F_MSIDATAPERR, "MSI data parity error", -1, 1 }, - { F_MSIXADDRLPERR, "MSI-X AddrL parity error", -1, 1 }, - { F_MSIXADDRHPERR, "MSI-X AddrH parity error", -1, 1 }, - { F_MSIXDATAPERR, "MSI-X data parity error", -1, 1 }, - { F_MSIXDIPERR, "MSI-X DI parity error", -1, 1 }, - { F_PIOCPLPERR, "PCI PIO completion FIFO parity error", -1, 1 }, - { F_PIOREQPERR, "PCI PIO request FIFO parity error", -1, 1 }, - { F_TARTAGPERR, "PCI PCI target tag FIFO parity error", -1, 1 }, - { F_CCNTPERR, "PCI CMD channel count parity error", -1, 1 }, - { F_CREQPERR, "PCI CMD channel request parity error", -1, 1 }, - { F_CRSPPERR, "PCI CMD channel response parity error", -1, 1 }, - { F_DCNTPERR, "PCI DMA channel count parity error", -1, 1 }, - { F_DREQPERR, "PCI DMA channel request parity error", -1, 1 }, - { F_DRSPPERR, "PCI DMA channel response parity error", -1, 1 }, - { F_HCNTPERR, "PCI HMA channel count parity error", -1, 1 }, - { F_HREQPERR, "PCI HMA channel request parity error", -1, 1 }, - { F_HRSPPERR, "PCI HMA channel response parity error", -1, 1 }, - { F_CFGSNPPERR, "PCI config snoop FIFO parity error", -1, 1 }, - { F_FIDPERR, "PCI FID parity error", -1, 1 }, - { F_INTXCLRPERR, "PCI INTx clear parity error", -1, 1 }, - { F_MATAGPERR, "PCI MA tag parity error", -1, 1 }, - { F_PIOTAGPERR, "PCI PIO tag parity error", -1, 1 }, - { F_RXCPLPERR, "PCI Rx completion parity error", -1, 1 }, - { F_RXWRPERR, "PCI Rx write parity error", -1, 1 }, - { F_RPLPERR, "PCI replay buffer parity error", -1, 1 }, - { F_PCIESINT, "PCI core secondary fault", -1, 1 }, - { F_PCIEPINT, "PCI core primary fault", -1, 1 }, - { F_UNXSPLCPLERR, "PCI unexpected split completion error", -1, - 0 }, + static const struct intr_info mps_trc_intr_info[] = { + { V_FILTMEM(M_FILTMEM), "MPS TRC filter parity error", -1, 1 }, + { V_PKTFIFO(M_PKTFIFO), "MPS TRC packet FIFO parity error", -1, + 1 }, + { F_MISCPERR, "MPS TRC misc parity error", -1, 1 }, { 0 } }; - - static struct intr_info t5_pcie_intr_info[] = { - { F_MSTGRPPERR, "Master Response Read Queue parity error", - -1, 1 }, - { F_MSTTIMEOUTPERR, "Master Timeout FIFO parity error", -1, 1 }, - { F_MSIXSTIPERR, "MSI-X STI SRAM parity error", -1, 1 }, - { F_MSIXADDRLPERR, "MSI-X AddrL parity error", -1, 1 }, - { F_MSIXADDRHPERR, "MSI-X AddrH parity error", -1, 1 }, - { F_MSIXDATAPERR, "MSI-X data parity error", -1, 1 }, - { F_MSIXDIPERR, "MSI-X DI parity error", -1, 1 }, - { F_PIOCPLGRPPERR, "PCI PIO completion Group FIFO parity error", - -1, 1 }, - { F_PIOREQGRPPERR, "PCI PIO request Group FIFO parity error", - -1, 1 }, - { F_TARTAGPERR, "PCI PCI target tag FIFO parity error", -1, 1 }, - { F_MSTTAGQPERR, "PCI master tag queue parity error", -1, 1 }, - { F_CREQPERR, "PCI CMD channel request parity error", -1, 1 }, - { F_CRSPPERR, "PCI CMD channel response parity error", -1, 1 }, - { F_DREQWRPERR, "PCI DMA channel write request parity error", - -1, 1 }, - { F_DREQPERR, "PCI DMA channel request parity error", -1, 1 }, - { F_DRSPPERR, "PCI DMA channel response parity error", -1, 1 }, - { F_HREQWRPERR, "PCI HMA channel count parity error", -1, 1 }, - { F_HREQPERR, "PCI HMA channel request parity error", -1, 1 }, - { F_HRSPPERR, "PCI HMA channel response parity error", -1, 1 }, - { F_CFGSNPPERR, "PCI config snoop FIFO parity error", -1, 1 }, - { F_FIDPERR, "PCI FID parity error", -1, 1 }, - { F_VFIDPERR, "PCI INTx clear parity error", -1, 1 }, - { F_MAGRPPERR, "PCI MA group FIFO parity error", -1, 1 }, - { F_PIOTAGPERR, "PCI PIO tag parity error", -1, 1 }, - { F_IPRXHDRGRPPERR, "PCI IP Rx header group parity error", - -1, 1 }, - { F_IPRXDATAGRPPERR, "PCI IP Rx data group parity error", - -1, 1 }, - { F_RPLPERR, "PCI IP replay buffer parity error", -1, 1 }, - { F_IPSOTPERR, "PCI IP SOT buffer parity error", -1, 1 }, - { F_TRGT1GRPPERR, "PCI TRGT1 group FIFOs parity error", -1, 1 }, - { F_READRSPERR, "Outbound read error", -1, - 0 }, + static const struct intr_info mps_stat_sram_intr_info[] = { + { 0x1fffff, "MPS statistics SRAM parity error", -1, 1 }, + { 0 } + }; + static const struct intr_info mps_stat_tx_intr_info[] = { + { 0xfffff, "MPS statistics Tx FIFO parity error", -1, 1 }, + { 0 } + }; + static const struct intr_info mps_stat_rx_intr_info[] = { + { 0xffffff, "MPS statistics Rx FIFO parity error", -1, 1 }, + { 0 } + }; + static const struct intr_info mps_cls_intr_info[] = { + { F_MATCHSRAM, "MPS match SRAM parity error", -1, 1 }, + { F_MATCHTCAM, "MPS match TCAM parity error", -1, 1 }, + { F_HASHSRAM, "MPS hash SRAM parity error", -1, 1 }, { 0 } }; int fat; - if (is_t4(adapter)) - fat = t4_handle_intr_status(adapter, - A_PCIE_CORE_UTL_SYSTEM_BUS_AGENT_STATUS, - sysbus_intr_info) + - t4_handle_intr_status(adapter, - A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_STATUS, - pcie_port_intr_info) + - t4_handle_intr_status(adapter, A_PCIE_INT_CAUSE, - pcie_intr_info); - else - fat = t4_handle_intr_status(adapter, A_PCIE_INT_CAUSE, - t5_pcie_intr_info); + fat = t4_handle_intr_status(adapter, A_MPS_RX_PERR_INT_CAUSE, + mps_rx_intr_info) + + t4_handle_intr_status(adapter, A_MPS_TX_INT_CAUSE, + mps_tx_intr_info) + + t4_handle_intr_status(adapter, A_MPS_TRC_INT_CAUSE, + mps_trc_intr_info) + + t4_handle_intr_status(adapter, A_MPS_STAT_PERR_INT_CAUSE_SRAM, + mps_stat_sram_intr_info) + + t4_handle_intr_status(adapter, A_MPS_STAT_PERR_INT_CAUSE_TX_FIFO, + mps_stat_tx_intr_info) + + t4_handle_intr_status(adapter, A_MPS_STAT_PERR_INT_CAUSE_RX_FIFO, + mps_stat_rx_intr_info) + + t4_handle_intr_status(adapter, A_MPS_CLS_INT_CAUSE, + mps_cls_intr_info); + + t4_write_reg(adapter, A_MPS_INT_CAUSE, 0); + t4_read_reg(adapter, A_MPS_INT_CAUSE); /* flush */ if (fat) t4_fatal_err(adapter); } +#define MEM_INT_MASK (F_PERR_INT_CAUSE | F_ECC_CE_INT_CAUSE | \ + F_ECC_UE_INT_CAUSE) + /* - * TP interrupt handler. + * EDC/MC interrupt handler. */ -static void tp_intr_handler(struct adapter *adapter) +static void mem_intr_handler(struct adapter *adapter, int idx) { - static struct intr_info tp_intr_info[] = { - { 0x3fffffff, "TP parity error", -1, 1 }, - { F_FLMTXFLSTEMPTY, "TP out of Tx pages", -1, 1 }, - { 0 } - }; + static const char name[4][7] = { "EDC0", "EDC1", "MC/MC0", "MC1" }; - if (t4_handle_intr_status(adapter, A_TP_INT_CAUSE, tp_intr_info)) + unsigned int addr, cnt_addr, v; + + if (idx <= MEM_EDC1) { + addr = EDC_REG(A_EDC_INT_CAUSE, idx); + cnt_addr = EDC_REG(A_EDC_ECC_STATUS, idx); + } else if (idx == MEM_MC) { + if (is_t4(adapter)) { + addr = A_MC_INT_CAUSE; + cnt_addr = A_MC_ECC_STATUS; + } else { + addr = A_MC_P_INT_CAUSE; + cnt_addr = A_MC_P_ECC_STATUS; + } + } else { + addr = MC_REG(A_MC_P_INT_CAUSE, 1); + cnt_addr = MC_REG(A_MC_P_ECC_STATUS, 1); + } + + v = t4_read_reg(adapter, addr) & MEM_INT_MASK; + if (v & F_PERR_INT_CAUSE) + CH_ALERT(adapter, "%s FIFO parity error\n", + name[idx]); + if (v & F_ECC_CE_INT_CAUSE) { + u32 cnt = G_ECC_CECNT(t4_read_reg(adapter, cnt_addr)); + + t4_edc_err_read(adapter, idx); + + t4_write_reg(adapter, cnt_addr, V_ECC_CECNT(M_ECC_CECNT)); + CH_WARN_RATELIMIT(adapter, + "%u %s correctable ECC data error%s\n", + cnt, name[idx], cnt > 1 ? "s" : ""); + } + if (v & F_ECC_UE_INT_CAUSE) + CH_ALERT(adapter, + "%s uncorrectable ECC data error\n", name[idx]); + + t4_write_reg(adapter, addr, v); + if (v & (F_PERR_INT_CAUSE | F_ECC_UE_INT_CAUSE)) t4_fatal_err(adapter); } /* - * SGE interrupt handler. + * MA interrupt handler. */ -static void sge_intr_handler(struct adapter *adapter) +static void ma_intr_handler(struct adapter *adapter) { - u64 v; - u32 err; + u32 v, status = t4_read_reg(adapter, A_MA_INT_CAUSE); - static struct intr_info sge_intr_info[] = { - { F_ERR_CPL_EXCEED_IQE_SIZE, - "SGE received CPL exceeding IQE size", -1, 1 }, - { F_ERR_INVALID_CIDX_INC, - "SGE GTS CIDX increment too large", -1, 0 }, - { F_ERR_CPL_OPCODE_0, "SGE received 0-length CPL", -1, 0 }, - { F_ERR_DROPPED_DB, "SGE doorbell dropped", -1, 0 }, - { F_ERR_DATA_CPL_ON_HIGH_QID1 | F_ERR_DATA_CPL_ON_HIGH_QID0, - "SGE IQID > 1023 received CPL for FL", -1, 0 }, - { F_ERR_BAD_DB_PIDX3, "SGE DBP 3 pidx increment too large", -1, - 0 }, - { F_ERR_BAD_DB_PIDX2, "SGE DBP 2 pidx increment too large", -1, - 0 }, - { F_ERR_BAD_DB_PIDX1, "SGE DBP 1 pidx increment too large", -1, - 0 }, - { F_ERR_BAD_DB_PIDX0, "SGE DBP 0 pidx increment too large", -1, - 0 }, - { F_ERR_ING_CTXT_PRIO, - "SGE too many priority ingress contexts", -1, 0 }, - { F_ERR_EGR_CTXT_PRIO, - "SGE too many priority egress contexts", -1, 0 }, - { F_INGRESS_SIZE_ERR, "SGE illegal ingress QID", -1, 0 }, - { F_EGRESS_SIZE_ERR, "SGE illegal egress QID", -1, 0 }, + if (status & F_MEM_PERR_INT_CAUSE) { + CH_ALERT(adapter, + "MA parity error, parity status %#x\n", + t4_read_reg(adapter, A_MA_PARITY_ERROR_STATUS1)); + if (is_t5(adapter)) + CH_ALERT(adapter, + "MA parity error, parity status %#x\n", + t4_read_reg(adapter, + A_MA_PARITY_ERROR_STATUS2)); + } + if (status & F_MEM_WRAP_INT_CAUSE) { + v = t4_read_reg(adapter, A_MA_INT_WRAP_STATUS); + CH_ALERT(adapter, "MA address wrap-around error by " + "client %u to address %#x\n", + G_MEM_WRAP_CLIENT_NUM(v), + G_MEM_WRAP_ADDRESS(v) << 4); + } + t4_write_reg(adapter, A_MA_INT_CAUSE, status); + t4_fatal_err(adapter); +} + +/* + * SMB interrupt handler. + */ +static void smb_intr_handler(struct adapter *adap) +{ + static const struct intr_info smb_intr_info[] = { + { F_MSTTXFIFOPARINT, "SMB master Tx FIFO parity error", -1, 1 }, + { F_MSTRXFIFOPARINT, "SMB master Rx FIFO parity error", -1, 1 }, + { F_SLVFIFOPARINT, "SMB slave FIFO parity error", -1, 1 }, { 0 } }; - v = (u64)t4_read_reg(adapter, A_SGE_INT_CAUSE1) | - ((u64)t4_read_reg(adapter, A_SGE_INT_CAUSE2) << 32); - if (v) { - CH_ALERT(adapter, "SGE parity error (%#llx)\n", - (unsigned long long)v); - t4_write_reg(adapter, A_SGE_INT_CAUSE1, v); - t4_write_reg(adapter, A_SGE_INT_CAUSE2, v >> 32); - } - - v |= t4_handle_intr_status(adapter, A_SGE_INT_CAUSE3, sge_intr_info); + if (t4_handle_intr_status(adap, A_SMB_INT_CAUSE, smb_intr_info)) + t4_fatal_err(adap); +} - err = t4_read_reg(adapter, A_SGE_ERROR_STATS); - if (err & F_ERROR_QID_VALID) { - CH_ERR(adapter, "SGE error for queue %u\n", G_ERROR_QID(err)); - if (err & F_UNCAPTURED_ERROR) - CH_ERR(adapter, "SGE UNCAPTURED_ERROR set (clearing)\n"); - t4_write_reg(adapter, A_SGE_ERROR_STATS, F_ERROR_QID_VALID | - F_UNCAPTURED_ERROR); - } +/* + * NC-SI interrupt handler. + */ +static void ncsi_intr_handler(struct adapter *adap) +{ + static const struct intr_info ncsi_intr_info[] = { + { F_CIM_DM_PRTY_ERR, "NC-SI CIM parity error", -1, 1 }, + { F_MPS_DM_PRTY_ERR, "NC-SI MPS parity error", -1, 1 }, + { F_TXFIFO_PRTY_ERR, "NC-SI Tx FIFO parity error", -1, 1 }, + { F_RXFIFO_PRTY_ERR, "NC-SI Rx FIFO parity error", -1, 1 }, + { 0 } + }; - if (v != 0) - t4_fatal_err(adapter); + if (t4_handle_intr_status(adap, A_NCSI_INT_CAUSE, ncsi_intr_info)) + t4_fatal_err(adap); } -#define CIM_OBQ_INTR (F_OBQULP0PARERR | F_OBQULP1PARERR | F_OBQULP2PARERR |\ - F_OBQULP3PARERR | F_OBQSGEPARERR | F_OBQNCSIPARERR) -#define CIM_IBQ_INTR (F_IBQTP0PARERR | F_IBQTP1PARERR | F_IBQULPPARERR |\ - F_IBQSGEHIPARERR | F_IBQSGELOPARERR | F_IBQNCSIPARERR) +/* + * XGMAC interrupt handler. + */ +static void xgmac_intr_handler(struct adapter *adap, int port) +{ + u32 v, int_cause_reg; + + if (is_t4(adap)) + int_cause_reg = PORT_REG(port, A_XGMAC_PORT_INT_CAUSE); + else + int_cause_reg = T5_PORT_REG(port, A_MAC_PORT_INT_CAUSE); + + v = t4_read_reg(adap, int_cause_reg); + + v &= (F_TXFIFO_PRTY_ERR | F_RXFIFO_PRTY_ERR); + if (!v) + return; + + if (v & F_TXFIFO_PRTY_ERR) + CH_ALERT(adap, "XGMAC %d Tx FIFO parity error\n", + port); + if (v & F_RXFIFO_PRTY_ERR) + CH_ALERT(adap, "XGMAC %d Rx FIFO parity error\n", + port); + t4_write_reg(adap, int_cause_reg, v); + t4_fatal_err(adap); +} /* - * CIM interrupt handler. + * PL interrupt handler. */ -static void cim_intr_handler(struct adapter *adapter) +static void pl_intr_handler(struct adapter *adap) { - static struct intr_info cim_intr_info[] = { - { F_PREFDROPINT, "CIM control register prefetch drop", -1, 1 }, - { CIM_OBQ_INTR, "CIM OBQ parity error", -1, 1 }, - { CIM_IBQ_INTR, "CIM IBQ parity error", -1, 1 }, - { F_MBUPPARERR, "CIM mailbox uP parity error", -1, 1 }, - { F_MBHOSTPARERR, "CIM mailbox host parity error", -1, 1 }, - { F_TIEQINPARERRINT, "CIM TIEQ outgoing parity error", -1, 1 }, - { F_TIEQOUTPARERRINT, "CIM TIEQ incoming parity error", -1, 1 }, + static const struct intr_info pl_intr_info[] = { + { F_FATALPERR, "Fatal parity error", -1, 1 }, + { F_PERRVFID, "PL VFID_MAP parity error", -1, 1 }, { 0 } }; - static struct intr_info cim_upintr_info[] = { - { F_RSVDSPACEINT, "CIM reserved space access", -1, 1 }, - { F_ILLTRANSINT, "CIM illegal transaction", -1, 1 }, - { F_ILLWRINT, "CIM illegal write", -1, 1 }, - { F_ILLRDINT, "CIM illegal read", -1, 1 }, - { F_ILLRDBEINT, "CIM illegal read BE", -1, 1 }, - { F_ILLWRBEINT, "CIM illegal write BE", -1, 1 }, - { F_SGLRDBOOTINT, "CIM single read from boot space", -1, 1 }, - { F_SGLWRBOOTINT, "CIM single write to boot space", -1, 1 }, - { F_BLKWRBOOTINT, "CIM block write to boot space", -1, 1 }, - { F_SGLRDFLASHINT, "CIM single read from flash space", -1, 1 }, - { F_SGLWRFLASHINT, "CIM single write to flash space", -1, 1 }, - { F_BLKWRFLASHINT, "CIM block write to flash space", -1, 1 }, - { F_SGLRDEEPROMINT, "CIM single EEPROM read", -1, 1 }, - { F_SGLWREEPROMINT, "CIM single EEPROM write", -1, 1 }, - { F_BLKRDEEPROMINT, "CIM block EEPROM read", -1, 1 }, - { F_BLKWREEPROMINT, "CIM block EEPROM write", -1, 1 }, - { F_SGLRDCTLINT , "CIM single read from CTL space", -1, 1 }, - { F_SGLWRCTLINT , "CIM single write to CTL space", -1, 1 }, - { F_BLKRDCTLINT , "CIM block read from CTL space", -1, 1 }, - { F_BLKWRCTLINT , "CIM block write to CTL space", -1, 1 }, - { F_SGLRDPLINT , "CIM single read from PL space", -1, 1 }, - { F_SGLWRPLINT , "CIM single write to PL space", -1, 1 }, - { F_BLKRDPLINT , "CIM block read from PL space", -1, 1 }, - { F_BLKWRPLINT , "CIM block write to PL space", -1, 1 }, - { F_REQOVRLOOKUPINT , "CIM request FIFO overwrite", -1, 1 }, - { F_RSPOVRLOOKUPINT , "CIM response FIFO overwrite", -1, 1 }, - { F_TIMEOUTINT , "CIM PIF timeout", -1, 1 }, - { F_TIMEOUTMAINT , "CIM PIF MA timeout", -1, 1 }, + + static const struct intr_info t5_pl_intr_info[] = { + { F_FATALPERR, "Fatal parity error", -1, 1 }, { 0 } }; - int fat; - if (t4_read_reg(adapter, A_PCIE_FW) & F_PCIE_FW_ERR) - t4_report_fw_error(adapter); + if (t4_handle_intr_status(adap, A_PL_PL_INT_CAUSE, + is_t4(adap) ? + pl_intr_info : t5_pl_intr_info)) + t4_fatal_err(adap); +} + +#define PF_INTR_MASK (F_PFSW | F_PFCIM) + +/** + * t4_slow_intr_handler - control path interrupt handler + * @adapter: the adapter + * + * T4 interrupt handler for non-data global interrupt events, e.g., errors. + * The designation 'slow' is because it involves register reads, while + * data interrupts typically don't involve any MMIOs. + */ +int t4_slow_intr_handler(struct adapter *adapter) +{ + u32 cause = t4_read_reg(adapter, A_PL_INT_CAUSE); + + if (!(cause & GLBL_INTR_MASK)) + return 0; + if (cause & F_CIM) + cim_intr_handler(adapter); + if (cause & F_MPS) + mps_intr_handler(adapter); + if (cause & F_NCSI) + ncsi_intr_handler(adapter); + if (cause & F_PL) + pl_intr_handler(adapter); + if (cause & F_SMB) + smb_intr_handler(adapter); + if (cause & F_MAC0) + xgmac_intr_handler(adapter, 0); + if (cause & F_MAC1) + xgmac_intr_handler(adapter, 1); + if (cause & F_MAC2) + xgmac_intr_handler(adapter, 2); + if (cause & F_MAC3) + xgmac_intr_handler(adapter, 3); + if (cause & F_PCIE) + pcie_intr_handler(adapter); + if (cause & F_MC0) + mem_intr_handler(adapter, MEM_MC); + if (is_t5(adapter) && (cause & F_MC1)) + mem_intr_handler(adapter, MEM_MC1); + if (cause & F_EDC0) + mem_intr_handler(adapter, MEM_EDC0); + if (cause & F_EDC1) + mem_intr_handler(adapter, MEM_EDC1); + if (cause & F_LE) + le_intr_handler(adapter); + if (cause & F_TP) + tp_intr_handler(adapter); + if (cause & F_MA) + ma_intr_handler(adapter); + if (cause & F_PM_TX) + pmtx_intr_handler(adapter); + if (cause & F_PM_RX) + pmrx_intr_handler(adapter); + if (cause & F_ULP_RX) + ulprx_intr_handler(adapter); + if (cause & F_CPL_SWITCH) + cplsw_intr_handler(adapter); + if (cause & F_SGE) + sge_intr_handler(adapter); + if (cause & F_ULP_TX) + ulptx_intr_handler(adapter); + + /* Clear the interrupts just processed for which we are the master. */ + t4_write_reg(adapter, A_PL_INT_CAUSE, cause & GLBL_INTR_MASK); + (void)t4_read_reg(adapter, A_PL_INT_CAUSE); /* flush */ + return 1; +} + +/** + * t4_intr_enable - enable interrupts + * @adapter: the adapter whose interrupts should be enabled + * + * Enable PF-specific interrupts for the calling function and the top-level + * interrupt concentrator for global interrupts. Interrupts are already + * enabled at each module, here we just enable the roots of the interrupt + * hierarchies. + * + * Note: this function should be called only when the driver manages + * non PF-specific interrupts from the various HW modules. Only one PCI + * function at a time should be doing this. + */ +void t4_intr_enable(struct adapter *adapter) +{ + u32 val = 0; + u32 whoami = t4_read_reg(adapter, A_PL_WHOAMI); + u32 pf = (chip_id(adapter) <= CHELSIO_T5 + ? G_SOURCEPF(whoami) + : G_T6_SOURCEPF(whoami)); + + if (chip_id(adapter) <= CHELSIO_T5) + val = F_ERR_DROPPED_DB | F_ERR_EGR_CTXT_PRIO | F_DBFIFO_HP_INT; + else + val = F_ERR_PCIE_ERROR0 | F_ERR_PCIE_ERROR1 | F_FATAL_WRE_LEN; + t4_write_reg(adapter, A_SGE_INT_ENABLE3, F_ERR_CPL_EXCEED_IQE_SIZE | + F_ERR_INVALID_CIDX_INC | F_ERR_CPL_OPCODE_0 | + F_ERR_DATA_CPL_ON_HIGH_QID1 | F_INGRESS_SIZE_ERR | + F_ERR_DATA_CPL_ON_HIGH_QID0 | F_ERR_BAD_DB_PIDX3 | + F_ERR_BAD_DB_PIDX2 | F_ERR_BAD_DB_PIDX1 | + F_ERR_BAD_DB_PIDX0 | F_ERR_ING_CTXT_PRIO | + F_DBFIFO_LP_INT | F_EGRESS_SIZE_ERR | val); + t4_write_reg(adapter, MYPF_REG(A_PL_PF_INT_ENABLE), PF_INTR_MASK); + t4_set_reg_field(adapter, A_PL_INT_MAP0, 0, 1 << pf); +} + +/** + * t4_intr_disable - disable interrupts + * @adapter: the adapter whose interrupts should be disabled + * + * Disable interrupts. We only disable the top-level interrupt + * concentrators. The caller must be a PCI function managing global + * interrupts. + */ +void t4_intr_disable(struct adapter *adapter) +{ + u32 whoami = t4_read_reg(adapter, A_PL_WHOAMI); + u32 pf = (chip_id(adapter) <= CHELSIO_T5 + ? G_SOURCEPF(whoami) + : G_T6_SOURCEPF(whoami)); + + t4_write_reg(adapter, MYPF_REG(A_PL_PF_INT_ENABLE), 0); + t4_set_reg_field(adapter, A_PL_INT_MAP0, 1 << pf, 0); +} + +/** + * t4_intr_clear - clear all interrupts + * @adapter: the adapter whose interrupts should be cleared + * + * Clears all interrupts. The caller must be a PCI function managing + * global interrupts. + */ +void t4_intr_clear(struct adapter *adapter) +{ + static const unsigned int cause_reg[] = { + A_SGE_INT_CAUSE1, A_SGE_INT_CAUSE2, A_SGE_INT_CAUSE3, + A_PCIE_NONFAT_ERR, A_PCIE_INT_CAUSE, + A_MA_INT_WRAP_STATUS, A_MA_PARITY_ERROR_STATUS1, A_MA_INT_CAUSE, + A_EDC_INT_CAUSE, EDC_REG(A_EDC_INT_CAUSE, 1), + A_CIM_HOST_INT_CAUSE, A_CIM_HOST_UPACC_INT_CAUSE, + MYPF_REG(A_CIM_PF_HOST_INT_CAUSE), + A_TP_INT_CAUSE, + A_ULP_RX_INT_CAUSE, A_ULP_TX_INT_CAUSE, + A_PM_RX_INT_CAUSE, A_PM_TX_INT_CAUSE, + A_MPS_RX_PERR_INT_CAUSE, + A_CPL_INTR_CAUSE, + MYPF_REG(A_PL_PF_INT_CAUSE), + A_PL_PL_INT_CAUSE, + A_LE_DB_INT_CAUSE, + }; + + unsigned int i; + + for (i = 0; i < ARRAY_SIZE(cause_reg); ++i) + t4_write_reg(adapter, cause_reg[i], 0xffffffff); + + t4_write_reg(adapter, is_t4(adapter) ? A_MC_INT_CAUSE : + A_MC_P_INT_CAUSE, 0xffffffff); + + if (is_t4(adapter)) { + t4_write_reg(adapter, A_PCIE_CORE_UTL_SYSTEM_BUS_AGENT_STATUS, + 0xffffffff); + t4_write_reg(adapter, A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_STATUS, + 0xffffffff); + } else + t4_write_reg(adapter, A_MA_PARITY_ERROR_STATUS2, 0xffffffff); + + t4_write_reg(adapter, A_PL_INT_CAUSE, GLBL_INTR_MASK); + (void) t4_read_reg(adapter, A_PL_INT_CAUSE); /* flush */ +} + +/** + * hash_mac_addr - return the hash value of a MAC address + * @addr: the 48-bit Ethernet MAC address + * + * Hashes a MAC address according to the hash function used by HW inexact + * (hash) address matching. + */ +static int hash_mac_addr(const u8 *addr) +{ + u32 a = ((u32)addr[0] << 16) | ((u32)addr[1] << 8) | addr[2]; + u32 b = ((u32)addr[3] << 16) | ((u32)addr[4] << 8) | addr[5]; + a ^= b; + a ^= (a >> 12); + a ^= (a >> 6); + return a & 0x3f; +} + +/** + * t4_config_rss_range - configure a portion of the RSS mapping table + * @adapter: the adapter + * @mbox: mbox to use for the FW command + * @viid: virtual interface whose RSS subtable is to be written + * @start: start entry in the table to write + * @n: how many table entries to write + * @rspq: values for the "response queue" (Ingress Queue) lookup table + * @nrspq: number of values in @rspq + * + * Programs the selected part of the VI's RSS mapping table with the + * provided values. If @nrspq < @n the supplied values are used repeatedly + * until the full table range is populated. + * + * The caller must ensure the values in @rspq are in the range allowed for + * @viid. + */ +int t4_config_rss_range(struct adapter *adapter, int mbox, unsigned int viid, + int start, int n, const u16 *rspq, unsigned int nrspq) +{ + int ret; + const u16 *rsp = rspq; + const u16 *rsp_end = rspq + nrspq; + struct fw_rss_ind_tbl_cmd cmd; + + memset(&cmd, 0, sizeof(cmd)); + cmd.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_RSS_IND_TBL_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + V_FW_RSS_IND_TBL_CMD_VIID(viid)); + cmd.retval_len16 = cpu_to_be32(FW_LEN16(cmd)); + + /* + * Each firmware RSS command can accommodate up to 32 RSS Ingress + * Queue Identifiers. These Ingress Queue IDs are packed three to + * a 32-bit word as 10-bit values with the upper remaining 2 bits + * reserved. + */ + while (n > 0) { + int nq = min(n, 32); + int nq_packed = 0; + __be32 *qp = &cmd.iq0_to_iq2; + + /* + * Set up the firmware RSS command header to send the next + * "nq" Ingress Queue IDs to the firmware. + */ + cmd.niqid = cpu_to_be16(nq); + cmd.startidx = cpu_to_be16(start); - fat = t4_handle_intr_status(adapter, A_CIM_HOST_INT_CAUSE, - cim_intr_info) + - t4_handle_intr_status(adapter, A_CIM_HOST_UPACC_INT_CAUSE, - cim_upintr_info); - if (fat) - t4_fatal_err(adapter); -} + /* + * "nq" more done for the start of the next loop. + */ + start += nq; + n -= nq; -/* - * ULP RX interrupt handler. - */ -static void ulprx_intr_handler(struct adapter *adapter) -{ - static struct intr_info ulprx_intr_info[] = { - { F_CAUSE_CTX_1, "ULPRX channel 1 context error", -1, 1 }, - { F_CAUSE_CTX_0, "ULPRX channel 0 context error", -1, 1 }, - { 0x7fffff, "ULPRX parity error", -1, 1 }, - { 0 } - }; + /* + * While there are still Ingress Queue IDs to stuff into the + * current firmware RSS command, retrieve them from the + * Ingress Queue ID array and insert them into the command. + */ + while (nq > 0) { + /* + * Grab up to the next 3 Ingress Queue IDs (wrapping + * around the Ingress Queue ID array if necessary) and + * insert them into the firmware RSS command at the + * current 3-tuple position within the commad. + */ + u16 qbuf[3]; + u16 *qbp = qbuf; + int nqbuf = min(3, nq); - if (t4_handle_intr_status(adapter, A_ULP_RX_INT_CAUSE, ulprx_intr_info)) - t4_fatal_err(adapter); + nq -= nqbuf; + qbuf[0] = qbuf[1] = qbuf[2] = 0; + while (nqbuf && nq_packed < 32) { + nqbuf--; + nq_packed++; + *qbp++ = *rsp++; + if (rsp >= rsp_end) + rsp = rspq; + } + *qp++ = cpu_to_be32(V_FW_RSS_IND_TBL_CMD_IQ0(qbuf[0]) | + V_FW_RSS_IND_TBL_CMD_IQ1(qbuf[1]) | + V_FW_RSS_IND_TBL_CMD_IQ2(qbuf[2])); + } + + /* + * Send this portion of the RRS table update to the firmware; + * bail out on any errors. + */ + ret = t4_wr_mbox(adapter, mbox, &cmd, sizeof(cmd), NULL); + if (ret) + return ret; + } + return 0; } -/* - * ULP TX interrupt handler. +/** + * t4_config_glbl_rss - configure the global RSS mode + * @adapter: the adapter + * @mbox: mbox to use for the FW command + * @mode: global RSS mode + * @flags: mode-specific flags + * + * Sets the global RSS mode. */ -static void ulptx_intr_handler(struct adapter *adapter) +int t4_config_glbl_rss(struct adapter *adapter, int mbox, unsigned int mode, + unsigned int flags) { - static struct intr_info ulptx_intr_info[] = { - { F_PBL_BOUND_ERR_CH3, "ULPTX channel 3 PBL out of bounds", -1, - 0 }, - { F_PBL_BOUND_ERR_CH2, "ULPTX channel 2 PBL out of bounds", -1, - 0 }, - { F_PBL_BOUND_ERR_CH1, "ULPTX channel 1 PBL out of bounds", -1, - 0 }, - { F_PBL_BOUND_ERR_CH0, "ULPTX channel 0 PBL out of bounds", -1, - 0 }, - { 0xfffffff, "ULPTX parity error", -1, 1 }, - { 0 } - }; + struct fw_rss_glb_config_cmd c; - if (t4_handle_intr_status(adapter, A_ULP_TX_INT_CAUSE, ulptx_intr_info)) - t4_fatal_err(adapter); + memset(&c, 0, sizeof(c)); + c.op_to_write = cpu_to_be32(V_FW_CMD_OP(FW_RSS_GLB_CONFIG_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE); + c.retval_len16 = cpu_to_be32(FW_LEN16(c)); + if (mode == FW_RSS_GLB_CONFIG_CMD_MODE_MANUAL) { + c.u.manual.mode_pkd = + cpu_to_be32(V_FW_RSS_GLB_CONFIG_CMD_MODE(mode)); + } else if (mode == FW_RSS_GLB_CONFIG_CMD_MODE_BASICVIRTUAL) { + c.u.basicvirtual.mode_pkd = + cpu_to_be32(V_FW_RSS_GLB_CONFIG_CMD_MODE(mode)); + c.u.basicvirtual.synmapen_to_hashtoeplitz = cpu_to_be32(flags); + } else + return -EINVAL; + return t4_wr_mbox(adapter, mbox, &c, sizeof(c), NULL); } -/* - * PM TX interrupt handler. +/** + * t4_config_vi_rss - configure per VI RSS settings + * @adapter: the adapter + * @mbox: mbox to use for the FW command + * @viid: the VI id + * @flags: RSS flags + * @defq: id of the default RSS queue for the VI. + * + * Configures VI-specific RSS properties. */ -static void pmtx_intr_handler(struct adapter *adapter) +int t4_config_vi_rss(struct adapter *adapter, int mbox, unsigned int viid, + unsigned int flags, unsigned int defq) { - static struct intr_info pmtx_intr_info[] = { - { F_PCMD_LEN_OVFL0, "PMTX channel 0 pcmd too large", -1, 1 }, - { F_PCMD_LEN_OVFL1, "PMTX channel 1 pcmd too large", -1, 1 }, - { F_PCMD_LEN_OVFL2, "PMTX channel 2 pcmd too large", -1, 1 }, - { F_ZERO_C_CMD_ERROR, "PMTX 0-length pcmd", -1, 1 }, - { 0xffffff0, "PMTX framing error", -1, 1 }, - { F_OESPI_PAR_ERROR, "PMTX oespi parity error", -1, 1 }, - { F_DB_OPTIONS_PAR_ERROR, "PMTX db_options parity error", -1, - 1 }, - { F_ICSPI_PAR_ERROR, "PMTX icspi parity error", -1, 1 }, - { F_C_PCMD_PAR_ERROR, "PMTX c_pcmd parity error", -1, 1}, - { 0 } - }; + struct fw_rss_vi_config_cmd c; - if (t4_handle_intr_status(adapter, A_PM_TX_INT_CAUSE, pmtx_intr_info)) - t4_fatal_err(adapter); + memset(&c, 0, sizeof(c)); + c.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_RSS_VI_CONFIG_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + V_FW_RSS_VI_CONFIG_CMD_VIID(viid)); + c.retval_len16 = cpu_to_be32(FW_LEN16(c)); + c.u.basicvirtual.defaultq_to_udpen = cpu_to_be32(flags | + V_FW_RSS_VI_CONFIG_CMD_DEFAULTQ(defq)); + return t4_wr_mbox(adapter, mbox, &c, sizeof(c), NULL); } -/* - * PM RX interrupt handler. - */ -static void pmrx_intr_handler(struct adapter *adapter) +/* Read an RSS table row */ +static int rd_rss_row(struct adapter *adap, int row, u32 *val) { - static struct intr_info pmrx_intr_info[] = { - { F_ZERO_E_CMD_ERROR, "PMRX 0-length pcmd", -1, 1 }, - { 0x3ffff0, "PMRX framing error", -1, 1 }, - { F_OCSPI_PAR_ERROR, "PMRX ocspi parity error", -1, 1 }, - { F_DB_OPTIONS_PAR_ERROR, "PMRX db_options parity error", -1, - 1 }, - { F_IESPI_PAR_ERROR, "PMRX iespi parity error", -1, 1 }, - { F_E_PCMD_PAR_ERROR, "PMRX e_pcmd parity error", -1, 1}, - { 0 } - }; - - if (t4_handle_intr_status(adapter, A_PM_RX_INT_CAUSE, pmrx_intr_info)) - t4_fatal_err(adapter); + t4_write_reg(adap, A_TP_RSS_LKP_TABLE, 0xfff00000 | row); + return t4_wait_op_done_val(adap, A_TP_RSS_LKP_TABLE, F_LKPTBLROWVLD, 1, + 5, 0, val); } -/* - * CPL switch interrupt handler. +/** + * t4_read_rss - read the contents of the RSS mapping table + * @adapter: the adapter + * @map: holds the contents of the RSS mapping table + * + * Reads the contents of the RSS hash->queue mapping table. */ -static void cplsw_intr_handler(struct adapter *adapter) +int t4_read_rss(struct adapter *adapter, u16 *map) { - static struct intr_info cplsw_intr_info[] = { - { F_CIM_OP_MAP_PERR, "CPLSW CIM op_map parity error", -1, 1 }, - { F_CIM_OVFL_ERROR, "CPLSW CIM overflow", -1, 1 }, - { F_TP_FRAMING_ERROR, "CPLSW TP framing error", -1, 1 }, - { F_SGE_FRAMING_ERROR, "CPLSW SGE framing error", -1, 1 }, - { F_CIM_FRAMING_ERROR, "CPLSW CIM framing error", -1, 1 }, - { F_ZERO_SWITCH_ERROR, "CPLSW no-switch error", -1, 1 }, - { 0 } - }; + u32 val; + int i, ret; - if (t4_handle_intr_status(adapter, A_CPL_INTR_CAUSE, cplsw_intr_info)) - t4_fatal_err(adapter); + for (i = 0; i < RSS_NENTRIES / 2; ++i) { + ret = rd_rss_row(adapter, i, &val); + if (ret) + return ret; + *map++ = G_LKPTBLQUEUE0(val); + *map++ = G_LKPTBLQUEUE1(val); + } + return 0; } -/* - * LE interrupt handler. +/** + * t4_fw_tp_pio_rw - Access TP PIO through LDST + * @adap: the adapter + * @vals: where the indirect register values are stored/written + * @nregs: how many indirect registers to read/write + * @start_idx: index of first indirect register to read/write + * @rw: Read (1) or Write (0) + * + * Access TP PIO registers through LDST */ -static void le_intr_handler(struct adapter *adap) +void t4_fw_tp_pio_rw(struct adapter *adap, u32 *vals, unsigned int nregs, + unsigned int start_index, unsigned int rw) { - static struct intr_info le_intr_info[] = { - { F_LIPMISS, "LE LIP miss", -1, 0 }, - { F_LIP0, "LE 0 LIP error", -1, 0 }, - { F_PARITYERR, "LE parity error", -1, 1 }, - { F_UNKNOWNCMD, "LE unknown command", -1, 1 }, - { F_REQQPARERR, "LE request queue parity error", -1, 1 }, - { 0 } - }; + int ret, i; + int cmd = FW_LDST_ADDRSPC_TP_PIO; + struct fw_ldst_cmd c; - if (t4_handle_intr_status(adap, A_LE_DB_INT_CAUSE, le_intr_info)) - t4_fatal_err(adap); + for (i = 0 ; i < nregs; i++) { + memset(&c, 0, sizeof(c)); + c.op_to_addrspace = cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | + (rw ? F_FW_CMD_READ : + F_FW_CMD_WRITE) | + V_FW_LDST_CMD_ADDRSPACE(cmd)); + c.cycles_to_len16 = cpu_to_be32(FW_LEN16(c)); + + c.u.addrval.addr = cpu_to_be32(start_index + i); + c.u.addrval.val = rw ? 0 : cpu_to_be32(vals[i]); + ret = t4_wr_mbox(adap, adap->mbox, &c, sizeof(c), &c); + if (ret == 0) { + if (rw) + vals[i] = be32_to_cpu(c.u.addrval.val); + } + } } -/* - * MPS interrupt handler. +/** + * t4_read_rss_key - read the global RSS key + * @adap: the adapter + * @key: 10-entry array holding the 320-bit RSS key + * + * Reads the global 320-bit RSS key. */ -static void mps_intr_handler(struct adapter *adapter) +void t4_read_rss_key(struct adapter *adap, u32 *key) { - static struct intr_info mps_rx_intr_info[] = { - { 0xffffff, "MPS Rx parity error", -1, 1 }, - { 0 } - }; - static struct intr_info mps_tx_intr_info[] = { - { V_TPFIFO(M_TPFIFO), "MPS Tx TP FIFO parity error", -1, 1 }, - { F_NCSIFIFO, "MPS Tx NC-SI FIFO parity error", -1, 1 }, - { V_TXDATAFIFO(M_TXDATAFIFO), "MPS Tx data FIFO parity error", - -1, 1 }, - { V_TXDESCFIFO(M_TXDESCFIFO), "MPS Tx desc FIFO parity error", - -1, 1 }, - { F_BUBBLE, "MPS Tx underflow", -1, 1 }, - { F_SECNTERR, "MPS Tx SOP/EOP error", -1, 1 }, - { F_FRMERR, "MPS Tx framing error", -1, 1 }, - { 0 } - }; - static struct intr_info mps_trc_intr_info[] = { - { V_FILTMEM(M_FILTMEM), "MPS TRC filter parity error", -1, 1 }, - { V_PKTFIFO(M_PKTFIFO), "MPS TRC packet FIFO parity error", -1, - 1 }, - { F_MISCPERR, "MPS TRC misc parity error", -1, 1 }, - { 0 } - }; - static struct intr_info mps_stat_sram_intr_info[] = { - { 0x1fffff, "MPS statistics SRAM parity error", -1, 1 }, - { 0 } - }; - static struct intr_info mps_stat_tx_intr_info[] = { - { 0xfffff, "MPS statistics Tx FIFO parity error", -1, 1 }, - { 0 } - }; - static struct intr_info mps_stat_rx_intr_info[] = { - { 0xffffff, "MPS statistics Rx FIFO parity error", -1, 1 }, - { 0 } - }; - static struct intr_info mps_cls_intr_info[] = { - { F_MATCHSRAM, "MPS match SRAM parity error", -1, 1 }, - { F_MATCHTCAM, "MPS match TCAM parity error", -1, 1 }, - { F_HASHSRAM, "MPS hash SRAM parity error", -1, 1 }, - { 0 } - }; + if (t4_use_ldst(adap)) + t4_fw_tp_pio_rw(adap, key, 10, A_TP_RSS_SECRET_KEY0, 1); + else + t4_read_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, key, 10, + A_TP_RSS_SECRET_KEY0); +} - int fat; +/** + * t4_write_rss_key - program one of the RSS keys + * @adap: the adapter + * @key: 10-entry array holding the 320-bit RSS key + * @idx: which RSS key to write + * + * Writes one of the RSS keys with the given 320-bit value. If @idx is + * 0..15 the corresponding entry in the RSS key table is written, + * otherwise the global RSS key is written. + */ +void t4_write_rss_key(struct adapter *adap, u32 *key, int idx) +{ + u8 rss_key_addr_cnt = 16; + u32 vrt = t4_read_reg(adap, A_TP_RSS_CONFIG_VRT); - fat = t4_handle_intr_status(adapter, A_MPS_RX_PERR_INT_CAUSE, - mps_rx_intr_info) + - t4_handle_intr_status(adapter, A_MPS_TX_INT_CAUSE, - mps_tx_intr_info) + - t4_handle_intr_status(adapter, A_MPS_TRC_INT_CAUSE, - mps_trc_intr_info) + - t4_handle_intr_status(adapter, A_MPS_STAT_PERR_INT_CAUSE_SRAM, - mps_stat_sram_intr_info) + - t4_handle_intr_status(adapter, A_MPS_STAT_PERR_INT_CAUSE_TX_FIFO, - mps_stat_tx_intr_info) + - t4_handle_intr_status(adapter, A_MPS_STAT_PERR_INT_CAUSE_RX_FIFO, - mps_stat_rx_intr_info) + - t4_handle_intr_status(adapter, A_MPS_CLS_INT_CAUSE, - mps_cls_intr_info); + /* + * T6 and later: for KeyMode 3 (per-vf and per-vf scramble), + * allows access to key addresses 16-63 by using KeyWrAddrX + * as index[5:4](upper 2) into key table + */ + if ((chip_id(adap) > CHELSIO_T5) && + (vrt & F_KEYEXTEND) && (G_KEYMODE(vrt) == 3)) + rss_key_addr_cnt = 32; - t4_write_reg(adapter, A_MPS_INT_CAUSE, 0); - t4_read_reg(adapter, A_MPS_INT_CAUSE); /* flush */ - if (fat) - t4_fatal_err(adapter); + if (t4_use_ldst(adap)) + t4_fw_tp_pio_rw(adap, key, 10, A_TP_RSS_SECRET_KEY0, 0); + else + t4_write_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, key, 10, + A_TP_RSS_SECRET_KEY0); + + if (idx >= 0 && idx < rss_key_addr_cnt) { + if (rss_key_addr_cnt > 16) + t4_write_reg(adap, A_TP_RSS_CONFIG_VRT, + V_KEYWRADDRX(idx >> 4) | + V_T6_VFWRADDR(idx) | F_KEYWREN); + else + t4_write_reg(adap, A_TP_RSS_CONFIG_VRT, + V_KEYWRADDR(idx) | F_KEYWREN); + } } -#define MEM_INT_MASK (F_PERR_INT_CAUSE | F_ECC_CE_INT_CAUSE | F_ECC_UE_INT_CAUSE) +/** + * t4_read_rss_pf_config - read PF RSS Configuration Table + * @adapter: the adapter + * @index: the entry in the PF RSS table to read + * @valp: where to store the returned value + * + * Reads the PF RSS Configuration Table at the specified index and returns + * the value found there. + */ +void t4_read_rss_pf_config(struct adapter *adapter, unsigned int index, + u32 *valp) +{ + if (t4_use_ldst(adapter)) + t4_fw_tp_pio_rw(adapter, valp, 1, + A_TP_RSS_PF0_CONFIG + index, 1); + else + t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + valp, 1, A_TP_RSS_PF0_CONFIG + index); +} -/* - * EDC/MC interrupt handler. +/** + * t4_write_rss_pf_config - write PF RSS Configuration Table + * @adapter: the adapter + * @index: the entry in the VF RSS table to read + * @val: the value to store + * + * Writes the PF RSS Configuration Table at the specified index with the + * specified value. */ -static void mem_intr_handler(struct adapter *adapter, int idx) +void t4_write_rss_pf_config(struct adapter *adapter, unsigned int index, + u32 val) { - static const char name[3][5] = { "EDC0", "EDC1", "MC" }; + if (t4_use_ldst(adapter)) + t4_fw_tp_pio_rw(adapter, &val, 1, + A_TP_RSS_PF0_CONFIG + index, 0); + else + t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &val, 1, A_TP_RSS_PF0_CONFIG + index); +} - unsigned int addr, cnt_addr, v; +/** + * t4_read_rss_vf_config - read VF RSS Configuration Table + * @adapter: the adapter + * @index: the entry in the VF RSS table to read + * @vfl: where to store the returned VFL + * @vfh: where to store the returned VFH + * + * Reads the VF RSS Configuration Table at the specified index and returns + * the (VFL, VFH) values found there. + */ +void t4_read_rss_vf_config(struct adapter *adapter, unsigned int index, + u32 *vfl, u32 *vfh) +{ + u32 vrt, mask, data; - if (idx <= MEM_EDC1) { - addr = EDC_REG(A_EDC_INT_CAUSE, idx); - cnt_addr = EDC_REG(A_EDC_ECC_STATUS, idx); + if (chip_id(adapter) <= CHELSIO_T5) { + mask = V_VFWRADDR(M_VFWRADDR); + data = V_VFWRADDR(index); } else { - if (is_t4(adapter)) { - addr = A_MC_INT_CAUSE; - cnt_addr = A_MC_ECC_STATUS; - } else { - addr = A_MC_P_INT_CAUSE; - cnt_addr = A_MC_P_ECC_STATUS; - } + mask = V_T6_VFWRADDR(M_T6_VFWRADDR); + data = V_T6_VFWRADDR(index); } + /* + * Request that the index'th VF Table values be read into VFL/VFH. + */ + vrt = t4_read_reg(adapter, A_TP_RSS_CONFIG_VRT); + vrt &= ~(F_VFRDRG | F_VFWREN | F_KEYWREN | mask); + vrt |= data | F_VFRDEN; + t4_write_reg(adapter, A_TP_RSS_CONFIG_VRT, vrt); - v = t4_read_reg(adapter, addr) & MEM_INT_MASK; - if (v & F_PERR_INT_CAUSE) - CH_ALERT(adapter, "%s FIFO parity error\n", name[idx]); - if (v & F_ECC_CE_INT_CAUSE) { - u32 cnt = G_ECC_CECNT(t4_read_reg(adapter, cnt_addr)); - - t4_write_reg(adapter, cnt_addr, V_ECC_CECNT(M_ECC_CECNT)); - CH_WARN_RATELIMIT(adapter, - "%u %s correctable ECC data error%s\n", - cnt, name[idx], cnt > 1 ? "s" : ""); + /* + * Grab the VFL/VFH values ... + */ + if (t4_use_ldst(adapter)) { + t4_fw_tp_pio_rw(adapter, vfl, 1, A_TP_RSS_VFL_CONFIG, 1); + t4_fw_tp_pio_rw(adapter, vfh, 1, A_TP_RSS_VFH_CONFIG, 1); + } else { + t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + vfl, 1, A_TP_RSS_VFL_CONFIG); + t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + vfh, 1, A_TP_RSS_VFH_CONFIG); } - if (v & F_ECC_UE_INT_CAUSE) - CH_ALERT(adapter, "%s uncorrectable ECC data error\n", - name[idx]); - - t4_write_reg(adapter, addr, v); - if (v & (F_PERR_INT_CAUSE | F_ECC_UE_INT_CAUSE)) - t4_fatal_err(adapter); } -/* - * MA interrupt handler. +/** + * t4_write_rss_vf_config - write VF RSS Configuration Table + * + * @adapter: the adapter + * @index: the entry in the VF RSS table to write + * @vfl: the VFL to store + * @vfh: the VFH to store + * + * Writes the VF RSS Configuration Table at the specified index with the + * specified (VFL, VFH) values. */ -static void ma_intr_handler(struct adapter *adapter) +void t4_write_rss_vf_config(struct adapter *adapter, unsigned int index, + u32 vfl, u32 vfh) { - u32 v, status = t4_read_reg(adapter, A_MA_INT_CAUSE); + u32 vrt, mask, data; - if (status & F_MEM_PERR_INT_CAUSE) { - CH_ALERT(adapter, "MA parity error, parity status %#x\n", - t4_read_reg(adapter, A_MA_PARITY_ERROR_STATUS1)); - if (is_t5(adapter)) - CH_ALERT(adapter, - "MA parity error, parity status %#x\n", - t4_read_reg(adapter, - A_MA_PARITY_ERROR_STATUS2)); + if (chip_id(adapter) <= CHELSIO_T5) { + mask = V_VFWRADDR(M_VFWRADDR); + data = V_VFWRADDR(index); + } else { + mask = V_T6_VFWRADDR(M_T6_VFWRADDR); + data = V_T6_VFWRADDR(index); } - if (status & F_MEM_WRAP_INT_CAUSE) { - v = t4_read_reg(adapter, A_MA_INT_WRAP_STATUS); - CH_ALERT(adapter, "MA address wrap-around error by client %u to" - " address %#x\n", G_MEM_WRAP_CLIENT_NUM(v), - G_MEM_WRAP_ADDRESS(v) << 4); + + /* + * Load up VFL/VFH with the values to be written ... + */ + if (t4_use_ldst(adapter)) { + t4_fw_tp_pio_rw(adapter, &vfl, 1, A_TP_RSS_VFL_CONFIG, 0); + t4_fw_tp_pio_rw(adapter, &vfh, 1, A_TP_RSS_VFH_CONFIG, 0); + } else { + t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &vfl, 1, A_TP_RSS_VFL_CONFIG); + t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &vfh, 1, A_TP_RSS_VFH_CONFIG); } - t4_write_reg(adapter, A_MA_INT_CAUSE, status); - t4_fatal_err(adapter); + + /* + * Write the VFL/VFH into the VF Table at index'th location. + */ + vrt = t4_read_reg(adapter, A_TP_RSS_CONFIG_VRT); + vrt &= ~(F_VFRDRG | F_VFWREN | F_KEYWREN | mask); + vrt |= data | F_VFRDEN; + t4_write_reg(adapter, A_TP_RSS_CONFIG_VRT, vrt); } -/* - * SMB interrupt handler. +/** + * t4_read_rss_pf_map - read PF RSS Map + * @adapter: the adapter + * + * Reads the PF RSS Map register and returns its value. */ -static void smb_intr_handler(struct adapter *adap) +u32 t4_read_rss_pf_map(struct adapter *adapter) { - static struct intr_info smb_intr_info[] = { - { F_MSTTXFIFOPARINT, "SMB master Tx FIFO parity error", -1, 1 }, - { F_MSTRXFIFOPARINT, "SMB master Rx FIFO parity error", -1, 1 }, - { F_SLVFIFOPARINT, "SMB slave FIFO parity error", -1, 1 }, - { 0 } - }; + u32 pfmap; - if (t4_handle_intr_status(adap, A_SMB_INT_CAUSE, smb_intr_info)) - t4_fatal_err(adap); + if (t4_use_ldst(adapter)) + t4_fw_tp_pio_rw(adapter, &pfmap, 1, A_TP_RSS_PF_MAP, 1); + else + t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &pfmap, 1, A_TP_RSS_PF_MAP); + return pfmap; } -/* - * NC-SI interrupt handler. +/** + * t4_write_rss_pf_map - write PF RSS Map + * @adapter: the adapter + * @pfmap: PF RSS Map value + * + * Writes the specified value to the PF RSS Map register. */ -static void ncsi_intr_handler(struct adapter *adap) +void t4_write_rss_pf_map(struct adapter *adapter, u32 pfmap) { - static struct intr_info ncsi_intr_info[] = { - { F_CIM_DM_PRTY_ERR, "NC-SI CIM parity error", -1, 1 }, - { F_MPS_DM_PRTY_ERR, "NC-SI MPS parity error", -1, 1 }, - { F_TXFIFO_PRTY_ERR, "NC-SI Tx FIFO parity error", -1, 1 }, - { F_RXFIFO_PRTY_ERR, "NC-SI Rx FIFO parity error", -1, 1 }, - { 0 } - }; - - if (t4_handle_intr_status(adap, A_NCSI_INT_CAUSE, ncsi_intr_info)) - t4_fatal_err(adap); + if (t4_use_ldst(adapter)) + t4_fw_tp_pio_rw(adapter, &pfmap, 1, A_TP_RSS_PF_MAP, 0); + else + t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &pfmap, 1, A_TP_RSS_PF_MAP); } -/* - * XGMAC interrupt handler. +/** + * t4_read_rss_pf_mask - read PF RSS Mask + * @adapter: the adapter + * + * Reads the PF RSS Mask register and returns its value. */ -static void xgmac_intr_handler(struct adapter *adap, int port) +u32 t4_read_rss_pf_mask(struct adapter *adapter) { - u32 v, int_cause_reg; + u32 pfmask; - if (is_t4(adap)) - int_cause_reg = PORT_REG(port, A_XGMAC_PORT_INT_CAUSE); + if (t4_use_ldst(adapter)) + t4_fw_tp_pio_rw(adapter, &pfmask, 1, A_TP_RSS_PF_MSK, 1); else - int_cause_reg = T5_PORT_REG(port, A_MAC_PORT_INT_CAUSE); + t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &pfmask, 1, A_TP_RSS_PF_MSK); + return pfmask; +} - v = t4_read_reg(adap, int_cause_reg); - v &= (F_TXFIFO_PRTY_ERR | F_RXFIFO_PRTY_ERR); - if (!v) - return; +/** + * t4_write_rss_pf_mask - write PF RSS Mask + * @adapter: the adapter + * @pfmask: PF RSS Mask value + * + * Writes the specified value to the PF RSS Mask register. + */ +void t4_write_rss_pf_mask(struct adapter *adapter, u32 pfmask) +{ + if (t4_use_ldst(adapter)) + t4_fw_tp_pio_rw(adapter, &pfmask, 1, A_TP_RSS_PF_MSK, 0); + else + t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &pfmask, 1, A_TP_RSS_PF_MSK); +} - if (v & F_TXFIFO_PRTY_ERR) - CH_ALERT(adap, "XGMAC %d Tx FIFO parity error\n", port); - if (v & F_RXFIFO_PRTY_ERR) - CH_ALERT(adap, "XGMAC %d Rx FIFO parity error\n", port); - t4_write_reg(adap, int_cause_reg, v); - t4_fatal_err(adap); +/** + * t4_tp_get_tcp_stats - read TP's TCP MIB counters + * @adap: the adapter + * @v4: holds the TCP/IP counter values + * @v6: holds the TCP/IPv6 counter values + * + * Returns the values of TP's TCP/IP and TCP/IPv6 MIB counters. + * Either @v4 or @v6 may be %NULL to skip the corresponding stats. + */ +void t4_tp_get_tcp_stats(struct adapter *adap, struct tp_tcp_stats *v4, + struct tp_tcp_stats *v6) +{ + u32 val[A_TP_MIB_TCP_RXT_SEG_LO - A_TP_MIB_TCP_OUT_RST + 1]; + +#define STAT_IDX(x) ((A_TP_MIB_TCP_##x) - A_TP_MIB_TCP_OUT_RST) +#define STAT(x) val[STAT_IDX(x)] +#define STAT64(x) (((u64)STAT(x##_HI) << 32) | STAT(x##_LO)) + + if (v4) { + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, + ARRAY_SIZE(val), A_TP_MIB_TCP_OUT_RST); + v4->tcp_out_rsts = STAT(OUT_RST); + v4->tcp_in_segs = STAT64(IN_SEG); + v4->tcp_out_segs = STAT64(OUT_SEG); + v4->tcp_retrans_segs = STAT64(RXT_SEG); + } + if (v6) { + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, + ARRAY_SIZE(val), A_TP_MIB_TCP_V6OUT_RST); + v6->tcp_out_rsts = STAT(OUT_RST); + v6->tcp_in_segs = STAT64(IN_SEG); + v6->tcp_out_segs = STAT64(OUT_SEG); + v6->tcp_retrans_segs = STAT64(RXT_SEG); + } +#undef STAT64 +#undef STAT +#undef STAT_IDX } -/* - * PL interrupt handler. +/** + * t4_tp_get_err_stats - read TP's error MIB counters + * @adap: the adapter + * @st: holds the counter values + * + * Returns the values of TP's error counters. + */ +void t4_tp_get_err_stats(struct adapter *adap, struct tp_err_stats *st) +{ + int nchan = adap->chip_params->nchan; + + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->mac_in_errs, nchan, A_TP_MIB_MAC_IN_ERR_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->hdr_in_errs, nchan, A_TP_MIB_HDR_IN_ERR_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->tcp_in_errs, nchan, A_TP_MIB_TCP_IN_ERR_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->tnl_cong_drops, nchan, A_TP_MIB_TNL_CNG_DROP_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->ofld_chan_drops, nchan, A_TP_MIB_OFD_CHN_DROP_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->tnl_tx_drops, nchan, A_TP_MIB_TNL_DROP_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->ofld_vlan_drops, nchan, A_TP_MIB_OFD_VLN_DROP_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + st->tcp6_in_errs, nchan, A_TP_MIB_TCP_V6IN_ERR_0); + + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, + &st->ofld_no_neigh, 2, A_TP_MIB_OFD_ARP_DROP); +} + +/** + * t4_tp_get_proxy_stats - read TP's proxy MIB counters + * @adap: the adapter + * @st: holds the counter values + * + * Returns the values of TP's proxy counters. */ -static void pl_intr_handler(struct adapter *adap) +void t4_tp_get_proxy_stats(struct adapter *adap, struct tp_proxy_stats *st) { - static struct intr_info pl_intr_info[] = { - { F_FATALPERR, "Fatal parity error", -1, 1 }, - { F_PERRVFID, "PL VFID_MAP parity error", -1, 1 }, - { 0 } - }; - - static struct intr_info t5_pl_intr_info[] = { - { F_PL_BUSPERR, "PL bus parity error", -1, 1 }, - { F_FATALPERR, "Fatal parity error", -1, 1 }, - { 0 } - }; + int nchan = adap->chip_params->nchan; - if (t4_handle_intr_status(adap, A_PL_PL_INT_CAUSE, - is_t4(adap) ? pl_intr_info : t5_pl_intr_info)) - t4_fatal_err(adap); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->proxy, + nchan, A_TP_MIB_TNL_LPBK_0); } -#define PF_INTR_MASK (F_PFSW | F_PFCIM) -#define GLBL_INTR_MASK (F_CIM | F_MPS | F_PL | F_PCIE | F_MC | F_EDC0 | \ - F_EDC1 | F_LE | F_TP | F_MA | F_PM_TX | F_PM_RX | F_ULP_RX | \ - F_CPL_SWITCH | F_SGE | F_ULP_TX) - /** - * t4_slow_intr_handler - control path interrupt handler - * @adapter: the adapter + * t4_tp_get_cpl_stats - read TP's CPL MIB counters + * @adap: the adapter + * @st: holds the counter values * - * T4 interrupt handler for non-data global interrupt events, e.g., errors. - * The designation 'slow' is because it involves register reads, while - * data interrupts typically don't involve any MMIOs. + * Returns the values of TP's CPL counters. */ -int t4_slow_intr_handler(struct adapter *adapter) +void t4_tp_get_cpl_stats(struct adapter *adap, struct tp_cpl_stats *st) { - u32 cause = t4_read_reg(adapter, A_PL_INT_CAUSE); - - if (!(cause & GLBL_INTR_MASK)) - return 0; - if (cause & F_CIM) - cim_intr_handler(adapter); - if (cause & F_MPS) - mps_intr_handler(adapter); - if (cause & F_NCSI) - ncsi_intr_handler(adapter); - if (cause & F_PL) - pl_intr_handler(adapter); - if (cause & F_SMB) - smb_intr_handler(adapter); - if (cause & F_XGMAC0) - xgmac_intr_handler(adapter, 0); - if (cause & F_XGMAC1) - xgmac_intr_handler(adapter, 1); - if (cause & F_XGMAC_KR0) - xgmac_intr_handler(adapter, 2); - if (cause & F_XGMAC_KR1) - xgmac_intr_handler(adapter, 3); - if (cause & F_PCIE) - pcie_intr_handler(adapter); - if (cause & F_MC) - mem_intr_handler(adapter, MEM_MC); - if (cause & F_EDC0) - mem_intr_handler(adapter, MEM_EDC0); - if (cause & F_EDC1) - mem_intr_handler(adapter, MEM_EDC1); - if (cause & F_LE) - le_intr_handler(adapter); - if (cause & F_TP) - tp_intr_handler(adapter); - if (cause & F_MA) - ma_intr_handler(adapter); - if (cause & F_PM_TX) - pmtx_intr_handler(adapter); - if (cause & F_PM_RX) - pmrx_intr_handler(adapter); - if (cause & F_ULP_RX) - ulprx_intr_handler(adapter); - if (cause & F_CPL_SWITCH) - cplsw_intr_handler(adapter); - if (cause & F_SGE) - sge_intr_handler(adapter); - if (cause & F_ULP_TX) - ulptx_intr_handler(adapter); + int nchan = adap->chip_params->nchan; - /* Clear the interrupts just processed for which we are the master. */ - t4_write_reg(adapter, A_PL_INT_CAUSE, cause & GLBL_INTR_MASK); - (void) t4_read_reg(adapter, A_PL_INT_CAUSE); /* flush */ - return 1; + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->req, + nchan, A_TP_MIB_CPL_IN_REQ_0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->rsp, + nchan, A_TP_MIB_CPL_OUT_RSP_0); } /** - * t4_intr_enable - enable interrupts - * @adapter: the adapter whose interrupts should be enabled + * t4_tp_get_rdma_stats - read TP's RDMA MIB counters + * @adap: the adapter + * @st: holds the counter values * - * Enable PF-specific interrupts for the calling function and the top-level - * interrupt concentrator for global interrupts. Interrupts are already - * enabled at each module, here we just enable the roots of the interrupt - * hierarchies. + * Returns the values of TP's RDMA counters. + */ +void t4_tp_get_rdma_stats(struct adapter *adap, struct tp_rdma_stats *st) +{ + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, &st->rqe_dfr_pkt, + 2, A_TP_MIB_RQE_DFR_PKT); +} + +/** + * t4_get_fcoe_stats - read TP's FCoE MIB counters for a port + * @adap: the adapter + * @idx: the port index + * @st: holds the counter values * - * Note: this function should be called only when the driver manages - * non PF-specific interrupts from the various HW modules. Only one PCI - * function at a time should be doing this. + * Returns the values of TP's FCoE counters for the selected port. */ -void t4_intr_enable(struct adapter *adapter) +void t4_get_fcoe_stats(struct adapter *adap, unsigned int idx, + struct tp_fcoe_stats *st) { - u32 pf = G_SOURCEPF(t4_read_reg(adapter, A_PL_WHOAMI)); + u32 val[2]; - t4_write_reg(adapter, A_SGE_INT_ENABLE3, F_ERR_CPL_EXCEED_IQE_SIZE | - F_ERR_INVALID_CIDX_INC | F_ERR_CPL_OPCODE_0 | - F_ERR_DROPPED_DB | F_ERR_DATA_CPL_ON_HIGH_QID1 | - F_ERR_DATA_CPL_ON_HIGH_QID0 | F_ERR_BAD_DB_PIDX3 | - F_ERR_BAD_DB_PIDX2 | F_ERR_BAD_DB_PIDX1 | - F_ERR_BAD_DB_PIDX0 | F_ERR_ING_CTXT_PRIO | - F_ERR_EGR_CTXT_PRIO | F_INGRESS_SIZE_ERR | - F_EGRESS_SIZE_ERR); - t4_write_reg(adapter, MYPF_REG(A_PL_PF_INT_ENABLE), PF_INTR_MASK); - t4_set_reg_field(adapter, A_PL_INT_MAP0, 0, 1 << pf); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, &st->frames_ddp, + 1, A_TP_MIB_FCOE_DDP_0 + idx); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, &st->frames_drop, + 1, A_TP_MIB_FCOE_DROP_0 + idx); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, + 2, A_TP_MIB_FCOE_BYTE_0_HI + 2 * idx); + st->octets_ddp = ((u64)val[0] << 32) | val[1]; } /** - * t4_intr_disable - disable interrupts - * @adapter: the adapter whose interrupts should be disabled + * t4_get_usm_stats - read TP's non-TCP DDP MIB counters + * @adap: the adapter + * @st: holds the counter values * - * Disable interrupts. We only disable the top-level interrupt - * concentrators. The caller must be a PCI function managing global - * interrupts. + * Returns the values of TP's counters for non-TCP directly-placed packets. */ -void t4_intr_disable(struct adapter *adapter) +void t4_get_usm_stats(struct adapter *adap, struct tp_usm_stats *st) { - u32 pf = G_SOURCEPF(t4_read_reg(adapter, A_PL_WHOAMI)); + u32 val[4]; - t4_write_reg(adapter, MYPF_REG(A_PL_PF_INT_ENABLE), 0); - t4_set_reg_field(adapter, A_PL_INT_MAP0, 1 << pf, 0); + t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, 4, + A_TP_MIB_USM_PKTS); + st->frames = val[0]; + st->drops = val[1]; + st->octets = ((u64)val[2] << 32) | val[3]; } /** - * t4_intr_clear - clear all interrupts - * @adapter: the adapter whose interrupts should be cleared + * t4_read_mtu_tbl - returns the values in the HW path MTU table + * @adap: the adapter + * @mtus: where to store the MTU values + * @mtu_log: where to store the MTU base-2 log (may be %NULL) * - * Clears all interrupts. The caller must be a PCI function managing - * global interrupts. + * Reads the HW path MTU table. */ -void t4_intr_clear(struct adapter *adapter) +void t4_read_mtu_tbl(struct adapter *adap, u16 *mtus, u8 *mtu_log) { - static const unsigned int cause_reg[] = { - A_SGE_INT_CAUSE1, A_SGE_INT_CAUSE2, A_SGE_INT_CAUSE3, - A_PCIE_NONFAT_ERR, A_PCIE_INT_CAUSE, - A_MA_INT_WRAP_STATUS, A_MA_PARITY_ERROR_STATUS1, A_MA_INT_CAUSE, - A_EDC_INT_CAUSE, EDC_REG(A_EDC_INT_CAUSE, 1), - A_CIM_HOST_INT_CAUSE, A_CIM_HOST_UPACC_INT_CAUSE, - MYPF_REG(A_CIM_PF_HOST_INT_CAUSE), - A_TP_INT_CAUSE, - A_ULP_RX_INT_CAUSE, A_ULP_TX_INT_CAUSE, - A_PM_RX_INT_CAUSE, A_PM_TX_INT_CAUSE, - A_MPS_RX_PERR_INT_CAUSE, - A_CPL_INTR_CAUSE, - MYPF_REG(A_PL_PF_INT_CAUSE), - A_PL_PL_INT_CAUSE, - A_LE_DB_INT_CAUSE, - }; - - unsigned int i; + u32 v; + int i; - for (i = 0; i < ARRAY_SIZE(cause_reg); ++i) - t4_write_reg(adapter, cause_reg[i], 0xffffffff); + for (i = 0; i < NMTUS; ++i) { + t4_write_reg(adap, A_TP_MTU_TABLE, + V_MTUINDEX(0xff) | V_MTUVALUE(i)); + v = t4_read_reg(adap, A_TP_MTU_TABLE); + mtus[i] = G_MTUVALUE(v); + if (mtu_log) + mtu_log[i] = G_MTUWIDTH(v); + } +} - t4_write_reg(adapter, is_t4(adapter) ? A_MC_INT_CAUSE : - A_MC_P_INT_CAUSE, 0xffffffff); +/** + * t4_read_cong_tbl - reads the congestion control table + * @adap: the adapter + * @incr: where to store the alpha values + * + * Reads the additive increments programmed into the HW congestion + * control table. + */ +void t4_read_cong_tbl(struct adapter *adap, u16 incr[NMTUS][NCCTRL_WIN]) +{ + unsigned int mtu, w; - if (is_t4(adapter)) { - t4_write_reg(adapter, A_PCIE_CORE_UTL_SYSTEM_BUS_AGENT_STATUS, - 0xffffffff); - t4_write_reg(adapter, A_PCIE_CORE_UTL_PCI_EXPRESS_PORT_STATUS, - 0xffffffff); - } else - t4_write_reg(adapter, A_MA_PARITY_ERROR_STATUS2, 0xffffffff); + for (mtu = 0; mtu < NMTUS; ++mtu) + for (w = 0; w < NCCTRL_WIN; ++w) { + t4_write_reg(adap, A_TP_CCTRL_TABLE, + V_ROWINDEX(0xffff) | (mtu << 5) | w); + incr[mtu][w] = (u16)t4_read_reg(adap, + A_TP_CCTRL_TABLE) & 0x1fff; + } +} - t4_write_reg(adapter, A_PL_INT_CAUSE, GLBL_INTR_MASK); - (void) t4_read_reg(adapter, A_PL_INT_CAUSE); /* flush */ +/** + * t4_tp_wr_bits_indirect - set/clear bits in an indirect TP register + * @adap: the adapter + * @addr: the indirect TP register address + * @mask: specifies the field within the register to modify + * @val: new value for the field + * + * Sets a field of an indirect TP register to the given value. + */ +void t4_tp_wr_bits_indirect(struct adapter *adap, unsigned int addr, + unsigned int mask, unsigned int val) +{ + t4_write_reg(adap, A_TP_PIO_ADDR, addr); + val |= t4_read_reg(adap, A_TP_PIO_DATA) & ~mask; + t4_write_reg(adap, A_TP_PIO_DATA, val); } /** - * hash_mac_addr - return the hash value of a MAC address - * @addr: the 48-bit Ethernet MAC address + * init_cong_ctrl - initialize congestion control parameters + * @a: the alpha values for congestion control + * @b: the beta values for congestion control * - * Hashes a MAC address according to the hash function used by HW inexact - * (hash) address matching. + * Initialize the congestion control parameters. */ -static int hash_mac_addr(const u8 *addr) +static void init_cong_ctrl(unsigned short *a, unsigned short *b) { - u32 a = ((u32)addr[0] << 16) | ((u32)addr[1] << 8) | addr[2]; - u32 b = ((u32)addr[3] << 16) | ((u32)addr[4] << 8) | addr[5]; - a ^= b; - a ^= (a >> 12); - a ^= (a >> 6); - return a & 0x3f; + a[0] = a[1] = a[2] = a[3] = a[4] = a[5] = a[6] = a[7] = a[8] = 1; + a[9] = 2; + a[10] = 3; + a[11] = 4; + a[12] = 5; + a[13] = 6; + a[14] = 7; + a[15] = 8; + a[16] = 9; + a[17] = 10; + a[18] = 14; + a[19] = 17; + a[20] = 21; + a[21] = 25; + a[22] = 30; + a[23] = 35; + a[24] = 45; + a[25] = 60; + a[26] = 80; + a[27] = 100; + a[28] = 200; + a[29] = 300; + a[30] = 400; + a[31] = 500; + + b[0] = b[1] = b[2] = b[3] = b[4] = b[5] = b[6] = b[7] = b[8] = 0; + b[9] = b[10] = 1; + b[11] = b[12] = 2; + b[13] = b[14] = b[15] = b[16] = 3; + b[17] = b[18] = b[19] = b[20] = b[21] = 4; + b[22] = b[23] = b[24] = b[25] = b[26] = b[27] = 5; + b[28] = b[29] = 6; + b[30] = b[31] = 7; } +/* The minimum additive increment value for the congestion control table */ +#define CC_MIN_INCR 2U + /** - * t4_config_rss_range - configure a portion of the RSS mapping table - * @adapter: the adapter - * @mbox: mbox to use for the FW command - * @viid: virtual interface whose RSS subtable is to be written - * @start: start entry in the table to write - * @n: how many table entries to write - * @rspq: values for the "response queue" (Ingress Queue) lookup table - * @nrspq: number of values in @rspq - * - * Programs the selected part of the VI's RSS mapping table with the - * provided values. If @nrspq < @n the supplied values are used repeatedly - * until the full table range is populated. + * t4_load_mtus - write the MTU and congestion control HW tables + * @adap: the adapter + * @mtus: the values for the MTU table + * @alpha: the values for the congestion control alpha parameter + * @beta: the values for the congestion control beta parameter * - * The caller must ensure the values in @rspq are in the range allowed for - * @viid. + * Write the HW MTU table with the supplied MTUs and the high-speed + * congestion control table with the supplied alpha, beta, and MTUs. + * We write the two tables together because the additive increments + * depend on the MTUs. */ -int t4_config_rss_range(struct adapter *adapter, int mbox, unsigned int viid, - int start, int n, const u16 *rspq, unsigned int nrspq) +void t4_load_mtus(struct adapter *adap, const unsigned short *mtus, + const unsigned short *alpha, const unsigned short *beta) { - int ret; - const u16 *rsp = rspq; - const u16 *rsp_end = rspq + nrspq; - struct fw_rss_ind_tbl_cmd cmd; - - memset(&cmd, 0, sizeof(cmd)); - cmd.op_to_viid = htonl(V_FW_CMD_OP(FW_RSS_IND_TBL_CMD) | - F_FW_CMD_REQUEST | F_FW_CMD_WRITE | - V_FW_RSS_IND_TBL_CMD_VIID(viid)); - cmd.retval_len16 = htonl(FW_LEN16(cmd)); + static const unsigned int avg_pkts[NCCTRL_WIN] = { + 2, 6, 10, 14, 20, 28, 40, 56, 80, 112, 160, 224, 320, 448, 640, + 896, 1281, 1792, 2560, 3584, 5120, 7168, 10240, 14336, 20480, + 28672, 40960, 57344, 81920, 114688, 163840, 229376 + }; + unsigned int i, w; - /* - * Each firmware RSS command can accommodate up to 32 RSS Ingress - * Queue Identifiers. These Ingress Queue IDs are packed three to - * a 32-bit word as 10-bit values with the upper remaining 2 bits - * reserved. - */ - while (n > 0) { - int nq = min(n, 32); - int nq_packed = 0; - __be32 *qp = &cmd.iq0_to_iq2; + for (i = 0; i < NMTUS; ++i) { + unsigned int mtu = mtus[i]; + unsigned int log2 = fls(mtu); - /* - * Set up the firmware RSS command header to send the next - * "nq" Ingress Queue IDs to the firmware. - */ - cmd.niqid = htons(nq); - cmd.startidx = htons(start); + if (!(mtu & ((1 << log2) >> 2))) /* round */ + log2--; + t4_write_reg(adap, A_TP_MTU_TABLE, V_MTUINDEX(i) | + V_MTUWIDTH(log2) | V_MTUVALUE(mtu)); - /* - * "nq" more done for the start of the next loop. - */ - start += nq; - n -= nq; + for (w = 0; w < NCCTRL_WIN; ++w) { + unsigned int inc; - /* - * While there are still Ingress Queue IDs to stuff into the - * current firmware RSS command, retrieve them from the - * Ingress Queue ID array and insert them into the command. - */ - while (nq > 0) { - /* - * Grab up to the next 3 Ingress Queue IDs (wrapping - * around the Ingress Queue ID array if necessary) and - * insert them into the firmware RSS command at the - * current 3-tuple position within the commad. - */ - u16 qbuf[3]; - u16 *qbp = qbuf; - int nqbuf = min(3, nq); + inc = max(((mtu - 40) * alpha[w]) / avg_pkts[w], + CC_MIN_INCR); - nq -= nqbuf; - qbuf[0] = qbuf[1] = qbuf[2] = 0; - while (nqbuf && nq_packed < 32) { - nqbuf--; - nq_packed++; - *qbp++ = *rsp++; - if (rsp >= rsp_end) - rsp = rspq; - } - *qp++ = cpu_to_be32(V_FW_RSS_IND_TBL_CMD_IQ0(qbuf[0]) | - V_FW_RSS_IND_TBL_CMD_IQ1(qbuf[1]) | - V_FW_RSS_IND_TBL_CMD_IQ2(qbuf[2])); + t4_write_reg(adap, A_TP_CCTRL_TABLE, (i << 21) | + (w << 16) | (beta[w] << 13) | inc); } - - /* - * Send this portion of the RRS table update to the firmware; - * bail out on any errors. - */ - ret = t4_wr_mbox(adapter, mbox, &cmd, sizeof(cmd), NULL); - if (ret) - return ret; } - - return 0; } /** - * t4_config_glbl_rss - configure the global RSS mode - * @adapter: the adapter - * @mbox: mbox to use for the FW command - * @mode: global RSS mode - * @flags: mode-specific flags + * t4_set_pace_tbl - set the pace table + * @adap: the adapter + * @pace_vals: the pace values in microseconds + * @start: index of the first entry in the HW pace table to set + * @n: how many entries to set * - * Sets the global RSS mode. + * Sets (a subset of the) HW pace table. */ -int t4_config_glbl_rss(struct adapter *adapter, int mbox, unsigned int mode, - unsigned int flags) +int t4_set_pace_tbl(struct adapter *adap, const unsigned int *pace_vals, + unsigned int start, unsigned int n) { - struct fw_rss_glb_config_cmd c; + unsigned int vals[NTX_SCHED], i; + unsigned int tick_ns = dack_ticks_to_usec(adap, 1000); - memset(&c, 0, sizeof(c)); - c.op_to_write = htonl(V_FW_CMD_OP(FW_RSS_GLB_CONFIG_CMD) | - F_FW_CMD_REQUEST | F_FW_CMD_WRITE); - c.retval_len16 = htonl(FW_LEN16(c)); - if (mode == FW_RSS_GLB_CONFIG_CMD_MODE_MANUAL) { - c.u.manual.mode_pkd = htonl(V_FW_RSS_GLB_CONFIG_CMD_MODE(mode)); - } else if (mode == FW_RSS_GLB_CONFIG_CMD_MODE_BASICVIRTUAL) { - c.u.basicvirtual.mode_pkd = - htonl(V_FW_RSS_GLB_CONFIG_CMD_MODE(mode)); - c.u.basicvirtual.synmapen_to_hashtoeplitz = htonl(flags); - } else - return -EINVAL; - return t4_wr_mbox(adapter, mbox, &c, sizeof(c), NULL); + if (n > NTX_SCHED) + return -ERANGE; + + /* convert values from us to dack ticks, rounding to closest value */ + for (i = 0; i < n; i++, pace_vals++) { + vals[i] = (1000 * *pace_vals + tick_ns / 2) / tick_ns; + if (vals[i] > 0x7ff) + return -ERANGE; + if (*pace_vals && vals[i] == 0) + return -ERANGE; + } + for (i = 0; i < n; i++, start++) + t4_write_reg(adap, A_TP_PACE_TABLE, (start << 16) | vals[i]); + return 0; } /** - * t4_config_vi_rss - configure per VI RSS settings - * @adapter: the adapter - * @mbox: mbox to use for the FW command - * @viid: the VI id - * @flags: RSS flags - * @defq: id of the default RSS queue for the VI. + * t4_set_sched_bps - set the bit rate for a HW traffic scheduler + * @adap: the adapter + * @kbps: target rate in Kbps + * @sched: the scheduler index * - * Configures VI-specific RSS properties. + * Configure a Tx HW scheduler for the target rate. */ -int t4_config_vi_rss(struct adapter *adapter, int mbox, unsigned int viid, - unsigned int flags, unsigned int defq) +int t4_set_sched_bps(struct adapter *adap, int sched, unsigned int kbps) { - struct fw_rss_vi_config_cmd c; + unsigned int v, tps, cpt, bpt, delta, mindelta = ~0; + unsigned int clk = adap->params.vpd.cclk * 1000; + unsigned int selected_cpt = 0, selected_bpt = 0; - memset(&c, 0, sizeof(c)); - c.op_to_viid = htonl(V_FW_CMD_OP(FW_RSS_VI_CONFIG_CMD) | - F_FW_CMD_REQUEST | F_FW_CMD_WRITE | - V_FW_RSS_VI_CONFIG_CMD_VIID(viid)); - c.retval_len16 = htonl(FW_LEN16(c)); - c.u.basicvirtual.defaultq_to_udpen = htonl(flags | - V_FW_RSS_VI_CONFIG_CMD_DEFAULTQ(defq)); - return t4_wr_mbox(adapter, mbox, &c, sizeof(c), NULL); + if (kbps > 0) { + kbps *= 125; /* -> bytes */ + for (cpt = 1; cpt <= 255; cpt++) { + tps = clk / cpt; + bpt = (kbps + tps / 2) / tps; + if (bpt > 0 && bpt <= 255) { + v = bpt * tps; + delta = v >= kbps ? v - kbps : kbps - v; + if (delta < mindelta) { + mindelta = delta; + selected_cpt = cpt; + selected_bpt = bpt; + } + } else if (selected_cpt) + break; + } + if (!selected_cpt) + return -EINVAL; + } + t4_write_reg(adap, A_TP_TM_PIO_ADDR, + A_TP_TX_MOD_Q1_Q0_RATE_LIMIT - sched / 2); + v = t4_read_reg(adap, A_TP_TM_PIO_DATA); + if (sched & 1) + v = (v & 0xffff) | (selected_cpt << 16) | (selected_bpt << 24); + else + v = (v & 0xffff0000) | selected_cpt | (selected_bpt << 8); + t4_write_reg(adap, A_TP_TM_PIO_DATA, v); + return 0; } -/* Read an RSS table row */ -static int rd_rss_row(struct adapter *adap, int row, u32 *val) -{ - t4_write_reg(adap, A_TP_RSS_LKP_TABLE, 0xfff00000 | row); - return t4_wait_op_done_val(adap, A_TP_RSS_LKP_TABLE, F_LKPTBLROWVLD, 1, - 5, 0, val); -} - /** - * t4_read_rss - read the contents of the RSS mapping table - * @adapter: the adapter - * @map: holds the contents of the RSS mapping table + * t4_set_sched_ipg - set the IPG for a Tx HW packet rate scheduler + * @adap: the adapter + * @sched: the scheduler index + * @ipg: the interpacket delay in tenths of nanoseconds * - * Reads the contents of the RSS hash->queue mapping table. + * Set the interpacket delay for a HW packet rate scheduler. */ -int t4_read_rss(struct adapter *adapter, u16 *map) +int t4_set_sched_ipg(struct adapter *adap, int sched, unsigned int ipg) { - u32 val; - int i, ret; + unsigned int v, addr = A_TP_TX_MOD_Q1_Q0_TIMER_SEPARATOR - sched / 2; - for (i = 0; i < RSS_NENTRIES / 2; ++i) { - ret = rd_rss_row(adapter, i, &val); - if (ret) - return ret; - *map++ = G_LKPTBLQUEUE0(val); - *map++ = G_LKPTBLQUEUE1(val); - } + /* convert ipg to nearest number of core clocks */ + ipg *= core_ticks_per_usec(adap); + ipg = (ipg + 5000) / 10000; + if (ipg > M_TXTIMERSEPQ0) + return -EINVAL; + + t4_write_reg(adap, A_TP_TM_PIO_ADDR, addr); + v = t4_read_reg(adap, A_TP_TM_PIO_DATA); + if (sched & 1) + v = (v & V_TXTIMERSEPQ0(M_TXTIMERSEPQ0)) | V_TXTIMERSEPQ1(ipg); + else + v = (v & V_TXTIMERSEPQ1(M_TXTIMERSEPQ1)) | V_TXTIMERSEPQ0(ipg); + t4_write_reg(adap, A_TP_TM_PIO_DATA, v); + t4_read_reg(adap, A_TP_TM_PIO_DATA); return 0; } -/** - * t4_read_rss_key - read the global RSS key - * @adap: the adapter - * @key: 10-entry array holding the 320-bit RSS key +/* + * Calculates a rate in bytes/s given the number of 256-byte units per 4K core + * clocks. The formula is * - * Reads the global 320-bit RSS key. + * bytes/s = bytes256 * 256 * ClkFreq / 4096 + * + * which is equivalent to + * + * bytes/s = 62.5 * bytes256 * ClkFreq_ms */ -void t4_read_rss_key(struct adapter *adap, u32 *key) +static u64 chan_rate(struct adapter *adap, unsigned int bytes256) { - t4_read_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, key, 10, - A_TP_RSS_SECRET_KEY0); + u64 v = bytes256 * adap->params.vpd.cclk; + + return v * 62 + v / 2; } /** - * t4_write_rss_key - program one of the RSS keys + * t4_get_chan_txrate - get the current per channel Tx rates * @adap: the adapter - * @key: 10-entry array holding the 320-bit RSS key - * @idx: which RSS key to write + * @nic_rate: rates for NIC traffic + * @ofld_rate: rates for offloaded traffic * - * Writes one of the RSS keys with the given 320-bit value. If @idx is - * 0..15 the corresponding entry in the RSS key table is written, - * otherwise the global RSS key is written. + * Return the current Tx rates in bytes/s for NIC and offloaded traffic + * for each channel. */ -void t4_write_rss_key(struct adapter *adap, const u32 *key, int idx) +void t4_get_chan_txrate(struct adapter *adap, u64 *nic_rate, u64 *ofld_rate) { - t4_write_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, key, 10, - A_TP_RSS_SECRET_KEY0); - if (idx >= 0 && idx < 16) - t4_write_reg(adap, A_TP_RSS_CONFIG_VRT, - V_KEYWRADDR(idx) | F_KEYWREN); -} + u32 v; -/** - * t4_read_rss_pf_config - read PF RSS Configuration Table - * @adapter: the adapter - * @index: the entry in the PF RSS table to read - * @valp: where to store the returned value - * - * Reads the PF RSS Configuration Table at the specified index and returns - * the value found there. - */ -void t4_read_rss_pf_config(struct adapter *adapter, unsigned int index, u32 *valp) -{ - t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - valp, 1, A_TP_RSS_PF0_CONFIG + index); -} + v = t4_read_reg(adap, A_TP_TX_TRATE); + nic_rate[0] = chan_rate(adap, G_TNLRATE0(v)); + nic_rate[1] = chan_rate(adap, G_TNLRATE1(v)); + if (adap->chip_params->nchan > 2) { + nic_rate[2] = chan_rate(adap, G_TNLRATE2(v)); + nic_rate[3] = chan_rate(adap, G_TNLRATE3(v)); + } -/** - * t4_write_rss_pf_config - write PF RSS Configuration Table - * @adapter: the adapter - * @index: the entry in the VF RSS table to read - * @val: the value to store - * - * Writes the PF RSS Configuration Table at the specified index with the - * specified value. - */ -void t4_write_rss_pf_config(struct adapter *adapter, unsigned int index, u32 val) -{ - t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &val, 1, A_TP_RSS_PF0_CONFIG + index); + v = t4_read_reg(adap, A_TP_TX_ORATE); + ofld_rate[0] = chan_rate(adap, G_OFDRATE0(v)); + ofld_rate[1] = chan_rate(adap, G_OFDRATE1(v)); + if (adap->chip_params->nchan > 2) { + ofld_rate[2] = chan_rate(adap, G_OFDRATE2(v)); + ofld_rate[3] = chan_rate(adap, G_OFDRATE3(v)); + } } /** - * t4_read_rss_vf_config - read VF RSS Configuration Table - * @adapter: the adapter - * @index: the entry in the VF RSS table to read - * @vfl: where to store the returned VFL - * @vfh: where to store the returned VFH + * t4_set_trace_filter - configure one of the tracing filters + * @adap: the adapter + * @tp: the desired trace filter parameters + * @idx: which filter to configure + * @enable: whether to enable or disable the filter * - * Reads the VF RSS Configuration Table at the specified index and returns - * the (VFL, VFH) values found there. + * Configures one of the tracing filters available in HW. If @tp is %NULL + * it indicates that the filter is already written in the register and it + * just needs to be enabled or disabled. */ -void t4_read_rss_vf_config(struct adapter *adapter, unsigned int index, - u32 *vfl, u32 *vfh) +int t4_set_trace_filter(struct adapter *adap, const struct trace_params *tp, + int idx, int enable) { - u32 vrt; + int i, ofst = idx * 4; + u32 data_reg, mask_reg, cfg; + u32 multitrc = F_TRCMULTIFILTER; + u32 en = is_t4(adap) ? F_TFEN : F_T5_TFEN; - /* - * Request that the index'th VF Table values be read into VFL/VFH. - */ - vrt = t4_read_reg(adapter, A_TP_RSS_CONFIG_VRT); - vrt &= ~(F_VFRDRG | V_VFWRADDR(M_VFWRADDR) | F_VFWREN | F_KEYWREN); - vrt |= V_VFWRADDR(index) | F_VFRDEN; - t4_write_reg(adapter, A_TP_RSS_CONFIG_VRT, vrt); + if (idx < 0 || idx >= NTRACE) + return -EINVAL; + + if (tp == NULL || !enable) { + t4_set_reg_field(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst, en, + enable ? en : 0); + return 0; + } /* - * Grab the VFL/VFH values ... + * TODO - After T4 data book is updated, specify the exact + * section below. + * + * See T4 data book - MPS section for a complete description + * of the below if..else handling of A_MPS_TRC_CFG register + * value. */ - t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - vfl, 1, A_TP_RSS_VFL_CONFIG); - t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - vfh, 1, A_TP_RSS_VFH_CONFIG); -} + cfg = t4_read_reg(adap, A_MPS_TRC_CFG); + if (cfg & F_TRCMULTIFILTER) { + /* + * If multiple tracers are enabled, then maximum + * capture size is 2.5KB (FIFO size of a single channel) + * minus 2 flits for CPL_TRACE_PKT header. + */ + if (tp->snap_len > ((10 * 1024 / 4) - (2 * 8))) + return -EINVAL; + } else { + /* + * If multiple tracers are disabled, to avoid deadlocks + * maximum packet capture size of 9600 bytes is recommended. + * Also in this mode, only trace0 can be enabled and running. + */ + multitrc = 0; + if (tp->snap_len > 9600 || idx) + return -EINVAL; + } -/** - * t4_write_rss_vf_config - write VF RSS Configuration Table - * - * @adapter: the adapter - * @index: the entry in the VF RSS table to write - * @vfl: the VFL to store - * @vfh: the VFH to store - * - * Writes the VF RSS Configuration Table at the specified index with the - * specified (VFL, VFH) values. - */ -void t4_write_rss_vf_config(struct adapter *adapter, unsigned int index, - u32 vfl, u32 vfh) -{ - u32 vrt; + if (tp->port > (is_t4(adap) ? 11 : 19) || tp->invert > 1 || + tp->skip_len > M_TFLENGTH || tp->skip_ofst > M_TFOFFSET || + tp->min_len > M_TFMINPKTSIZE) + return -EINVAL; - /* - * Load up VFL/VFH with the values to be written ... - */ - t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &vfl, 1, A_TP_RSS_VFL_CONFIG); - t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &vfh, 1, A_TP_RSS_VFH_CONFIG); + /* stop the tracer we'll be changing */ + t4_set_reg_field(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst, en, 0); - /* - * Write the VFL/VFH into the VF Table at index'th location. - */ - vrt = t4_read_reg(adapter, A_TP_RSS_CONFIG_VRT); - vrt &= ~(F_VFRDRG | F_VFRDEN | V_VFWRADDR(M_VFWRADDR) | F_KEYWREN); - vrt |= V_VFWRADDR(index) | F_VFWREN; - t4_write_reg(adapter, A_TP_RSS_CONFIG_VRT, vrt); -} + idx *= (A_MPS_TRC_FILTER1_MATCH - A_MPS_TRC_FILTER0_MATCH); + data_reg = A_MPS_TRC_FILTER0_MATCH + idx; + mask_reg = A_MPS_TRC_FILTER0_DONT_CARE + idx; -/** - * t4_read_rss_pf_map - read PF RSS Map - * @adapter: the adapter - * - * Reads the PF RSS Map register and returns its value. - */ -u32 t4_read_rss_pf_map(struct adapter *adapter) -{ - u32 pfmap; + for (i = 0; i < TRACE_LEN / 4; i++, data_reg += 4, mask_reg += 4) { + t4_write_reg(adap, data_reg, tp->data[i]); + t4_write_reg(adap, mask_reg, ~tp->mask[i]); + } + t4_write_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_B + ofst, + V_TFCAPTUREMAX(tp->snap_len) | + V_TFMINPKTSIZE(tp->min_len)); + t4_write_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst, + V_TFOFFSET(tp->skip_ofst) | V_TFLENGTH(tp->skip_len) | en | + (is_t4(adap) ? + V_TFPORT(tp->port) | V_TFINVERTMATCH(tp->invert) : + V_T5_TFPORT(tp->port) | V_T5_TFINVERTMATCH(tp->invert))); - t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &pfmap, 1, A_TP_RSS_PF_MAP); - return pfmap; + return 0; } /** - * t4_write_rss_pf_map - write PF RSS Map - * @adapter: the adapter - * @pfmap: PF RSS Map value + * t4_get_trace_filter - query one of the tracing filters + * @adap: the adapter + * @tp: the current trace filter parameters + * @idx: which trace filter to query + * @enabled: non-zero if the filter is enabled * - * Writes the specified value to the PF RSS Map register. + * Returns the current settings of one of the HW tracing filters. */ -void t4_write_rss_pf_map(struct adapter *adapter, u32 pfmap) +void t4_get_trace_filter(struct adapter *adap, struct trace_params *tp, int idx, + int *enabled) { - t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &pfmap, 1, A_TP_RSS_PF_MAP); -} + u32 ctla, ctlb; + int i, ofst = idx * 4; + u32 data_reg, mask_reg; -/** - * t4_read_rss_pf_mask - read PF RSS Mask - * @adapter: the adapter - * - * Reads the PF RSS Mask register and returns its value. - */ -u32 t4_read_rss_pf_mask(struct adapter *adapter) -{ - u32 pfmask; + ctla = t4_read_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst); + ctlb = t4_read_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_B + ofst); - t4_read_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &pfmask, 1, A_TP_RSS_PF_MSK); - return pfmask; + if (is_t4(adap)) { + *enabled = !!(ctla & F_TFEN); + tp->port = G_TFPORT(ctla); + tp->invert = !!(ctla & F_TFINVERTMATCH); + } else { + *enabled = !!(ctla & F_T5_TFEN); + tp->port = G_T5_TFPORT(ctla); + tp->invert = !!(ctla & F_T5_TFINVERTMATCH); + } + tp->snap_len = G_TFCAPTUREMAX(ctlb); + tp->min_len = G_TFMINPKTSIZE(ctlb); + tp->skip_ofst = G_TFOFFSET(ctla); + tp->skip_len = G_TFLENGTH(ctla); + + ofst = (A_MPS_TRC_FILTER1_MATCH - A_MPS_TRC_FILTER0_MATCH) * idx; + data_reg = A_MPS_TRC_FILTER0_MATCH + ofst; + mask_reg = A_MPS_TRC_FILTER0_DONT_CARE + ofst; + + for (i = 0; i < TRACE_LEN / 4; i++, data_reg += 4, mask_reg += 4) { + tp->mask[i] = ~t4_read_reg(adap, mask_reg); + tp->data[i] = t4_read_reg(adap, data_reg) & tp->mask[i]; + } } /** - * t4_write_rss_pf_mask - write PF RSS Mask - * @adapter: the adapter - * @pfmask: PF RSS Mask value + * t4_pmtx_get_stats - returns the HW stats from PMTX + * @adap: the adapter + * @cnt: where to store the count statistics + * @cycles: where to store the cycle statistics * - * Writes the specified value to the PF RSS Mask register. + * Returns performance statistics from PMTX. */ -void t4_write_rss_pf_mask(struct adapter *adapter, u32 pfmask) -{ - t4_write_indirect(adapter, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &pfmask, 1, A_TP_RSS_PF_MSK); -} - -static void refresh_vlan_pri_map(struct adapter *adap) +void t4_pmtx_get_stats(struct adapter *adap, u32 cnt[], u64 cycles[]) { + int i; + u32 data[2]; - t4_read_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &adap->params.tp.vlan_pri_map, 1, - A_TP_VLAN_PRI_MAP); - - /* - * Now that we have TP_VLAN_PRI_MAP cached, we can calculate the field - * shift positions of several elements of the Compressed Filter Tuple - * for this adapter which we need frequently ... - */ - adap->params.tp.vlan_shift = t4_filter_field_shift(adap, F_VLAN); - adap->params.tp.vnic_shift = t4_filter_field_shift(adap, F_VNIC_ID); - adap->params.tp.port_shift = t4_filter_field_shift(adap, F_PORT); - adap->params.tp.protocol_shift = t4_filter_field_shift(adap, F_PROTOCOL); - - /* - * If TP_INGRESS_CONFIG.VNID == 0, then TP_VLAN_PRI_MAP.VNIC_ID - * represents the presense of an Outer VLAN instead of a VNIC ID. - */ - if ((adap->params.tp.ingress_config & F_VNIC) == 0) - adap->params.tp.vnic_shift = -1; + for (i = 0; i < adap->chip_params->pm_stats_cnt; i++) { + t4_write_reg(adap, A_PM_TX_STAT_CONFIG, i + 1); + cnt[i] = t4_read_reg(adap, A_PM_TX_STAT_COUNT); + if (is_t4(adap)) + cycles[i] = t4_read_reg64(adap, A_PM_TX_STAT_LSB); + else { + t4_read_indirect(adap, A_PM_TX_DBG_CTRL, + A_PM_TX_DBG_DATA, data, 2, + A_PM_TX_DBG_STAT_MSB); + cycles[i] = (((u64)data[0] << 32) | data[1]); + } + } } /** - * t4_set_filter_mode - configure the optional components of filter tuples + * t4_pmrx_get_stats - returns the HW stats from PMRX * @adap: the adapter - * @mode_map: a bitmap selcting which optional filter components to enable + * @cnt: where to store the count statistics + * @cycles: where to store the cycle statistics * - * Sets the filter mode by selecting the optional components to enable - * in filter tuples. Returns 0 on success and a negative error if the - * requested mode needs more bits than are available for optional - * components. + * Returns performance statistics from PMRX. */ -int t4_set_filter_mode(struct adapter *adap, unsigned int mode_map) +void t4_pmrx_get_stats(struct adapter *adap, u32 cnt[], u64 cycles[]) { - static u8 width[] = { 1, 3, 17, 17, 8, 8, 16, 9, 3, 1 }; - - int i, nbits = 0; - - for (i = S_FCOE; i <= S_FRAGMENTATION; i++) - if (mode_map & (1 << i)) - nbits += width[i]; - if (nbits > FILTER_OPT_LEN) - return -EINVAL; - t4_write_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, &mode_map, 1, - A_TP_VLAN_PRI_MAP); - refresh_vlan_pri_map(adap); + int i; + u32 data[2]; - return 0; + for (i = 0; i < adap->chip_params->pm_stats_cnt; i++) { + t4_write_reg(adap, A_PM_RX_STAT_CONFIG, i + 1); + cnt[i] = t4_read_reg(adap, A_PM_RX_STAT_COUNT); + if (is_t4(adap)) { + cycles[i] = t4_read_reg64(adap, A_PM_RX_STAT_LSB); + } else { + t4_read_indirect(adap, A_PM_RX_DBG_CTRL, + A_PM_RX_DBG_DATA, data, 2, + A_PM_RX_DBG_STAT_MSB); + cycles[i] = (((u64)data[0] << 32) | data[1]); + } + } } /** - * t4_tp_get_tcp_stats - read TP's TCP MIB counters + * t4_get_mps_bg_map - return the buffer groups associated with a port * @adap: the adapter - * @v4: holds the TCP/IP counter values - * @v6: holds the TCP/IPv6 counter values + * @idx: the port index * - * Returns the values of TP's TCP/IP and TCP/IPv6 MIB counters. - * Either @v4 or @v6 may be %NULL to skip the corresponding stats. + * Returns a bitmap indicating which MPS buffer groups are associated + * with the given port. Bit i is set if buffer group i is used by the + * port. */ -void t4_tp_get_tcp_stats(struct adapter *adap, struct tp_tcp_stats *v4, - struct tp_tcp_stats *v6) +static unsigned int t4_get_mps_bg_map(struct adapter *adap, int idx) { - u32 val[A_TP_MIB_TCP_RXT_SEG_LO - A_TP_MIB_TCP_OUT_RST + 1]; + u32 n = G_NUMPORTS(t4_read_reg(adap, A_MPS_CMN_CTL)); -#define STAT_IDX(x) ((A_TP_MIB_TCP_##x) - A_TP_MIB_TCP_OUT_RST) -#define STAT(x) val[STAT_IDX(x)] -#define STAT64(x) (((u64)STAT(x##_HI) << 32) | STAT(x##_LO)) + if (n == 0) + return idx == 0 ? 0xf : 0; + if (n == 1 && chip_id(adap) <= CHELSIO_T5) + return idx < 2 ? (3 << (2 * idx)) : 0; + return 1 << idx; +} - if (v4) { - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, - ARRAY_SIZE(val), A_TP_MIB_TCP_OUT_RST); - v4->tcpOutRsts = STAT(OUT_RST); - v4->tcpInSegs = STAT64(IN_SEG); - v4->tcpOutSegs = STAT64(OUT_SEG); - v4->tcpRetransSegs = STAT64(RXT_SEG); - } - if (v6) { - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, - ARRAY_SIZE(val), A_TP_MIB_TCP_V6OUT_RST); - v6->tcpOutRsts = STAT(OUT_RST); - v6->tcpInSegs = STAT64(IN_SEG); - v6->tcpOutSegs = STAT64(OUT_SEG); - v6->tcpRetransSegs = STAT64(RXT_SEG); - } -#undef STAT64 -#undef STAT -#undef STAT_IDX +/** + * t4_get_port_type_description - return Port Type string description + * @port_type: firmware Port Type enumeration + */ +const char *t4_get_port_type_description(enum fw_port_type port_type) +{ + static const char *const port_type_description[] = { + "Fiber_XFI", + "Fiber_XAUI", + "BT_SGMII", + "BT_XFI", + "BT_XAUI", + "KX4", + "CX4", + "KX", + "KR", + "SFP", + "BP_AP", + "BP4_AP", + "QSFP_10G", + "QSA", + "QSFP", + "BP40_BA", + }; + + if (port_type < ARRAY_SIZE(port_type_description)) + return port_type_description[port_type]; + return "UNKNOWN"; } /** - * t4_tp_get_err_stats - read TP's error MIB counters - * @adap: the adapter - * @st: holds the counter values - * - * Returns the values of TP's error counters. + * t4_get_port_stats_offset - collect port stats relative to a previous + * snapshot + * @adap: The adapter + * @idx: The port + * @stats: Current stats to fill + * @offset: Previous stats snapshot */ -void t4_tp_get_err_stats(struct adapter *adap, struct tp_err_stats *st) +void t4_get_port_stats_offset(struct adapter *adap, int idx, + struct port_stats *stats, + struct port_stats *offset) { - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->macInErrs, - 12, A_TP_MIB_MAC_IN_ERR_0); - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->tnlCongDrops, - 8, A_TP_MIB_TNL_CNG_DROP_0); - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->tnlTxDrops, - 4, A_TP_MIB_TNL_DROP_0); - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->ofldVlanDrops, - 4, A_TP_MIB_OFD_VLN_DROP_0); - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->tcp6InErrs, - 4, A_TP_MIB_TCP_V6IN_ERR_0); - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, &st->ofldNoNeigh, - 2, A_TP_MIB_OFD_ARP_DROP); + u64 *s, *o; + int i; + + t4_get_port_stats(adap, idx, stats); + for (i = 0, s = (u64 *)stats, o = (u64 *)offset ; + i < (sizeof(struct port_stats)/sizeof(u64)) ; + i++, s++, o++) + *s -= *o; } /** - * t4_tp_get_proxy_stats - read TP's proxy MIB counters + * t4_get_port_stats - collect port statistics * @adap: the adapter - * @st: holds the counter values + * @idx: the port index + * @p: the stats structure to fill * - * Returns the values of TP's proxy counters. + * Collect statistics related to the given port from HW. */ -void t4_tp_get_proxy_stats(struct adapter *adap, struct tp_proxy_stats *st) +void t4_get_port_stats(struct adapter *adap, int idx, struct port_stats *p) { - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->proxy, - 4, A_TP_MIB_TNL_LPBK_0); + u32 bgmap = t4_get_mps_bg_map(adap, idx); + +#define GET_STAT(name) \ + t4_read_reg64(adap, \ + (is_t4(adap) ? PORT_REG(idx, A_MPS_PORT_STAT_##name##_L) : \ + T5_PORT_REG(idx, A_MPS_PORT_STAT_##name##_L))) +#define GET_STAT_COM(name) t4_read_reg64(adap, A_MPS_STAT_##name##_L) + + p->tx_pause = GET_STAT(TX_PORT_PAUSE); + p->tx_octets = GET_STAT(TX_PORT_BYTES); + p->tx_frames = GET_STAT(TX_PORT_FRAMES); + p->tx_bcast_frames = GET_STAT(TX_PORT_BCAST); + p->tx_mcast_frames = GET_STAT(TX_PORT_MCAST); + p->tx_ucast_frames = GET_STAT(TX_PORT_UCAST); + p->tx_error_frames = GET_STAT(TX_PORT_ERROR); + p->tx_frames_64 = GET_STAT(TX_PORT_64B); + p->tx_frames_65_127 = GET_STAT(TX_PORT_65B_127B); + p->tx_frames_128_255 = GET_STAT(TX_PORT_128B_255B); + p->tx_frames_256_511 = GET_STAT(TX_PORT_256B_511B); + p->tx_frames_512_1023 = GET_STAT(TX_PORT_512B_1023B); + p->tx_frames_1024_1518 = GET_STAT(TX_PORT_1024B_1518B); + p->tx_frames_1519_max = GET_STAT(TX_PORT_1519B_MAX); + p->tx_drop = GET_STAT(TX_PORT_DROP); + p->tx_ppp0 = GET_STAT(TX_PORT_PPP0); + p->tx_ppp1 = GET_STAT(TX_PORT_PPP1); + p->tx_ppp2 = GET_STAT(TX_PORT_PPP2); + p->tx_ppp3 = GET_STAT(TX_PORT_PPP3); + p->tx_ppp4 = GET_STAT(TX_PORT_PPP4); + p->tx_ppp5 = GET_STAT(TX_PORT_PPP5); + p->tx_ppp6 = GET_STAT(TX_PORT_PPP6); + p->tx_ppp7 = GET_STAT(TX_PORT_PPP7); + + p->rx_pause = GET_STAT(RX_PORT_PAUSE); + p->rx_octets = GET_STAT(RX_PORT_BYTES); + p->rx_frames = GET_STAT(RX_PORT_FRAMES); + p->rx_bcast_frames = GET_STAT(RX_PORT_BCAST); + p->rx_mcast_frames = GET_STAT(RX_PORT_MCAST); + p->rx_ucast_frames = GET_STAT(RX_PORT_UCAST); + p->rx_too_long = GET_STAT(RX_PORT_MTU_ERROR); + p->rx_jabber = GET_STAT(RX_PORT_MTU_CRC_ERROR); + p->rx_fcs_err = GET_STAT(RX_PORT_CRC_ERROR); + p->rx_len_err = GET_STAT(RX_PORT_LEN_ERROR); + p->rx_symbol_err = GET_STAT(RX_PORT_SYM_ERROR); + p->rx_runt = GET_STAT(RX_PORT_LESS_64B); + p->rx_frames_64 = GET_STAT(RX_PORT_64B); + p->rx_frames_65_127 = GET_STAT(RX_PORT_65B_127B); + p->rx_frames_128_255 = GET_STAT(RX_PORT_128B_255B); + p->rx_frames_256_511 = GET_STAT(RX_PORT_256B_511B); + p->rx_frames_512_1023 = GET_STAT(RX_PORT_512B_1023B); + p->rx_frames_1024_1518 = GET_STAT(RX_PORT_1024B_1518B); + p->rx_frames_1519_max = GET_STAT(RX_PORT_1519B_MAX); + p->rx_ppp0 = GET_STAT(RX_PORT_PPP0); + p->rx_ppp1 = GET_STAT(RX_PORT_PPP1); + p->rx_ppp2 = GET_STAT(RX_PORT_PPP2); + p->rx_ppp3 = GET_STAT(RX_PORT_PPP3); + p->rx_ppp4 = GET_STAT(RX_PORT_PPP4); + p->rx_ppp5 = GET_STAT(RX_PORT_PPP5); + p->rx_ppp6 = GET_STAT(RX_PORT_PPP6); + p->rx_ppp7 = GET_STAT(RX_PORT_PPP7); + + p->rx_ovflow0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_MAC_DROP_FRAME) : 0; + p->rx_ovflow1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_MAC_DROP_FRAME) : 0; + p->rx_ovflow2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_MAC_DROP_FRAME) : 0; + p->rx_ovflow3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_MAC_DROP_FRAME) : 0; + p->rx_trunc0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_MAC_TRUNC_FRAME) : 0; + p->rx_trunc1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_MAC_TRUNC_FRAME) : 0; + p->rx_trunc2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_MAC_TRUNC_FRAME) : 0; + p->rx_trunc3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_MAC_TRUNC_FRAME) : 0; + +#undef GET_STAT +#undef GET_STAT_COM } /** - * t4_tp_get_cpl_stats - read TP's CPL MIB counters + * t4_get_lb_stats - collect loopback port statistics * @adap: the adapter - * @st: holds the counter values + * @idx: the loopback port index + * @p: the stats structure to fill * - * Returns the values of TP's CPL counters. + * Return HW statistics for the given loopback port. */ -void t4_tp_get_cpl_stats(struct adapter *adap, struct tp_cpl_stats *st) +void t4_get_lb_stats(struct adapter *adap, int idx, struct lb_port_stats *p) { - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, st->req, - 8, A_TP_MIB_CPL_IN_REQ_0); + u32 bgmap = t4_get_mps_bg_map(adap, idx); + +#define GET_STAT(name) \ + t4_read_reg64(adap, \ + (is_t4(adap) ? \ + PORT_REG(idx, A_MPS_PORT_STAT_LB_PORT_##name##_L) : \ + T5_PORT_REG(idx, A_MPS_PORT_STAT_LB_PORT_##name##_L))) +#define GET_STAT_COM(name) t4_read_reg64(adap, A_MPS_STAT_##name##_L) + + p->octets = GET_STAT(BYTES); + p->frames = GET_STAT(FRAMES); + p->bcast_frames = GET_STAT(BCAST); + p->mcast_frames = GET_STAT(MCAST); + p->ucast_frames = GET_STAT(UCAST); + p->error_frames = GET_STAT(ERROR); + + p->frames_64 = GET_STAT(64B); + p->frames_65_127 = GET_STAT(65B_127B); + p->frames_128_255 = GET_STAT(128B_255B); + p->frames_256_511 = GET_STAT(256B_511B); + p->frames_512_1023 = GET_STAT(512B_1023B); + p->frames_1024_1518 = GET_STAT(1024B_1518B); + p->frames_1519_max = GET_STAT(1519B_MAX); + p->drop = GET_STAT(DROP_FRAMES); + + p->ovflow0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_LB_DROP_FRAME) : 0; + p->ovflow1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_LB_DROP_FRAME) : 0; + p->ovflow2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_LB_DROP_FRAME) : 0; + p->ovflow3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_LB_DROP_FRAME) : 0; + p->trunc0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_LB_TRUNC_FRAME) : 0; + p->trunc1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_LB_TRUNC_FRAME) : 0; + p->trunc2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_LB_TRUNC_FRAME) : 0; + p->trunc3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_LB_TRUNC_FRAME) : 0; + +#undef GET_STAT +#undef GET_STAT_COM } /** - * t4_tp_get_rdma_stats - read TP's RDMA MIB counters + * t4_wol_magic_enable - enable/disable magic packet WoL * @adap: the adapter - * @st: holds the counter values + * @port: the physical port index + * @addr: MAC address expected in magic packets, %NULL to disable * - * Returns the values of TP's RDMA counters. + * Enables/disables magic packet wake-on-LAN for the selected port. */ -void t4_tp_get_rdma_stats(struct adapter *adap, struct tp_rdma_stats *st) +void t4_wol_magic_enable(struct adapter *adap, unsigned int port, + const u8 *addr) { - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, &st->rqe_dfr_mod, - 2, A_TP_MIB_RQE_DFR_MOD); + u32 mag_id_reg_l, mag_id_reg_h, port_cfg_reg; + + if (is_t4(adap)) { + mag_id_reg_l = PORT_REG(port, A_XGMAC_PORT_MAGIC_MACID_LO); + mag_id_reg_h = PORT_REG(port, A_XGMAC_PORT_MAGIC_MACID_HI); + port_cfg_reg = PORT_REG(port, A_XGMAC_PORT_CFG2); + } else { + mag_id_reg_l = T5_PORT_REG(port, A_MAC_PORT_MAGIC_MACID_LO); + mag_id_reg_h = T5_PORT_REG(port, A_MAC_PORT_MAGIC_MACID_HI); + port_cfg_reg = T5_PORT_REG(port, A_MAC_PORT_CFG2); + } + + if (addr) { + t4_write_reg(adap, mag_id_reg_l, + (addr[2] << 24) | (addr[3] << 16) | + (addr[4] << 8) | addr[5]); + t4_write_reg(adap, mag_id_reg_h, + (addr[0] << 8) | addr[1]); + } + t4_set_reg_field(adap, port_cfg_reg, F_MAGICEN, + V_MAGICEN(addr != NULL)); } /** - * t4_get_fcoe_stats - read TP's FCoE MIB counters for a port + * t4_wol_pat_enable - enable/disable pattern-based WoL * @adap: the adapter - * @idx: the port index - * @st: holds the counter values + * @port: the physical port index + * @map: bitmap of which HW pattern filters to set + * @mask0: byte mask for bytes 0-63 of a packet + * @mask1: byte mask for bytes 64-127 of a packet + * @crc: Ethernet CRC for selected bytes + * @enable: enable/disable switch * - * Returns the values of TP's FCoE counters for the selected port. + * Sets the pattern filters indicated in @map to mask out the bytes + * specified in @mask0/@mask1 in received packets and compare the CRC of + * the resulting packet against @crc. If @enable is %true pattern-based + * WoL is enabled, otherwise disabled. */ -void t4_get_fcoe_stats(struct adapter *adap, unsigned int idx, - struct tp_fcoe_stats *st) +int t4_wol_pat_enable(struct adapter *adap, unsigned int port, unsigned int map, + u64 mask0, u64 mask1, unsigned int crc, bool enable) { - u32 val[2]; + int i; + u32 port_cfg_reg; - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, &st->framesDDP, - 1, A_TP_MIB_FCOE_DDP_0 + idx); - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, &st->framesDrop, - 1, A_TP_MIB_FCOE_DROP_0 + idx); - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, - 2, A_TP_MIB_FCOE_BYTE_0_HI + 2 * idx); - st->octetsDDP = ((u64)val[0] << 32) | val[1]; + if (is_t4(adap)) + port_cfg_reg = PORT_REG(port, A_XGMAC_PORT_CFG2); + else + port_cfg_reg = T5_PORT_REG(port, A_MAC_PORT_CFG2); + + if (!enable) { + t4_set_reg_field(adap, port_cfg_reg, F_PATEN, 0); + return 0; + } + if (map > 0xff) + return -EINVAL; + +#define EPIO_REG(name) \ + (is_t4(adap) ? PORT_REG(port, A_XGMAC_PORT_EPIO_##name) : \ + T5_PORT_REG(port, A_MAC_PORT_EPIO_##name)) + + t4_write_reg(adap, EPIO_REG(DATA1), mask0 >> 32); + t4_write_reg(adap, EPIO_REG(DATA2), mask1); + t4_write_reg(adap, EPIO_REG(DATA3), mask1 >> 32); + + for (i = 0; i < NWOL_PAT; i++, map >>= 1) { + if (!(map & 1)) + continue; + + /* write byte masks */ + t4_write_reg(adap, EPIO_REG(DATA0), mask0); + t4_write_reg(adap, EPIO_REG(OP), V_ADDRESS(i) | F_EPIOWR); + t4_read_reg(adap, EPIO_REG(OP)); /* flush */ + if (t4_read_reg(adap, EPIO_REG(OP)) & F_BUSY) + return -ETIMEDOUT; + + /* write CRC */ + t4_write_reg(adap, EPIO_REG(DATA0), crc); + t4_write_reg(adap, EPIO_REG(OP), V_ADDRESS(i + 32) | F_EPIOWR); + t4_read_reg(adap, EPIO_REG(OP)); /* flush */ + if (t4_read_reg(adap, EPIO_REG(OP)) & F_BUSY) + return -ETIMEDOUT; + } +#undef EPIO_REG + + t4_set_reg_field(adap, port_cfg_reg, 0, F_PATEN); + return 0; } -/** - * t4_get_usm_stats - read TP's non-TCP DDP MIB counters - * @adap: the adapter - * @st: holds the counter values +/* t4_mk_filtdelwr - create a delete filter WR + * @ftid: the filter ID + * @wr: the filter work request to populate + * @qid: ingress queue to receive the delete notification * - * Returns the values of TP's counters for non-TCP directly-placed packets. + * Creates a filter work request to delete the supplied filter. If @qid is + * negative the delete notification is suppressed. */ -void t4_get_usm_stats(struct adapter *adap, struct tp_usm_stats *st) +void t4_mk_filtdelwr(unsigned int ftid, struct fw_filter_wr *wr, int qid) { - u32 val[4]; + memset(wr, 0, sizeof(*wr)); + wr->op_pkd = cpu_to_be32(V_FW_WR_OP(FW_FILTER_WR)); + wr->len16_pkd = cpu_to_be32(V_FW_WR_LEN16(sizeof(*wr) / 16)); + wr->tid_to_iq = cpu_to_be32(V_FW_FILTER_WR_TID(ftid) | + V_FW_FILTER_WR_NOREPLY(qid < 0)); + wr->del_filter_to_l2tix = cpu_to_be32(F_FW_FILTER_WR_DEL_FILTER); + if (qid >= 0) + wr->rx_chan_rx_rpl_iq = + cpu_to_be16(V_FW_FILTER_WR_RX_RPL_IQ(qid)); +} - t4_read_indirect(adap, A_TP_MIB_INDEX, A_TP_MIB_DATA, val, 4, - A_TP_MIB_USM_PKTS); - st->frames = val[0]; - st->drops = val[1]; - st->octets = ((u64)val[2] << 32) | val[3]; +#define INIT_CMD(var, cmd, rd_wr) do { \ + (var).op_to_write = cpu_to_be32(V_FW_CMD_OP(FW_##cmd##_CMD) | \ + F_FW_CMD_REQUEST | \ + F_FW_CMD_##rd_wr); \ + (var).retval_len16 = cpu_to_be32(FW_LEN16(var)); \ +} while (0) + +int t4_fwaddrspace_write(struct adapter *adap, unsigned int mbox, + u32 addr, u32 val) +{ + u32 ldst_addrspace; + struct fw_ldst_cmd c; + + memset(&c, 0, sizeof(c)); + ldst_addrspace = V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_FIRMWARE); + c.op_to_addrspace = cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_WRITE | + ldst_addrspace); + c.cycles_to_len16 = cpu_to_be32(FW_LEN16(c)); + c.u.addrval.addr = cpu_to_be32(addr); + c.u.addrval.val = cpu_to_be32(val); + + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_read_mtu_tbl - returns the values in the HW path MTU table + * t4_mdio_rd - read a PHY register through MDIO * @adap: the adapter - * @mtus: where to store the MTU values - * @mtu_log: where to store the MTU base-2 log (may be %NULL) + * @mbox: mailbox to use for the FW command + * @phy_addr: the PHY address + * @mmd: the PHY MMD to access (0 for clause 22 PHYs) + * @reg: the register to read + * @valp: where to store the value * - * Reads the HW path MTU table. + * Issues a FW command through the given mailbox to read a PHY register. */ -void t4_read_mtu_tbl(struct adapter *adap, u16 *mtus, u8 *mtu_log) +int t4_mdio_rd(struct adapter *adap, unsigned int mbox, unsigned int phy_addr, + unsigned int mmd, unsigned int reg, unsigned int *valp) { - u32 v; - int i; + int ret; + u32 ldst_addrspace; + struct fw_ldst_cmd c; - for (i = 0; i < NMTUS; ++i) { - t4_write_reg(adap, A_TP_MTU_TABLE, - V_MTUINDEX(0xff) | V_MTUVALUE(i)); - v = t4_read_reg(adap, A_TP_MTU_TABLE); - mtus[i] = G_MTUVALUE(v); - if (mtu_log) - mtu_log[i] = G_MTUWIDTH(v); - } + memset(&c, 0, sizeof(c)); + ldst_addrspace = V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_MDIO); + c.op_to_addrspace = cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ | + ldst_addrspace); + c.cycles_to_len16 = cpu_to_be32(FW_LEN16(c)); + c.u.mdio.paddr_mmd = cpu_to_be16(V_FW_LDST_CMD_PADDR(phy_addr) | + V_FW_LDST_CMD_MMD(mmd)); + c.u.mdio.raddr = cpu_to_be16(reg); + + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + if (ret == 0) + *valp = be16_to_cpu(c.u.mdio.rval); + return ret; } /** - * t4_read_cong_tbl - reads the congestion control table + * t4_mdio_wr - write a PHY register through MDIO * @adap: the adapter - * @incr: where to store the alpha values + * @mbox: mailbox to use for the FW command + * @phy_addr: the PHY address + * @mmd: the PHY MMD to access (0 for clause 22 PHYs) + * @reg: the register to write + * @valp: value to write * - * Reads the additive increments programmed into the HW congestion - * control table. + * Issues a FW command through the given mailbox to write a PHY register. */ -void t4_read_cong_tbl(struct adapter *adap, u16 incr[NMTUS][NCCTRL_WIN]) +int t4_mdio_wr(struct adapter *adap, unsigned int mbox, unsigned int phy_addr, + unsigned int mmd, unsigned int reg, unsigned int val) { - unsigned int mtu, w; + u32 ldst_addrspace; + struct fw_ldst_cmd c; - for (mtu = 0; mtu < NMTUS; ++mtu) - for (w = 0; w < NCCTRL_WIN; ++w) { - t4_write_reg(adap, A_TP_CCTRL_TABLE, - V_ROWINDEX(0xffff) | (mtu << 5) | w); - incr[mtu][w] = (u16)t4_read_reg(adap, - A_TP_CCTRL_TABLE) & 0x1fff; - } + memset(&c, 0, sizeof(c)); + ldst_addrspace = V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_MDIO); + c.op_to_addrspace = cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + ldst_addrspace); + c.cycles_to_len16 = cpu_to_be32(FW_LEN16(c)); + c.u.mdio.paddr_mmd = cpu_to_be16(V_FW_LDST_CMD_PADDR(phy_addr) | + V_FW_LDST_CMD_MMD(mmd)); + c.u.mdio.raddr = cpu_to_be16(reg); + c.u.mdio.rval = cpu_to_be16(val); + + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_read_pace_tbl - read the pace table - * @adap: the adapter - * @pace_vals: holds the returned values * - * Returns the values of TP's pace table in microseconds. - */ -void t4_read_pace_tbl(struct adapter *adap, unsigned int pace_vals[NTX_SCHED]) -{ - unsigned int i, v; + * t4_sge_decode_idma_state - decode the idma state + * @adap: the adapter + * @state: the state idma is stuck in + */ +void t4_sge_decode_idma_state(struct adapter *adapter, int state) +{ + static const char * const t4_decode[] = { + "IDMA_IDLE", + "IDMA_PUSH_MORE_CPL_FIFO", + "IDMA_PUSH_CPL_MSG_HEADER_TO_FIFO", + "Not used", + "IDMA_PHYSADDR_SEND_PCIEHDR", + "IDMA_PHYSADDR_SEND_PAYLOAD_FIRST", + "IDMA_PHYSADDR_SEND_PAYLOAD", + "IDMA_SEND_FIFO_TO_IMSG", + "IDMA_FL_REQ_DATA_FL_PREP", + "IDMA_FL_REQ_DATA_FL", + "IDMA_FL_DROP", + "IDMA_FL_H_REQ_HEADER_FL", + "IDMA_FL_H_SEND_PCIEHDR", + "IDMA_FL_H_PUSH_CPL_FIFO", + "IDMA_FL_H_SEND_CPL", + "IDMA_FL_H_SEND_IP_HDR_FIRST", + "IDMA_FL_H_SEND_IP_HDR", + "IDMA_FL_H_REQ_NEXT_HEADER_FL", + "IDMA_FL_H_SEND_NEXT_PCIEHDR", + "IDMA_FL_H_SEND_IP_HDR_PADDING", + "IDMA_FL_D_SEND_PCIEHDR", + "IDMA_FL_D_SEND_CPL_AND_IP_HDR", + "IDMA_FL_D_REQ_NEXT_DATA_FL", + "IDMA_FL_SEND_PCIEHDR", + "IDMA_FL_PUSH_CPL_FIFO", + "IDMA_FL_SEND_CPL", + "IDMA_FL_SEND_PAYLOAD_FIRST", + "IDMA_FL_SEND_PAYLOAD", + "IDMA_FL_REQ_NEXT_DATA_FL", + "IDMA_FL_SEND_NEXT_PCIEHDR", + "IDMA_FL_SEND_PADDING", + "IDMA_FL_SEND_COMPLETION_TO_IMSG", + "IDMA_FL_SEND_FIFO_TO_IMSG", + "IDMA_FL_REQ_DATAFL_DONE", + "IDMA_FL_REQ_HEADERFL_DONE", + }; + static const char * const t5_decode[] = { + "IDMA_IDLE", + "IDMA_ALMOST_IDLE", + "IDMA_PUSH_MORE_CPL_FIFO", + "IDMA_PUSH_CPL_MSG_HEADER_TO_FIFO", + "IDMA_SGEFLRFLUSH_SEND_PCIEHDR", + "IDMA_PHYSADDR_SEND_PCIEHDR", + "IDMA_PHYSADDR_SEND_PAYLOAD_FIRST", + "IDMA_PHYSADDR_SEND_PAYLOAD", + "IDMA_SEND_FIFO_TO_IMSG", + "IDMA_FL_REQ_DATA_FL", + "IDMA_FL_DROP", + "IDMA_FL_DROP_SEND_INC", + "IDMA_FL_H_REQ_HEADER_FL", + "IDMA_FL_H_SEND_PCIEHDR", + "IDMA_FL_H_PUSH_CPL_FIFO", + "IDMA_FL_H_SEND_CPL", + "IDMA_FL_H_SEND_IP_HDR_FIRST", + "IDMA_FL_H_SEND_IP_HDR", + "IDMA_FL_H_REQ_NEXT_HEADER_FL", + "IDMA_FL_H_SEND_NEXT_PCIEHDR", + "IDMA_FL_H_SEND_IP_HDR_PADDING", + "IDMA_FL_D_SEND_PCIEHDR", + "IDMA_FL_D_SEND_CPL_AND_IP_HDR", + "IDMA_FL_D_REQ_NEXT_DATA_FL", + "IDMA_FL_SEND_PCIEHDR", + "IDMA_FL_PUSH_CPL_FIFO", + "IDMA_FL_SEND_CPL", + "IDMA_FL_SEND_PAYLOAD_FIRST", + "IDMA_FL_SEND_PAYLOAD", + "IDMA_FL_REQ_NEXT_DATA_FL", + "IDMA_FL_SEND_NEXT_PCIEHDR", + "IDMA_FL_SEND_PADDING", + "IDMA_FL_SEND_COMPLETION_TO_IMSG", + }; + static const char * const t6_decode[] = { + "IDMA_IDLE", + "IDMA_PUSH_MORE_CPL_FIFO", + "IDMA_PUSH_CPL_MSG_HEADER_TO_FIFO", + "IDMA_SGEFLRFLUSH_SEND_PCIEHDR", + "IDMA_PHYSADDR_SEND_PCIEHDR", + "IDMA_PHYSADDR_SEND_PAYLOAD_FIRST", + "IDMA_PHYSADDR_SEND_PAYLOAD", + "IDMA_FL_REQ_DATA_FL", + "IDMA_FL_DROP", + "IDMA_FL_DROP_SEND_INC", + "IDMA_FL_H_REQ_HEADER_FL", + "IDMA_FL_H_SEND_PCIEHDR", + "IDMA_FL_H_PUSH_CPL_FIFO", + "IDMA_FL_H_SEND_CPL", + "IDMA_FL_H_SEND_IP_HDR_FIRST", + "IDMA_FL_H_SEND_IP_HDR", + "IDMA_FL_H_REQ_NEXT_HEADER_FL", + "IDMA_FL_H_SEND_NEXT_PCIEHDR", + "IDMA_FL_H_SEND_IP_HDR_PADDING", + "IDMA_FL_D_SEND_PCIEHDR", + "IDMA_FL_D_SEND_CPL_AND_IP_HDR", + "IDMA_FL_D_REQ_NEXT_DATA_FL", + "IDMA_FL_SEND_PCIEHDR", + "IDMA_FL_PUSH_CPL_FIFO", + "IDMA_FL_SEND_CPL", + "IDMA_FL_SEND_PAYLOAD_FIRST", + "IDMA_FL_SEND_PAYLOAD", + "IDMA_FL_REQ_NEXT_DATA_FL", + "IDMA_FL_SEND_NEXT_PCIEHDR", + "IDMA_FL_SEND_PADDING", + "IDMA_FL_SEND_COMPLETION_TO_IMSG", + }; + static const u32 sge_regs[] = { + A_SGE_DEBUG_DATA_LOW_INDEX_2, + A_SGE_DEBUG_DATA_LOW_INDEX_3, + A_SGE_DEBUG_DATA_HIGH_INDEX_10, + }; + const char * const *sge_idma_decode; + int sge_idma_decode_nstates; + int i; + unsigned int chip_version = chip_id(adapter); - for (i = 0; i < NTX_SCHED; i++) { - t4_write_reg(adap, A_TP_PACE_TABLE, 0xffff0000 + i); - v = t4_read_reg(adap, A_TP_PACE_TABLE); - pace_vals[i] = dack_ticks_to_usec(adap, v); + /* Select the right set of decode strings to dump depending on the + * adapter chip type. + */ + switch (chip_version) { + case CHELSIO_T4: + sge_idma_decode = (const char * const *)t4_decode; + sge_idma_decode_nstates = ARRAY_SIZE(t4_decode); + break; + + case CHELSIO_T5: + sge_idma_decode = (const char * const *)t5_decode; + sge_idma_decode_nstates = ARRAY_SIZE(t5_decode); + break; + + case CHELSIO_T6: + sge_idma_decode = (const char * const *)t6_decode; + sge_idma_decode_nstates = ARRAY_SIZE(t6_decode); + break; + + default: + CH_ERR(adapter, "Unsupported chip version %d\n", chip_version); + return; } + + if (state < sge_idma_decode_nstates) + CH_WARN(adapter, "idma state %s\n", sge_idma_decode[state]); + else + CH_WARN(adapter, "idma state %d unknown\n", state); + + for (i = 0; i < ARRAY_SIZE(sge_regs); i++) + CH_WARN(adapter, "SGE register %#x value %#x\n", + sge_regs[i], t4_read_reg(adapter, sge_regs[i])); } /** - * t4_tp_wr_bits_indirect - set/clear bits in an indirect TP register - * @adap: the adapter - * @addr: the indirect TP register address - * @mask: specifies the field within the register to modify - * @val: new value for the field + * t4_sge_ctxt_flush - flush the SGE context cache + * @adap: the adapter + * @mbox: mailbox to use for the FW command * - * Sets a field of an indirect TP register to the given value. + * Issues a FW command through the given mailbox to flush the + * SGE context cache. */ -void t4_tp_wr_bits_indirect(struct adapter *adap, unsigned int addr, - unsigned int mask, unsigned int val) +int t4_sge_ctxt_flush(struct adapter *adap, unsigned int mbox) { - t4_write_reg(adap, A_TP_PIO_ADDR, addr); - val |= t4_read_reg(adap, A_TP_PIO_DATA) & ~mask; - t4_write_reg(adap, A_TP_PIO_DATA, val); + int ret; + u32 ldst_addrspace; + struct fw_ldst_cmd c; + + memset(&c, 0, sizeof(c)); + ldst_addrspace = V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_SGE_EGRC); + c.op_to_addrspace = cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ | + ldst_addrspace); + c.cycles_to_len16 = cpu_to_be32(FW_LEN16(c)); + c.u.idctxt.msg_ctxtflush = cpu_to_be32(F_FW_LDST_CMD_CTXTFLUSH); + + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + return ret; } /** - * init_cong_ctrl - initialize congestion control parameters - * @a: the alpha values for congestion control - * @b: the beta values for congestion control + * t4_fw_hello - establish communication with FW + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @evt_mbox: mailbox to receive async FW events + * @master: specifies the caller's willingness to be the device master + * @state: returns the current device state (if non-NULL) * - * Initialize the congestion control parameters. + * Issues a command to establish communication with FW. Returns either + * an error (negative integer) or the mailbox of the Master PF. */ -static void __devinit init_cong_ctrl(unsigned short *a, unsigned short *b) +int t4_fw_hello(struct adapter *adap, unsigned int mbox, unsigned int evt_mbox, + enum dev_master master, enum dev_state *state) { - a[0] = a[1] = a[2] = a[3] = a[4] = a[5] = a[6] = a[7] = a[8] = 1; - a[9] = 2; - a[10] = 3; - a[11] = 4; - a[12] = 5; - a[13] = 6; - a[14] = 7; - a[15] = 8; - a[16] = 9; - a[17] = 10; - a[18] = 14; - a[19] = 17; - a[20] = 21; - a[21] = 25; - a[22] = 30; - a[23] = 35; - a[24] = 45; - a[25] = 60; - a[26] = 80; - a[27] = 100; - a[28] = 200; - a[29] = 300; - a[30] = 400; - a[31] = 500; + int ret; + struct fw_hello_cmd c; + u32 v; + unsigned int master_mbox; + int retries = FW_CMD_HELLO_RETRIES; - b[0] = b[1] = b[2] = b[3] = b[4] = b[5] = b[6] = b[7] = b[8] = 0; - b[9] = b[10] = 1; - b[11] = b[12] = 2; - b[13] = b[14] = b[15] = b[16] = 3; - b[17] = b[18] = b[19] = b[20] = b[21] = 4; - b[22] = b[23] = b[24] = b[25] = b[26] = b[27] = 5; - b[28] = b[29] = 6; - b[30] = b[31] = 7; -} +retry: + memset(&c, 0, sizeof(c)); + INIT_CMD(c, HELLO, WRITE); + c.err_to_clearinit = cpu_to_be32( + V_FW_HELLO_CMD_MASTERDIS(master == MASTER_CANT) | + V_FW_HELLO_CMD_MASTERFORCE(master == MASTER_MUST) | + V_FW_HELLO_CMD_MBMASTER(master == MASTER_MUST ? + mbox : M_FW_HELLO_CMD_MBMASTER) | + V_FW_HELLO_CMD_MBASYNCNOT(evt_mbox) | + V_FW_HELLO_CMD_STAGE(FW_HELLO_CMD_STAGE_OS) | + F_FW_HELLO_CMD_CLEARINIT); -/* The minimum additive increment value for the congestion control table */ -#define CC_MIN_INCR 2U + /* + * Issue the HELLO command to the firmware. If it's not successful + * but indicates that we got a "busy" or "timeout" condition, retry + * the HELLO until we exhaust our retry limit. If we do exceed our + * retry limit, check to see if the firmware left us any error + * information and report that if so ... + */ + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + if (ret != FW_SUCCESS) { + if ((ret == -EBUSY || ret == -ETIMEDOUT) && retries-- > 0) + goto retry; + if (t4_read_reg(adap, A_PCIE_FW) & F_PCIE_FW_ERR) + t4_report_fw_error(adap); + return ret; + } -/** - * t4_load_mtus - write the MTU and congestion control HW tables - * @adap: the adapter - * @mtus: the values for the MTU table - * @alpha: the values for the congestion control alpha parameter - * @beta: the values for the congestion control beta parameter - * - * Write the HW MTU table with the supplied MTUs and the high-speed - * congestion control table with the supplied alpha, beta, and MTUs. - * We write the two tables together because the additive increments - * depend on the MTUs. - */ -void t4_load_mtus(struct adapter *adap, const unsigned short *mtus, - const unsigned short *alpha, const unsigned short *beta) -{ - static const unsigned int avg_pkts[NCCTRL_WIN] = { - 2, 6, 10, 14, 20, 28, 40, 56, 80, 112, 160, 224, 320, 448, 640, - 896, 1281, 1792, 2560, 3584, 5120, 7168, 10240, 14336, 20480, - 28672, 40960, 57344, 81920, 114688, 163840, 229376 - }; + v = be32_to_cpu(c.err_to_clearinit); + master_mbox = G_FW_HELLO_CMD_MBMASTER(v); + if (state) { + if (v & F_FW_HELLO_CMD_ERR) + *state = DEV_STATE_ERR; + else if (v & F_FW_HELLO_CMD_INIT) + *state = DEV_STATE_INIT; + else + *state = DEV_STATE_UNINIT; + } - unsigned int i, w; + /* + * If we're not the Master PF then we need to wait around for the + * Master PF Driver to finish setting up the adapter. + * + * Note that we also do this wait if we're a non-Master-capable PF and + * there is no current Master PF; a Master PF may show up momentarily + * and we wouldn't want to fail pointlessly. (This can happen when an + * OS loads lots of different drivers rapidly at the same time). In + * this case, the Master PF returned by the firmware will be + * M_PCIE_FW_MASTER so the test below will work ... + */ + if ((v & (F_FW_HELLO_CMD_ERR|F_FW_HELLO_CMD_INIT)) == 0 && + master_mbox != mbox) { + int waiting = FW_CMD_HELLO_TIMEOUT; + + /* + * Wait for the firmware to either indicate an error or + * initialized state. If we see either of these we bail out + * and report the issue to the caller. If we exhaust the + * "hello timeout" and we haven't exhausted our retries, try + * again. Otherwise bail with a timeout error. + */ + for (;;) { + u32 pcie_fw; - for (i = 0; i < NMTUS; ++i) { - unsigned int mtu = mtus[i]; - unsigned int log2 = fls(mtu); + msleep(50); + waiting -= 50; - if (!(mtu & ((1 << log2) >> 2))) /* round */ - log2--; - t4_write_reg(adap, A_TP_MTU_TABLE, V_MTUINDEX(i) | - V_MTUWIDTH(log2) | V_MTUVALUE(mtu)); + /* + * If neither Error nor Initialialized are indicated + * by the firmware keep waiting till we exhaust our + * timeout ... and then retry if we haven't exhausted + * our retries ... + */ + pcie_fw = t4_read_reg(adap, A_PCIE_FW); + if (!(pcie_fw & (F_PCIE_FW_ERR|F_PCIE_FW_INIT))) { + if (waiting <= 0) { + if (retries-- > 0) + goto retry; - for (w = 0; w < NCCTRL_WIN; ++w) { - unsigned int inc; + return -ETIMEDOUT; + } + continue; + } - inc = max(((mtu - 40) * alpha[w]) / avg_pkts[w], - CC_MIN_INCR); + /* + * We either have an Error or Initialized condition + * report errors preferentially. + */ + if (state) { + if (pcie_fw & F_PCIE_FW_ERR) + *state = DEV_STATE_ERR; + else if (pcie_fw & F_PCIE_FW_INIT) + *state = DEV_STATE_INIT; + } - t4_write_reg(adap, A_TP_CCTRL_TABLE, (i << 21) | - (w << 16) | (beta[w] << 13) | inc); + /* + * If we arrived before a Master PF was selected and + * there's not a valid Master PF, grab its identity + * for our caller. + */ + if (master_mbox == M_PCIE_FW_MASTER && + (pcie_fw & F_PCIE_FW_MASTER_VLD)) + master_mbox = G_PCIE_FW_MASTER(pcie_fw); + break; } } -} - -/** - * t4_set_pace_tbl - set the pace table - * @adap: the adapter - * @pace_vals: the pace values in microseconds - * @start: index of the first entry in the HW pace table to set - * @n: how many entries to set - * - * Sets (a subset of the) HW pace table. - */ -int t4_set_pace_tbl(struct adapter *adap, const unsigned int *pace_vals, - unsigned int start, unsigned int n) -{ - unsigned int vals[NTX_SCHED], i; - unsigned int tick_ns = dack_ticks_to_usec(adap, 1000); - if (n > NTX_SCHED) - return -ERANGE; - - /* convert values from us to dack ticks, rounding to closest value */ - for (i = 0; i < n; i++, pace_vals++) { - vals[i] = (1000 * *pace_vals + tick_ns / 2) / tick_ns; - if (vals[i] > 0x7ff) - return -ERANGE; - if (*pace_vals && vals[i] == 0) - return -ERANGE; - } - for (i = 0; i < n; i++, start++) - t4_write_reg(adap, A_TP_PACE_TABLE, (start << 16) | vals[i]); - return 0; + return master_mbox; } /** - * t4_set_sched_bps - set the bit rate for a HW traffic scheduler + * t4_fw_bye - end communication with FW * @adap: the adapter - * @kbps: target rate in Kbps - * @sched: the scheduler index + * @mbox: mailbox to use for the FW command * - * Configure a Tx HW scheduler for the target rate. + * Issues a command to terminate communication with FW. */ -int t4_set_sched_bps(struct adapter *adap, int sched, unsigned int kbps) +int t4_fw_bye(struct adapter *adap, unsigned int mbox) { - unsigned int v, tps, cpt, bpt, delta, mindelta = ~0; - unsigned int clk = adap->params.vpd.cclk * 1000; - unsigned int selected_cpt = 0, selected_bpt = 0; + struct fw_bye_cmd c; - if (kbps > 0) { - kbps *= 125; /* -> bytes */ - for (cpt = 1; cpt <= 255; cpt++) { - tps = clk / cpt; - bpt = (kbps + tps / 2) / tps; - if (bpt > 0 && bpt <= 255) { - v = bpt * tps; - delta = v >= kbps ? v - kbps : kbps - v; - if (delta < mindelta) { - mindelta = delta; - selected_cpt = cpt; - selected_bpt = bpt; - } - } else if (selected_cpt) - break; - } - if (!selected_cpt) - return -EINVAL; - } - t4_write_reg(adap, A_TP_TM_PIO_ADDR, - A_TP_TX_MOD_Q1_Q0_RATE_LIMIT - sched / 2); - v = t4_read_reg(adap, A_TP_TM_PIO_DATA); - if (sched & 1) - v = (v & 0xffff) | (selected_cpt << 16) | (selected_bpt << 24); - else - v = (v & 0xffff0000) | selected_cpt | (selected_bpt << 8); - t4_write_reg(adap, A_TP_TM_PIO_DATA, v); - return 0; + memset(&c, 0, sizeof(c)); + INIT_CMD(c, BYE, WRITE); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_set_sched_ipg - set the IPG for a Tx HW packet rate scheduler + * t4_fw_reset - issue a reset to FW * @adap: the adapter - * @sched: the scheduler index - * @ipg: the interpacket delay in tenths of nanoseconds + * @mbox: mailbox to use for the FW command + * @reset: specifies the type of reset to perform * - * Set the interpacket delay for a HW packet rate scheduler. + * Issues a reset command of the specified type to FW. */ -int t4_set_sched_ipg(struct adapter *adap, int sched, unsigned int ipg) +int t4_fw_reset(struct adapter *adap, unsigned int mbox, int reset) { - unsigned int v, addr = A_TP_TX_MOD_Q1_Q0_TIMER_SEPARATOR - sched / 2; - - /* convert ipg to nearest number of core clocks */ - ipg *= core_ticks_per_usec(adap); - ipg = (ipg + 5000) / 10000; - if (ipg > M_TXTIMERSEPQ0) - return -EINVAL; + struct fw_reset_cmd c; - t4_write_reg(adap, A_TP_TM_PIO_ADDR, addr); - v = t4_read_reg(adap, A_TP_TM_PIO_DATA); - if (sched & 1) - v = (v & V_TXTIMERSEPQ0(M_TXTIMERSEPQ0)) | V_TXTIMERSEPQ1(ipg); - else - v = (v & V_TXTIMERSEPQ1(M_TXTIMERSEPQ1)) | V_TXTIMERSEPQ0(ipg); - t4_write_reg(adap, A_TP_TM_PIO_DATA, v); - t4_read_reg(adap, A_TP_TM_PIO_DATA); - return 0; + memset(&c, 0, sizeof(c)); + INIT_CMD(c, RESET, WRITE); + c.val = cpu_to_be32(reset); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_get_tx_sched - get the configuration of a Tx HW traffic scheduler + * t4_fw_halt - issue a reset/halt to FW and put uP into RESET * @adap: the adapter - * @sched: the scheduler index - * @kbps: the byte rate in Kbps - * @ipg: the interpacket delay in tenths of nanoseconds - * - * Return the current configuration of a HW Tx scheduler. - */ -void t4_get_tx_sched(struct adapter *adap, unsigned int sched, unsigned int *kbps, - unsigned int *ipg) -{ - unsigned int v, addr, bpt, cpt; - - if (kbps) { - addr = A_TP_TX_MOD_Q1_Q0_RATE_LIMIT - sched / 2; - t4_write_reg(adap, A_TP_TM_PIO_ADDR, addr); - v = t4_read_reg(adap, A_TP_TM_PIO_DATA); - if (sched & 1) - v >>= 16; - bpt = (v >> 8) & 0xff; - cpt = v & 0xff; - if (!cpt) - *kbps = 0; /* scheduler disabled */ - else { - v = (adap->params.vpd.cclk * 1000) / cpt; /* ticks/s */ - *kbps = (v * bpt) / 125; - } - } - if (ipg) { - addr = A_TP_TX_MOD_Q1_Q0_TIMER_SEPARATOR - sched / 2; - t4_write_reg(adap, A_TP_TM_PIO_ADDR, addr); - v = t4_read_reg(adap, A_TP_TM_PIO_DATA); - if (sched & 1) - v >>= 16; - v &= 0xffff; - *ipg = (10000 * v) / core_ticks_per_usec(adap); - } -} - -/* - * Calculates a rate in bytes/s given the number of 256-byte units per 4K core - * clocks. The formula is - * - * bytes/s = bytes256 * 256 * ClkFreq / 4096 + * @mbox: mailbox to use for the FW RESET command (if desired) + * @force: force uP into RESET even if FW RESET command fails * - * which is equivalent to + * Issues a RESET command to firmware (if desired) with a HALT indication + * and then puts the microprocessor into RESET state. The RESET command + * will only be issued if a legitimate mailbox is provided (mbox <= + * M_PCIE_FW_MASTER). * - * bytes/s = 62.5 * bytes256 * ClkFreq_ms + * This is generally used in order for the host to safely manipulate the + * adapter without fear of conflicting with whatever the firmware might + * be doing. The only way out of this state is to RESTART the firmware + * ... */ -static u64 chan_rate(struct adapter *adap, unsigned int bytes256) +int t4_fw_halt(struct adapter *adap, unsigned int mbox, int force) { - u64 v = bytes256 * adap->params.vpd.cclk; + int ret = 0; - return v * 62 + v / 2; -} + /* + * If a legitimate mailbox is provided, issue a RESET command + * with a HALT indication. + */ + if (mbox <= M_PCIE_FW_MASTER) { + struct fw_reset_cmd c; -/** - * t4_get_chan_txrate - get the current per channel Tx rates - * @adap: the adapter - * @nic_rate: rates for NIC traffic - * @ofld_rate: rates for offloaded traffic - * - * Return the current Tx rates in bytes/s for NIC and offloaded traffic - * for each channel. - */ -void t4_get_chan_txrate(struct adapter *adap, u64 *nic_rate, u64 *ofld_rate) -{ - u32 v; + memset(&c, 0, sizeof(c)); + INIT_CMD(c, RESET, WRITE); + c.val = cpu_to_be32(F_PIORST | F_PIORSTMODE); + c.halt_pkd = cpu_to_be32(F_FW_RESET_CMD_HALT); + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + } - v = t4_read_reg(adap, A_TP_TX_TRATE); - nic_rate[0] = chan_rate(adap, G_TNLRATE0(v)); - nic_rate[1] = chan_rate(adap, G_TNLRATE1(v)); - nic_rate[2] = chan_rate(adap, G_TNLRATE2(v)); - nic_rate[3] = chan_rate(adap, G_TNLRATE3(v)); + /* + * Normally we won't complete the operation if the firmware RESET + * command fails but if our caller insists we'll go ahead and put the + * uP into RESET. This can be useful if the firmware is hung or even + * missing ... We'll have to take the risk of putting the uP into + * RESET without the cooperation of firmware in that case. + * + * We also force the firmware's HALT flag to be on in case we bypassed + * the firmware RESET command above or we're dealing with old firmware + * which doesn't have the HALT capability. This will serve as a flag + * for the incoming firmware to know that it's coming out of a HALT + * rather than a RESET ... if it's new enough to understand that ... + */ + if (ret == 0 || force) { + t4_set_reg_field(adap, A_CIM_BOOT_CFG, F_UPCRST, F_UPCRST); + t4_set_reg_field(adap, A_PCIE_FW, F_PCIE_FW_HALT, + F_PCIE_FW_HALT); + } - v = t4_read_reg(adap, A_TP_TX_ORATE); - ofld_rate[0] = chan_rate(adap, G_OFDRATE0(v)); - ofld_rate[1] = chan_rate(adap, G_OFDRATE1(v)); - ofld_rate[2] = chan_rate(adap, G_OFDRATE2(v)); - ofld_rate[3] = chan_rate(adap, G_OFDRATE3(v)); + /* + * And we always return the result of the firmware RESET command + * even when we force the uP into RESET ... + */ + return ret; } /** - * t4_set_trace_filter - configure one of the tracing filters + * t4_fw_restart - restart the firmware by taking the uP out of RESET * @adap: the adapter - * @tp: the desired trace filter parameters - * @idx: which filter to configure - * @enable: whether to enable or disable the filter + * @reset: if we want to do a RESET to restart things * - * Configures one of the tracing filters available in HW. If @tp is %NULL - * it indicates that the filter is already written in the register and it - * just needs to be enabled or disabled. + * Restart firmware previously halted by t4_fw_halt(). On successful + * return the previous PF Master remains as the new PF Master and there + * is no need to issue a new HELLO command, etc. + * + * We do this in two ways: + * + * 1. If we're dealing with newer firmware we'll simply want to take + * the chip's microprocessor out of RESET. This will cause the + * firmware to start up from its start vector. And then we'll loop + * until the firmware indicates it's started again (PCIE_FW.HALT + * reset to 0) or we timeout. + * + * 2. If we're dealing with older firmware then we'll need to RESET + * the chip since older firmware won't recognize the PCIE_FW.HALT + * flag and automatically RESET itself on startup. */ -int t4_set_trace_filter(struct adapter *adap, const struct trace_params *tp, - int idx, int enable) +int t4_fw_restart(struct adapter *adap, unsigned int mbox, int reset) { - int i, ofst = idx * 4; - u32 data_reg, mask_reg, cfg; - u32 multitrc = F_TRCMULTIFILTER; - u32 en = is_t4(adap) ? F_TFEN : F_T5_TFEN; - - if (idx < 0 || idx >= NTRACE) - return -EINVAL; - - if (tp == NULL || !enable) { - t4_set_reg_field(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst, en, - enable ? en : 0); - return 0; - } - - /* - * TODO - After T4 data book is updated, specify the exact - * section below. - * - * See T4 data book - MPS section for a complete description - * of the below if..else handling of A_MPS_TRC_CFG register - * value. - */ - cfg = t4_read_reg(adap, A_MPS_TRC_CFG); - if (cfg & F_TRCMULTIFILTER) { + if (reset) { /* - * If multiple tracers are enabled, then maximum - * capture size is 2.5KB (FIFO size of a single channel) - * minus 2 flits for CPL_TRACE_PKT header. + * Since we're directing the RESET instead of the firmware + * doing it automatically, we need to clear the PCIE_FW.HALT + * bit. */ - if (tp->snap_len > ((10 * 1024 / 4) - (2 * 8))) - return -EINVAL; - } else { + t4_set_reg_field(adap, A_PCIE_FW, F_PCIE_FW_HALT, 0); + /* - * If multiple tracers are disabled, to avoid deadlocks - * maximum packet capture size of 9600 bytes is recommended. - * Also in this mode, only trace0 can be enabled and running. + * If we've been given a valid mailbox, first try to get the + * firmware to do the RESET. If that works, great and we can + * return success. Otherwise, if we haven't been given a + * valid mailbox or the RESET command failed, fall back to + * hitting the chip with a hammer. */ - multitrc = 0; - if (tp->snap_len > 9600 || idx) - return -EINVAL; - } - - if (tp->port > (is_t4(adap) ? 11 : 19) || tp->invert > 1 || - tp->skip_len > M_TFLENGTH || tp->skip_ofst > M_TFOFFSET || - tp->min_len > M_TFMINPKTSIZE) - return -EINVAL; - - /* stop the tracer we'll be changing */ - t4_set_reg_field(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst, en, 0); + if (mbox <= M_PCIE_FW_MASTER) { + t4_set_reg_field(adap, A_CIM_BOOT_CFG, F_UPCRST, 0); + msleep(100); + if (t4_fw_reset(adap, mbox, + F_PIORST | F_PIORSTMODE) == 0) + return 0; + } - idx *= (A_MPS_TRC_FILTER1_MATCH - A_MPS_TRC_FILTER0_MATCH); - data_reg = A_MPS_TRC_FILTER0_MATCH + idx; - mask_reg = A_MPS_TRC_FILTER0_DONT_CARE + idx; + t4_write_reg(adap, A_PL_RST, F_PIORST | F_PIORSTMODE); + msleep(2000); + } else { + int ms; - for (i = 0; i < TRACE_LEN / 4; i++, data_reg += 4, mask_reg += 4) { - t4_write_reg(adap, data_reg, tp->data[i]); - t4_write_reg(adap, mask_reg, ~tp->mask[i]); + t4_set_reg_field(adap, A_CIM_BOOT_CFG, F_UPCRST, 0); + for (ms = 0; ms < FW_CMD_MAX_TIMEOUT; ) { + if (!(t4_read_reg(adap, A_PCIE_FW) & F_PCIE_FW_HALT)) + return FW_SUCCESS; + msleep(100); + ms += 100; + } + return -ETIMEDOUT; } - t4_write_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_B + ofst, - V_TFCAPTUREMAX(tp->snap_len) | - V_TFMINPKTSIZE(tp->min_len)); - t4_write_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst, - V_TFOFFSET(tp->skip_ofst) | V_TFLENGTH(tp->skip_len) | en | - (is_t4(adap) ? - V_TFPORT(tp->port) | V_TFINVERTMATCH(tp->invert) : - V_T5_TFPORT(tp->port) | V_T5_TFINVERTMATCH(tp->invert))); - return 0; } /** - * t4_get_trace_filter - query one of the tracing filters + * t4_fw_upgrade - perform all of the steps necessary to upgrade FW * @adap: the adapter - * @tp: the current trace filter parameters - * @idx: which trace filter to query - * @enabled: non-zero if the filter is enabled + * @mbox: mailbox to use for the FW RESET command (if desired) + * @fw_data: the firmware image to write + * @size: image size + * @force: force upgrade even if firmware doesn't cooperate * - * Returns the current settings of one of the HW tracing filters. + * Perform all of the steps necessary for upgrading an adapter's + * firmware image. Normally this requires the cooperation of the + * existing firmware in order to halt all existing activities + * but if an invalid mailbox token is passed in we skip that step + * (though we'll still put the adapter microprocessor into RESET in + * that case). + * + * On successful return the new firmware will have been loaded and + * the adapter will have been fully RESET losing all previous setup + * state. On unsuccessful return the adapter may be completely hosed ... + * positive errno indicates that the adapter is ~probably~ intact, a + * negative errno indicates that things are looking bad ... */ -void t4_get_trace_filter(struct adapter *adap, struct trace_params *tp, int idx, - int *enabled) +int t4_fw_upgrade(struct adapter *adap, unsigned int mbox, + const u8 *fw_data, unsigned int size, int force) { - u32 ctla, ctlb; - int i, ofst = idx * 4; - u32 data_reg, mask_reg; + const struct fw_hdr *fw_hdr = (const struct fw_hdr *)fw_data; + unsigned int bootstrap = + be32_to_cpu(fw_hdr->magic) == FW_HDR_MAGIC_BOOTSTRAP; + int reset, ret; - ctla = t4_read_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_A + ofst); - ctlb = t4_read_reg(adap, A_MPS_TRC_FILTER_MATCH_CTL_B + ofst); + if (!t4_fw_matches_chip(adap, fw_hdr)) + return -EINVAL; - if (is_t4(adap)) { - *enabled = !!(ctla & F_TFEN); - tp->port = G_TFPORT(ctla); - tp->invert = !!(ctla & F_TFINVERTMATCH); - } else { - *enabled = !!(ctla & F_T5_TFEN); - tp->port = G_T5_TFPORT(ctla); - tp->invert = !!(ctla & F_T5_TFINVERTMATCH); + if (!bootstrap) { + ret = t4_fw_halt(adap, mbox, force); + if (ret < 0 && !force) + return ret; } - tp->snap_len = G_TFCAPTUREMAX(ctlb); - tp->min_len = G_TFMINPKTSIZE(ctlb); - tp->skip_ofst = G_TFOFFSET(ctla); - tp->skip_len = G_TFLENGTH(ctla); - ofst = (A_MPS_TRC_FILTER1_MATCH - A_MPS_TRC_FILTER0_MATCH) * idx; - data_reg = A_MPS_TRC_FILTER0_MATCH + ofst; - mask_reg = A_MPS_TRC_FILTER0_DONT_CARE + ofst; + ret = t4_load_fw(adap, fw_data, size); + if (ret < 0 || bootstrap) + return ret; - for (i = 0; i < TRACE_LEN / 4; i++, data_reg += 4, mask_reg += 4) { - tp->mask[i] = ~t4_read_reg(adap, mask_reg); - tp->data[i] = t4_read_reg(adap, data_reg) & tp->mask[i]; - } + /* + * Older versions of the firmware don't understand the new + * PCIE_FW.HALT flag and so won't know to perform a RESET when they + * restart. So for newly loaded older firmware we'll have to do the + * RESET for it so it starts up on a clean slate. We can tell if + * the newly loaded firmware will handle this right by checking + * its header flags to see if it advertises the capability. + */ + reset = ((be32_to_cpu(fw_hdr->flags) & FW_HDR_FLAGS_RESET_HALT) == 0); + return t4_fw_restart(adap, mbox, reset); } /** - * t4_pmtx_get_stats - returns the HW stats from PMTX + * t4_fw_initialize - ask FW to initialize the device * @adap: the adapter - * @cnt: where to store the count statistics - * @cycles: where to store the cycle statistics + * @mbox: mailbox to use for the FW command * - * Returns performance statistics from PMTX. + * Issues a command to FW to partially initialize the device. This + * performs initialization that generally doesn't depend on user input. */ -void t4_pmtx_get_stats(struct adapter *adap, u32 cnt[], u64 cycles[]) +int t4_fw_initialize(struct adapter *adap, unsigned int mbox) { - int i; - u32 data[2]; + struct fw_initialize_cmd c; - for (i = 0; i < PM_NSTATS; i++) { - t4_write_reg(adap, A_PM_TX_STAT_CONFIG, i + 1); - cnt[i] = t4_read_reg(adap, A_PM_TX_STAT_COUNT); - if (is_t4(adap)) - cycles[i] = t4_read_reg64(adap, A_PM_TX_STAT_LSB); - else { - t4_read_indirect(adap, A_PM_TX_DBG_CTRL, - A_PM_TX_DBG_DATA, data, 2, - A_PM_TX_DBG_STAT_MSB); - cycles[i] = (((u64)data[0] << 32) | data[1]); - } - } + memset(&c, 0, sizeof(c)); + INIT_CMD(c, INITIALIZE, WRITE); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_pmrx_get_stats - returns the HW stats from PMRX + * t4_query_params_rw - query FW or device parameters * @adap: the adapter - * @cnt: where to store the count statistics - * @cycles: where to store the cycle statistics + * @mbox: mailbox to use for the FW command + * @pf: the PF + * @vf: the VF + * @nparams: the number of parameters + * @params: the parameter names + * @val: the parameter values + * @rw: Write and read flag * - * Returns performance statistics from PMRX. + * Reads the value of FW or device parameters. Up to 7 parameters can be + * queried at once. */ -void t4_pmrx_get_stats(struct adapter *adap, u32 cnt[], u64 cycles[]) +int t4_query_params_rw(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int nparams, const u32 *params, + u32 *val, int rw) +{ + int i, ret; + struct fw_params_cmd c; + __be32 *p = &c.param[0].mnem; + + if (nparams > 7) + return -EINVAL; + + memset(&c, 0, sizeof(c)); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_PARAMS_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ | + V_FW_PARAMS_CMD_PFN(pf) | + V_FW_PARAMS_CMD_VFN(vf)); + c.retval_len16 = cpu_to_be32(FW_LEN16(c)); + + for (i = 0; i < nparams; i++) { + *p++ = cpu_to_be32(*params++); + if (rw) + *p = cpu_to_be32(*(val + i)); + p++; + } + + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + if (ret == 0) + for (i = 0, p = &c.param[0].val; i < nparams; i++, p += 2) + *val++ = be32_to_cpu(*p); + return ret; +} + +int t4_query_params(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int nparams, const u32 *params, + u32 *val) +{ + return t4_query_params_rw(adap, mbox, pf, vf, nparams, params, val, 0); +} + +/** + * t4_set_params_timeout - sets FW or device parameters + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @pf: the PF + * @vf: the VF + * @nparams: the number of parameters + * @params: the parameter names + * @val: the parameter values + * @timeout: the timeout time + * + * Sets the value of FW or device parameters. Up to 7 parameters can be + * specified at once. + */ +int t4_set_params_timeout(struct adapter *adap, unsigned int mbox, + unsigned int pf, unsigned int vf, + unsigned int nparams, const u32 *params, + const u32 *val, int timeout) { - int i; - u32 data[2]; + struct fw_params_cmd c; + __be32 *p = &c.param[0].mnem; - for (i = 0; i < PM_NSTATS; i++) { - t4_write_reg(adap, A_PM_RX_STAT_CONFIG, i + 1); - cnt[i] = t4_read_reg(adap, A_PM_RX_STAT_COUNT); - if (is_t4(adap)) - cycles[i] = t4_read_reg64(adap, A_PM_RX_STAT_LSB); - else { - t4_read_indirect(adap, A_PM_RX_DBG_CTRL, - A_PM_RX_DBG_DATA, data, 2, - A_PM_RX_DBG_STAT_MSB); - cycles[i] = (((u64)data[0] << 32) | data[1]); - } + if (nparams > 7) + return -EINVAL; + + memset(&c, 0, sizeof(c)); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_PARAMS_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + V_FW_PARAMS_CMD_PFN(pf) | + V_FW_PARAMS_CMD_VFN(vf)); + c.retval_len16 = cpu_to_be32(FW_LEN16(c)); + + while (nparams--) { + *p++ = cpu_to_be32(*params++); + *p++ = cpu_to_be32(*val++); } + + return t4_wr_mbox_timeout(adap, mbox, &c, sizeof(c), NULL, timeout); } /** - * get_mps_bg_map - return the buffer groups associated with a port + * t4_set_params - sets FW or device parameters * @adap: the adapter - * @idx: the port index + * @mbox: mailbox to use for the FW command + * @pf: the PF + * @vf: the VF + * @nparams: the number of parameters + * @params: the parameter names + * @val: the parameter values * - * Returns a bitmap indicating which MPS buffer groups are associated - * with the given port. Bit i is set if buffer group i is used by the - * port. + * Sets the value of FW or device parameters. Up to 7 parameters can be + * specified at once. */ -static unsigned int get_mps_bg_map(struct adapter *adap, int idx) +int t4_set_params(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int nparams, const u32 *params, + const u32 *val) { - u32 n = G_NUMPORTS(t4_read_reg(adap, A_MPS_CMN_CTL)); - - if (n == 0) - return idx == 0 ? 0xf : 0; - if (n == 1) - return idx < 2 ? (3 << (2 * idx)) : 0; - return 1 << idx; + return t4_set_params_timeout(adap, mbox, pf, vf, nparams, params, val, + FW_CMD_MAX_TIMEOUT); } /** - * t4_get_port_stats_offset - collect port stats relative to a previous - * snapshot - * @adap: The adapter - * @idx: The port - * @stats: Current stats to fill - * @offset: Previous stats snapshot + * t4_cfg_pfvf - configure PF/VF resource limits + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @pf: the PF being configured + * @vf: the VF being configured + * @txq: the max number of egress queues + * @txq_eth_ctrl: the max number of egress Ethernet or control queues + * @rxqi: the max number of interrupt-capable ingress queues + * @rxq: the max number of interruptless ingress queues + * @tc: the PCI traffic class + * @vi: the max number of virtual interfaces + * @cmask: the channel access rights mask for the PF/VF + * @pmask: the port access rights mask for the PF/VF + * @nexact: the maximum number of exact MPS filters + * @rcaps: read capabilities + * @wxcaps: write/execute capabilities + * + * Configures resource limits and capabilities for a physical or virtual + * function. */ -void t4_get_port_stats_offset(struct adapter *adap, int idx, - struct port_stats *stats, - struct port_stats *offset) +int t4_cfg_pfvf(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int txq, unsigned int txq_eth_ctrl, + unsigned int rxqi, unsigned int rxq, unsigned int tc, + unsigned int vi, unsigned int cmask, unsigned int pmask, + unsigned int nexact, unsigned int rcaps, unsigned int wxcaps) { - u64 *s, *o; - int i; + struct fw_pfvf_cmd c; - t4_get_port_stats(adap, idx, stats); - for (i = 0, s = (u64 *)stats, o = (u64 *)offset ; - i < (sizeof(struct port_stats)/sizeof(u64)) ; - i++, s++, o++) - *s -= *o; + memset(&c, 0, sizeof(c)); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_PFVF_CMD) | F_FW_CMD_REQUEST | + F_FW_CMD_WRITE | V_FW_PFVF_CMD_PFN(pf) | + V_FW_PFVF_CMD_VFN(vf)); + c.retval_len16 = cpu_to_be32(FW_LEN16(c)); + c.niqflint_niq = cpu_to_be32(V_FW_PFVF_CMD_NIQFLINT(rxqi) | + V_FW_PFVF_CMD_NIQ(rxq)); + c.type_to_neq = cpu_to_be32(V_FW_PFVF_CMD_CMASK(cmask) | + V_FW_PFVF_CMD_PMASK(pmask) | + V_FW_PFVF_CMD_NEQ(txq)); + c.tc_to_nexactf = cpu_to_be32(V_FW_PFVF_CMD_TC(tc) | + V_FW_PFVF_CMD_NVI(vi) | + V_FW_PFVF_CMD_NEXACTF(nexact)); + c.r_caps_to_nethctrl = cpu_to_be32(V_FW_PFVF_CMD_R_CAPS(rcaps) | + V_FW_PFVF_CMD_WX_CAPS(wxcaps) | + V_FW_PFVF_CMD_NETHCTRL(txq_eth_ctrl)); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_get_port_stats - collect port statistics + * t4_alloc_vi_func - allocate a virtual interface * @adap: the adapter - * @idx: the port index - * @p: the stats structure to fill + * @mbox: mailbox to use for the FW command + * @port: physical port associated with the VI + * @pf: the PF owning the VI + * @vf: the VF owning the VI + * @nmac: number of MAC addresses needed (1 to 5) + * @mac: the MAC addresses of the VI + * @rss_size: size of RSS table slice associated with this VI + * @portfunc: which Port Application Function MAC Address is desired + * @idstype: Intrusion Detection Type * - * Collect statistics related to the given port from HW. + * Allocates a virtual interface for the given physical port. If @mac is + * not %NULL it contains the MAC addresses of the VI as assigned by FW. + * If @rss_size is %NULL the VI is not assigned any RSS slice by FW. + * @mac should be large enough to hold @nmac Ethernet addresses, they are + * stored consecutively so the space needed is @nmac * 6 bytes. + * Returns a negative error number or the non-negative VI id. */ -void t4_get_port_stats(struct adapter *adap, int idx, struct port_stats *p) +int t4_alloc_vi_func(struct adapter *adap, unsigned int mbox, + unsigned int port, unsigned int pf, unsigned int vf, + unsigned int nmac, u8 *mac, u16 *rss_size, + unsigned int portfunc, unsigned int idstype) { - u32 bgmap = get_mps_bg_map(adap, idx); - -#define GET_STAT(name) \ - t4_read_reg64(adap, \ - (is_t4(adap) ? PORT_REG(idx, A_MPS_PORT_STAT_##name##_L) : \ - T5_PORT_REG(idx, A_MPS_PORT_STAT_##name##_L))) -#define GET_STAT_COM(name) t4_read_reg64(adap, A_MPS_STAT_##name##_L) + int ret; + struct fw_vi_cmd c; - p->tx_pause = GET_STAT(TX_PORT_PAUSE); - p->tx_octets = GET_STAT(TX_PORT_BYTES); - p->tx_frames = GET_STAT(TX_PORT_FRAMES); - p->tx_bcast_frames = GET_STAT(TX_PORT_BCAST); - p->tx_mcast_frames = GET_STAT(TX_PORT_MCAST); - p->tx_ucast_frames = GET_STAT(TX_PORT_UCAST); - p->tx_error_frames = GET_STAT(TX_PORT_ERROR); - p->tx_frames_64 = GET_STAT(TX_PORT_64B); - p->tx_frames_65_127 = GET_STAT(TX_PORT_65B_127B); - p->tx_frames_128_255 = GET_STAT(TX_PORT_128B_255B); - p->tx_frames_256_511 = GET_STAT(TX_PORT_256B_511B); - p->tx_frames_512_1023 = GET_STAT(TX_PORT_512B_1023B); - p->tx_frames_1024_1518 = GET_STAT(TX_PORT_1024B_1518B); - p->tx_frames_1519_max = GET_STAT(TX_PORT_1519B_MAX); - p->tx_drop = GET_STAT(TX_PORT_DROP); - p->tx_ppp0 = GET_STAT(TX_PORT_PPP0); - p->tx_ppp1 = GET_STAT(TX_PORT_PPP1); - p->tx_ppp2 = GET_STAT(TX_PORT_PPP2); - p->tx_ppp3 = GET_STAT(TX_PORT_PPP3); - p->tx_ppp4 = GET_STAT(TX_PORT_PPP4); - p->tx_ppp5 = GET_STAT(TX_PORT_PPP5); - p->tx_ppp6 = GET_STAT(TX_PORT_PPP6); - p->tx_ppp7 = GET_STAT(TX_PORT_PPP7); - - p->rx_pause = GET_STAT(RX_PORT_PAUSE); - p->rx_octets = GET_STAT(RX_PORT_BYTES); - p->rx_frames = GET_STAT(RX_PORT_FRAMES); - p->rx_bcast_frames = GET_STAT(RX_PORT_BCAST); - p->rx_mcast_frames = GET_STAT(RX_PORT_MCAST); - p->rx_ucast_frames = GET_STAT(RX_PORT_UCAST); - p->rx_too_long = GET_STAT(RX_PORT_MTU_ERROR); - p->rx_jabber = GET_STAT(RX_PORT_MTU_CRC_ERROR); - p->rx_fcs_err = GET_STAT(RX_PORT_CRC_ERROR); - p->rx_len_err = GET_STAT(RX_PORT_LEN_ERROR); - p->rx_symbol_err = GET_STAT(RX_PORT_SYM_ERROR); - p->rx_runt = GET_STAT(RX_PORT_LESS_64B); - p->rx_frames_64 = GET_STAT(RX_PORT_64B); - p->rx_frames_65_127 = GET_STAT(RX_PORT_65B_127B); - p->rx_frames_128_255 = GET_STAT(RX_PORT_128B_255B); - p->rx_frames_256_511 = GET_STAT(RX_PORT_256B_511B); - p->rx_frames_512_1023 = GET_STAT(RX_PORT_512B_1023B); - p->rx_frames_1024_1518 = GET_STAT(RX_PORT_1024B_1518B); - p->rx_frames_1519_max = GET_STAT(RX_PORT_1519B_MAX); - p->rx_ppp0 = GET_STAT(RX_PORT_PPP0); - p->rx_ppp1 = GET_STAT(RX_PORT_PPP1); - p->rx_ppp2 = GET_STAT(RX_PORT_PPP2); - p->rx_ppp3 = GET_STAT(RX_PORT_PPP3); - p->rx_ppp4 = GET_STAT(RX_PORT_PPP4); - p->rx_ppp5 = GET_STAT(RX_PORT_PPP5); - p->rx_ppp6 = GET_STAT(RX_PORT_PPP6); - p->rx_ppp7 = GET_STAT(RX_PORT_PPP7); + memset(&c, 0, sizeof(c)); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_VI_CMD) | F_FW_CMD_REQUEST | + F_FW_CMD_WRITE | F_FW_CMD_EXEC | + V_FW_VI_CMD_PFN(pf) | V_FW_VI_CMD_VFN(vf)); + c.alloc_to_len16 = cpu_to_be32(F_FW_VI_CMD_ALLOC | FW_LEN16(c)); + c.type_to_viid = cpu_to_be16(V_FW_VI_CMD_TYPE(idstype) | + V_FW_VI_CMD_FUNC(portfunc)); + c.portid_pkd = V_FW_VI_CMD_PORTID(port); + c.nmac = nmac - 1; + if(!rss_size) + c.norss_rsssize = F_FW_VI_CMD_NORSS; - p->rx_ovflow0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_MAC_DROP_FRAME) : 0; - p->rx_ovflow1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_MAC_DROP_FRAME) : 0; - p->rx_ovflow2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_MAC_DROP_FRAME) : 0; - p->rx_ovflow3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_MAC_DROP_FRAME) : 0; - p->rx_trunc0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_MAC_TRUNC_FRAME) : 0; - p->rx_trunc1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_MAC_TRUNC_FRAME) : 0; - p->rx_trunc2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_MAC_TRUNC_FRAME) : 0; - p->rx_trunc3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_MAC_TRUNC_FRAME) : 0; + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + if (ret) + return ret; -#undef GET_STAT -#undef GET_STAT_COM + if (mac) { + memcpy(mac, c.mac, sizeof(c.mac)); + switch (nmac) { + case 5: + memcpy(mac + 24, c.nmac3, sizeof(c.nmac3)); + case 4: + memcpy(mac + 18, c.nmac2, sizeof(c.nmac2)); + case 3: + memcpy(mac + 12, c.nmac1, sizeof(c.nmac1)); + case 2: + memcpy(mac + 6, c.nmac0, sizeof(c.nmac0)); + } + } + if (rss_size) + *rss_size = G_FW_VI_CMD_RSSSIZE(be16_to_cpu(c.norss_rsssize)); + return G_FW_VI_CMD_VIID(be16_to_cpu(c.type_to_viid)); } /** - * t4_clr_port_stats - clear port statistics - * @adap: the adapter - * @idx: the port index + * t4_alloc_vi - allocate an [Ethernet Function] virtual interface + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @port: physical port associated with the VI + * @pf: the PF owning the VI + * @vf: the VF owning the VI + * @nmac: number of MAC addresses needed (1 to 5) + * @mac: the MAC addresses of the VI + * @rss_size: size of RSS table slice associated with this VI * - * Clear HW statistics for the given port. + * backwards compatible and convieniance routine to allocate a Virtual + * Interface with a Ethernet Port Application Function and Intrustion + * Detection System disabled. */ -void t4_clr_port_stats(struct adapter *adap, int idx) +int t4_alloc_vi(struct adapter *adap, unsigned int mbox, unsigned int port, + unsigned int pf, unsigned int vf, unsigned int nmac, u8 *mac, + u16 *rss_size) { - unsigned int i; - u32 bgmap = get_mps_bg_map(adap, idx); - u32 port_base_addr; - - if (is_t4(adap)) - port_base_addr = PORT_BASE(idx); - else - port_base_addr = T5_PORT_BASE(idx); - - for (i = A_MPS_PORT_STAT_TX_PORT_BYTES_L; - i <= A_MPS_PORT_STAT_TX_PORT_PPP7_H; i += 8) - t4_write_reg(adap, port_base_addr + i, 0); - for (i = A_MPS_PORT_STAT_RX_PORT_BYTES_L; - i <= A_MPS_PORT_STAT_RX_PORT_LESS_64B_H; i += 8) - t4_write_reg(adap, port_base_addr + i, 0); - for (i = 0; i < 4; i++) - if (bgmap & (1 << i)) { - t4_write_reg(adap, - A_MPS_STAT_RX_BG_0_MAC_DROP_FRAME_L + i * 8, 0); - t4_write_reg(adap, - A_MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_L + i * 8, 0); - } + return t4_alloc_vi_func(adap, mbox, port, pf, vf, nmac, mac, rss_size, + FW_VI_FUNC_ETH, 0); } /** - * t4_get_lb_stats - collect loopback port statistics - * @adap: the adapter - * @idx: the loopback port index - * @p: the stats structure to fill + * t4_free_vi - free a virtual interface + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @pf: the PF owning the VI + * @vf: the VF owning the VI + * @viid: virtual interface identifiler * - * Return HW statistics for the given loopback port. + * Free a previously allocated virtual interface. */ -void t4_get_lb_stats(struct adapter *adap, int idx, struct lb_port_stats *p) +int t4_free_vi(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int viid) { - u32 bgmap = get_mps_bg_map(adap, idx); - -#define GET_STAT(name) \ - t4_read_reg64(adap, \ - (is_t4(adap) ? \ - PORT_REG(idx, A_MPS_PORT_STAT_LB_PORT_##name##_L) : \ - T5_PORT_REG(idx, A_MPS_PORT_STAT_LB_PORT_##name##_L))) -#define GET_STAT_COM(name) t4_read_reg64(adap, A_MPS_STAT_##name##_L) - - p->octets = GET_STAT(BYTES); - p->frames = GET_STAT(FRAMES); - p->bcast_frames = GET_STAT(BCAST); - p->mcast_frames = GET_STAT(MCAST); - p->ucast_frames = GET_STAT(UCAST); - p->error_frames = GET_STAT(ERROR); - - p->frames_64 = GET_STAT(64B); - p->frames_65_127 = GET_STAT(65B_127B); - p->frames_128_255 = GET_STAT(128B_255B); - p->frames_256_511 = GET_STAT(256B_511B); - p->frames_512_1023 = GET_STAT(512B_1023B); - p->frames_1024_1518 = GET_STAT(1024B_1518B); - p->frames_1519_max = GET_STAT(1519B_MAX); - p->drop = GET_STAT(DROP_FRAMES); + struct fw_vi_cmd c; - p->ovflow0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_LB_DROP_FRAME) : 0; - p->ovflow1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_LB_DROP_FRAME) : 0; - p->ovflow2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_LB_DROP_FRAME) : 0; - p->ovflow3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_LB_DROP_FRAME) : 0; - p->trunc0 = (bgmap & 1) ? GET_STAT_COM(RX_BG_0_LB_TRUNC_FRAME) : 0; - p->trunc1 = (bgmap & 2) ? GET_STAT_COM(RX_BG_1_LB_TRUNC_FRAME) : 0; - p->trunc2 = (bgmap & 4) ? GET_STAT_COM(RX_BG_2_LB_TRUNC_FRAME) : 0; - p->trunc3 = (bgmap & 8) ? GET_STAT_COM(RX_BG_3_LB_TRUNC_FRAME) : 0; + memset(&c, 0, sizeof(c)); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_VI_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_EXEC | + V_FW_VI_CMD_PFN(pf) | + V_FW_VI_CMD_VFN(vf)); + c.alloc_to_len16 = cpu_to_be32(F_FW_VI_CMD_FREE | FW_LEN16(c)); + c.type_to_viid = cpu_to_be16(V_FW_VI_CMD_VIID(viid)); -#undef GET_STAT -#undef GET_STAT_COM + return t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); } /** - * t4_wol_magic_enable - enable/disable magic packet WoL + * t4_set_rxmode - set Rx properties of a virtual interface * @adap: the adapter - * @port: the physical port index - * @addr: MAC address expected in magic packets, %NULL to disable + * @mbox: mailbox to use for the FW command + * @viid: the VI id + * @mtu: the new MTU or -1 + * @promisc: 1 to enable promiscuous mode, 0 to disable it, -1 no change + * @all_multi: 1 to enable all-multi mode, 0 to disable it, -1 no change + * @bcast: 1 to enable broadcast Rx, 0 to disable it, -1 no change + * @vlanex: 1 to enable HW VLAN extraction, 0 to disable it, -1 no change + * @sleep_ok: if true we may sleep while awaiting command completion * - * Enables/disables magic packet wake-on-LAN for the selected port. + * Sets Rx properties of a virtual interface. */ -void t4_wol_magic_enable(struct adapter *adap, unsigned int port, - const u8 *addr) +int t4_set_rxmode(struct adapter *adap, unsigned int mbox, unsigned int viid, + int mtu, int promisc, int all_multi, int bcast, int vlanex, + bool sleep_ok) { - u32 mag_id_reg_l, mag_id_reg_h, port_cfg_reg; - - if (is_t4(adap)) { - mag_id_reg_l = PORT_REG(port, A_XGMAC_PORT_MAGIC_MACID_LO); - mag_id_reg_h = PORT_REG(port, A_XGMAC_PORT_MAGIC_MACID_HI); - port_cfg_reg = PORT_REG(port, A_XGMAC_PORT_CFG2); - } else { - mag_id_reg_l = T5_PORT_REG(port, A_MAC_PORT_MAGIC_MACID_LO); - mag_id_reg_h = T5_PORT_REG(port, A_MAC_PORT_MAGIC_MACID_HI); - port_cfg_reg = T5_PORT_REG(port, A_MAC_PORT_CFG2); - } + struct fw_vi_rxmode_cmd c; - if (addr) { - t4_write_reg(adap, mag_id_reg_l, - (addr[2] << 24) | (addr[3] << 16) | - (addr[4] << 8) | addr[5]); - t4_write_reg(adap, mag_id_reg_h, - (addr[0] << 8) | addr[1]); - } - t4_set_reg_field(adap, port_cfg_reg, F_MAGICEN, - V_MAGICEN(addr != NULL)); + /* convert to FW values */ + if (mtu < 0) + mtu = M_FW_VI_RXMODE_CMD_MTU; + if (promisc < 0) + promisc = M_FW_VI_RXMODE_CMD_PROMISCEN; + if (all_multi < 0) + all_multi = M_FW_VI_RXMODE_CMD_ALLMULTIEN; + if (bcast < 0) + bcast = M_FW_VI_RXMODE_CMD_BROADCASTEN; + if (vlanex < 0) + vlanex = M_FW_VI_RXMODE_CMD_VLANEXEN; + + memset(&c, 0, sizeof(c)); + c.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_VI_RXMODE_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + V_FW_VI_RXMODE_CMD_VIID(viid)); + c.retval_len16 = cpu_to_be32(FW_LEN16(c)); + c.mtu_to_vlanexen = + cpu_to_be32(V_FW_VI_RXMODE_CMD_MTU(mtu) | + V_FW_VI_RXMODE_CMD_PROMISCEN(promisc) | + V_FW_VI_RXMODE_CMD_ALLMULTIEN(all_multi) | + V_FW_VI_RXMODE_CMD_BROADCASTEN(bcast) | + V_FW_VI_RXMODE_CMD_VLANEXEN(vlanex)); + return t4_wr_mbox_meat(adap, mbox, &c, sizeof(c), NULL, sleep_ok); } /** - * t4_wol_pat_enable - enable/disable pattern-based WoL + * t4_alloc_mac_filt - allocates exact-match filters for MAC addresses * @adap: the adapter - * @port: the physical port index - * @map: bitmap of which HW pattern filters to set - * @mask0: byte mask for bytes 0-63 of a packet - * @mask1: byte mask for bytes 64-127 of a packet - * @crc: Ethernet CRC for selected bytes - * @enable: enable/disable switch + * @mbox: mailbox to use for the FW command + * @viid: the VI id + * @free: if true any existing filters for this VI id are first removed + * @naddr: the number of MAC addresses to allocate filters for (up to 7) + * @addr: the MAC address(es) + * @idx: where to store the index of each allocated filter + * @hash: pointer to hash address filter bitmap + * @sleep_ok: call is allowed to sleep * - * Sets the pattern filters indicated in @map to mask out the bytes - * specified in @mask0/@mask1 in received packets and compare the CRC of - * the resulting packet against @crc. If @enable is %true pattern-based - * WoL is enabled, otherwise disabled. + * Allocates an exact-match filter for each of the supplied addresses and + * sets it to the corresponding address. If @idx is not %NULL it should + * have at least @naddr entries, each of which will be set to the index of + * the filter allocated for the corresponding MAC address. If a filter + * could not be allocated for an address its index is set to 0xffff. + * If @hash is not %NULL addresses that fail to allocate an exact filter + * are hashed and update the hash filter bitmap pointed at by @hash. + * + * Returns a negative error number or the number of filters allocated. */ -int t4_wol_pat_enable(struct adapter *adap, unsigned int port, unsigned int map, - u64 mask0, u64 mask1, unsigned int crc, bool enable) +int t4_alloc_mac_filt(struct adapter *adap, unsigned int mbox, + unsigned int viid, bool free, unsigned int naddr, + const u8 **addr, u16 *idx, u64 *hash, bool sleep_ok) { - int i; - u32 port_cfg_reg; - - if (is_t4(adap)) - port_cfg_reg = PORT_REG(port, A_XGMAC_PORT_CFG2); - else - port_cfg_reg = T5_PORT_REG(port, A_MAC_PORT_CFG2); + int offset, ret = 0; + struct fw_vi_mac_cmd c; + unsigned int nfilters = 0; + unsigned int max_naddr = adap->chip_params->mps_tcam_size; + unsigned int rem = naddr; - if (!enable) { - t4_set_reg_field(adap, port_cfg_reg, F_PATEN, 0); - return 0; - } - if (map > 0xff) + if (naddr > max_naddr) return -EINVAL; -#define EPIO_REG(name) \ - (is_t4(adap) ? PORT_REG(port, A_XGMAC_PORT_EPIO_##name) : \ - T5_PORT_REG(port, A_MAC_PORT_EPIO_##name)) + for (offset = 0; offset < naddr ; /**/) { + unsigned int fw_naddr = (rem < ARRAY_SIZE(c.u.exact) + ? rem + : ARRAY_SIZE(c.u.exact)); + size_t len16 = DIV_ROUND_UP(offsetof(struct fw_vi_mac_cmd, + u.exact[fw_naddr]), 16); + struct fw_vi_mac_exact *p; + int i; - t4_write_reg(adap, EPIO_REG(DATA1), mask0 >> 32); - t4_write_reg(adap, EPIO_REG(DATA2), mask1); - t4_write_reg(adap, EPIO_REG(DATA3), mask1 >> 32); + memset(&c, 0, sizeof(c)); + c.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_VI_MAC_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_WRITE | + V_FW_CMD_EXEC(free) | + V_FW_VI_MAC_CMD_VIID(viid)); + c.freemacs_to_len16 = cpu_to_be32(V_FW_VI_MAC_CMD_FREEMACS(free) | + V_FW_CMD_LEN16(len16)); - for (i = 0; i < NWOL_PAT; i++, map >>= 1) { - if (!(map & 1)) - continue; + for (i = 0, p = c.u.exact; i < fw_naddr; i++, p++) { + p->valid_to_idx = + cpu_to_be16(F_FW_VI_MAC_CMD_VALID | + V_FW_VI_MAC_CMD_IDX(FW_VI_MAC_ADD_MAC)); + memcpy(p->macaddr, addr[offset+i], sizeof(p->macaddr)); + } - /* write byte masks */ - t4_write_reg(adap, EPIO_REG(DATA0), mask0); - t4_write_reg(adap, EPIO_REG(OP), V_ADDRESS(i) | F_EPIOWR); - t4_read_reg(adap, EPIO_REG(OP)); /* flush */ - if (t4_read_reg(adap, EPIO_REG(OP)) & F_BUSY) - return -ETIMEDOUT; + /* + * It's okay if we run out of space in our MAC address arena. + * Some of the addresses we submit may get stored so we need + * to run through the reply to see what the results were ... + */ + ret = t4_wr_mbox_meat(adap, mbox, &c, sizeof(c), &c, sleep_ok); + if (ret && ret != -FW_ENOMEM) + break; - /* write CRC */ - t4_write_reg(adap, EPIO_REG(DATA0), crc); - t4_write_reg(adap, EPIO_REG(OP), V_ADDRESS(i + 32) | F_EPIOWR); - t4_read_reg(adap, EPIO_REG(OP)); /* flush */ - if (t4_read_reg(adap, EPIO_REG(OP)) & F_BUSY) - return -ETIMEDOUT; + for (i = 0, p = c.u.exact; i < fw_naddr; i++, p++) { + u16 index = G_FW_VI_MAC_CMD_IDX( + be16_to_cpu(p->valid_to_idx)); + + if (idx) + idx[offset+i] = (index >= max_naddr + ? 0xffff + : index); + if (index < max_naddr) + nfilters++; + else if (hash) + *hash |= (1ULL << hash_mac_addr(addr[offset+i])); + } + + free = false; + offset += fw_naddr; + rem -= fw_naddr; } -#undef EPIO_REG - t4_set_reg_field(adap, port_cfg_reg, 0, F_PATEN); - return 0; + if (ret == 0 || ret == -FW_ENOMEM) + ret = nfilters; + return ret; } /** - * t4_mk_filtdelwr - create a delete filter WR - * @ftid: the filter ID - * @wr: the filter work request to populate - * @qid: ingress queue to receive the delete notification + * t4_change_mac - modifies the exact-match filter for a MAC address + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @viid: the VI id + * @idx: index of existing filter for old value of MAC address, or -1 + * @addr: the new MAC address value + * @persist: whether a new MAC allocation should be persistent + * @add_smt: if true also add the address to the HW SMT + * + * Modifies an exact-match filter and sets it to the new MAC address if + * @idx >= 0, or adds the MAC address to a new filter if @idx < 0. In the + * latter case the address is added persistently if @persist is %true. + * + * Note that in general it is not possible to modify the value of a given + * filter so the generic way to modify an address filter is to free the one + * being used by the old address value and allocate a new filter for the + * new address value. * - * Creates a filter work request to delete the supplied filter. If @qid is - * negative the delete notification is suppressed. + * Returns a negative error number or the index of the filter with the new + * MAC value. Note that this index may differ from @idx. */ -void t4_mk_filtdelwr(unsigned int ftid, struct fw_filter_wr *wr, int qid) +int t4_change_mac(struct adapter *adap, unsigned int mbox, unsigned int viid, + int idx, const u8 *addr, bool persist, bool add_smt) { - memset(wr, 0, sizeof(*wr)); - wr->op_pkd = htonl(V_FW_WR_OP(FW_FILTER_WR)); - wr->len16_pkd = htonl(V_FW_WR_LEN16(sizeof(*wr) / 16)); - wr->tid_to_iq = htonl(V_FW_FILTER_WR_TID(ftid) | - V_FW_FILTER_WR_NOREPLY(qid < 0)); - wr->del_filter_to_l2tix = htonl(F_FW_FILTER_WR_DEL_FILTER); - if (qid >= 0) - wr->rx_chan_rx_rpl_iq = htons(V_FW_FILTER_WR_RX_RPL_IQ(qid)); -} - -#define INIT_CMD(var, cmd, rd_wr) do { \ - (var).op_to_write = htonl(V_FW_CMD_OP(FW_##cmd##_CMD) | \ - F_FW_CMD_REQUEST | F_FW_CMD_##rd_wr); \ - (var).retval_len16 = htonl(FW_LEN16(var)); \ -} while (0) + int ret, mode; + struct fw_vi_mac_cmd c; + struct fw_vi_mac_exact *p = c.u.exact; + unsigned int max_mac_addr = adap->chip_params->mps_tcam_size; -int t4_fwaddrspace_write(struct adapter *adap, unsigned int mbox, u32 addr, u32 val) -{ - struct fw_ldst_cmd c; + if (idx < 0) /* new allocation */ + idx = persist ? FW_VI_MAC_ADD_PERSIST_MAC : FW_VI_MAC_ADD_MAC; + mode = add_smt ? FW_VI_MAC_SMT_AND_MPSTCAM : FW_VI_MAC_MPS_TCAM_ENTRY; memset(&c, 0, sizeof(c)); - c.op_to_addrspace = htonl(V_FW_CMD_OP(FW_LDST_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_FIRMWARE)); - c.cycles_to_len16 = htonl(FW_LEN16(c)); - c.u.addrval.addr = htonl(addr); - c.u.addrval.val = htonl(val); + c.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_VI_MAC_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + V_FW_VI_MAC_CMD_VIID(viid)); + c.freemacs_to_len16 = cpu_to_be32(V_FW_CMD_LEN16(1)); + p->valid_to_idx = cpu_to_be16(F_FW_VI_MAC_CMD_VALID | + V_FW_VI_MAC_CMD_SMAC_RESULT(mode) | + V_FW_VI_MAC_CMD_IDX(idx)); + memcpy(p->macaddr, addr, sizeof(p->macaddr)); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + if (ret == 0) { + ret = G_FW_VI_MAC_CMD_IDX(be16_to_cpu(p->valid_to_idx)); + if (ret >= max_mac_addr) + ret = -ENOMEM; + } + return ret; } /** - * t4_mdio_rd - read a PHY register through MDIO + * t4_set_addr_hash - program the MAC inexact-match hash filter * @adap: the adapter * @mbox: mailbox to use for the FW command - * @phy_addr: the PHY address - * @mmd: the PHY MMD to access (0 for clause 22 PHYs) - * @reg: the register to read - * @valp: where to store the value + * @viid: the VI id + * @ucast: whether the hash filter should also match unicast addresses + * @vec: the value to be written to the hash filter + * @sleep_ok: call is allowed to sleep * - * Issues a FW command through the given mailbox to read a PHY register. + * Sets the 64-bit inexact-match hash filter for a virtual interface. */ -int t4_mdio_rd(struct adapter *adap, unsigned int mbox, unsigned int phy_addr, - unsigned int mmd, unsigned int reg, unsigned int *valp) +int t4_set_addr_hash(struct adapter *adap, unsigned int mbox, unsigned int viid, + bool ucast, u64 vec, bool sleep_ok) { - int ret; - struct fw_ldst_cmd c; + struct fw_vi_mac_cmd c; + u32 val; memset(&c, 0, sizeof(c)); - c.op_to_addrspace = htonl(V_FW_CMD_OP(FW_LDST_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_READ | V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_MDIO)); - c.cycles_to_len16 = htonl(FW_LEN16(c)); - c.u.mdio.paddr_mmd = htons(V_FW_LDST_CMD_PADDR(phy_addr) | - V_FW_LDST_CMD_MMD(mmd)); - c.u.mdio.raddr = htons(reg); - - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - if (ret == 0) - *valp = ntohs(c.u.mdio.rval); - return ret; + c.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_VI_MAC_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_WRITE | + V_FW_VI_ENABLE_CMD_VIID(viid)); + val = V_FW_VI_MAC_CMD_ENTRY_TYPE(FW_VI_MAC_TYPE_HASHVEC) | + V_FW_VI_MAC_CMD_HASHUNIEN(ucast) | V_FW_CMD_LEN16(1); + c.freemacs_to_len16 = cpu_to_be32(val); + c.u.hash.hashvec = cpu_to_be64(vec); + return t4_wr_mbox_meat(adap, mbox, &c, sizeof(c), NULL, sleep_ok); } /** - * t4_mdio_wr - write a PHY register through MDIO - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @phy_addr: the PHY address - * @mmd: the PHY MMD to access (0 for clause 22 PHYs) - * @reg: the register to write - * @valp: value to write + * t4_enable_vi_params - enable/disable a virtual interface + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @viid: the VI id + * @rx_en: 1=enable Rx, 0=disable Rx + * @tx_en: 1=enable Tx, 0=disable Tx + * @dcb_en: 1=enable delivery of Data Center Bridging messages. * - * Issues a FW command through the given mailbox to write a PHY register. + * Enables/disables a virtual interface. Note that setting DCB Enable + * only makes sense when enabling a Virtual Interface ... */ -int t4_mdio_wr(struct adapter *adap, unsigned int mbox, unsigned int phy_addr, - unsigned int mmd, unsigned int reg, unsigned int val) +int t4_enable_vi_params(struct adapter *adap, unsigned int mbox, + unsigned int viid, bool rx_en, bool tx_en, bool dcb_en) { - struct fw_ldst_cmd c; + struct fw_vi_enable_cmd c; memset(&c, 0, sizeof(c)); - c.op_to_addrspace = htonl(V_FW_CMD_OP(FW_LDST_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_MDIO)); - c.cycles_to_len16 = htonl(FW_LEN16(c)); - c.u.mdio.paddr_mmd = htons(V_FW_LDST_CMD_PADDR(phy_addr) | - V_FW_LDST_CMD_MMD(mmd)); - c.u.mdio.raddr = htons(reg); - c.u.mdio.rval = htons(val); - - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + c.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_VI_ENABLE_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_EXEC | + V_FW_VI_ENABLE_CMD_VIID(viid)); + c.ien_to_len16 = cpu_to_be32(V_FW_VI_ENABLE_CMD_IEN(rx_en) | + V_FW_VI_ENABLE_CMD_EEN(tx_en) | + V_FW_VI_ENABLE_CMD_DCB_INFO(dcb_en) | + FW_LEN16(c)); + return t4_wr_mbox_ns(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_i2c_rd - read I2C data from adapter + * t4_enable_vi - enable/disable a virtual interface * @adap: the adapter - * @port: Port number if per-port device; <0 if not - * @devid: per-port device ID or absolute device ID - * @offset: byte offset into device I2C space - * @len: byte length of I2C space data - * @buf: buffer in which to return I2C data + * @mbox: mailbox to use for the FW command + * @viid: the VI id + * @rx_en: 1=enable Rx, 0=disable Rx + * @tx_en: 1=enable Tx, 0=disable Tx * - * Reads the I2C data from the indicated device and location. + * Enables/disables a virtual interface. Note that setting DCB Enable + * only makes sense when enabling a Virtual Interface ... */ -int t4_i2c_rd(struct adapter *adap, unsigned int mbox, - int port, unsigned int devid, - unsigned int offset, unsigned int len, - u8 *buf) +int t4_enable_vi(struct adapter *adap, unsigned int mbox, unsigned int viid, + bool rx_en, bool tx_en) { - struct fw_ldst_cmd ldst; - int ret; - - if (port >= 4 || - devid >= 256 || - offset >= 256 || - len > sizeof ldst.u.i2c.data) - return -EINVAL; + return t4_enable_vi_params(adap, mbox, viid, rx_en, tx_en, 0); +} - memset(&ldst, 0, sizeof ldst); - ldst.op_to_addrspace = - cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | - F_FW_CMD_REQUEST | - F_FW_CMD_READ | - V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_I2C)); - ldst.cycles_to_len16 = cpu_to_be32(FW_LEN16(ldst)); - ldst.u.i2c.pid = (port < 0 ? 0xff : port); - ldst.u.i2c.did = devid; - ldst.u.i2c.boffset = offset; - ldst.u.i2c.blen = len; - ret = t4_wr_mbox(adap, mbox, &ldst, sizeof ldst, &ldst); - if (!ret) - memcpy(buf, ldst.u.i2c.data, len); - return ret; +/** + * t4_identify_port - identify a VI's port by blinking its LED + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @viid: the VI id + * @nblinks: how many times to blink LED at 2.5 Hz + * + * Identifies a VI's port by blinking its LED. + */ +int t4_identify_port(struct adapter *adap, unsigned int mbox, unsigned int viid, + unsigned int nblinks) +{ + struct fw_vi_enable_cmd c; + + memset(&c, 0, sizeof(c)); + c.op_to_viid = cpu_to_be32(V_FW_CMD_OP(FW_VI_ENABLE_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_EXEC | + V_FW_VI_ENABLE_CMD_VIID(viid)); + c.ien_to_len16 = cpu_to_be32(F_FW_VI_ENABLE_CMD_LED | FW_LEN16(c)); + c.blinkdur = cpu_to_be16(nblinks); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_i2c_wr - write I2C data to adapter + * t4_iq_stop - stop an ingress queue and its FLs * @adap: the adapter - * @port: Port number if per-port device; <0 if not - * @devid: per-port device ID or absolute device ID - * @offset: byte offset into device I2C space - * @len: byte length of I2C space data - * @buf: buffer containing new I2C data + * @mbox: mailbox to use for the FW command + * @pf: the PF owning the queues + * @vf: the VF owning the queues + * @iqtype: the ingress queue type (FW_IQ_TYPE_FL_INT_CAP, etc.) + * @iqid: ingress queue id + * @fl0id: FL0 queue id or 0xffff if no attached FL0 + * @fl1id: FL1 queue id or 0xffff if no attached FL1 * - * Write the I2C data to the indicated device and location. + * Stops an ingress queue and its associated FLs, if any. This causes + * any current or future data/messages destined for these queues to be + * tossed. */ -int t4_i2c_wr(struct adapter *adap, unsigned int mbox, - int port, unsigned int devid, - unsigned int offset, unsigned int len, - u8 *buf) +int t4_iq_stop(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int iqtype, unsigned int iqid, + unsigned int fl0id, unsigned int fl1id) { - struct fw_ldst_cmd ldst; - - if (port >= 4 || - devid >= 256 || - offset >= 256 || - len > sizeof ldst.u.i2c.data) - return -EINVAL; + struct fw_iq_cmd c; - memset(&ldst, 0, sizeof ldst); - ldst.op_to_addrspace = - cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | - F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | - V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_I2C)); - ldst.cycles_to_len16 = cpu_to_be32(FW_LEN16(ldst)); - ldst.u.i2c.pid = (port < 0 ? 0xff : port); - ldst.u.i2c.did = devid; - ldst.u.i2c.boffset = offset; - ldst.u.i2c.blen = len; - memcpy(ldst.u.i2c.data, buf, len); - return t4_wr_mbox(adap, mbox, &ldst, sizeof ldst, &ldst); + memset(&c, 0, sizeof(c)); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_IQ_CMD) | F_FW_CMD_REQUEST | + F_FW_CMD_EXEC | V_FW_IQ_CMD_PFN(pf) | + V_FW_IQ_CMD_VFN(vf)); + c.alloc_to_len16 = cpu_to_be32(F_FW_IQ_CMD_IQSTOP | FW_LEN16(c)); + c.type_to_iqandstindex = cpu_to_be32(V_FW_IQ_CMD_TYPE(iqtype)); + c.iqid = cpu_to_be16(iqid); + c.fl0id = cpu_to_be16(fl0id); + c.fl1id = cpu_to_be16(fl1id); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_sge_ctxt_flush - flush the SGE context cache + * t4_iq_free - free an ingress queue and its FLs * @adap: the adapter * @mbox: mailbox to use for the FW command + * @pf: the PF owning the queues + * @vf: the VF owning the queues + * @iqtype: the ingress queue type (FW_IQ_TYPE_FL_INT_CAP, etc.) + * @iqid: ingress queue id + * @fl0id: FL0 queue id or 0xffff if no attached FL0 + * @fl1id: FL1 queue id or 0xffff if no attached FL1 * - * Issues a FW command through the given mailbox to flush the - * SGE context cache. + * Frees an ingress queue and its associated FLs, if any. */ -int t4_sge_ctxt_flush(struct adapter *adap, unsigned int mbox) +int t4_iq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int iqtype, unsigned int iqid, + unsigned int fl0id, unsigned int fl1id) { - int ret; - struct fw_ldst_cmd c; + struct fw_iq_cmd c; memset(&c, 0, sizeof(c)); - c.op_to_addrspace = htonl(V_FW_CMD_OP(FW_LDST_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_READ | - V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_SGE_EGRC)); - c.cycles_to_len16 = htonl(FW_LEN16(c)); - c.u.idctxt.msg_ctxtflush = htonl(F_FW_LDST_CMD_CTXTFLUSH); - - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - return ret; + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_IQ_CMD) | F_FW_CMD_REQUEST | + F_FW_CMD_EXEC | V_FW_IQ_CMD_PFN(pf) | + V_FW_IQ_CMD_VFN(vf)); + c.alloc_to_len16 = cpu_to_be32(F_FW_IQ_CMD_FREE | FW_LEN16(c)); + c.type_to_iqandstindex = cpu_to_be32(V_FW_IQ_CMD_TYPE(iqtype)); + c.iqid = cpu_to_be16(iqid); + c.fl0id = cpu_to_be16(fl0id); + c.fl1id = cpu_to_be16(fl1id); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_sge_ctxt_rd - read an SGE context through FW + * t4_eth_eq_free - free an Ethernet egress queue * @adap: the adapter * @mbox: mailbox to use for the FW command - * @cid: the context id - * @ctype: the context type - * @data: where to store the context data + * @pf: the PF owning the queue + * @vf: the VF owning the queue + * @eqid: egress queue id * - * Issues a FW command through the given mailbox to read an SGE context. + * Frees an Ethernet egress queue. */ -int t4_sge_ctxt_rd(struct adapter *adap, unsigned int mbox, unsigned int cid, - enum ctxt_type ctype, u32 *data) +int t4_eth_eq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int eqid) { - int ret; - struct fw_ldst_cmd c; - - if (ctype == CTXT_EGRESS) - ret = FW_LDST_ADDRSPC_SGE_EGRC; - else if (ctype == CTXT_INGRESS) - ret = FW_LDST_ADDRSPC_SGE_INGC; - else if (ctype == CTXT_FLM) - ret = FW_LDST_ADDRSPC_SGE_FLMC; - else - ret = FW_LDST_ADDRSPC_SGE_CONMC; + struct fw_eq_eth_cmd c; memset(&c, 0, sizeof(c)); - c.op_to_addrspace = htonl(V_FW_CMD_OP(FW_LDST_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_READ | V_FW_LDST_CMD_ADDRSPACE(ret)); - c.cycles_to_len16 = htonl(FW_LEN16(c)); - c.u.idctxt.physid = htonl(cid); - - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - if (ret == 0) { - data[0] = ntohl(c.u.idctxt.ctxt_data0); - data[1] = ntohl(c.u.idctxt.ctxt_data1); - data[2] = ntohl(c.u.idctxt.ctxt_data2); - data[3] = ntohl(c.u.idctxt.ctxt_data3); - data[4] = ntohl(c.u.idctxt.ctxt_data4); - data[5] = ntohl(c.u.idctxt.ctxt_data5); - } - return ret; + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_EQ_ETH_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_EXEC | + V_FW_EQ_ETH_CMD_PFN(pf) | + V_FW_EQ_ETH_CMD_VFN(vf)); + c.alloc_to_len16 = cpu_to_be32(F_FW_EQ_ETH_CMD_FREE | FW_LEN16(c)); + c.eqid_pkd = cpu_to_be32(V_FW_EQ_ETH_CMD_EQID(eqid)); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_sge_ctxt_rd_bd - read an SGE context bypassing FW + * t4_ctrl_eq_free - free a control egress queue * @adap: the adapter - * @cid: the context id - * @ctype: the context type - * @data: where to store the context data + * @mbox: mailbox to use for the FW command + * @pf: the PF owning the queue + * @vf: the VF owning the queue + * @eqid: egress queue id * - * Reads an SGE context directly, bypassing FW. This is only for - * debugging when FW is unavailable. + * Frees a control egress queue. */ -int t4_sge_ctxt_rd_bd(struct adapter *adap, unsigned int cid, enum ctxt_type ctype, - u32 *data) +int t4_ctrl_eq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int eqid) { - int i, ret; + struct fw_eq_ctrl_cmd c; - t4_write_reg(adap, A_SGE_CTXT_CMD, V_CTXTQID(cid) | V_CTXTTYPE(ctype)); - ret = t4_wait_op_done(adap, A_SGE_CTXT_CMD, F_BUSY, 0, 3, 1); - if (!ret) - for (i = A_SGE_CTXT_DATA0; i <= A_SGE_CTXT_DATA5; i += 4) - *data++ = t4_read_reg(adap, i); - return ret; + memset(&c, 0, sizeof(c)); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_EQ_CTRL_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_EXEC | + V_FW_EQ_CTRL_CMD_PFN(pf) | + V_FW_EQ_CTRL_CMD_VFN(vf)); + c.alloc_to_len16 = cpu_to_be32(F_FW_EQ_CTRL_CMD_FREE | FW_LEN16(c)); + c.cmpliqid_eqid = cpu_to_be32(V_FW_EQ_CTRL_CMD_EQID(eqid)); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); } /** - * t4_fw_hello - establish communication with FW + * t4_ofld_eq_free - free an offload egress queue * @adap: the adapter * @mbox: mailbox to use for the FW command - * @evt_mbox: mailbox to receive async FW events - * @master: specifies the caller's willingness to be the device master - * @state: returns the current device state (if non-NULL) + * @pf: the PF owning the queue + * @vf: the VF owning the queue + * @eqid: egress queue id * - * Issues a command to establish communication with FW. Returns either - * an error (negative integer) or the mailbox of the Master PF. + * Frees a control egress queue. */ -int t4_fw_hello(struct adapter *adap, unsigned int mbox, unsigned int evt_mbox, - enum dev_master master, enum dev_state *state) +int t4_ofld_eq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, + unsigned int vf, unsigned int eqid) { - int ret; - struct fw_hello_cmd c; - u32 v; - unsigned int master_mbox; - int retries = FW_CMD_HELLO_RETRIES; + struct fw_eq_ofld_cmd c; -retry: memset(&c, 0, sizeof(c)); - INIT_CMD(c, HELLO, WRITE); - c.err_to_clearinit = htonl( - V_FW_HELLO_CMD_MASTERDIS(master == MASTER_CANT) | - V_FW_HELLO_CMD_MASTERFORCE(master == MASTER_MUST) | - V_FW_HELLO_CMD_MBMASTER(master == MASTER_MUST ? mbox : - M_FW_HELLO_CMD_MBMASTER) | - V_FW_HELLO_CMD_MBASYNCNOT(evt_mbox) | - V_FW_HELLO_CMD_STAGE(FW_HELLO_CMD_STAGE_OS) | - F_FW_HELLO_CMD_CLEARINIT); + c.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_EQ_OFLD_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_EXEC | + V_FW_EQ_OFLD_CMD_PFN(pf) | + V_FW_EQ_OFLD_CMD_VFN(vf)); + c.alloc_to_len16 = cpu_to_be32(F_FW_EQ_OFLD_CMD_FREE | FW_LEN16(c)); + c.eqid_pkd = cpu_to_be32(V_FW_EQ_OFLD_CMD_EQID(eqid)); + return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); +} - /* - * Issue the HELLO command to the firmware. If it's not successful - * but indicates that we got a "busy" or "timeout" condition, retry - * the HELLO until we exhaust our retry limit. If we do exceed our - * retry limit, check to see if the firmware left us any error - * information and report that if so ... - */ - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - if (ret != FW_SUCCESS) { - if ((ret == -EBUSY || ret == -ETIMEDOUT) && retries-- > 0) - goto retry; - if (t4_read_reg(adap, A_PCIE_FW) & F_PCIE_FW_ERR) - t4_report_fw_error(adap); - return ret; - } +/** + * t4_link_down_rc_str - return a string for a Link Down Reason Code + * @link_down_rc: Link Down Reason Code + * + * Returns a string representation of the Link Down Reason Code. + */ +const char *t4_link_down_rc_str(unsigned char link_down_rc) +{ + static const char *reason[] = { + "Link Down", + "Remote Fault", + "Auto-negotiation Failure", + "Reserved3", + "Insufficient Airflow", + "Unable To Determine Reason", + "No RX Signal Detected", + "Reserved7", + }; - v = ntohl(c.err_to_clearinit); - master_mbox = G_FW_HELLO_CMD_MBMASTER(v); - if (state) { - if (v & F_FW_HELLO_CMD_ERR) - *state = DEV_STATE_ERR; - else if (v & F_FW_HELLO_CMD_INIT) - *state = DEV_STATE_INIT; - else - *state = DEV_STATE_UNINIT; - } + if (link_down_rc >= ARRAY_SIZE(reason)) + return "Bad Reason Code"; - /* - * If we're not the Master PF then we need to wait around for the - * Master PF Driver to finish setting up the adapter. - * - * Note that we also do this wait if we're a non-Master-capable PF and - * there is no current Master PF; a Master PF may show up momentarily - * and we wouldn't want to fail pointlessly. (This can happen when an - * OS loads lots of different drivers rapidly at the same time). In - * this case, the Master PF returned by the firmware will be - * M_PCIE_FW_MASTER so the test below will work ... - */ - if ((v & (F_FW_HELLO_CMD_ERR|F_FW_HELLO_CMD_INIT)) == 0 && - master_mbox != mbox) { - int waiting = FW_CMD_HELLO_TIMEOUT; + return reason[link_down_rc]; +} - /* - * Wait for the firmware to either indicate an error or - * initialized state. If we see either of these we bail out - * and report the issue to the caller. If we exhaust the - * "hello timeout" and we haven't exhausted our retries, try - * again. Otherwise bail with a timeout error. - */ - for (;;) { - u32 pcie_fw; +/** + * t4_handle_fw_rpl - process a FW reply message + * @adap: the adapter + * @rpl: start of the FW message + * + * Processes a FW message, such as link state change messages. + */ +int t4_handle_fw_rpl(struct adapter *adap, const __be64 *rpl) +{ + u8 opcode = *(const u8 *)rpl; + const struct fw_port_cmd *p = (const void *)rpl; + unsigned int action = + G_FW_PORT_CMD_ACTION(be32_to_cpu(p->action_to_len16)); - msleep(50); - waiting -= 50; + if (opcode == FW_PORT_CMD && action == FW_PORT_ACTION_GET_PORT_INFO) { + /* link/module state change message */ + int speed = 0, fc = 0, i; + int chan = G_FW_PORT_CMD_PORTID(be32_to_cpu(p->op_to_portid)); + struct port_info *pi = NULL; + struct link_config *lc; + u32 stat = be32_to_cpu(p->u.info.lstatus_to_modtype); + int link_ok = (stat & F_FW_PORT_CMD_LSTATUS) != 0; + u32 mod = G_FW_PORT_CMD_MODTYPE(stat); - /* - * If neither Error nor Initialialized are indicated - * by the firmware keep waiting till we exhaust our - * timeout ... and then retry if we haven't exhausted - * our retries ... - */ - pcie_fw = t4_read_reg(adap, A_PCIE_FW); - if (!(pcie_fw & (F_PCIE_FW_ERR|F_PCIE_FW_INIT))) { - if (waiting <= 0) { - if (retries-- > 0) - goto retry; + if (stat & F_FW_PORT_CMD_RXPAUSE) + fc |= PAUSE_RX; + if (stat & F_FW_PORT_CMD_TXPAUSE) + fc |= PAUSE_TX; + if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_100M)) + speed = 100; + else if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_1G)) + speed = 1000; + else if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_10G)) + speed = 10000; + else if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_40G)) + speed = 40000; - return -ETIMEDOUT; - } - continue; - } + for_each_port(adap, i) { + pi = adap2pinfo(adap, i); + if (pi->tx_chan == chan) + break; + } + lc = &pi->link_cfg; - /* - * We either have an Error or Initialized condition - * report errors preferentially. - */ - if (state) { - if (pcie_fw & F_PCIE_FW_ERR) - *state = DEV_STATE_ERR; - else if (pcie_fw & F_PCIE_FW_INIT) - *state = DEV_STATE_INIT; - } + if (mod != pi->mod_type) { + pi->mod_type = mod; + t4_os_portmod_changed(adap, i); + } + if (link_ok != lc->link_ok || speed != lc->speed || + fc != lc->fc) { /* something changed */ + int reason; - /* - * If we arrived before a Master PF was selected and - * there's not a valid Master PF, grab its identity - * for our caller. - */ - if (master_mbox == M_PCIE_FW_MASTER && - (pcie_fw & F_PCIE_FW_MASTER_VLD)) - master_mbox = G_PCIE_FW_MASTER(pcie_fw); - break; + if (!link_ok && lc->link_ok) + reason = G_FW_PORT_CMD_LINKDNRC(stat); + else + reason = -1; + + lc->link_ok = link_ok; + lc->speed = speed; + lc->fc = fc; + lc->supported = be16_to_cpu(p->u.info.pcap); + t4_os_link_changed(adap, i, link_ok, reason); } + } else { + CH_WARN_RATELIMIT(adap, "Unknown firmware reply %d\n", opcode); + return -EINVAL; } - - return master_mbox; + return 0; } /** - * t4_fw_bye - end communication with FW - * @adap: the adapter - * @mbox: mailbox to use for the FW command + * get_pci_mode - determine a card's PCI mode + * @adapter: the adapter + * @p: where to store the PCI settings * - * Issues a command to terminate communication with FW. + * Determines a card's PCI mode and associated parameters, such as speed + * and width. */ -int t4_fw_bye(struct adapter *adap, unsigned int mbox) +static void get_pci_mode(struct adapter *adapter, + struct pci_params *p) { - struct fw_bye_cmd c; + u16 val; + u32 pcie_cap; - memset(&c, 0, sizeof(c)); - INIT_CMD(c, BYE, WRITE); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + pcie_cap = t4_os_find_pci_capability(adapter, PCI_CAP_ID_EXP); + if (pcie_cap) { + t4_os_pci_read_cfg2(adapter, pcie_cap + PCI_EXP_LNKSTA, &val); + p->speed = val & PCI_EXP_LNKSTA_CLS; + p->width = (val & PCI_EXP_LNKSTA_NLW) >> 4; + } } /** - * t4_fw_reset - issue a reset to FW - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @reset: specifies the type of reset to perform + * init_link_config - initialize a link's SW state + * @lc: structure holding the link state + * @caps: link capabilities * - * Issues a reset command of the specified type to FW. + * Initializes the SW state maintained for each link, including the link's + * capabilities and default speed/flow-control/autonegotiation settings. */ -int t4_fw_reset(struct adapter *adap, unsigned int mbox, int reset) +static void init_link_config(struct link_config *lc, unsigned int caps) { - struct fw_reset_cmd c; - - memset(&c, 0, sizeof(c)); - INIT_CMD(c, RESET, WRITE); - c.val = htonl(reset); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + lc->supported = caps; + lc->requested_speed = 0; + lc->speed = 0; + lc->requested_fc = lc->fc = PAUSE_RX | PAUSE_TX; + if (lc->supported & FW_PORT_CAP_ANEG) { + lc->advertising = lc->supported & ADVERT_MASK; + lc->autoneg = AUTONEG_ENABLE; + lc->requested_fc |= PAUSE_AUTONEG; + } else { + lc->advertising = 0; + lc->autoneg = AUTONEG_DISABLE; + } } -/** - * t4_fw_halt - issue a reset/halt to FW and put uP into RESET - * @adap: the adapter - * @mbox: mailbox to use for the FW RESET command (if desired) - * @force: force uP into RESET even if FW RESET command fails - * - * Issues a RESET command to firmware (if desired) with a HALT indication - * and then puts the microprocessor into RESET state. The RESET command - * will only be issued if a legitimate mailbox is provided (mbox <= - * M_PCIE_FW_MASTER). - * - * This is generally used in order for the host to safely manipulate the - * adapter without fear of conflicting with whatever the firmware might - * be doing. The only way out of this state is to RESTART the firmware - * ... - */ -int t4_fw_halt(struct adapter *adap, unsigned int mbox, int force) -{ - int ret = 0; +struct flash_desc { + u32 vendor_and_model_id; + u32 size_mb; +}; +int t4_get_flash_params(struct adapter *adapter) +{ /* - * If a legitimate mailbox is provided, issue a RESET command - * with a HALT indication. + * Table for non-Numonix supported flash parts. Numonix parts are left + * to the preexisting well-tested code. All flash parts have 64KB + * sectors. */ - if (mbox <= M_PCIE_FW_MASTER) { - struct fw_reset_cmd c; + static struct flash_desc supported_flash[] = { + { 0x150201, 4 << 20 }, /* Spansion 4MB S25FL032P */ + }; - memset(&c, 0, sizeof(c)); - INIT_CMD(c, RESET, WRITE); - c.val = htonl(F_PIORST | F_PIORSTMODE); - c.halt_pkd = htonl(F_FW_RESET_CMD_HALT); - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); - } + int ret; + u32 info = 0; + + ret = sf1_write(adapter, 1, 1, 0, SF_RD_ID); + if (!ret) + ret = sf1_read(adapter, 3, 0, 1, &info); + t4_write_reg(adapter, A_SF_OP, 0); /* unlock SF */ + if (ret < 0) + return ret; + + for (ret = 0; ret < ARRAY_SIZE(supported_flash); ++ret) + if (supported_flash[ret].vendor_and_model_id == info) { + adapter->params.sf_size = supported_flash[ret].size_mb; + adapter->params.sf_nsec = + adapter->params.sf_size / SF_SEC_SIZE; + return 0; + } + + if ((info & 0xff) != 0x20) /* not a Numonix flash */ + return -EINVAL; + info >>= 16; /* log2 of size */ + if (info >= 0x14 && info < 0x18) + adapter->params.sf_nsec = 1 << (info - 16); + else if (info == 0x18) + adapter->params.sf_nsec = 64; + else + return -EINVAL; + adapter->params.sf_size = 1 << info; /* - * Normally we won't complete the operation if the firmware RESET - * command fails but if our caller insists we'll go ahead and put the - * uP into RESET. This can be useful if the firmware is hung or even - * missing ... We'll have to take the risk of putting the uP into - * RESET without the cooperation of firmware in that case. - * - * We also force the firmware's HALT flag to be on in case we bypassed - * the firmware RESET command above or we're dealing with old firmware - * which doesn't have the HALT capability. This will serve as a flag - * for the incoming firmware to know that it's coming out of a HALT - * rather than a RESET ... if it's new enough to understand that ... + * We should ~probably~ reject adapters with FLASHes which are too + * small but we have some legacy FPGAs with small FLASHes that we'd + * still like to use. So instead we emit a scary message ... */ - if (ret == 0 || force) { - t4_set_reg_field(adap, A_CIM_BOOT_CFG, F_UPCRST, F_UPCRST); - t4_set_reg_field(adap, A_PCIE_FW, F_PCIE_FW_HALT, F_PCIE_FW_HALT); + if (adapter->params.sf_size < FLASH_MIN_SIZE) + CH_WARN(adapter, "WARNING!!! FLASH size %#x < %#x!!!\n", + adapter->params.sf_size, FLASH_MIN_SIZE); + + return 0; +} + +static void set_pcie_completion_timeout(struct adapter *adapter, + u8 range) +{ + u16 val; + u32 pcie_cap; + + pcie_cap = t4_os_find_pci_capability(adapter, PCI_CAP_ID_EXP); + if (pcie_cap) { + t4_os_pci_read_cfg2(adapter, pcie_cap + PCI_EXP_DEVCTL2, &val); + val &= 0xfff0; + val |= range ; + t4_os_pci_write_cfg2(adapter, pcie_cap + PCI_EXP_DEVCTL2, val); } +} - /* - * And we always return the result of the firmware RESET command - * even when we force the uP into RESET ... - */ - return ret; +static const struct chip_params *get_chip_params(int chipid) +{ + static const struct chip_params chip_params[] = { + { + /* T4 */ + .nchan = NCHAN, + .pm_stats_cnt = PM_NSTATS, + .cng_ch_bits_log = 2, + .nsched_cls = 15, + .cim_num_obq = CIM_NUM_OBQ, + .mps_rplc_size = 128, + .vfcount = 128, + .sge_fl_db = F_DBPRIO, + .mps_tcam_size = NUM_MPS_CLS_SRAM_L_INSTANCES, + }, + { + /* T5 */ + .nchan = NCHAN, + .pm_stats_cnt = PM_NSTATS, + .cng_ch_bits_log = 2, + .nsched_cls = 16, + .cim_num_obq = CIM_NUM_OBQ_T5, + .mps_rplc_size = 128, + .vfcount = 128, + .sge_fl_db = F_DBPRIO | F_DBTYPE, + .mps_tcam_size = NUM_MPS_T5_CLS_SRAM_L_INSTANCES, + }, + { + /* T6 */ + .nchan = T6_NCHAN, + .pm_stats_cnt = T6_PM_NSTATS, + .cng_ch_bits_log = 3, + .nsched_cls = 16, + .cim_num_obq = CIM_NUM_OBQ_T5, + .mps_rplc_size = 256, + .vfcount = 256, + .sge_fl_db = 0, + .mps_tcam_size = NUM_MPS_T5_CLS_SRAM_L_INSTANCES, + }, + }; + + chipid -= CHELSIO_T4; + if (chipid < 0 || chipid >= ARRAY_SIZE(chip_params)) + return NULL; + + return &chip_params[chipid]; } /** - * t4_fw_restart - restart the firmware by taking the uP out of RESET - * @adap: the adapter - * @reset: if we want to do a RESET to restart things - * - * Restart firmware previously halted by t4_fw_halt(). On successful - * return the previous PF Master remains as the new PF Master and there - * is no need to issue a new HELLO command, etc. - * - * We do this in two ways: - * - * 1. If we're dealing with newer firmware we'll simply want to take - * the chip's microprocessor out of RESET. This will cause the - * firmware to start up from its start vector. And then we'll loop - * until the firmware indicates it's started again (PCIE_FW.HALT - * reset to 0) or we timeout. + * t4_prep_adapter - prepare SW and HW for operation + * @adapter: the adapter + * @buf: temporary space of at least VPD_LEN size provided by the caller. * - * 2. If we're dealing with older firmware then we'll need to RESET - * the chip since older firmware won't recognize the PCIE_FW.HALT - * flag and automatically RESET itself on startup. + * Initialize adapter SW state for the various HW modules, set initial + * values for some adapter tunables, take PHYs out of reset, and + * initialize the MDIO interface. */ -int t4_fw_restart(struct adapter *adap, unsigned int mbox, int reset) +int t4_prep_adapter(struct adapter *adapter, u8 *buf) { - if (reset) { - /* - * Since we're directing the RESET instead of the firmware - * doing it automatically, we need to clear the PCIE_FW.HALT - * bit. - */ - t4_set_reg_field(adap, A_PCIE_FW, F_PCIE_FW_HALT, 0); + int ret; + uint16_t device_id; + uint32_t pl_rev; - /* - * If we've been given a valid mailbox, first try to get the - * firmware to do the RESET. If that works, great and we can - * return success. Otherwise, if we haven't been given a - * valid mailbox or the RESET command failed, fall back to - * hitting the chip with a hammer. - */ - if (mbox <= M_PCIE_FW_MASTER) { - t4_set_reg_field(adap, A_CIM_BOOT_CFG, F_UPCRST, 0); - msleep(100); - if (t4_fw_reset(adap, mbox, - F_PIORST | F_PIORSTMODE) == 0) - return 0; + get_pci_mode(adapter, &adapter->params.pci); + + pl_rev = t4_read_reg(adapter, A_PL_REV); + adapter->params.chipid = G_CHIPID(pl_rev); + adapter->params.rev = G_REV(pl_rev); + if (adapter->params.chipid == 0) { + /* T4 did not have chipid in PL_REV (T5 onwards do) */ + adapter->params.chipid = CHELSIO_T4; + + /* T4A1 chip is not supported */ + if (adapter->params.rev == 1) { + CH_ALERT(adapter, "T4 rev 1 chip is not supported.\n"); + return -EINVAL; } + } - t4_write_reg(adap, A_PL_RST, F_PIORST | F_PIORSTMODE); - msleep(2000); - } else { - int ms; + adapter->chip_params = get_chip_params(chip_id(adapter)); + if (adapter->chip_params == NULL) + return -EINVAL; + + adapter->params.pci.vpd_cap_addr = + t4_os_find_pci_capability(adapter, PCI_CAP_ID_VPD); + + ret = t4_get_flash_params(adapter); + if (ret < 0) + return ret; + + ret = get_vpd_params(adapter, &adapter->params.vpd, buf); + if (ret < 0) + return ret; + + /* Cards with real ASICs have the chipid in the PCIe device id */ + t4_os_pci_read_cfg2(adapter, PCI_DEVICE_ID, &device_id); + if (device_id >> 12 == chip_id(adapter)) + adapter->params.cim_la_size = CIMLA_SIZE; + else { + /* FPGA */ + adapter->params.fpga = 1; + adapter->params.cim_la_size = 2 * CIMLA_SIZE; + } + + init_cong_ctrl(adapter->params.a_wnd, adapter->params.b_wnd); + + /* + * Default port and clock for debugging in case we can't reach FW. + */ + adapter->params.nports = 1; + adapter->params.portvec = 1; + adapter->params.vpd.cclk = 50000; - t4_set_reg_field(adap, A_CIM_BOOT_CFG, F_UPCRST, 0); - for (ms = 0; ms < FW_CMD_MAX_TIMEOUT; ) { - if (!(t4_read_reg(adap, A_PCIE_FW) & F_PCIE_FW_HALT)) - return FW_SUCCESS; - msleep(100); - ms += 100; - } - return -ETIMEDOUT; + /* Set pci completion timeout value to 4 seconds. */ + set_pcie_completion_timeout(adapter, 0xd); + return 0; +} + +/** + * t4_shutdown_adapter - shut down adapter, host & wire + * @adapter: the adapter + * + * Perform an emergency shutdown of the adapter and stop it from + * continuing any further communication on the ports or DMA to the + * host. This is typically used when the adapter and/or firmware + * have crashed and we want to prevent any further accidental + * communication with the rest of the world. This will also force + * the port Link Status to go down -- if register writes work -- + * which should help our peers figure out that we're down. + */ +int t4_shutdown_adapter(struct adapter *adapter) +{ + int port; + + t4_intr_disable(adapter); + t4_write_reg(adapter, A_DBG_GPIO_EN, 0); + for_each_port(adapter, port) { + u32 a_port_cfg = PORT_REG(port, + is_t4(adapter) + ? A_XGMAC_PORT_CFG + : A_MAC_PORT_CFG); + + t4_write_reg(adapter, a_port_cfg, + t4_read_reg(adapter, a_port_cfg) + & ~V_SIGNAL_DET(1)); } + t4_set_reg_field(adapter, A_SGE_CONTROL, F_GLOBALENABLE, 0); + return 0; } /** - * t4_fw_upgrade - perform all of the steps necessary to upgrade FW + * t4_init_devlog_params - initialize adapter->params.devlog * @adap: the adapter - * @mbox: mailbox to use for the FW RESET command (if desired) - * @fw_data: the firmware image to write - * @size: image size - * @force: force upgrade even if firmware doesn't cooperate - * - * Perform all of the steps necessary for upgrading an adapter's - * firmware image. Normally this requires the cooperation of the - * existing firmware in order to halt all existing activities - * but if an invalid mailbox token is passed in we skip that step - * (though we'll still put the adapter microprocessor into RESET in - * that case). + * @fw_attach: whether we can talk to the firmware * - * On successful return the new firmware will have been loaded and - * the adapter will have been fully RESET losing all previous setup - * state. On unsuccessful return the adapter may be completely hosed ... - * positive errno indicates that the adapter is ~probably~ intact, a - * negative errno indicates that things are looking bad ... + * Initialize various fields of the adapter's Firmware Device Log + * Parameters structure. */ -int t4_fw_upgrade(struct adapter *adap, unsigned int mbox, - const u8 *fw_data, unsigned int size, int force) +int t4_init_devlog_params(struct adapter *adap, int fw_attach) { - const struct fw_hdr *fw_hdr = (const struct fw_hdr *)fw_data; - unsigned int bootstrap = ntohl(fw_hdr->magic) == FW_HDR_MAGIC_BOOTSTRAP; - int reset, ret; + struct devlog_params *dparams = &adap->params.devlog; + u32 pf_dparams; + unsigned int devlog_meminfo; + struct fw_devlog_cmd devlog_cmd; + int ret; - if (!bootstrap) { - ret = t4_fw_halt(adap, mbox, force); - if (ret < 0 && !force) - return ret; + /* If we're dealing with newer firmware, the Device Log Paramerters + * are stored in a designated register which allows us to access the + * Device Log even if we can't talk to the firmware. + */ + pf_dparams = + t4_read_reg(adap, PCIE_FW_REG(A_PCIE_FW_PF, PCIE_FW_PF_DEVLOG)); + if (pf_dparams) { + unsigned int nentries, nentries128; + + dparams->memtype = G_PCIE_FW_PF_DEVLOG_MEMTYPE(pf_dparams); + dparams->start = G_PCIE_FW_PF_DEVLOG_ADDR16(pf_dparams) << 4; + + nentries128 = G_PCIE_FW_PF_DEVLOG_NENTRIES128(pf_dparams); + nentries = (nentries128 + 1) * 128; + dparams->size = nentries * sizeof(struct fw_devlog_e); + + return 0; } - ret = t4_load_fw(adap, fw_data, size); - if (ret < 0 || bootstrap) - return ret; + /* + * For any failing returns ... + */ + memset(dparams, 0, sizeof *dparams); /* - * Older versions of the firmware don't understand the new - * PCIE_FW.HALT flag and so won't know to perform a RESET when they - * restart. So for newly loaded older firmware we'll have to do the - * RESET for it so it starts up on a clean slate. We can tell if - * the newly loaded firmware will handle this right by checking - * its header flags to see if it advertises the capability. + * If we can't talk to the firmware, there's really nothing we can do + * at this point. */ - reset = ((ntohl(fw_hdr->flags) & FW_HDR_FLAGS_RESET_HALT) == 0); - return t4_fw_restart(adap, mbox, reset); + if (!fw_attach) + return -ENXIO; + + /* Otherwise, ask the firmware for it's Device Log Parameters. + */ + memset(&devlog_cmd, 0, sizeof devlog_cmd); + devlog_cmd.op_to_write = cpu_to_be32(V_FW_CMD_OP(FW_DEVLOG_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ); + devlog_cmd.retval_len16 = cpu_to_be32(FW_LEN16(devlog_cmd)); + ret = t4_wr_mbox(adap, adap->mbox, &devlog_cmd, sizeof(devlog_cmd), + &devlog_cmd); + if (ret) + return ret; + + devlog_meminfo = + be32_to_cpu(devlog_cmd.memtype_devlog_memaddr16_devlog); + dparams->memtype = G_FW_DEVLOG_CMD_MEMTYPE_DEVLOG(devlog_meminfo); + dparams->start = G_FW_DEVLOG_CMD_MEMADDR16_DEVLOG(devlog_meminfo) << 4; + dparams->size = be32_to_cpu(devlog_cmd.memsize_devlog); + + return 0; } /** - * t4_fw_initialize - ask FW to initialize the device - * @adap: the adapter - * @mbox: mailbox to use for the FW command + * t4_init_sge_params - initialize adap->params.sge + * @adapter: the adapter * - * Issues a command to FW to partially initialize the device. This - * performs initialization that generally doesn't depend on user input. + * Initialize various fields of the adapter's SGE Parameters structure. */ -int t4_fw_initialize(struct adapter *adap, unsigned int mbox) +int t4_init_sge_params(struct adapter *adapter) { - struct fw_initialize_cmd c; + u32 r; + struct sge_params *sp = &adapter->params.sge; - memset(&c, 0, sizeof(c)); - INIT_CMD(c, INITIALIZE, WRITE); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + r = t4_read_reg(adapter, A_SGE_INGRESS_RX_THRESHOLD); + sp->counter_val[0] = G_THRESHOLD_0(r); + sp->counter_val[1] = G_THRESHOLD_1(r); + sp->counter_val[2] = G_THRESHOLD_2(r); + sp->counter_val[3] = G_THRESHOLD_3(r); + + r = t4_read_reg(adapter, A_SGE_TIMER_VALUE_0_AND_1); + sp->timer_val[0] = core_ticks_to_us(adapter, G_TIMERVALUE0(r)); + sp->timer_val[1] = core_ticks_to_us(adapter, G_TIMERVALUE1(r)); + r = t4_read_reg(adapter, A_SGE_TIMER_VALUE_2_AND_3); + sp->timer_val[2] = core_ticks_to_us(adapter, G_TIMERVALUE2(r)); + sp->timer_val[3] = core_ticks_to_us(adapter, G_TIMERVALUE3(r)); + r = t4_read_reg(adapter, A_SGE_TIMER_VALUE_4_AND_5); + sp->timer_val[4] = core_ticks_to_us(adapter, G_TIMERVALUE4(r)); + sp->timer_val[5] = core_ticks_to_us(adapter, G_TIMERVALUE5(r)); + + r = t4_read_reg(adapter, A_SGE_CONM_CTRL); + sp->fl_starve_threshold = G_EGRTHRESHOLD(r) * 2 + 1; + if (is_t4(adapter)) + sp->fl_starve_threshold2 = sp->fl_starve_threshold; + else + sp->fl_starve_threshold2 = G_EGRTHRESHOLDPACKING(r) * 2 + 1; + + /* egress queues: log2 of # of doorbells per BAR2 page */ + r = t4_read_reg(adapter, A_SGE_EGRESS_QUEUES_PER_PAGE_PF); + r >>= S_QUEUESPERPAGEPF0 + + (S_QUEUESPERPAGEPF1 - S_QUEUESPERPAGEPF0) * adapter->pf; + sp->eq_s_qpp = r & M_QUEUESPERPAGEPF0; + + /* ingress queues: log2 of # of doorbells per BAR2 page */ + r = t4_read_reg(adapter, A_SGE_INGRESS_QUEUES_PER_PAGE_PF); + r >>= S_QUEUESPERPAGEPF0 + + (S_QUEUESPERPAGEPF1 - S_QUEUESPERPAGEPF0) * adapter->pf; + sp->iq_s_qpp = r & M_QUEUESPERPAGEPF0; + + r = t4_read_reg(adapter, A_SGE_HOST_PAGE_SIZE); + r >>= S_HOSTPAGESIZEPF0 + + (S_HOSTPAGESIZEPF1 - S_HOSTPAGESIZEPF0) * adapter->pf; + sp->page_shift = (r & M_HOSTPAGESIZEPF0) + 10; + + r = t4_read_reg(adapter, A_SGE_CONTROL); + sp->spg_len = r & F_EGRSTATUSPAGESIZE ? 128 : 64; + sp->fl_pktshift = G_PKTSHIFT(r); + sp->pad_boundary = 1 << (G_INGPADBOUNDARY(r) + 5); + if (is_t4(adapter)) + sp->pack_boundary = sp->pad_boundary; + else { + r = t4_read_reg(adapter, A_SGE_CONTROL2); + if (G_INGPACKBOUNDARY(r) == 0) + sp->pack_boundary = 16; + else + sp->pack_boundary = 1 << (G_INGPACKBOUNDARY(r) + 5); + } + + return 0; } -/** - * t4_query_params - query FW or device parameters - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF - * @vf: the VF - * @nparams: the number of parameters - * @params: the parameter names - * @val: the parameter values - * - * Reads the value of FW or device parameters. Up to 7 parameters can be - * queried at once. +/* + * Read and cache the adapter's compressed filter mode and ingress config. */ -int t4_query_params(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int nparams, const u32 *params, - u32 *val) +static void read_filter_mode_and_ingress_config(struct adapter *adap) { - int i, ret; - struct fw_params_cmd c; - __be32 *p = &c.param[0].mnem; - - if (nparams > 7) - return -EINVAL; + struct tp_params *tpp = &adap->params.tp; - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_PARAMS_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_READ | V_FW_PARAMS_CMD_PFN(pf) | - V_FW_PARAMS_CMD_VFN(vf)); - c.retval_len16 = htonl(FW_LEN16(c)); + if (t4_use_ldst(adap)) { + t4_fw_tp_pio_rw(adap, &tpp->vlan_pri_map, 1, + A_TP_VLAN_PRI_MAP, 1); + t4_fw_tp_pio_rw(adap, &tpp->ingress_config, 1, + A_TP_INGRESS_CONFIG, 1); + } else { + t4_read_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &tpp->vlan_pri_map, 1, A_TP_VLAN_PRI_MAP); + t4_read_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, + &tpp->ingress_config, 1, A_TP_INGRESS_CONFIG); + } - for (i = 0; i < nparams; i++, p += 2, params++) - *p = htonl(*params); + /* + * Now that we have TP_VLAN_PRI_MAP cached, we can calculate the field + * shift positions of several elements of the Compressed Filter Tuple + * for this adapter which we need frequently ... + */ + tpp->fcoe_shift = t4_filter_field_shift(adap, F_FCOE); + tpp->port_shift = t4_filter_field_shift(adap, F_PORT); + tpp->vnic_shift = t4_filter_field_shift(adap, F_VNIC_ID); + tpp->vlan_shift = t4_filter_field_shift(adap, F_VLAN); + tpp->tos_shift = t4_filter_field_shift(adap, F_TOS); + tpp->protocol_shift = t4_filter_field_shift(adap, F_PROTOCOL); + tpp->ethertype_shift = t4_filter_field_shift(adap, F_ETHERTYPE); + tpp->macmatch_shift = t4_filter_field_shift(adap, F_MACMATCH); + tpp->matchtype_shift = t4_filter_field_shift(adap, F_MPSHITTYPE); + tpp->frag_shift = t4_filter_field_shift(adap, F_FRAGMENTATION); - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - if (ret == 0) - for (i = 0, p = &c.param[0].val; i < nparams; i++, p += 2) - *val++ = ntohl(*p); - return ret; + /* + * If TP_INGRESS_CONFIG.VNID == 0, then TP_VLAN_PRI_MAP.VNIC_ID + * represents the presense of an Outer VLAN instead of a VNIC ID. + */ + if ((tpp->ingress_config & F_VNIC) == 0) + tpp->vnic_shift = -1; } /** - * t4_set_params - sets FW or device parameters - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF - * @vf: the VF - * @nparams: the number of parameters - * @params: the parameter names - * @val: the parameter values + * t4_init_tp_params - initialize adap->params.tp + * @adap: the adapter * - * Sets the value of FW or device parameters. Up to 7 parameters can be - * specified at once. + * Initialize various fields of the adapter's TP Parameters structure. */ -int t4_set_params(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int nparams, const u32 *params, - const u32 *val) +int t4_init_tp_params(struct adapter *adap) { - struct fw_params_cmd c; - __be32 *p = &c.param[0].mnem; + int chan; + u32 v; + struct tp_params *tpp = &adap->params.tp; - if (nparams > 7) - return -EINVAL; + v = t4_read_reg(adap, A_TP_TIMER_RESOLUTION); + tpp->tre = G_TIMERRESOLUTION(v); + tpp->dack_re = G_DELAYEDACKRESOLUTION(v); - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_PARAMS_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | V_FW_PARAMS_CMD_PFN(pf) | - V_FW_PARAMS_CMD_VFN(vf)); - c.retval_len16 = htonl(FW_LEN16(c)); + /* MODQ_REQ_MAP defaults to setting queues 0-3 to chan 0-3 */ + for (chan = 0; chan < MAX_NCHAN; chan++) + tpp->tx_modq[chan] = chan; - while (nparams--) { - *p++ = htonl(*params); - params++; - *p++ = htonl(*val); - val++; + read_filter_mode_and_ingress_config(adap); + + /* + * For T6, cache the adapter's compressed error vector + * and passing outer header info for encapsulated packets. + */ + if (chip_id(adap) > CHELSIO_T5) { + v = t4_read_reg(adap, A_TP_OUT_CONFIG); + tpp->rx_pkt_encap = (v & F_CRXPKTENC) ? 1 : 0; } - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + return 0; } /** - * t4_cfg_pfvf - configure PF/VF resource limits - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF being configured - * @vf: the VF being configured - * @txq: the max number of egress queues - * @txq_eth_ctrl: the max number of egress Ethernet or control queues - * @rxqi: the max number of interrupt-capable ingress queues - * @rxq: the max number of interruptless ingress queues - * @tc: the PCI traffic class - * @vi: the max number of virtual interfaces - * @cmask: the channel access rights mask for the PF/VF - * @pmask: the port access rights mask for the PF/VF - * @nexact: the maximum number of exact MPS filters - * @rcaps: read capabilities - * @wxcaps: write/execute capabilities + * t4_filter_field_shift - calculate filter field shift + * @adap: the adapter + * @filter_sel: the desired field (from TP_VLAN_PRI_MAP bits) * - * Configures resource limits and capabilities for a physical or virtual - * function. + * Return the shift position of a filter field within the Compressed + * Filter Tuple. The filter field is specified via its selection bit + * within TP_VLAN_PRI_MAL (filter mode). E.g. F_VLAN. */ -int t4_cfg_pfvf(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int txq, unsigned int txq_eth_ctrl, - unsigned int rxqi, unsigned int rxq, unsigned int tc, - unsigned int vi, unsigned int cmask, unsigned int pmask, - unsigned int nexact, unsigned int rcaps, unsigned int wxcaps) +int t4_filter_field_shift(const struct adapter *adap, int filter_sel) { - struct fw_pfvf_cmd c; + unsigned int filter_mode = adap->params.tp.vlan_pri_map; + unsigned int sel; + int field_shift; - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_PFVF_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | V_FW_PFVF_CMD_PFN(pf) | - V_FW_PFVF_CMD_VFN(vf)); - c.retval_len16 = htonl(FW_LEN16(c)); - c.niqflint_niq = htonl(V_FW_PFVF_CMD_NIQFLINT(rxqi) | - V_FW_PFVF_CMD_NIQ(rxq)); - c.type_to_neq = htonl(V_FW_PFVF_CMD_CMASK(cmask) | - V_FW_PFVF_CMD_PMASK(pmask) | - V_FW_PFVF_CMD_NEQ(txq)); - c.tc_to_nexactf = htonl(V_FW_PFVF_CMD_TC(tc) | V_FW_PFVF_CMD_NVI(vi) | - V_FW_PFVF_CMD_NEXACTF(nexact)); - c.r_caps_to_nethctrl = htonl(V_FW_PFVF_CMD_R_CAPS(rcaps) | - V_FW_PFVF_CMD_WX_CAPS(wxcaps) | - V_FW_PFVF_CMD_NETHCTRL(txq_eth_ctrl)); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + if ((filter_mode & filter_sel) == 0) + return -1; + + for (sel = 1, field_shift = 0; sel < filter_sel; sel <<= 1) { + switch (filter_mode & sel) { + case F_FCOE: + field_shift += W_FT_FCOE; + break; + case F_PORT: + field_shift += W_FT_PORT; + break; + case F_VNIC_ID: + field_shift += W_FT_VNIC_ID; + break; + case F_VLAN: + field_shift += W_FT_VLAN; + break; + case F_TOS: + field_shift += W_FT_TOS; + break; + case F_PROTOCOL: + field_shift += W_FT_PROTOCOL; + break; + case F_ETHERTYPE: + field_shift += W_FT_ETHERTYPE; + break; + case F_MACMATCH: + field_shift += W_FT_MACMATCH; + break; + case F_MPSHITTYPE: + field_shift += W_FT_MPSHITTYPE; + break; + case F_FRAGMENTATION: + field_shift += W_FT_FRAGMENTATION; + break; + } + } + return field_shift; } -/** - * t4_alloc_vi_func - allocate a virtual interface - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @port: physical port associated with the VI - * @pf: the PF owning the VI - * @vf: the VF owning the VI - * @nmac: number of MAC addresses needed (1 to 5) - * @mac: the MAC addresses of the VI - * @rss_size: size of RSS table slice associated with this VI - * @portfunc: which Port Application Function MAC Address is desired - * @idstype: Intrusion Detection Type - * - * Allocates a virtual interface for the given physical port. If @mac is - * not %NULL it contains the MAC addresses of the VI as assigned by FW. - * @mac should be large enough to hold @nmac Ethernet addresses, they are - * stored consecutively so the space needed is @nmac * 6 bytes. - * Returns a negative error number or the non-negative VI id. - */ -int t4_alloc_vi_func(struct adapter *adap, unsigned int mbox, - unsigned int port, unsigned int pf, unsigned int vf, - unsigned int nmac, u8 *mac, u16 *rss_size, - unsigned int portfunc, unsigned int idstype) +int t4_port_init(struct adapter *adap, int mbox, int pf, int vf, int port_id) { - int ret; - struct fw_vi_cmd c; + u8 addr[6]; + int ret, i, j; + struct fw_port_cmd c; + u16 rss_size; + struct port_info *p = adap2pinfo(adap, port_id); + u32 param, val; + + memset(&c, 0, sizeof(c)); + + for (i = 0, j = -1; i <= p->port_id; i++) { + do { + j++; + } while ((adap->params.portvec & (1 << j)) == 0); + } + + c.op_to_portid = htonl(V_FW_CMD_OP(FW_PORT_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ | + V_FW_PORT_CMD_PORTID(j)); + c.action_to_len16 = htonl( + V_FW_PORT_CMD_ACTION(FW_PORT_ACTION_GET_PORT_INFO) | + FW_LEN16(c)); + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + if (ret) + return ret; + + ret = t4_alloc_vi(adap, mbox, j, pf, vf, 1, addr, &rss_size); + if (ret < 0) + return ret; + + p->vi[0].viid = ret; + p->tx_chan = j; + p->rx_chan_map = t4_get_mps_bg_map(adap, j); + p->lport = j; + p->vi[0].rss_size = rss_size; + t4_os_set_hw_addr(adap, p->port_id, addr); - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_VI_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | F_FW_CMD_EXEC | - V_FW_VI_CMD_PFN(pf) | V_FW_VI_CMD_VFN(vf)); - c.alloc_to_len16 = htonl(F_FW_VI_CMD_ALLOC | FW_LEN16(c)); - c.type_to_viid = htons(V_FW_VI_CMD_TYPE(idstype) | - V_FW_VI_CMD_FUNC(portfunc)); - c.portid_pkd = V_FW_VI_CMD_PORTID(port); - c.nmac = nmac - 1; + ret = be32_to_cpu(c.u.info.lstatus_to_modtype); + p->mdio_addr = (ret & F_FW_PORT_CMD_MDIOCAP) ? + G_FW_PORT_CMD_MDIOADDR(ret) : -1; + p->port_type = G_FW_PORT_CMD_PTYPE(ret); + p->mod_type = G_FW_PORT_CMD_MODTYPE(ret); - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - if (ret) - return ret; + init_link_config(&p->link_cfg, be16_to_cpu(c.u.info.pcap)); - if (mac) { - memcpy(mac, c.mac, sizeof(c.mac)); - switch (nmac) { - case 5: - memcpy(mac + 24, c.nmac3, sizeof(c.nmac3)); - case 4: - memcpy(mac + 18, c.nmac2, sizeof(c.nmac2)); - case 3: - memcpy(mac + 12, c.nmac1, sizeof(c.nmac1)); - case 2: - memcpy(mac + 6, c.nmac0, sizeof(c.nmac0)); - } + param = V_FW_PARAMS_MNEM(FW_PARAMS_MNEM_DEV) | + V_FW_PARAMS_PARAM_X(FW_PARAMS_PARAM_DEV_RSSINFO) | + V_FW_PARAMS_PARAM_YZ(p->vi[0].viid); + ret = t4_query_params(adap, mbox, pf, vf, 1, ¶m, &val); + if (ret) + p->vi[0].rss_base = 0xffff; + else { + /* MPASS((val >> 16) == rss_size); */ + p->vi[0].rss_base = val & 0xffff; } - if (rss_size) - *rss_size = G_FW_VI_CMD_RSSSIZE(ntohs(c.norss_rsssize)); - return G_FW_VI_CMD_VIID(htons(c.type_to_viid)); -} -/** - * t4_alloc_vi - allocate an [Ethernet Function] virtual interface - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @port: physical port associated with the VI - * @pf: the PF owning the VI - * @vf: the VF owning the VI - * @nmac: number of MAC addresses needed (1 to 5) - * @mac: the MAC addresses of the VI - * @rss_size: size of RSS table slice associated with this VI - * - * backwards compatible and convieniance routine to allocate a Virtual - * Interface with a Ethernet Port Application Function and Intrustion - * Detection System disabled. - */ -int t4_alloc_vi(struct adapter *adap, unsigned int mbox, unsigned int port, - unsigned int pf, unsigned int vf, unsigned int nmac, u8 *mac, - u16 *rss_size) -{ - return t4_alloc_vi_func(adap, mbox, port, pf, vf, nmac, mac, rss_size, - FW_VI_FUNC_ETH, 0); + return 0; } /** - * t4_free_vi - free a virtual interface + * t4_read_cimq_cfg - read CIM queue configuration * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF owning the VI - * @vf: the VF owning the VI - * @viid: virtual interface identifiler + * @base: holds the queue base addresses in bytes + * @size: holds the queue sizes in bytes + * @thres: holds the queue full thresholds in bytes * - * Free a previously allocated virtual interface. + * Returns the current configuration of the CIM queues, starting with + * the IBQs, then the OBQs. */ -int t4_free_vi(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int viid) +void t4_read_cimq_cfg(struct adapter *adap, u16 *base, u16 *size, u16 *thres) { - struct fw_vi_cmd c; - - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_VI_CMD) | - F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | - V_FW_VI_CMD_PFN(pf) | - V_FW_VI_CMD_VFN(vf)); - c.alloc_to_len16 = htonl(F_FW_VI_CMD_FREE | FW_LEN16(c)); - c.type_to_viid = htons(V_FW_VI_CMD_VIID(viid)); + unsigned int i, v; + int cim_num_obq = adap->chip_params->cim_num_obq; - return t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + for (i = 0; i < CIM_NUM_IBQ; i++) { + t4_write_reg(adap, A_CIM_QUEUE_CONFIG_REF, F_IBQSELECT | + V_QUENUMSELECT(i)); + v = t4_read_reg(adap, A_CIM_QUEUE_CONFIG_CTRL); + /* value is in 256-byte units */ + *base++ = G_CIMQBASE(v) * 256; + *size++ = G_CIMQSIZE(v) * 256; + *thres++ = G_QUEFULLTHRSH(v) * 8; /* 8-byte unit */ + } + for (i = 0; i < cim_num_obq; i++) { + t4_write_reg(adap, A_CIM_QUEUE_CONFIG_REF, F_OBQSELECT | + V_QUENUMSELECT(i)); + v = t4_read_reg(adap, A_CIM_QUEUE_CONFIG_CTRL); + /* value is in 256-byte units */ + *base++ = G_CIMQBASE(v) * 256; + *size++ = G_CIMQSIZE(v) * 256; + } } /** - * t4_set_rxmode - set Rx properties of a virtual interface + * t4_read_cim_ibq - read the contents of a CIM inbound queue * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @viid: the VI id - * @mtu: the new MTU or -1 - * @promisc: 1 to enable promiscuous mode, 0 to disable it, -1 no change - * @all_multi: 1 to enable all-multi mode, 0 to disable it, -1 no change - * @bcast: 1 to enable broadcast Rx, 0 to disable it, -1 no change - * @vlanex: 1 to enable HVLAN extraction, 0 to disable it, -1 no change - * @sleep_ok: if true we may sleep while awaiting command completion + * @qid: the queue index + * @data: where to store the queue contents + * @n: capacity of @data in 32-bit words * - * Sets Rx properties of a virtual interface. + * Reads the contents of the selected CIM queue starting at address 0 up + * to the capacity of @data. @n must be a multiple of 4. Returns < 0 on + * error and the number of 32-bit words actually read on success. */ -int t4_set_rxmode(struct adapter *adap, unsigned int mbox, unsigned int viid, - int mtu, int promisc, int all_multi, int bcast, int vlanex, - bool sleep_ok) +int t4_read_cim_ibq(struct adapter *adap, unsigned int qid, u32 *data, size_t n) { - struct fw_vi_rxmode_cmd c; + int i, err, attempts; + unsigned int addr; + const unsigned int nwords = CIM_IBQ_SIZE * 4; - /* convert to FW values */ - if (mtu < 0) - mtu = M_FW_VI_RXMODE_CMD_MTU; - if (promisc < 0) - promisc = M_FW_VI_RXMODE_CMD_PROMISCEN; - if (all_multi < 0) - all_multi = M_FW_VI_RXMODE_CMD_ALLMULTIEN; - if (bcast < 0) - bcast = M_FW_VI_RXMODE_CMD_BROADCASTEN; - if (vlanex < 0) - vlanex = M_FW_VI_RXMODE_CMD_VLANEXEN; + if (qid > 5 || (n & 3)) + return -EINVAL; - memset(&c, 0, sizeof(c)); - c.op_to_viid = htonl(V_FW_CMD_OP(FW_VI_RXMODE_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | V_FW_VI_RXMODE_CMD_VIID(viid)); - c.retval_len16 = htonl(FW_LEN16(c)); - c.mtu_to_vlanexen = htonl(V_FW_VI_RXMODE_CMD_MTU(mtu) | - V_FW_VI_RXMODE_CMD_PROMISCEN(promisc) | - V_FW_VI_RXMODE_CMD_ALLMULTIEN(all_multi) | - V_FW_VI_RXMODE_CMD_BROADCASTEN(bcast) | - V_FW_VI_RXMODE_CMD_VLANEXEN(vlanex)); - return t4_wr_mbox_meat(adap, mbox, &c, sizeof(c), NULL, sleep_ok); + addr = qid * nwords; + if (n > nwords) + n = nwords; + + /* It might take 3-10ms before the IBQ debug read access is allowed. + * Wait for 1 Sec with a delay of 1 usec. + */ + attempts = 1000000; + + for (i = 0; i < n; i++, addr++) { + t4_write_reg(adap, A_CIM_IBQ_DBG_CFG, V_IBQDBGADDR(addr) | + F_IBQDBGEN); + err = t4_wait_op_done(adap, A_CIM_IBQ_DBG_CFG, F_IBQDBGBUSY, 0, + attempts, 1); + if (err) + return err; + *data++ = t4_read_reg(adap, A_CIM_IBQ_DBG_DATA); + } + t4_write_reg(adap, A_CIM_IBQ_DBG_CFG, 0); + return i; } /** - * t4_alloc_mac_filt - allocates exact-match filters for MAC addresses + * t4_read_cim_obq - read the contents of a CIM outbound queue * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @viid: the VI id - * @free: if true any existing filters for this VI id are first removed - * @naddr: the number of MAC addresses to allocate filters for (up to 7) - * @addr: the MAC address(es) - * @idx: where to store the index of each allocated filter - * @hash: pointer to hash address filter bitmap - * @sleep_ok: call is allowed to sleep - * - * Allocates an exact-match filter for each of the supplied addresses and - * sets it to the corresponding address. If @idx is not %NULL it should - * have at least @naddr entries, each of which will be set to the index of - * the filter allocated for the corresponding MAC address. If a filter - * could not be allocated for an address its index is set to 0xffff. - * If @hash is not %NULL addresses that fail to allocate an exact filter - * are hashed and update the hash filter bitmap pointed at by @hash. + * @qid: the queue index + * @data: where to store the queue contents + * @n: capacity of @data in 32-bit words * - * Returns a negative error number or the number of filters allocated. + * Reads the contents of the selected CIM queue starting at address 0 up + * to the capacity of @data. @n must be a multiple of 4. Returns < 0 on + * error and the number of 32-bit words actually read on success. */ -int t4_alloc_mac_filt(struct adapter *adap, unsigned int mbox, - unsigned int viid, bool free, unsigned int naddr, - const u8 **addr, u16 *idx, u64 *hash, bool sleep_ok) +int t4_read_cim_obq(struct adapter *adap, unsigned int qid, u32 *data, size_t n) { - int offset, ret = 0; - struct fw_vi_mac_cmd c; - unsigned int nfilters = 0; - unsigned int max_naddr = is_t4(adap) ? - NUM_MPS_CLS_SRAM_L_INSTANCES : - NUM_MPS_T5_CLS_SRAM_L_INSTANCES; - unsigned int rem = naddr; + int i, err; + unsigned int addr, v, nwords; + int cim_num_obq = adap->chip_params->cim_num_obq; - if (naddr > max_naddr) + if ((qid > (cim_num_obq - 1)) || (n & 3)) return -EINVAL; - for (offset = 0; offset < naddr ; /**/) { - unsigned int fw_naddr = (rem < ARRAY_SIZE(c.u.exact) - ? rem - : ARRAY_SIZE(c.u.exact)); - size_t len16 = DIV_ROUND_UP(offsetof(struct fw_vi_mac_cmd, - u.exact[fw_naddr]), 16); - struct fw_vi_mac_exact *p; - int i; + t4_write_reg(adap, A_CIM_QUEUE_CONFIG_REF, F_OBQSELECT | + V_QUENUMSELECT(qid)); + v = t4_read_reg(adap, A_CIM_QUEUE_CONFIG_CTRL); - memset(&c, 0, sizeof(c)); - c.op_to_viid = htonl(V_FW_CMD_OP(FW_VI_MAC_CMD) | - F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | - V_FW_CMD_EXEC(free) | - V_FW_VI_MAC_CMD_VIID(viid)); - c.freemacs_to_len16 = htonl(V_FW_VI_MAC_CMD_FREEMACS(free) | - V_FW_CMD_LEN16(len16)); + addr = G_CIMQBASE(v) * 64; /* muliple of 256 -> muliple of 4 */ + nwords = G_CIMQSIZE(v) * 64; /* same */ + if (n > nwords) + n = nwords; - for (i = 0, p = c.u.exact; i < fw_naddr; i++, p++) { - p->valid_to_idx = htons( - F_FW_VI_MAC_CMD_VALID | - V_FW_VI_MAC_CMD_IDX(FW_VI_MAC_ADD_MAC)); - memcpy(p->macaddr, addr[offset+i], sizeof(p->macaddr)); - } + for (i = 0; i < n; i++, addr++) { + t4_write_reg(adap, A_CIM_OBQ_DBG_CFG, V_OBQDBGADDR(addr) | + F_OBQDBGEN); + err = t4_wait_op_done(adap, A_CIM_OBQ_DBG_CFG, F_OBQDBGBUSY, 0, + 2, 1); + if (err) + return err; + *data++ = t4_read_reg(adap, A_CIM_OBQ_DBG_DATA); + } + t4_write_reg(adap, A_CIM_OBQ_DBG_CFG, 0); + return i; +} - /* - * It's okay if we run out of space in our MAC address arena. - * Some of the addresses we submit may get stored so we need - * to run through the reply to see what the results were ... - */ - ret = t4_wr_mbox_meat(adap, mbox, &c, sizeof(c), &c, sleep_ok); - if (ret && ret != -FW_ENOMEM) - break; +enum { + CIM_QCTL_BASE = 0, + CIM_CTL_BASE = 0x2000, + CIM_PBT_ADDR_BASE = 0x2800, + CIM_PBT_LRF_BASE = 0x3000, + CIM_PBT_DATA_BASE = 0x3800 +}; - for (i = 0, p = c.u.exact; i < fw_naddr; i++, p++) { - u16 index = G_FW_VI_MAC_CMD_IDX(ntohs(p->valid_to_idx)); +/** + * t4_cim_read - read a block from CIM internal address space + * @adap: the adapter + * @addr: the start address within the CIM address space + * @n: number of words to read + * @valp: where to store the result + * + * Reads a block of 4-byte words from the CIM intenal address space. + */ +int t4_cim_read(struct adapter *adap, unsigned int addr, unsigned int n, + unsigned int *valp) +{ + int ret = 0; - if (idx) - idx[offset+i] = (index >= max_naddr - ? 0xffff - : index); - if (index < max_naddr) - nfilters++; - else if (hash) - *hash |= (1ULL << hash_mac_addr(addr[offset+i])); - } + if (t4_read_reg(adap, A_CIM_HOST_ACC_CTRL) & F_HOSTBUSY) + return -EBUSY; - free = false; - offset += fw_naddr; - rem -= fw_naddr; + for ( ; !ret && n--; addr += 4) { + t4_write_reg(adap, A_CIM_HOST_ACC_CTRL, addr); + ret = t4_wait_op_done(adap, A_CIM_HOST_ACC_CTRL, F_HOSTBUSY, + 0, 5, 2); + if (!ret) + *valp++ = t4_read_reg(adap, A_CIM_HOST_ACC_DATA); } - - if (ret == 0 || ret == -FW_ENOMEM) - ret = nfilters; return ret; } /** - * t4_change_mac - modifies the exact-match filter for a MAC address + * t4_cim_write - write a block into CIM internal address space * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @viid: the VI id - * @idx: index of existing filter for old value of MAC address, or -1 - * @addr: the new MAC address value - * @persist: whether a new MAC allocation should be persistent - * @add_smt: if true also add the address to the HW SMT - * - * Modifies an exact-match filter and sets it to the new MAC address if - * @idx >= 0, or adds the MAC address to a new filter if @idx < 0. In the - * latter case the address is added persistently if @persist is %true. - * - * Note that in general it is not possible to modify the value of a given - * filter so the generic way to modify an address filter is to free the one - * being used by the old address value and allocate a new filter for the - * new address value. + * @addr: the start address within the CIM address space + * @n: number of words to write + * @valp: set of values to write * - * Returns a negative error number or the index of the filter with the new - * MAC value. Note that this index may differ from @idx. + * Writes a block of 4-byte words into the CIM intenal address space. */ -int t4_change_mac(struct adapter *adap, unsigned int mbox, unsigned int viid, - int idx, const u8 *addr, bool persist, bool add_smt) +int t4_cim_write(struct adapter *adap, unsigned int addr, unsigned int n, + const unsigned int *valp) { - int ret, mode; - struct fw_vi_mac_cmd c; - struct fw_vi_mac_exact *p = c.u.exact; - unsigned int max_mac_addr = is_t4(adap) ? - NUM_MPS_CLS_SRAM_L_INSTANCES : - NUM_MPS_T5_CLS_SRAM_L_INSTANCES; - - if (idx < 0) /* new allocation */ - idx = persist ? FW_VI_MAC_ADD_PERSIST_MAC : FW_VI_MAC_ADD_MAC; - mode = add_smt ? FW_VI_MAC_SMT_AND_MPSTCAM : FW_VI_MAC_MPS_TCAM_ENTRY; + int ret = 0; - memset(&c, 0, sizeof(c)); - c.op_to_viid = htonl(V_FW_CMD_OP(FW_VI_MAC_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | V_FW_VI_MAC_CMD_VIID(viid)); - c.freemacs_to_len16 = htonl(V_FW_CMD_LEN16(1)); - p->valid_to_idx = htons(F_FW_VI_MAC_CMD_VALID | - V_FW_VI_MAC_CMD_SMAC_RESULT(mode) | - V_FW_VI_MAC_CMD_IDX(idx)); - memcpy(p->macaddr, addr, sizeof(p->macaddr)); + if (t4_read_reg(adap, A_CIM_HOST_ACC_CTRL) & F_HOSTBUSY) + return -EBUSY; - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - if (ret == 0) { - ret = G_FW_VI_MAC_CMD_IDX(ntohs(p->valid_to_idx)); - if (ret >= max_mac_addr) - ret = -ENOMEM; + for ( ; !ret && n--; addr += 4) { + t4_write_reg(adap, A_CIM_HOST_ACC_DATA, *valp++); + t4_write_reg(adap, A_CIM_HOST_ACC_CTRL, addr | F_HOSTWRITE); + ret = t4_wait_op_done(adap, A_CIM_HOST_ACC_CTRL, F_HOSTBUSY, + 0, 5, 2); } return ret; } +static int t4_cim_write1(struct adapter *adap, unsigned int addr, + unsigned int val) +{ + return t4_cim_write(adap, addr, 1, &val); +} + /** - * t4_set_addr_hash - program the MAC inexact-match hash filter + * t4_cim_ctl_read - read a block from CIM control region * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @viid: the VI id - * @ucast: whether the hash filter should also match unicast addresses - * @vec: the value to be written to the hash filter - * @sleep_ok: call is allowed to sleep + * @addr: the start address within the CIM control region + * @n: number of words to read + * @valp: where to store the result * - * Sets the 64-bit inexact-match hash filter for a virtual interface. + * Reads a block of 4-byte words from the CIM control region. */ -int t4_set_addr_hash(struct adapter *adap, unsigned int mbox, unsigned int viid, - bool ucast, u64 vec, bool sleep_ok) +int t4_cim_ctl_read(struct adapter *adap, unsigned int addr, unsigned int n, + unsigned int *valp) { - struct fw_vi_mac_cmd c; - - memset(&c, 0, sizeof(c)); - c.op_to_viid = htonl(V_FW_CMD_OP(FW_VI_MAC_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_WRITE | V_FW_VI_ENABLE_CMD_VIID(viid)); - c.freemacs_to_len16 = htonl(F_FW_VI_MAC_CMD_HASHVECEN | - V_FW_VI_MAC_CMD_HASHUNIEN(ucast) | - V_FW_CMD_LEN16(1)); - c.u.hash.hashvec = cpu_to_be64(vec); - return t4_wr_mbox_meat(adap, mbox, &c, sizeof(c), NULL, sleep_ok); + return t4_cim_read(adap, addr + CIM_CTL_BASE, n, valp); } /** - * t4_enable_vi - enable/disable a virtual interface + * t4_cim_read_la - read CIM LA capture buffer * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @viid: the VI id - * @rx_en: 1=enable Rx, 0=disable Rx - * @tx_en: 1=enable Tx, 0=disable Tx + * @la_buf: where to store the LA data + * @wrptr: the HW write pointer within the capture buffer * - * Enables/disables a virtual interface. + * Reads the contents of the CIM LA buffer with the most recent entry at + * the end of the returned data and with the entry at @wrptr first. + * We try to leave the LA in the running state we find it in. */ -int t4_enable_vi(struct adapter *adap, unsigned int mbox, unsigned int viid, - bool rx_en, bool tx_en) +int t4_cim_read_la(struct adapter *adap, u32 *la_buf, unsigned int *wrptr) { - struct fw_vi_enable_cmd c; + int i, ret; + unsigned int cfg, val, idx; - memset(&c, 0, sizeof(c)); - c.op_to_viid = htonl(V_FW_CMD_OP(FW_VI_ENABLE_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_VI_ENABLE_CMD_VIID(viid)); - c.ien_to_len16 = htonl(V_FW_VI_ENABLE_CMD_IEN(rx_en) | - V_FW_VI_ENABLE_CMD_EEN(tx_en) | FW_LEN16(c)); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + ret = t4_cim_read(adap, A_UP_UP_DBG_LA_CFG, 1, &cfg); + if (ret) + return ret; + + if (cfg & F_UPDBGLAEN) { /* LA is running, freeze it */ + ret = t4_cim_write1(adap, A_UP_UP_DBG_LA_CFG, 0); + if (ret) + return ret; + } + + ret = t4_cim_read(adap, A_UP_UP_DBG_LA_CFG, 1, &val); + if (ret) + goto restart; + + idx = G_UPDBGLAWRPTR(val); + if (wrptr) + *wrptr = idx; + + for (i = 0; i < adap->params.cim_la_size; i++) { + ret = t4_cim_write1(adap, A_UP_UP_DBG_LA_CFG, + V_UPDBGLARDPTR(idx) | F_UPDBGLARDEN); + if (ret) + break; + ret = t4_cim_read(adap, A_UP_UP_DBG_LA_CFG, 1, &val); + if (ret) + break; + if (val & F_UPDBGLARDEN) { + ret = -ETIMEDOUT; + break; + } + ret = t4_cim_read(adap, A_UP_UP_DBG_LA_DATA, 1, &la_buf[i]); + if (ret) + break; + + /* address can't exceed 0xfff (UpDbgLaRdPtr is of 12-bits) */ + idx = (idx + 1) & M_UPDBGLARDPTR; + /* + * Bits 0-3 of UpDbgLaRdPtr can be between 0000 to 1001 to + * identify the 32-bit portion of the full 312-bit data + */ + if (is_t6(adap)) + while ((idx & 0xf) > 9) + idx = (idx + 1) % M_UPDBGLARDPTR; + } +restart: + if (cfg & F_UPDBGLAEN) { + int r = t4_cim_write1(adap, A_UP_UP_DBG_LA_CFG, + cfg & ~F_UPDBGLARDEN); + if (!ret) + ret = r; + } + return ret; } /** - * t4_identify_port - identify a VI's port by blinking its LED + * t4_tp_read_la - read TP LA capture buffer * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @viid: the VI id - * @nblinks: how many times to blink LED at 2.5 Hz + * @la_buf: where to store the LA data + * @wrptr: the HW write pointer within the capture buffer * - * Identifies a VI's port by blinking its LED. + * Reads the contents of the TP LA buffer with the most recent entry at + * the end of the returned data and with the entry at @wrptr first. + * We leave the LA in the running state we find it in. */ -int t4_identify_port(struct adapter *adap, unsigned int mbox, unsigned int viid, - unsigned int nblinks) +void t4_tp_read_la(struct adapter *adap, u64 *la_buf, unsigned int *wrptr) { - struct fw_vi_enable_cmd c; + bool last_incomplete; + unsigned int i, cfg, val, idx; - memset(&c, 0, sizeof(c)); - c.op_to_viid = htonl(V_FW_CMD_OP(FW_VI_ENABLE_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_VI_ENABLE_CMD_VIID(viid)); - c.ien_to_len16 = htonl(F_FW_VI_ENABLE_CMD_LED | FW_LEN16(c)); - c.blinkdur = htons(nblinks); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + cfg = t4_read_reg(adap, A_TP_DBG_LA_CONFIG) & 0xffff; + if (cfg & F_DBGLAENABLE) /* freeze LA */ + t4_write_reg(adap, A_TP_DBG_LA_CONFIG, + adap->params.tp.la_mask | (cfg ^ F_DBGLAENABLE)); + + val = t4_read_reg(adap, A_TP_DBG_LA_CONFIG); + idx = G_DBGLAWPTR(val); + last_incomplete = G_DBGLAMODE(val) >= 2 && (val & F_DBGLAWHLF) == 0; + if (last_incomplete) + idx = (idx + 1) & M_DBGLARPTR; + if (wrptr) + *wrptr = idx; + + val &= 0xffff; + val &= ~V_DBGLARPTR(M_DBGLARPTR); + val |= adap->params.tp.la_mask; + + for (i = 0; i < TPLA_SIZE; i++) { + t4_write_reg(adap, A_TP_DBG_LA_CONFIG, V_DBGLARPTR(idx) | val); + la_buf[i] = t4_read_reg64(adap, A_TP_DBG_LA_DATAL); + idx = (idx + 1) & M_DBGLARPTR; + } + + /* Wipe out last entry if it isn't valid */ + if (last_incomplete) + la_buf[TPLA_SIZE - 1] = ~0ULL; + + if (cfg & F_DBGLAENABLE) /* restore running state */ + t4_write_reg(adap, A_TP_DBG_LA_CONFIG, + cfg | adap->params.tp.la_mask); } -/** - * t4_iq_start_stop - enable/disable an ingress queue and its FLs - * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @start: %true to enable the queues, %false to disable them - * @pf: the PF owning the queues - * @vf: the VF owning the queues - * @iqid: ingress queue id - * @fl0id: FL0 queue id or 0xffff if no attached FL0 - * @fl1id: FL1 queue id or 0xffff if no attached FL1 - * - * Starts or stops an ingress queue and its associated FLs, if any. +/* + * SGE Hung Ingress DMA Warning Threshold time and Warning Repeat Rate (in + * seconds). If we find one of the SGE Ingress DMA State Machines in the same + * state for more than the Warning Threshold then we'll issue a warning about + * a potential hang. We'll repeat the warning as the SGE Ingress DMA Channel + * appears to be hung every Warning Repeat second till the situation clears. + * If the situation clears, we'll note that as well. */ -int t4_iq_start_stop(struct adapter *adap, unsigned int mbox, bool start, - unsigned int pf, unsigned int vf, unsigned int iqid, - unsigned int fl0id, unsigned int fl1id) -{ - struct fw_iq_cmd c; +#define SGE_IDMA_WARN_THRESH 1 +#define SGE_IDMA_WARN_REPEAT 300 - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_IQ_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_IQ_CMD_PFN(pf) | - V_FW_IQ_CMD_VFN(vf)); - c.alloc_to_len16 = htonl(V_FW_IQ_CMD_IQSTART(start) | - V_FW_IQ_CMD_IQSTOP(!start) | FW_LEN16(c)); - c.iqid = htons(iqid); - c.fl0id = htons(fl0id); - c.fl1id = htons(fl1id); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); +/** + * t4_idma_monitor_init - initialize SGE Ingress DMA Monitor + * @adapter: the adapter + * @idma: the adapter IDMA Monitor state + * + * Initialize the state of an SGE Ingress DMA Monitor. + */ +void t4_idma_monitor_init(struct adapter *adapter, + struct sge_idma_monitor_state *idma) +{ + /* Initialize the state variables for detecting an SGE Ingress DMA + * hang. The SGE has internal counters which count up on each clock + * tick whenever the SGE finds its Ingress DMA State Engines in the + * same state they were on the previous clock tick. The clock used is + * the Core Clock so we have a limit on the maximum "time" they can + * record; typically a very small number of seconds. For instance, + * with a 600MHz Core Clock, we can only count up to a bit more than + * 7s. So we'll synthesize a larger counter in order to not run the + * risk of having the "timers" overflow and give us the flexibility to + * maintain a Hung SGE State Machine of our own which operates across + * a longer time frame. + */ + idma->idma_1s_thresh = core_ticks_per_usec(adapter) * 1000000; /* 1s */ + idma->idma_stalled[0] = idma->idma_stalled[1] = 0; } /** - * t4_iq_free - free an ingress queue and its FLs + * t4_idma_monitor - monitor SGE Ingress DMA state + * @adapter: the adapter + * @idma: the adapter IDMA Monitor state + * @hz: number of ticks/second + * @ticks: number of ticks since the last IDMA Monitor call + */ +void t4_idma_monitor(struct adapter *adapter, + struct sge_idma_monitor_state *idma, + int hz, int ticks) +{ + int i, idma_same_state_cnt[2]; + + /* Read the SGE Debug Ingress DMA Same State Count registers. These + * are counters inside the SGE which count up on each clock when the + * SGE finds its Ingress DMA State Engines in the same states they + * were in the previous clock. The counters will peg out at + * 0xffffffff without wrapping around so once they pass the 1s + * threshold they'll stay above that till the IDMA state changes. + */ + t4_write_reg(adapter, A_SGE_DEBUG_INDEX, 13); + idma_same_state_cnt[0] = t4_read_reg(adapter, A_SGE_DEBUG_DATA_HIGH); + idma_same_state_cnt[1] = t4_read_reg(adapter, A_SGE_DEBUG_DATA_LOW); + + for (i = 0; i < 2; i++) { + u32 debug0, debug11; + + /* If the Ingress DMA Same State Counter ("timer") is less + * than 1s, then we can reset our synthesized Stall Timer and + * continue. If we have previously emitted warnings about a + * potential stalled Ingress Queue, issue a note indicating + * that the Ingress Queue has resumed forward progress. + */ + if (idma_same_state_cnt[i] < idma->idma_1s_thresh) { + if (idma->idma_stalled[i] >= SGE_IDMA_WARN_THRESH*hz) + CH_WARN(adapter, "SGE idma%d, queue %u, " + "resumed after %d seconds\n", + i, idma->idma_qid[i], + idma->idma_stalled[i]/hz); + idma->idma_stalled[i] = 0; + continue; + } + + /* Synthesize an SGE Ingress DMA Same State Timer in the Hz + * domain. The first time we get here it'll be because we + * passed the 1s Threshold; each additional time it'll be + * because the RX Timer Callback is being fired on its regular + * schedule. + * + * If the stall is below our Potential Hung Ingress Queue + * Warning Threshold, continue. + */ + if (idma->idma_stalled[i] == 0) { + idma->idma_stalled[i] = hz; + idma->idma_warn[i] = 0; + } else { + idma->idma_stalled[i] += ticks; + idma->idma_warn[i] -= ticks; + } + + if (idma->idma_stalled[i] < SGE_IDMA_WARN_THRESH*hz) + continue; + + /* We'll issue a warning every SGE_IDMA_WARN_REPEAT seconds. + */ + if (idma->idma_warn[i] > 0) + continue; + idma->idma_warn[i] = SGE_IDMA_WARN_REPEAT*hz; + + /* Read and save the SGE IDMA State and Queue ID information. + * We do this every time in case it changes across time ... + * can't be too careful ... + */ + t4_write_reg(adapter, A_SGE_DEBUG_INDEX, 0); + debug0 = t4_read_reg(adapter, A_SGE_DEBUG_DATA_LOW); + idma->idma_state[i] = (debug0 >> (i * 9)) & 0x3f; + + t4_write_reg(adapter, A_SGE_DEBUG_INDEX, 11); + debug11 = t4_read_reg(adapter, A_SGE_DEBUG_DATA_LOW); + idma->idma_qid[i] = (debug11 >> (i * 16)) & 0xffff; + + CH_WARN(adapter, "SGE idma%u, queue %u, potentially stuck in " + " state %u for %d seconds (debug0=%#x, debug11=%#x)\n", + i, idma->idma_qid[i], idma->idma_state[i], + idma->idma_stalled[i]/hz, + debug0, debug11); + t4_sge_decode_idma_state(adapter, idma->idma_state[i]); + } +} + +/** + * t4_read_pace_tbl - read the pace table * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF owning the queues - * @vf: the VF owning the queues - * @iqtype: the ingress queue type (FW_IQ_TYPE_FL_INT_CAP, etc.) - * @iqid: ingress queue id - * @fl0id: FL0 queue id or 0xffff if no attached FL0 - * @fl1id: FL1 queue id or 0xffff if no attached FL1 + * @pace_vals: holds the returned values * - * Frees an ingress queue and its associated FLs, if any. + * Returns the values of TP's pace table in microseconds. */ -int t4_iq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int iqtype, unsigned int iqid, - unsigned int fl0id, unsigned int fl1id) +void t4_read_pace_tbl(struct adapter *adap, unsigned int pace_vals[NTX_SCHED]) { - struct fw_iq_cmd c; + unsigned int i, v; - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_IQ_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_IQ_CMD_PFN(pf) | - V_FW_IQ_CMD_VFN(vf)); - c.alloc_to_len16 = htonl(F_FW_IQ_CMD_FREE | FW_LEN16(c)); - c.type_to_iqandstindex = htonl(V_FW_IQ_CMD_TYPE(iqtype)); - c.iqid = htons(iqid); - c.fl0id = htons(fl0id); - c.fl1id = htons(fl1id); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + for (i = 0; i < NTX_SCHED; i++) { + t4_write_reg(adap, A_TP_PACE_TABLE, 0xffff0000 + i); + v = t4_read_reg(adap, A_TP_PACE_TABLE); + pace_vals[i] = dack_ticks_to_usec(adap, v); + } } /** - * t4_eth_eq_free - free an Ethernet egress queue + * t4_get_tx_sched - get the configuration of a Tx HW traffic scheduler * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF owning the queue - * @vf: the VF owning the queue - * @eqid: egress queue id + * @sched: the scheduler index + * @kbps: the byte rate in Kbps + * @ipg: the interpacket delay in tenths of nanoseconds * - * Frees an Ethernet egress queue. + * Return the current configuration of a HW Tx scheduler. */ -int t4_eth_eq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int eqid) +void t4_get_tx_sched(struct adapter *adap, unsigned int sched, unsigned int *kbps, + unsigned int *ipg) { - struct fw_eq_eth_cmd c; + unsigned int v, addr, bpt, cpt; - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_EQ_ETH_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_EQ_ETH_CMD_PFN(pf) | - V_FW_EQ_ETH_CMD_VFN(vf)); - c.alloc_to_len16 = htonl(F_FW_EQ_ETH_CMD_FREE | FW_LEN16(c)); - c.eqid_pkd = htonl(V_FW_EQ_ETH_CMD_EQID(eqid)); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + if (kbps) { + addr = A_TP_TX_MOD_Q1_Q0_RATE_LIMIT - sched / 2; + t4_write_reg(adap, A_TP_TM_PIO_ADDR, addr); + v = t4_read_reg(adap, A_TP_TM_PIO_DATA); + if (sched & 1) + v >>= 16; + bpt = (v >> 8) & 0xff; + cpt = v & 0xff; + if (!cpt) + *kbps = 0; /* scheduler disabled */ + else { + v = (adap->params.vpd.cclk * 1000) / cpt; /* ticks/s */ + *kbps = (v * bpt) / 125; + } + } + if (ipg) { + addr = A_TP_TX_MOD_Q1_Q0_TIMER_SEPARATOR - sched / 2; + t4_write_reg(adap, A_TP_TM_PIO_ADDR, addr); + v = t4_read_reg(adap, A_TP_TM_PIO_DATA); + if (sched & 1) + v >>= 16; + v &= 0xffff; + *ipg = (10000 * v) / core_ticks_per_usec(adap); + } } /** - * t4_ctrl_eq_free - free a control egress queue + * t4_load_cfg - download config file * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF owning the queue - * @vf: the VF owning the queue - * @eqid: egress queue id + * @cfg_data: the cfg text file to write + * @size: text file size * - * Frees a control egress queue. + * Write the supplied config text file to the card's serial flash. */ -int t4_ctrl_eq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int eqid) +int t4_load_cfg(struct adapter *adap, const u8 *cfg_data, unsigned int size) { - struct fw_eq_ctrl_cmd c; + int ret, i, n, cfg_addr; + unsigned int addr; + unsigned int flash_cfg_start_sec; + unsigned int sf_sec_size = adap->params.sf_size / adap->params.sf_nsec; - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_EQ_CTRL_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_EQ_CTRL_CMD_PFN(pf) | - V_FW_EQ_CTRL_CMD_VFN(vf)); - c.alloc_to_len16 = htonl(F_FW_EQ_CTRL_CMD_FREE | FW_LEN16(c)); - c.cmpliqid_eqid = htonl(V_FW_EQ_CTRL_CMD_EQID(eqid)); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + cfg_addr = t4_flash_cfg_addr(adap); + if (cfg_addr < 0) + return cfg_addr; + + addr = cfg_addr; + flash_cfg_start_sec = addr / SF_SEC_SIZE; + + if (size > FLASH_CFG_MAX_SIZE) { + CH_ERR(adap, "cfg file too large, max is %u bytes\n", + FLASH_CFG_MAX_SIZE); + return -EFBIG; + } + + i = DIV_ROUND_UP(FLASH_CFG_MAX_SIZE, /* # of sectors spanned */ + sf_sec_size); + ret = t4_flash_erase_sectors(adap, flash_cfg_start_sec, + flash_cfg_start_sec + i - 1); + /* + * If size == 0 then we're simply erasing the FLASH sectors associated + * with the on-adapter Firmware Configuration File. + */ + if (ret || size == 0) + goto out; + + /* this will write to the flash up to SF_PAGE_SIZE at a time */ + for (i = 0; i< size; i+= SF_PAGE_SIZE) { + if ( (size - i) < SF_PAGE_SIZE) + n = size - i; + else + n = SF_PAGE_SIZE; + ret = t4_write_flash(adap, addr, n, cfg_data, 1); + if (ret) + goto out; + + addr += SF_PAGE_SIZE; + cfg_data += SF_PAGE_SIZE; + } + +out: + if (ret) + CH_ERR(adap, "config file %s failed %d\n", + (size == 0 ? "clear" : "download"), ret); + return ret; } /** - * t4_ofld_eq_free - free an offload egress queue + * t5_fw_init_extern_mem - initialize the external memory * @adap: the adapter - * @mbox: mailbox to use for the FW command - * @pf: the PF owning the queue - * @vf: the VF owning the queue - * @eqid: egress queue id * - * Frees a control egress queue. + * Initializes the external memory on T5. */ -int t4_ofld_eq_free(struct adapter *adap, unsigned int mbox, unsigned int pf, - unsigned int vf, unsigned int eqid) +int t5_fw_init_extern_mem(struct adapter *adap) { - struct fw_eq_ofld_cmd c; + u32 params[1], val[1]; + int ret; - memset(&c, 0, sizeof(c)); - c.op_to_vfn = htonl(V_FW_CMD_OP(FW_EQ_OFLD_CMD) | F_FW_CMD_REQUEST | - F_FW_CMD_EXEC | V_FW_EQ_OFLD_CMD_PFN(pf) | - V_FW_EQ_OFLD_CMD_VFN(vf)); - c.alloc_to_len16 = htonl(F_FW_EQ_OFLD_CMD_FREE | FW_LEN16(c)); - c.eqid_pkd = htonl(V_FW_EQ_OFLD_CMD_EQID(eqid)); - return t4_wr_mbox(adap, mbox, &c, sizeof(c), NULL); + if (!is_t5(adap)) + return 0; + + val[0] = 0xff; /* Initialize all MCs */ + params[0] = (V_FW_PARAMS_MNEM(FW_PARAMS_MNEM_DEV) | + V_FW_PARAMS_PARAM_X(FW_PARAMS_PARAM_DEV_MCINIT)); + ret = t4_set_params_timeout(adap, adap->mbox, adap->pf, 0, 1, params, val, + FW_CMD_MAX_TIMEOUT); + + return ret; } -/** - * t4_handle_fw_rpl - process a FW reply message - * @adap: the adapter - * @rpl: start of the FW message +/* BIOS boot headers */ +typedef struct pci_expansion_rom_header { + u8 signature[2]; /* ROM Signature. Should be 0xaa55 */ + u8 reserved[22]; /* Reserved per processor Architecture data */ + u8 pcir_offset[2]; /* Offset to PCI Data Structure */ +} pci_exp_rom_header_t; /* PCI_EXPANSION_ROM_HEADER */ + +/* Legacy PCI Expansion ROM Header */ +typedef struct legacy_pci_expansion_rom_header { + u8 signature[2]; /* ROM Signature. Should be 0xaa55 */ + u8 size512; /* Current Image Size in units of 512 bytes */ + u8 initentry_point[4]; + u8 cksum; /* Checksum computed on the entire Image */ + u8 reserved[16]; /* Reserved */ + u8 pcir_offset[2]; /* Offset to PCI Data Struture */ +} legacy_pci_exp_rom_header_t; /* LEGACY_PCI_EXPANSION_ROM_HEADER */ + +/* EFI PCI Expansion ROM Header */ +typedef struct efi_pci_expansion_rom_header { + u8 signature[2]; // ROM signature. The value 0xaa55 + u8 initialization_size[2]; /* Units 512. Includes this header */ + u8 efi_signature[4]; /* Signature from EFI image header. 0x0EF1 */ + u8 efi_subsystem[2]; /* Subsystem value for EFI image header */ + u8 efi_machine_type[2]; /* Machine type from EFI image header */ + u8 compression_type[2]; /* Compression type. */ + /* + * Compression type definition + * 0x0: uncompressed + * 0x1: Compressed + * 0x2-0xFFFF: Reserved + */ + u8 reserved[8]; /* Reserved */ + u8 efi_image_header_offset[2]; /* Offset to EFI Image */ + u8 pcir_offset[2]; /* Offset to PCI Data Structure */ +} efi_pci_exp_rom_header_t; /* EFI PCI Expansion ROM Header */ + +/* PCI Data Structure Format */ +typedef struct pcir_data_structure { /* PCI Data Structure */ + u8 signature[4]; /* Signature. The string "PCIR" */ + u8 vendor_id[2]; /* Vendor Identification */ + u8 device_id[2]; /* Device Identification */ + u8 vital_product[2]; /* Pointer to Vital Product Data */ + u8 length[2]; /* PCIR Data Structure Length */ + u8 revision; /* PCIR Data Structure Revision */ + u8 class_code[3]; /* Class Code */ + u8 image_length[2]; /* Image Length. Multiple of 512B */ + u8 code_revision[2]; /* Revision Level of Code/Data */ + u8 code_type; /* Code Type. */ + /* + * PCI Expansion ROM Code Types + * 0x00: Intel IA-32, PC-AT compatible. Legacy + * 0x01: Open Firmware standard for PCI. FCODE + * 0x02: Hewlett-Packard PA RISC. HP reserved + * 0x03: EFI Image. EFI + * 0x04-0xFF: Reserved. + */ + u8 indicator; /* Indicator. Identifies the last image in the ROM */ + u8 reserved[2]; /* Reserved */ +} pcir_data_t; /* PCI__DATA_STRUCTURE */ + +/* BOOT constants */ +enum { + BOOT_FLASH_BOOT_ADDR = 0x0,/* start address of boot image in flash */ + BOOT_SIGNATURE = 0xaa55, /* signature of BIOS boot ROM */ + BOOT_SIZE_INC = 512, /* image size measured in 512B chunks */ + BOOT_MIN_SIZE = sizeof(pci_exp_rom_header_t), /* basic header */ + BOOT_MAX_SIZE = 1024*BOOT_SIZE_INC, /* 1 byte * length increment */ + VENDOR_ID = 0x1425, /* Vendor ID */ + PCIR_SIGNATURE = 0x52494350 /* PCIR signature */ +}; + +/* + * modify_device_id - Modifies the device ID of the Boot BIOS image + * @adatper: the device ID to write. + * @boot_data: the boot image to modify. * - * Processes a FW message, such as link state change messages. + * Write the supplied device ID to the boot BIOS image. */ -int t4_handle_fw_rpl(struct adapter *adap, const __be64 *rpl) +static void modify_device_id(int device_id, u8 *boot_data) { - u8 opcode = *(const u8 *)rpl; - const struct fw_port_cmd *p = (const void *)rpl; - unsigned int action = G_FW_PORT_CMD_ACTION(ntohl(p->action_to_len16)); + legacy_pci_exp_rom_header_t *header; + pcir_data_t *pcir_header; + u32 cur_header = 0; - if (opcode == FW_PORT_CMD && action == FW_PORT_ACTION_GET_PORT_INFO) { - /* link/module state change message */ - int speed = 0, fc = 0, i; - int chan = G_FW_PORT_CMD_PORTID(ntohl(p->op_to_portid)); - struct port_info *pi = NULL; - struct link_config *lc; - u32 stat = ntohl(p->u.info.lstatus_to_modtype); - int link_ok = (stat & F_FW_PORT_CMD_LSTATUS) != 0; - u32 mod = G_FW_PORT_CMD_MODTYPE(stat); + /* + * Loop through all chained images and change the device ID's + */ + while (1) { + header = (legacy_pci_exp_rom_header_t *) &boot_data[cur_header]; + pcir_header = (pcir_data_t *) &boot_data[cur_header + + le16_to_cpu(*(u16*)header->pcir_offset)]; - if (stat & F_FW_PORT_CMD_RXPAUSE) - fc |= PAUSE_RX; - if (stat & F_FW_PORT_CMD_TXPAUSE) - fc |= PAUSE_TX; - if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_100M)) - speed = SPEED_100; - else if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_1G)) - speed = SPEED_1000; - else if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_10G)) - speed = SPEED_10000; - else if (stat & V_FW_PORT_CMD_LSPEED(FW_PORT_CAP_SPEED_40G)) - speed = SPEED_40000; + /* + * Only modify the Device ID if code type is Legacy or HP. + * 0x00: Okay to modify + * 0x01: FCODE. Do not be modify + * 0x03: Okay to modify + * 0x04-0xFF: Do not modify + */ + if (pcir_header->code_type == 0x00) { + u8 csum = 0; + int i; + + /* + * Modify Device ID to match current adatper + */ + *(u16*) pcir_header->device_id = device_id; + + /* + * Set checksum temporarily to 0. + * We will recalculate it later. + */ + header->cksum = 0x0; + + /* + * Calculate and update checksum + */ + for (i = 0; i < (header->size512 * 512); i++) + csum += (u8)boot_data[cur_header + i]; + + /* + * Invert summed value to create the checksum + * Writing new checksum value directly to the boot data + */ + boot_data[cur_header + 7] = -csum; + + } else if (pcir_header->code_type == 0x03) { - for_each_port(adap, i) { - pi = adap2pinfo(adap, i); - if (pi->tx_chan == chan) - break; - } - lc = &pi->link_cfg; + /* + * Modify Device ID to match current adatper + */ + *(u16*) pcir_header->device_id = device_id; - if (mod != pi->mod_type) { - pi->mod_type = mod; - t4_os_portmod_changed(adap, i); } - if (link_ok != lc->link_ok || speed != lc->speed || - fc != lc->fc) { /* something changed */ - int reason; - if (!link_ok && lc->link_ok) - reason = G_FW_PORT_CMD_LINKDNRC(stat); - else - reason = -1; - lc->link_ok = link_ok; - lc->speed = speed; - lc->fc = fc; - lc->supported = ntohs(p->u.info.pcap); - t4_os_link_changed(adap, i, link_ok, reason); - } - } else { - CH_WARN_RATELIMIT(adap, - "Unknown firmware reply 0x%x (0x%x)\n", opcode, action); - return -EINVAL; + /* + * Check indicator element to identify if this is the last + * image in the ROM. + */ + if (pcir_header->indicator & 0x80) + break; + + /* + * Move header pointer up to the next image in the ROM. + */ + cur_header += header->size512 * 512; } - return 0; } -/** - * get_pci_mode - determine a card's PCI mode +/* + * t4_load_boot - download boot flash * @adapter: the adapter - * @p: where to store the PCI settings + * @boot_data: the boot image to write + * @boot_addr: offset in flash to write boot_data + * @size: image size * - * Determines a card's PCI mode and associated parameters, such as speed - * and width. + * Write the supplied boot image to the card's serial flash. + * The boot image has the following sections: a 28-byte header and the + * boot image. */ -static void __devinit get_pci_mode(struct adapter *adapter, - struct pci_params *p) +int t4_load_boot(struct adapter *adap, u8 *boot_data, + unsigned int boot_addr, unsigned int size) { - u16 val; - u32 pcie_cap; + pci_exp_rom_header_t *header; + int pcir_offset ; + pcir_data_t *pcir_header; + int ret, addr; + uint16_t device_id; + unsigned int i; + unsigned int boot_sector = (boot_addr * 1024 ); + unsigned int sf_sec_size = adap->params.sf_size / adap->params.sf_nsec; - pcie_cap = t4_os_find_pci_capability(adapter, PCI_CAP_ID_EXP); - if (pcie_cap) { - t4_os_pci_read_cfg2(adapter, pcie_cap + PCI_EXP_LNKSTA, &val); - p->speed = val & PCI_EXP_LNKSTA_CLS; - p->width = (val & PCI_EXP_LNKSTA_NLW) >> 4; + /* + * Make sure the boot image does not encroach on the firmware region + */ + if ((boot_sector + size) >> 16 > FLASH_FW_START_SEC) { + CH_ERR(adap, "boot image encroaching on firmware region\n"); + return -EFBIG; } -} -/** - * init_link_config - initialize a link's SW state - * @lc: structure holding the link state - * @caps: link capabilities - * - * Initializes the SW state maintained for each link, including the link's - * capabilities and default speed/flow-control/autonegotiation settings. - */ -static void __devinit init_link_config(struct link_config *lc, - unsigned int caps) -{ - lc->supported = caps; - lc->requested_speed = 0; - lc->speed = 0; - lc->requested_fc = lc->fc = PAUSE_RX | PAUSE_TX; - if (lc->supported & FW_PORT_CAP_ANEG) { - lc->advertising = lc->supported & ADVERT_MASK; - lc->autoneg = AUTONEG_ENABLE; - lc->requested_fc |= PAUSE_AUTONEG; - } else { - lc->advertising = 0; - lc->autoneg = AUTONEG_DISABLE; - } -} + /* + * The boot sector is comprised of the Expansion-ROM boot, iSCSI boot, + * and Boot configuration data sections. These 3 boot sections span + * sectors 0 to 7 in flash and live right before the FW image location. + */ + i = DIV_ROUND_UP(size ? size : FLASH_FW_START, + sf_sec_size); + ret = t4_flash_erase_sectors(adap, boot_sector >> 16, + (boot_sector >> 16) + i - 1); -static int __devinit get_flash_params(struct adapter *adapter) -{ - int ret; - u32 info = 0; + /* + * If size == 0 then we're simply erasing the FLASH sectors associated + * with the on-adapter option ROM file + */ + if (ret || (size == 0)) + goto out; - ret = sf1_write(adapter, 1, 1, 0, SF_RD_ID); - if (!ret) - ret = sf1_read(adapter, 3, 0, 1, &info); - t4_write_reg(adapter, A_SF_OP, 0); /* unlock SF */ - if (ret < 0) - return ret; + /* Get boot header */ + header = (pci_exp_rom_header_t *)boot_data; + pcir_offset = le16_to_cpu(*(u16 *)header->pcir_offset); + /* PCIR Data Structure */ + pcir_header = (pcir_data_t *) &boot_data[pcir_offset]; + + /* + * Perform some primitive sanity testing to avoid accidentally + * writing garbage over the boot sectors. We ought to check for + * more but it's not worth it for now ... + */ + if (size < BOOT_MIN_SIZE || size > BOOT_MAX_SIZE) { + CH_ERR(adap, "boot image too small/large\n"); + return -EFBIG; + } - if ((info & 0xff) != 0x20) /* not a Numonix flash */ +#ifndef CHELSIO_T4_DIAGS + /* + * Check BOOT ROM header signature + */ + if (le16_to_cpu(*(u16*)header->signature) != BOOT_SIGNATURE ) { + CH_ERR(adap, "Boot image missing signature\n"); return -EINVAL; - info >>= 16; /* log2 of size */ - if (info >= 0x14 && info < 0x18) - adapter->params.sf_nsec = 1 << (info - 16); - else if (info == 0x18) - adapter->params.sf_nsec = 64; - else + } + + /* + * Check PCI header signature + */ + if (le32_to_cpu(*(u32*)pcir_header->signature) != PCIR_SIGNATURE) { + CH_ERR(adap, "PCI header missing signature\n"); return -EINVAL; - adapter->params.sf_size = 1 << info; - return 0; -} + } -static void __devinit set_pcie_completion_timeout(struct adapter *adapter, - u8 range) -{ - u16 val; - u32 pcie_cap; + /* + * Check Vendor ID matches Chelsio ID + */ + if (le16_to_cpu(*(u16*)pcir_header->vendor_id) != VENDOR_ID) { + CH_ERR(adap, "Vendor ID missing signature\n"); + return -EINVAL; + } +#endif - pcie_cap = t4_os_find_pci_capability(adapter, PCI_CAP_ID_EXP); - if (pcie_cap) { - t4_os_pci_read_cfg2(adapter, pcie_cap + PCI_EXP_DEVCTL2, &val); - val &= 0xfff0; - val |= range ; - t4_os_pci_write_cfg2(adapter, pcie_cap + PCI_EXP_DEVCTL2, val); + /* + * Retrieve adapter's device ID + */ + t4_os_pci_read_cfg2(adap, PCI_DEVICE_ID, &device_id); + /* Want to deal with PF 0 so I strip off PF 4 indicator */ + device_id = device_id & 0xf0ff; + + /* + * Check PCIE Device ID + */ + if (le16_to_cpu(*(u16*)pcir_header->device_id) != device_id) { + /* + * Change the device ID in the Boot BIOS image to match + * the Device ID of the current adapter. + */ + modify_device_id(device_id, boot_data); + } + + /* + * Skip over the first SF_PAGE_SIZE worth of data and write it after + * we finish copying the rest of the boot image. This will ensure + * that the BIOS boot header will only be written if the boot image + * was written in full. + */ + addr = boot_sector; + for (size -= SF_PAGE_SIZE; size; size -= SF_PAGE_SIZE) { + addr += SF_PAGE_SIZE; + boot_data += SF_PAGE_SIZE; + ret = t4_write_flash(adap, addr, SF_PAGE_SIZE, boot_data, 0); + if (ret) + goto out; } + + ret = t4_write_flash(adap, boot_sector, SF_PAGE_SIZE, + (const u8 *)header, 0); + +out: + if (ret) + CH_ERR(adap, "boot image download failed, error %d\n", ret); + return ret; } -/** - * t4_prep_adapter - prepare SW and HW for operation +/* + * t4_flash_bootcfg_addr - return the address of the flash optionrom configuration * @adapter: the adapter - * @reset: if true perform a HW reset * - * Initialize adapter SW state for the various HW modules, set initial - * values for some adapter tunables, take PHYs out of reset, and - * initialize the MDIO interface. + * Return the address within the flash where the OptionROM Configuration + * is stored, or an error if the device FLASH is too small to contain + * a OptionROM Configuration. */ -int __devinit t4_prep_adapter(struct adapter *adapter) +static int t4_flash_bootcfg_addr(struct adapter *adapter) { - int ret; - uint16_t device_id; - uint32_t pl_rev; - - get_pci_mode(adapter, &adapter->params.pci); + /* + * If the device FLASH isn't large enough to hold a Firmware + * Configuration File, return an error. + */ + if (adapter->params.sf_size < FLASH_BOOTCFG_START + FLASH_BOOTCFG_MAX_SIZE) + return -ENOSPC; - pl_rev = t4_read_reg(adapter, A_PL_REV); - adapter->params.chipid = G_CHIPID(pl_rev); - adapter->params.rev = G_REV(pl_rev); - if (adapter->params.chipid == 0) { - /* T4 did not have chipid in PL_REV (T5 onwards do) */ - adapter->params.chipid = CHELSIO_T4; + return FLASH_BOOTCFG_START; +} - /* T4A1 chip is not supported */ - if (adapter->params.rev == 1) { - CH_ALERT(adapter, "T4 rev 1 chip is not supported.\n"); - return -EINVAL; - } - } - adapter->params.pci.vpd_cap_addr = - t4_os_find_pci_capability(adapter, PCI_CAP_ID_VPD); +int t4_load_bootcfg(struct adapter *adap,const u8 *cfg_data, unsigned int size) +{ + int ret, i, n, cfg_addr; + unsigned int addr; + unsigned int flash_cfg_start_sec; + unsigned int sf_sec_size = adap->params.sf_size / adap->params.sf_nsec; - ret = get_flash_params(adapter); - if (ret < 0) - return ret; + cfg_addr = t4_flash_bootcfg_addr(adap); + if (cfg_addr < 0) + return cfg_addr; - ret = get_vpd_params(adapter, &adapter->params.vpd); - if (ret < 0) - return ret; + addr = cfg_addr; + flash_cfg_start_sec = addr / SF_SEC_SIZE; - /* Cards with real ASICs have the chipid in the PCIe device id */ - t4_os_pci_read_cfg2(adapter, PCI_DEVICE_ID, &device_id); - if (device_id >> 12 == adapter->params.chipid) - adapter->params.cim_la_size = CIMLA_SIZE; - else { - /* FPGA */ - adapter->params.fpga = 1; - adapter->params.cim_la_size = 2 * CIMLA_SIZE; + if (size > FLASH_BOOTCFG_MAX_SIZE) { + CH_ERR(adap, "bootcfg file too large, max is %u bytes\n", + FLASH_BOOTCFG_MAX_SIZE); + return -EFBIG; } - init_cong_ctrl(adapter->params.a_wnd, adapter->params.b_wnd); + i = DIV_ROUND_UP(FLASH_BOOTCFG_MAX_SIZE,/* # of sectors spanned */ + sf_sec_size); + ret = t4_flash_erase_sectors(adap, flash_cfg_start_sec, + flash_cfg_start_sec + i - 1); /* - * Default port and clock for debugging in case we can't reach FW. + * If size == 0 then we're simply erasing the FLASH sectors associated + * with the on-adapter OptionROM Configuration File. */ - adapter->params.nports = 1; - adapter->params.portvec = 1; - adapter->params.vpd.cclk = 50000; + if (ret || size == 0) + goto out; - /* Set pci completion timeout value to 4 seconds. */ - set_pcie_completion_timeout(adapter, 0xd); - return 0; + /* this will write to the flash up to SF_PAGE_SIZE at a time */ + for (i = 0; i< size; i+= SF_PAGE_SIZE) { + if ( (size - i) < SF_PAGE_SIZE) + n = size - i; + else + n = SF_PAGE_SIZE; + ret = t4_write_flash(adap, addr, n, cfg_data, 0); + if (ret) + goto out; + + addr += SF_PAGE_SIZE; + cfg_data += SF_PAGE_SIZE; + } + +out: + if (ret) + CH_ERR(adap, "boot config data %s failed %d\n", + (size == 0 ? "clear" : "download"), ret); + return ret; } /** - * t4_init_tp_params - initialize adap->params.tp + * t4_set_filter_mode - configure the optional components of filter tuples * @adap: the adapter + * @mode_map: a bitmap selcting which optional filter components to enable * - * Initialize various fields of the adapter's TP Parameters structure. + * Sets the filter mode by selecting the optional components to enable + * in filter tuples. Returns 0 on success and a negative error if the + * requested mode needs more bits than are available for optional + * components. */ -int __devinit t4_init_tp_params(struct adapter *adap) +int t4_set_filter_mode(struct adapter *adap, unsigned int mode_map) { - int chan; - u32 v; - - v = t4_read_reg(adap, A_TP_TIMER_RESOLUTION); - adap->params.tp.tre = G_TIMERRESOLUTION(v); - adap->params.tp.dack_re = G_DELAYEDACKRESOLUTION(v); + static u8 width[] = { 1, 3, 17, 17, 8, 8, 16, 9, 3, 1 }; - /* MODQ_REQ_MAP defaults to setting queues 0-3 to chan 0-3 */ - for (chan = 0; chan < NCHAN; chan++) - adap->params.tp.tx_modq[chan] = chan; + int i, nbits = 0; - t4_read_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, - &adap->params.tp.ingress_config, 1, - A_TP_INGRESS_CONFIG); - refresh_vlan_pri_map(adap); + for (i = S_FCOE; i <= S_FRAGMENTATION; i++) + if (mode_map & (1 << i)) + nbits += width[i]; + if (nbits > FILTER_OPT_LEN) + return -EINVAL; + if (t4_use_ldst(adap)) + t4_fw_tp_pio_rw(adap, &mode_map, 1, A_TP_VLAN_PRI_MAP, 0); + else + t4_write_indirect(adap, A_TP_PIO_ADDR, A_TP_PIO_DATA, &mode_map, + 1, A_TP_VLAN_PRI_MAP); + read_filter_mode_and_ingress_config(adap); return 0; } /** - * t4_filter_field_shift - calculate filter field shift + * t4_clr_port_stats - clear port statistics * @adap: the adapter - * @filter_sel: the desired field (from TP_VLAN_PRI_MAP bits) + * @idx: the port index * - * Return the shift position of a filter field within the Compressed - * Filter Tuple. The filter field is specified via its selection bit - * within TP_VLAN_PRI_MAL (filter mode). E.g. F_VLAN. + * Clear HW statistics for the given port. */ -int t4_filter_field_shift(const struct adapter *adap, int filter_sel) +void t4_clr_port_stats(struct adapter *adap, int idx) { - unsigned int filter_mode = adap->params.tp.vlan_pri_map; - unsigned int sel; - int field_shift; + unsigned int i; + u32 bgmap = t4_get_mps_bg_map(adap, idx); + u32 port_base_addr; - if ((filter_mode & filter_sel) == 0) - return -1; + if (is_t4(adap)) + port_base_addr = PORT_BASE(idx); + else + port_base_addr = T5_PORT_BASE(idx); - for (sel = 1, field_shift = 0; sel < filter_sel; sel <<= 1) { - switch (filter_mode & sel) { - case F_FCOE: field_shift += W_FT_FCOE; break; - case F_PORT: field_shift += W_FT_PORT; break; - case F_VNIC_ID: field_shift += W_FT_VNIC_ID; break; - case F_VLAN: field_shift += W_FT_VLAN; break; - case F_TOS: field_shift += W_FT_TOS; break; - case F_PROTOCOL: field_shift += W_FT_PROTOCOL; break; - case F_ETHERTYPE: field_shift += W_FT_ETHERTYPE; break; - case F_MACMATCH: field_shift += W_FT_MACMATCH; break; - case F_MPSHITTYPE: field_shift += W_FT_MPSHITTYPE; break; - case F_FRAGMENTATION: field_shift += W_FT_FRAGMENTATION; break; - } - } - return field_shift; + for (i = A_MPS_PORT_STAT_TX_PORT_BYTES_L; + i <= A_MPS_PORT_STAT_TX_PORT_PPP7_H; i += 8) + t4_write_reg(adap, port_base_addr + i, 0); + for (i = A_MPS_PORT_STAT_RX_PORT_BYTES_L; + i <= A_MPS_PORT_STAT_RX_PORT_LESS_64B_H; i += 8) + t4_write_reg(adap, port_base_addr + i, 0); + for (i = 0; i < 4; i++) + if (bgmap & (1 << i)) { + t4_write_reg(adap, + A_MPS_STAT_RX_BG_0_MAC_DROP_FRAME_L + i * 8, 0); + t4_write_reg(adap, + A_MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_L + i * 8, 0); + } } -int __devinit t4_port_init(struct port_info *p, int mbox, int pf, int vf) +/** + * t4_i2c_rd - read I2C data from adapter + * @adap: the adapter + * @port: Port number if per-port device; <0 if not + * @devid: per-port device ID or absolute device ID + * @offset: byte offset into device I2C space + * @len: byte length of I2C space data + * @buf: buffer in which to return I2C data + * + * Reads the I2C data from the indicated device and location. + */ +int t4_i2c_rd(struct adapter *adap, unsigned int mbox, + int port, unsigned int devid, + unsigned int offset, unsigned int len, + u8 *buf) { - u8 addr[6]; - int ret, i, j; - struct fw_port_cmd c; - u16 rss_size; - adapter_t *adap = p->adapter; - u32 param, val; + u32 ldst_addrspace; + struct fw_ldst_cmd ldst; + int ret; - memset(&c, 0, sizeof(c)); + if (port >= 4 || + devid >= 256 || + offset >= 256 || + len > sizeof ldst.u.i2c.data) + return -EINVAL; - for (i = 0, j = -1; i <= p->port_id; i++) { - do { - j++; - } while ((adap->params.portvec & (1 << j)) == 0); - } + memset(&ldst, 0, sizeof ldst); + ldst_addrspace = V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_I2C); + ldst.op_to_addrspace = + cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_READ | + ldst_addrspace); + ldst.cycles_to_len16 = cpu_to_be32(FW_LEN16(ldst)); + ldst.u.i2c.pid = (port < 0 ? 0xff : port); + ldst.u.i2c.did = devid; + ldst.u.i2c.boffset = offset; + ldst.u.i2c.blen = len; + ret = t4_wr_mbox(adap, mbox, &ldst, sizeof ldst, &ldst); + if (!ret) + memcpy(buf, ldst.u.i2c.data, len); + return ret; +} - c.op_to_portid = htonl(V_FW_CMD_OP(FW_PORT_CMD) | - F_FW_CMD_REQUEST | F_FW_CMD_READ | - V_FW_PORT_CMD_PORTID(j)); - c.action_to_len16 = htonl( - V_FW_PORT_CMD_ACTION(FW_PORT_ACTION_GET_PORT_INFO) | - FW_LEN16(c)); - ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); - if (ret) - return ret; +/** + * t4_i2c_wr - write I2C data to adapter + * @adap: the adapter + * @port: Port number if per-port device; <0 if not + * @devid: per-port device ID or absolute device ID + * @offset: byte offset into device I2C space + * @len: byte length of I2C space data + * @buf: buffer containing new I2C data + * + * Write the I2C data to the indicated device and location. + */ +int t4_i2c_wr(struct adapter *adap, unsigned int mbox, + int port, unsigned int devid, + unsigned int offset, unsigned int len, + u8 *buf) +{ + u32 ldst_addrspace; + struct fw_ldst_cmd ldst; - ret = t4_alloc_vi(adap, mbox, j, pf, vf, 1, addr, &rss_size); - if (ret < 0) - return ret; + if (port >= 4 || + devid >= 256 || + offset >= 256 || + len > sizeof ldst.u.i2c.data) + return -EINVAL; - p->vi[0].viid = ret; - p->tx_chan = j; - p->rx_chan_map = get_mps_bg_map(adap, j); - p->lport = j; - p->vi[0].rss_size = rss_size; - t4_os_set_hw_addr(adap, p->port_id, addr); + memset(&ldst, 0, sizeof ldst); + ldst_addrspace = V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_I2C); + ldst.op_to_addrspace = + cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_WRITE | + ldst_addrspace); + ldst.cycles_to_len16 = cpu_to_be32(FW_LEN16(ldst)); + ldst.u.i2c.pid = (port < 0 ? 0xff : port); + ldst.u.i2c.did = devid; + ldst.u.i2c.boffset = offset; + ldst.u.i2c.blen = len; + memcpy(ldst.u.i2c.data, buf, len); + return t4_wr_mbox(adap, mbox, &ldst, sizeof ldst, &ldst); +} - ret = ntohl(c.u.info.lstatus_to_modtype); - p->mdio_addr = (ret & F_FW_PORT_CMD_MDIOCAP) ? - G_FW_PORT_CMD_MDIOADDR(ret) : -1; - p->port_type = G_FW_PORT_CMD_PTYPE(ret); - p->mod_type = G_FW_PORT_CMD_MODTYPE(ret); +/** + * t4_sge_ctxt_rd - read an SGE context through FW + * @adap: the adapter + * @mbox: mailbox to use for the FW command + * @cid: the context id + * @ctype: the context type + * @data: where to store the context data + * + * Issues a FW command through the given mailbox to read an SGE context. + */ +int t4_sge_ctxt_rd(struct adapter *adap, unsigned int mbox, unsigned int cid, + enum ctxt_type ctype, u32 *data) +{ + int ret; + struct fw_ldst_cmd c; + + if (ctype == CTXT_EGRESS) + ret = FW_LDST_ADDRSPC_SGE_EGRC; + else if (ctype == CTXT_INGRESS) + ret = FW_LDST_ADDRSPC_SGE_INGC; + else if (ctype == CTXT_FLM) + ret = FW_LDST_ADDRSPC_SGE_FLMC; + else + ret = FW_LDST_ADDRSPC_SGE_CONMC; - init_link_config(&p->link_cfg, ntohs(c.u.info.pcap)); + memset(&c, 0, sizeof(c)); + c.op_to_addrspace = cpu_to_be32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ | + V_FW_LDST_CMD_ADDRSPACE(ret)); + c.cycles_to_len16 = cpu_to_be32(FW_LEN16(c)); + c.u.idctxt.physid = cpu_to_be32(cid); - param = V_FW_PARAMS_MNEM(FW_PARAMS_MNEM_DEV) | - V_FW_PARAMS_PARAM_X(FW_PARAMS_PARAM_DEV_RSSINFO) | - V_FW_PARAMS_PARAM_YZ(p->vi[0].viid); - ret = t4_query_params(adap, mbox, pf, vf, 1, ¶m, &val); - if (ret) - p->vi[0].rss_base = 0xffff; - else { - /* MPASS((val >> 16) == rss_size); */ - p->vi[0].rss_base = val & 0xffff; + ret = t4_wr_mbox(adap, mbox, &c, sizeof(c), &c); + if (ret == 0) { + data[0] = be32_to_cpu(c.u.idctxt.ctxt_data0); + data[1] = be32_to_cpu(c.u.idctxt.ctxt_data1); + data[2] = be32_to_cpu(c.u.idctxt.ctxt_data2); + data[3] = be32_to_cpu(c.u.idctxt.ctxt_data3); + data[4] = be32_to_cpu(c.u.idctxt.ctxt_data4); + data[5] = be32_to_cpu(c.u.idctxt.ctxt_data5); } + return ret; +} - return 0; +/** + * t4_sge_ctxt_rd_bd - read an SGE context bypassing FW + * @adap: the adapter + * @cid: the context id + * @ctype: the context type + * @data: where to store the context data + * + * Reads an SGE context directly, bypassing FW. This is only for + * debugging when FW is unavailable. + */ +int t4_sge_ctxt_rd_bd(struct adapter *adap, unsigned int cid, enum ctxt_type ctype, + u32 *data) +{ + int i, ret; + + t4_write_reg(adap, A_SGE_CTXT_CMD, V_CTXTQID(cid) | V_CTXTTYPE(ctype)); + ret = t4_wait_op_done(adap, A_SGE_CTXT_CMD, F_BUSY, 0, 3, 1); + if (!ret) + for (i = A_SGE_CTXT_DATA0; i <= A_SGE_CTXT_DATA5; i += 4) + *data++ = t4_read_reg(adap, i); + return ret; } int t4_sched_config(struct adapter *adapter, int type, int minmaxen, @@ -5797,3 +9079,78 @@ int t4_sched_params(struct adapter *adapter, int type, int level, int mode, return t4_wr_mbox_meat(adapter,adapter->mbox, &cmd, sizeof(cmd), NULL, sleep_ok); } + +/* + * t4_config_watchdog - configure (enable/disable) a watchdog timer + * @adapter: the adapter + * @mbox: mailbox to use for the FW command + * @pf: the PF owning the queue + * @vf: the VF owning the queue + * @timeout: watchdog timeout in ms + * @action: watchdog timer / action + * + * There are separate watchdog timers for each possible watchdog + * action. Configure one of the watchdog timers by setting a non-zero + * timeout. Disable a watchdog timer by using a timeout of zero. + */ +int t4_config_watchdog(struct adapter *adapter, unsigned int mbox, + unsigned int pf, unsigned int vf, + unsigned int timeout, unsigned int action) +{ + struct fw_watchdog_cmd wdog; + unsigned int ticks; + + /* + * The watchdog command expects a timeout in units of 10ms so we need + * to convert it here (via rounding) and force a minimum of one 10ms + * "tick" if the timeout is non-zero but the convertion results in 0 + * ticks. + */ + ticks = (timeout + 5)/10; + if (timeout && !ticks) + ticks = 1; + + memset(&wdog, 0, sizeof wdog); + wdog.op_to_vfn = cpu_to_be32(V_FW_CMD_OP(FW_WATCHDOG_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_WRITE | + V_FW_PARAMS_CMD_PFN(pf) | + V_FW_PARAMS_CMD_VFN(vf)); + wdog.retval_len16 = cpu_to_be32(FW_LEN16(wdog)); + wdog.timeout = cpu_to_be32(ticks); + wdog.action = cpu_to_be32(action); + + return t4_wr_mbox(adapter, mbox, &wdog, sizeof wdog, NULL); +} + +int t4_get_devlog_level(struct adapter *adapter, unsigned int *level) +{ + struct fw_devlog_cmd devlog_cmd; + int ret; + + memset(&devlog_cmd, 0, sizeof(devlog_cmd)); + devlog_cmd.op_to_write = cpu_to_be32(V_FW_CMD_OP(FW_DEVLOG_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ); + devlog_cmd.retval_len16 = cpu_to_be32(FW_LEN16(devlog_cmd)); + ret = t4_wr_mbox(adapter, adapter->mbox, &devlog_cmd, + sizeof(devlog_cmd), &devlog_cmd); + if (ret) + return ret; + + *level = devlog_cmd.level; + return 0; +} + +int t4_set_devlog_level(struct adapter *adapter, unsigned int level) +{ + struct fw_devlog_cmd devlog_cmd; + + memset(&devlog_cmd, 0, sizeof(devlog_cmd)); + devlog_cmd.op_to_write = cpu_to_be32(V_FW_CMD_OP(FW_DEVLOG_CMD) | + F_FW_CMD_REQUEST | + F_FW_CMD_WRITE); + devlog_cmd.level = level; + devlog_cmd.retval_len16 = cpu_to_be32(FW_LEN16(devlog_cmd)); + return t4_wr_mbox(adapter, adapter->mbox, &devlog_cmd, + sizeof(devlog_cmd), &devlog_cmd); +} diff --git a/sys/dev/cxgbe/common/t4_hw.h b/sys/dev/cxgbe/common/t4_hw.h index 34f462cb1..cbe2fae19 100644 --- a/sys/dev/cxgbe/common/t4_hw.h +++ b/sys/dev/cxgbe/common/t4_hw.h @@ -1,5 +1,5 @@ /*- - * Copyright (c) 2011 Chelsio Communications, Inc. + * Copyright (c) 2011, 2016 Chelsio Communications, Inc. * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -34,6 +34,8 @@ enum { NCHAN = 4, /* # of HW channels */ + T6_NCHAN = 2, + MAX_NCHAN = 4, MAX_MTU = 9600, /* max MAC MTU, excluding header + FCS */ EEPROMSIZE = 17408, /* Serial EEPROM physical size */ EEPROMVSIZE = 32768, /* Serial EEPROM virtual address space size */ @@ -44,6 +46,8 @@ enum { NCCTRL_WIN = 32, /* # of congestion control windows */ NTX_SCHED = 8, /* # of HW Tx scheduling queues */ PM_NSTATS = 5, /* # of PM stats */ + T6_PM_NSTATS = 7, + MAX_PM_NSTATS = 7, MBOX_LEN = 64, /* mailbox size in bytes */ NTRACE = 4, /* # of tracing filters */ TRACE_LEN = 112, /* length of trace data and mask */ @@ -265,6 +269,12 @@ enum { FLASH_CFG_START = FLASH_START(FLASH_CFG_START_SEC), FLASH_CFG_MAX_SIZE = FLASH_MAX_SIZE(FLASH_CFG_NSECS), + /* + * We don't support FLASH devices which can't support the full + * standard set of sections which we need for normal operations. + */ + FLASH_MIN_SIZE = FLASH_CFG_START + FLASH_CFG_MAX_SIZE, + /* * Sectors 32-63 are reserved for FLASH failover. */ @@ -273,4 +283,9 @@ enum { #undef FLASH_START #undef FLASH_MAX_SIZE +#define S_SGE_TIMESTAMP 0 +#define M_SGE_TIMESTAMP 0xfffffffffffffffULL +#define V_SGE_TIMESTAMP(x) ((__u64)(x) << S_SGE_TIMESTAMP) +#define G_SGE_TIMESTAMP(x) (((__u64)(x) >> S_SGE_TIMESTAMP) & M_SGE_TIMESTAMP) + #endif /* __T4_HW_H */ diff --git a/sys/dev/cxgbe/common/t4_msg.h b/sys/dev/cxgbe/common/t4_msg.h index 2d8460492..ea48fc6dd 100644 --- a/sys/dev/cxgbe/common/t4_msg.h +++ b/sys/dev/cxgbe/common/t4_msg.h @@ -1,5 +1,5 @@ /*- - * Copyright (c) 2011 Chelsio Communications, Inc. + * Copyright (c) 2011, 2016 Chelsio Communications, Inc. * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -55,8 +55,9 @@ enum { CPL_BARRIER = 0x18, CPL_TID_RELEASE = 0x1A, CPL_TAG_READ_REQ = 0x1B, + CPL_SRQ_TABLE_REQ = 0x1C, CPL_TX_PKT_FSO = 0x1E, - CPL_TX_PKT_ISO = 0x1F, + CPL_TX_DATA_ISO = 0x1F, CPL_CLOSE_LISTSRV_RPL = 0x20, CPL_ERROR = 0x21, @@ -97,7 +98,7 @@ enum { CPL_RX_DATA_DDP = 0x42, CPL_SMT_READ_RPL = 0x43, CPL_PASS_ACCEPT_REQ = 0x44, - CPL_RX2TX_PKT = 0x45, + CPL_RX_ISCSI_CMP = 0x45, CPL_RX_FCOE_DDP = 0x46, CPL_FCOE_HDR = 0x47, CPL_T5_TRACE_PKT = 0x48, @@ -124,6 +125,7 @@ enum { CPL_RDMA_ATOMIC_RPL = 0xAB, CPL_RDMA_IMM_DATA = 0xAC, CPL_RDMA_IMM_DATA_SE = 0xAD, + CPL_RX_MPS_PKT = 0xAF, CPL_TRACE_PKT = 0xB0, CPL_RX2TX_DATA = 0xB1, @@ -133,9 +135,11 @@ enum { CPL_FW4_MSG = 0xC0, CPL_FW4_PLD = 0xC1, CPL_FW4_ACK = 0xC3, + CPL_SRQ_TABLE_RPL = 0xCC, CPL_FW6_MSG = 0xE0, CPL_FW6_PLD = 0xE1, + CPL_TX_TNL_LSO = 0xEC, CPL_TX_PKT_LSO = 0xED, CPL_TX_PKT_XT = 0xEE, @@ -145,6 +149,7 @@ enum { enum CPL_error { CPL_ERR_NONE = 0, CPL_ERR_TCAM_PARITY = 1, + CPL_ERR_TCAM_MISS = 2, CPL_ERR_TCAM_FULL = 3, CPL_ERR_BAD_LENGTH = 15, CPL_ERR_BAD_ROUTE = 18, @@ -164,8 +169,24 @@ enum CPL_error { CPL_ERR_WAIT_ARP_RPL = 41, CPL_ERR_ABORT_FAILED = 42, CPL_ERR_IWARP_FLM = 50, + CPL_CONTAINS_READ_RPL = 60, + CPL_CONTAINS_WRITE_RPL = 61, }; +/* + * Some of the error codes above implicitly indicate that there is no TID + * allocated with the result of an ACT_OPEN. We use this predicate to make + * that explicit. + */ +static inline int act_open_has_tid(int status) +{ + return (status != CPL_ERR_TCAM_PARITY && + status != CPL_ERR_TCAM_MISS && + status != CPL_ERR_TCAM_FULL && + status != CPL_ERR_CONN_EXIST_SYNRECV && + status != CPL_ERR_CONN_EXIST); +} + enum { CPL_CONN_POLICY_AUTO = 0, CPL_CONN_POLICY_ASK = 1, @@ -337,6 +358,12 @@ struct rss_header { #define M_QNUM 0xFFFF #define G_QNUM(x) (((x) >> S_QNUM) & M_QNUM) +#if defined(RSS_HDR_VLD) || defined(CHELSIO_FW) +# define RSS_HDR struct rss_header rss_hdr; +#else +# define RSS_HDR +#endif + #ifndef CHELSIO_FW struct work_request_hdr { __be32 wr_hi; @@ -358,11 +385,9 @@ struct work_request_hdr { # define WR_HDR struct work_request_hdr wr # define WR_HDR_SIZE sizeof(struct work_request_hdr) -# define RSS_HDR #else # define WR_HDR # define WR_HDR_SIZE 0 -# define RSS_HDR struct rss_header rss_hdr; #endif /* option 0 fields */ @@ -480,6 +505,11 @@ struct work_request_hdr { #define V_CONN_POLICY(x) ((x) << S_CONN_POLICY) #define G_CONN_POLICY(x) (((x) >> S_CONN_POLICY) & M_CONN_POLICY) +#define S_T5_FILT_INFO 24 +#define M_T5_FILT_INFO 0xffffffffffULL +#define V_T5_FILT_INFO(x) ((x) << S_T5_FILT_INFO) +#define G_T5_FILT_INFO(x) (((x) >> S_T5_FILT_INFO) & M_T5_FILT_INFO) + #define S_FILT_INFO 28 #define M_FILT_INFO 0xfffffffffULL #define V_FILT_INFO(x) ((x) << S_FILT_INFO) @@ -518,6 +548,10 @@ struct work_request_hdr { #define V_CONG_CNTRL_VALID(x) ((x) << S_CONG_CNTRL_VALID) #define F_CONG_CNTRL_VALID V_CONG_CNTRL_VALID(1U) +#define S_T5_ISS 18 +#define V_T5_ISS(x) ((x) << S_T5_ISS) +#define F_T5_ISS V_T5_ISS(1U) + #define S_PACE_VALID 19 #define V_PACE_VALID(x) ((x) << S_PACE_VALID) #define F_PACE_VALID V_PACE_VALID(1U) @@ -617,11 +651,30 @@ struct cpl_pass_establish { #define G_PASS_OPEN_TOS(x) (((x) >> S_PASS_OPEN_TOS) & M_PASS_OPEN_TOS) /* cpl_pass_establish.tcp_opt fields (also applies to act_open_establish) */ -#define G_TCPOPT_WSCALE_OK(x) (((x) >> 5) & 1) -#define G_TCPOPT_SACK(x) (((x) >> 6) & 1) -#define G_TCPOPT_TSTAMP(x) (((x) >> 7) & 1) -#define G_TCPOPT_SND_WSCALE(x) (((x) >> 8) & 0xf) -#define G_TCPOPT_MSS(x) (((x) >> 12) & 0xf) +#define S_TCPOPT_WSCALE_OK 5 +#define M_TCPOPT_WSCALE_OK 0x1 +#define V_TCPOPT_WSCALE_OK(x) ((x) << S_TCPOPT_WSCALE_OK) +#define G_TCPOPT_WSCALE_OK(x) (((x) >> S_TCPOPT_WSCALE_OK) & M_TCPOPT_WSCALE_OK) + +#define S_TCPOPT_SACK 6 +#define M_TCPOPT_SACK 0x1 +#define V_TCPOPT_SACK(x) ((x) << S_TCPOPT_SACK) +#define G_TCPOPT_SACK(x) (((x) >> S_TCPOPT_SACK) & M_TCPOPT_SACK) + +#define S_TCPOPT_TSTAMP 7 +#define M_TCPOPT_TSTAMP 0x1 +#define V_TCPOPT_TSTAMP(x) ((x) << S_TCPOPT_TSTAMP) +#define G_TCPOPT_TSTAMP(x) (((x) >> S_TCPOPT_TSTAMP) & M_TCPOPT_TSTAMP) + +#define S_TCPOPT_SND_WSCALE 8 +#define M_TCPOPT_SND_WSCALE 0xF +#define V_TCPOPT_SND_WSCALE(x) ((x) << S_TCPOPT_SND_WSCALE) +#define G_TCPOPT_SND_WSCALE(x) (((x) >> S_TCPOPT_SND_WSCALE) & M_TCPOPT_SND_WSCALE) + +#define S_TCPOPT_MSS 12 +#define M_TCPOPT_MSS 0xF +#define V_TCPOPT_MSS(x) ((x) << S_TCPOPT_MSS) +#define G_TCPOPT_MSS(x) (((x) >> S_TCPOPT_MSS) & M_TCPOPT_MSS) struct cpl_pass_accept_req { RSS_HDR @@ -646,16 +699,29 @@ struct cpl_pass_accept_req { #define V_TCP_HDR_LEN(x) ((x) << S_TCP_HDR_LEN) #define G_TCP_HDR_LEN(x) (((x) >> S_TCP_HDR_LEN) & M_TCP_HDR_LEN) +#define S_T6_TCP_HDR_LEN 8 +#define V_T6_TCP_HDR_LEN(x) ((x) << S_T6_TCP_HDR_LEN) +#define G_T6_TCP_HDR_LEN(x) (((x) >> S_T6_TCP_HDR_LEN) & M_TCP_HDR_LEN) + #define S_IP_HDR_LEN 16 #define M_IP_HDR_LEN 0x3FF #define V_IP_HDR_LEN(x) ((x) << S_IP_HDR_LEN) #define G_IP_HDR_LEN(x) (((x) >> S_IP_HDR_LEN) & M_IP_HDR_LEN) +#define S_T6_IP_HDR_LEN 14 +#define V_T6_IP_HDR_LEN(x) ((x) << S_T6_IP_HDR_LEN) +#define G_T6_IP_HDR_LEN(x) (((x) >> S_T6_IP_HDR_LEN) & M_IP_HDR_LEN) + #define S_ETH_HDR_LEN 26 #define M_ETH_HDR_LEN 0x3F #define V_ETH_HDR_LEN(x) ((x) << S_ETH_HDR_LEN) #define G_ETH_HDR_LEN(x) (((x) >> S_ETH_HDR_LEN) & M_ETH_HDR_LEN) +#define S_T6_ETH_HDR_LEN 24 +#define M_T6_ETH_HDR_LEN 0xFF +#define V_T6_ETH_HDR_LEN(x) ((x) << S_T6_ETH_HDR_LEN) +#define G_T6_ETH_HDR_LEN(x) (((x) >> S_T6_ETH_HDR_LEN) & M_T6_ETH_HDR_LEN) + /* cpl_pass_accept_req.l2info fields */ #define S_SYN_MAC_IDX 0 #define M_SYN_MAC_IDX 0x1FF @@ -684,7 +750,10 @@ struct cpl_t5_pass_accept_rpl { __be32 opt2; __be64 opt0; __be32 iss; - __be32 rsvd; + union { + __be32 rsvd; /* T5 */ + __be32 opt3; /* T6 */ + } u; }; struct cpl_act_open_req { @@ -716,6 +785,26 @@ struct cpl_t5_act_open_req { __be64 params; }; +struct cpl_t6_act_open_req { + WR_HDR; + union opcode_tid ot; + __be16 local_port; + __be16 peer_port; + __be32 local_ip; + __be32 peer_ip; + __be64 opt0; + __be32 iss; + __be32 opt2; + __be64 params; + __be32 rsvd2; + __be32 opt3; +}; + +/* cpl_{t5,t6}_act_open_req.params field */ +#define S_AOPEN_FCOEMASK 0 +#define V_AOPEN_FCOEMASK(x) ((x) << S_AOPEN_FCOEMASK) +#define F_AOPEN_FCOEMASK V_AOPEN_FCOEMASK(1U) + struct cpl_act_open_req6 { WR_HDR; union opcode_tid ot; @@ -745,6 +834,23 @@ struct cpl_t5_act_open_req6 { __be64 params; }; +struct cpl_t6_act_open_req6 { + WR_HDR; + union opcode_tid ot; + __be16 local_port; + __be16 peer_port; + __be64 local_ip_hi; + __be64 local_ip_lo; + __be64 peer_ip_hi; + __be64 peer_ip_lo; + __be64 opt0; + __be32 iss; + __be32 opt2; + __be64 params; + __be32 rsvd2; + __be32 opt3; +}; + struct cpl_act_open_rpl { RSS_HDR union opcode_tid ot; @@ -887,6 +993,11 @@ struct cpl_abort_req_rss { __u8 status; }; +/* cpl_abort_req status command code in case of T6, + * bit[0] specifies whether to send RST (0) to remote peer or suppress it (1) + * bit[1] indicates ABORT_REQ was sent after a CLOSE_CON_REQ + * bit[2] specifies whether to disable the mmgr (1) or not (0) + */ struct cpl_abort_req { WR_HDR; union opcode_tid ot; @@ -978,10 +1089,14 @@ struct cpl_tx_data { #define G_TX_ULP_SUBMODE(x) (((x) >> S_TX_ULP_SUBMODE) & M_TX_ULP_SUBMODE) #define S_TX_ULP_MODE 10 -#define M_TX_ULP_MODE 0xF +#define M_TX_ULP_MODE 0x7 #define V_TX_ULP_MODE(x) ((x) << S_TX_ULP_MODE) #define G_TX_ULP_MODE(x) (((x) >> S_TX_ULP_MODE) & M_TX_ULP_MODE) +#define S_TX_FORCE 13 +#define V_TX_FORCE(x) ((x) << S_TX_FORCE) +#define F_TX_FORCE V_TX_FORCE(1U) + #define S_TX_SHOVE 14 #define V_TX_SHOVE(x) ((x) << S_TX_SHOVE) #define F_TX_SHOVE V_TX_SHOVE(1U) @@ -1006,6 +1121,10 @@ struct cpl_tx_data { #define V_TX_TNL(x) ((x) << S_TX_TNL) #define F_TX_TNL V_TX_TNL(1U) +#define S_T6_TX_FORCE 20 +#define V_T6_TX_FORCE(x) ((x) << S_T6_TX_FORCE) +#define F_T6_TX_FORCE V_T6_TX_FORCE(1U) + /* additional tx_data_wr.flags fields */ #define S_TX_CPU_IDX 0 #define M_TX_CPU_IDX 0x3F @@ -1142,6 +1261,10 @@ struct cpl_tx_pkt { #define V_TXPKT_IPHDR_LEN(x) ((__u64)(x) << S_TXPKT_IPHDR_LEN) #define G_TXPKT_IPHDR_LEN(x) (((x) >> S_TXPKT_IPHDR_LEN) & M_TXPKT_IPHDR_LEN) +#define M_T6_TXPKT_IPHDR_LEN 0xFFF +#define G_T6_TXPKT_IPHDR_LEN(x) \ + (((x) >> S_TXPKT_IPHDR_LEN) & M_T6_TXPKT_IPHDR_LEN) + #define S_TXPKT_CSUM_LOC 30 #define M_TXPKT_CSUM_LOC 0x3FF #define V_TXPKT_CSUM_LOC(x) ((__u64)(x) << S_TXPKT_CSUM_LOC) @@ -1152,6 +1275,12 @@ struct cpl_tx_pkt { #define V_TXPKT_ETHHDR_LEN(x) ((__u64)(x) << S_TXPKT_ETHHDR_LEN) #define G_TXPKT_ETHHDR_LEN(x) (((x) >> S_TXPKT_ETHHDR_LEN) & M_TXPKT_ETHHDR_LEN) +#define S_T6_TXPKT_ETHHDR_LEN 32 +#define M_T6_TXPKT_ETHHDR_LEN 0xFF +#define V_T6_TXPKT_ETHHDR_LEN(x) ((__u64)(x) << S_T6_TXPKT_ETHHDR_LEN) +#define G_T6_TXPKT_ETHHDR_LEN(x) \ + (((x) >> S_T6_TXPKT_ETHHDR_LEN) & M_T6_TXPKT_ETHHDR_LEN) + #define S_TXPKT_CSUM_TYPE 40 #define M_TXPKT_CSUM_TYPE 0xF #define V_TXPKT_CSUM_TYPE(x) ((__u64)(x) << S_TXPKT_CSUM_TYPE) @@ -1296,38 +1425,83 @@ struct cpl_iscsi_hdr_no_rss { }; struct cpl_tx_data_iso { - WR_HDR; - __be32 iso_ctrl; - __u8 rsvd; + __be32 op_to_scsi; + __u8 reserved1; __u8 ahs_len; - __be16 mss; + __be16 mpdu; __be32 burst_size; __be32 len; + __be32 reserved2_seglen_offset; + __be32 datasn_offset; + __be32 buffer_offset; + __be32 reserved3; + /* encapsulated CPL_TX_DATA follows here */ }; -/* cpl_tx_data_iso.iso_ctrl fields different from cpl_tx_pkt_lso.lso_ctrl */ -#define S_ISO_CPLHDR_LEN 18 -#define M_ISO_CPLHDR_LEN 0xF -#define V_ISO_CPLHDR_LEN(x) ((x) << S_ISO_CPLHDR_LEN) -#define G_ISO_CPLHDR_LEN(x) (((x) >> S_ISO_CPLHDR_LEN) & M_ISO_CPLHDR_LEN) - -#define S_ISO_HDR_CRC 17 -#define V_ISO_HDR_CRC(x) ((x) << S_ISO_HDR_CRC) -#define F_ISO_HDR_CRC V_ISO_HDR_CRC(1U) - -#define S_ISO_DATA_CRC 16 -#define V_ISO_DATA_CRC(x) ((x) << S_ISO_DATA_CRC) -#define F_ISO_DATA_CRC V_ISO_DATA_CRC(1U) - -#define S_ISO_IMD_DATA_EN 15 -#define V_ISO_IMD_DATA_EN(x) ((x) << S_ISO_IMD_DATA_EN) -#define F_ISO_IMD_DATA_EN V_ISO_IMD_DATA_EN(1U) - -#define S_ISO_PDU_TYPE 13 -#define M_ISO_PDU_TYPE 0x3 -#define V_ISO_PDU_TYPE(x) ((x) << S_ISO_PDU_TYPE) -#define G_ISO_PDU_TYPE(x) (((x) >> S_ISO_PDU_TYPE) & M_ISO_PDU_TYPE) +/* cpl_tx_data_iso.op_to_scsi fields */ +#define S_CPL_TX_DATA_ISO_OP 24 +#define M_CPL_TX_DATA_ISO_OP 0xff +#define V_CPL_TX_DATA_ISO_OP(x) ((x) << S_CPL_TX_DATA_ISO_OP) +#define G_CPL_TX_DATA_ISO_OP(x) \ + (((x) >> S_CPL_TX_DATA_ISO_OP) & M_CPL_TX_DATA_ISO_OP) + +#define S_CPL_TX_DATA_ISO_FIRST 23 +#define M_CPL_TX_DATA_ISO_FIRST 0x1 +#define V_CPL_TX_DATA_ISO_FIRST(x) ((x) << S_CPL_TX_DATA_ISO_FIRST) +#define G_CPL_TX_DATA_ISO_FIRST(x) \ + (((x) >> S_CPL_TX_DATA_ISO_FIRST) & M_CPL_TX_DATA_ISO_FIRST) +#define F_CPL_TX_DATA_ISO_FIRST V_CPL_TX_DATA_ISO_FIRST(1U) + +#define S_CPL_TX_DATA_ISO_LAST 22 +#define M_CPL_TX_DATA_ISO_LAST 0x1 +#define V_CPL_TX_DATA_ISO_LAST(x) ((x) << S_CPL_TX_DATA_ISO_LAST) +#define G_CPL_TX_DATA_ISO_LAST(x) \ + (((x) >> S_CPL_TX_DATA_ISO_LAST) & M_CPL_TX_DATA_ISO_LAST) +#define F_CPL_TX_DATA_ISO_LAST V_CPL_TX_DATA_ISO_LAST(1U) + +#define S_CPL_TX_DATA_ISO_CPLHDRLEN 21 +#define M_CPL_TX_DATA_ISO_CPLHDRLEN 0x1 +#define V_CPL_TX_DATA_ISO_CPLHDRLEN(x) ((x) << S_CPL_TX_DATA_ISO_CPLHDRLEN) +#define G_CPL_TX_DATA_ISO_CPLHDRLEN(x) \ + (((x) >> S_CPL_TX_DATA_ISO_CPLHDRLEN) & M_CPL_TX_DATA_ISO_CPLHDRLEN) +#define F_CPL_TX_DATA_ISO_CPLHDRLEN V_CPL_TX_DATA_ISO_CPLHDRLEN(1U) + +#define S_CPL_TX_DATA_ISO_HDRCRC 20 +#define M_CPL_TX_DATA_ISO_HDRCRC 0x1 +#define V_CPL_TX_DATA_ISO_HDRCRC(x) ((x) << S_CPL_TX_DATA_ISO_HDRCRC) +#define G_CPL_TX_DATA_ISO_HDRCRC(x) \ + (((x) >> S_CPL_TX_DATA_ISO_HDRCRC) & M_CPL_TX_DATA_ISO_HDRCRC) +#define F_CPL_TX_DATA_ISO_HDRCRC V_CPL_TX_DATA_ISO_HDRCRC(1U) + +#define S_CPL_TX_DATA_ISO_PLDCRC 19 +#define M_CPL_TX_DATA_ISO_PLDCRC 0x1 +#define V_CPL_TX_DATA_ISO_PLDCRC(x) ((x) << S_CPL_TX_DATA_ISO_PLDCRC) +#define G_CPL_TX_DATA_ISO_PLDCRC(x) \ + (((x) >> S_CPL_TX_DATA_ISO_PLDCRC) & M_CPL_TX_DATA_ISO_PLDCRC) +#define F_CPL_TX_DATA_ISO_PLDCRC V_CPL_TX_DATA_ISO_PLDCRC(1U) + +#define S_CPL_TX_DATA_ISO_IMMEDIATE 18 +#define M_CPL_TX_DATA_ISO_IMMEDIATE 0x1 +#define V_CPL_TX_DATA_ISO_IMMEDIATE(x) ((x) << S_CPL_TX_DATA_ISO_IMMEDIATE) +#define G_CPL_TX_DATA_ISO_IMMEDIATE(x) \ + (((x) >> S_CPL_TX_DATA_ISO_IMMEDIATE) & M_CPL_TX_DATA_ISO_IMMEDIATE) +#define F_CPL_TX_DATA_ISO_IMMEDIATE V_CPL_TX_DATA_ISO_IMMEDIATE(1U) + +#define S_CPL_TX_DATA_ISO_SCSI 16 +#define M_CPL_TX_DATA_ISO_SCSI 0x3 +#define V_CPL_TX_DATA_ISO_SCSI(x) ((x) << S_CPL_TX_DATA_ISO_SCSI) +#define G_CPL_TX_DATA_ISO_SCSI(x) \ + (((x) >> S_CPL_TX_DATA_ISO_SCSI) & M_CPL_TX_DATA_ISO_SCSI) + +/* cpl_tx_data_iso.reserved2_seglen_offset fields */ +#define S_CPL_TX_DATA_ISO_SEGLEN_OFFSET 0 +#define M_CPL_TX_DATA_ISO_SEGLEN_OFFSET 0xffffff +#define V_CPL_TX_DATA_ISO_SEGLEN_OFFSET(x) \ + ((x) << S_CPL_TX_DATA_ISO_SEGLEN_OFFSET) +#define G_CPL_TX_DATA_ISO_SEGLEN_OFFSET(x) \ + (((x) >> S_CPL_TX_DATA_ISO_SEGLEN_OFFSET) & \ + M_CPL_TX_DATA_ISO_SEGLEN_OFFSET) struct cpl_iscsi_hdr { RSS_HDR @@ -1400,6 +1574,19 @@ struct cpl_fcoe_hdr { __be32 param; }; +/* cpl_fcoe_hdr.rctl_fctl fields */ +#define S_FCOE_FCHDR_RCTL 24 +#define M_FCOE_FCHDR_RCTL 0xff +#define V_FCOE_FCHDR_RCTL(x) ((x) << S_FCOE_FCHDR_RCTL) +#define G_FCOE_FCHDR_RCTL(x) \ + (((x) >> S_FCOE_FCHDR_RCTL) & M_FCOE_FCHDR_RCTL) + +#define S_FCOE_FCHDR_FCTL 0 +#define M_FCOE_FCHDR_FCTL 0xffffff +#define V_FCOE_FCHDR_FCTL(x) ((x) << S_FCOE_FCHDR_FCTL) +#define G_FCOE_FCHDR_FCTL(x) \ + (((x) >> S_FCOE_FCHDR_FCTL) & M_FCOE_FCHDR_FCTL) + struct cpl_fcoe_data { RSS_HDR union opcode_tid ot; @@ -1527,6 +1714,19 @@ struct cpl_rx_iscsi_dif { __u8 rsvd1[4]; }; +struct cpl_rx_iscsi_cmp { + RSS_HDR + union opcode_tid ot; + __be16 pdu_len_ddp; + __be16 len; + __be32 seq; + __be16 urg; + __u8 rsvd; + __u8 status; + __be32 ulp_crc; + __be32 ddpvld; +}; + struct cpl_rx_fcoe_dif { RSS_HDR union opcode_tid ot; @@ -1671,6 +1871,9 @@ struct cpl_rx_pkt { #define V_RX_T5_ETHHDR_LEN(x) ((x) << S_RX_T5_ETHHDR_LEN) #define G_RX_T5_ETHHDR_LEN(x) (((x) >> S_RX_T5_ETHHDR_LEN) & M_RX_T5_ETHHDR_LEN) +#define M_RX_T6_ETHHDR_LEN 0xFF +#define G_RX_T6_ETHHDR_LEN(x) (((x) >> S_RX_ETHHDR_LEN) & M_RX_T6_ETHHDR_LEN) + #define S_RX_PKTYPE 5 #define M_RX_PKTYPE 0x7 #define V_RX_PKTYPE(x) ((x) << S_RX_PKTYPE) @@ -1801,6 +2004,65 @@ struct cpl_rx_pkt { #define V_RXERR_PING(x) ((x) << S_RXERR_PING) #define F_RXERR_PING V_RXERR_PING(1U) +/* In T6, rx_pkt.err_vec indicates + * RxError Error vector (16b) or + * Encapsulating header length (8b), + * Outer encapsulation type (2b) and + * compressed error vector (6b) if CRxPktEnc is + * enabled in TP_OUT_CONFIG + */ + +#define S_T6_COMPR_RXERR_VEC 0 +#define M_T6_COMPR_RXERR_VEC 0x3F +#define V_T6_COMPR_RXERR_VEC(x) ((x) << S_T6_COMPR_RXERR_LEN) +#define G_T6_COMPR_RXERR_VEC(x) \ + (((x) >> S_T6_COMPR_RXERR_VEC) & M_T6_COMPR_RXERR_VEC) + +#define S_T6_COMPR_RXERR_MAC 0 +#define V_T6_COMPR_RXERR_MAC(x) ((x) << S_T6_COMPR_RXERR_MAC) +#define F_T6_COMPR_RXERR_MAC V_T6_COMPR_RXERR_MAC(1U) + +/* Logical OR of RX_ERROR_PKT_LEN, RX_ERROR_TCP_HDR_LEN + * RX_ERROR_IP_HDR_LEN, RX_ERROR_ETH_HDR_LEN + */ +#define S_T6_COMPR_RXERR_LEN 1 +#define V_T6_COMPR_RXERR_LEN(x) ((x) << S_COMPR_T6_RXERR_LEN) +#define F_T6_COMPR_RXERR_LEN V_COMPR_T6_RXERR_LEN(1U) + +#define S_T6_COMPR_RXERR_TCP_OPT 2 +#define V_T6_COMPR_RXERR_TCP_OPT(x) ((x) << S_T6_COMPR_RXERR_TCP_OPT) +#define F_T6_COMPR_RXERR_TCP_OPT V_T6_COMPR_RXERR_TCP_OPT(1U) + +#define S_T6_COMPR_RXERR_IPV6_EXT 3 +#define V_T6_COMPR_RXERR_IPV6_EXT(x) ((x) << S_T6_COMPR_RXERR_IPV6_EXT) +#define F_T6_COMPR_RXERR_IPV6_EXT V_T6_COMPR_RXERR_IPV6_EXT(1U) + +/* Logical OR of RX_ERROR_CSUM, RX_ERROR_CSIP */ +#define S_T6_COMPR_RXERR_SUM 4 +#define V_T6_COMPR_RXERR_SUM(x) ((x) << S_T6_COMPR_RXERR_SUM) +#define F_T6_COMPR_RXERR_SUM V_T6_COMPR_RXERR_SUM(1U) + +/* Logical OR of RX_ERROR_FPMA, RX_ERROR_PING_DROP, + * RX_ERROR_ATTACK, RX_ERROR_FRAG,RX_ERROR_IPVERSION + */ +#define S_T6_COMPR_RXERR_MISC 5 +#define V_T6_COMPR_RXERR_MISC(x) ((x) << S_T6_COMPR_RXERR_MISC) +#define F_T6_COMPR_RXERR_MISC V_T6_COMPR_RXERR_MISC(1U) + +#define S_T6_RX_TNL_TYPE 6 +#define M_T6_RX_TNL_TYPE 0x3 +#define V_T6_RX_TNL_TYPE(x) ((x) << S_T6_RX_TNL_TYPE) +#define G_T6_RX_TNL_TYPE(x) (((x) >> S_T6_RX_TNL_TYPE) & M_T6_RX_TNL_TYPE) + +#define RX_PKT_TNL_TYPE_NVGRE 1 +#define RX_PKT_TNL_TYPE_VXLAN 2 +#define RX_PKT_TNL_TYPE_GENEVE 3 + +#define S_T6_RX_TNLHDR_LEN 8 +#define M_T6_RX_TNLHDR_LEN 0xFF +#define V_T6_RX_TNLHDR_LEN(x) ((x) << S_T6_RX_TNLHDR_LEN) +#define G_T6_RX_TNLHDR_LEN(x) (((x) >> S_T6_RX_TNLHDR_LEN) & M_T6_RX_TNLHDR_LEN) + struct cpl_trace_pkt { RSS_HDR __u8 opcode; @@ -1996,6 +2258,51 @@ struct cpl_l2t_read_rpl { __u8 dst_mac[6]; }; +struct cpl_srq_table_req { + WR_HDR; + union opcode_tid ot; + __u8 status; + __u8 rsvd[2]; + __u8 idx; + __be64 rsvd_pdid; + __be32 qlen_qbase; + __be16 cur_msn; + __be16 max_msn; +}; + +struct cpl_srq_table_rpl { + RSS_HDR + union opcode_tid ot; + __u8 status; + __u8 rsvd[2]; + __u8 idx; + __be64 rsvd_pdid; + __be32 qlen_qbase; + __be16 cur_msn; + __be16 max_msn; +}; + +/* cpl_srq_table_{req,rpl}.params fields */ +#define S_SRQT_QLEN 28 +#define M_SRQT_QLEN 0xF +#define V_SRQT_QLEN(x) ((x) << S_SRQT_QLEN) +#define G_SRQT_QLEN(x) (((x) >> S_SRQT_QLEN) & M_SRQT_QLEN) + +#define S_SRQT_QBASE 0 +#define M_SRQT_QBASE 0x3FFFFFF +#define V_SRQT_QBASE(x) ((x) << S_SRQT_QBASE) +#define G_SRQT_QBASE(x) (((x) >> S_SRQT_QBASE) & M_SRQT_QBASE) + +#define S_SRQT_PDID 0 +#define M_SRQT_PDID 0xFF +#define V_SRQT_PDID(x) ((x) << S_SRQT_PDID) +#define G_SRQT_PDID(x) (((x) >> S_SRQT_PDID) & M_SRQT_PDID) + +#define S_SRQT_IDX 0 +#define M_SRQT_IDX 0xF +#define V_SRQT_IDX(x) ((x) << S_SRQT_IDX) +#define G_SRQT_IDX(x) (((x) >> S_SRQT_IDX) & M_SRQT_IDX) + struct cpl_smt_write_req { WR_HDR; union opcode_tid ot; @@ -2006,6 +2313,17 @@ struct cpl_smt_write_req { __u8 src_mac0[6]; }; +struct cpl_t6_smt_write_req { + WR_HDR; + union opcode_tid ot; + __be32 params; + __be64 tag; + __be16 pfvf0; + __u8 src_mac0[6]; + __be32 local_ip; + __be32 rsvd; +}; + struct cpl_smt_write_rpl { RSS_HDR union opcode_tid ot; @@ -2042,6 +2360,9 @@ struct cpl_smt_read_rpl { #define V_SMTW_IDX(x) ((x) << S_SMTW_IDX) #define G_SMTW_IDX(x) (((x) >> S_SMTW_IDX) & M_SMTW_IDX) +#define M_T6_SMTW_IDX 0xFF +#define G_T6_SMTW_IDX(x) (((x) >> S_SMTW_IDX) & M_T6_SMTW_IDX) + #define S_SMTW_NORPL 31 #define V_SMTW_NORPL(x) ((x) << S_SMTW_NORPL) #define F_SMTW_NORPL V_SMTW_NORPL(1U) @@ -2272,6 +2593,11 @@ struct cpl_sge_egr_update { }; /* cpl_sge_egr_update.ot fields */ +#define S_AUTOEQU 22 +#define M_AUTOEQU 0x1 +#define V_AUTOEQU(x) ((x) << S_AUTOEQU) +#define G_AUTOEQU(x) (((x) >> S_AUTOEQU) & M_AUTOEQU) + #define S_EGR_QID 0 #define M_EGR_QID 0x1FFFF #define V_EGR_QID(x) ((x) << S_EGR_QID) @@ -2284,6 +2610,8 @@ enum { FW_TYPE_CQE = 2, FW_TYPE_OFLD_CONNECTION_WR_RPL = 3, FW_TYPE_RSSCPL = 4, + FW_TYPE_WRERR_RPL = 5, + FW_TYPE_PI_ERR = 6, }; struct cpl_fw2_pld { @@ -2359,7 +2687,8 @@ enum { FW6_TYPE_CQE = FW_TYPE_CQE, FW6_TYPE_OFLD_CONNECTION_WR_RPL = FW_TYPE_OFLD_CONNECTION_WR_RPL, FW6_TYPE_RSSCPL = FW_TYPE_RSSCPL, - + FW6_TYPE_WRERR_RPL = FW_TYPE_WRERR_RPL, + FW6_TYPE_PI_ERR = FW_TYPE_PI_ERR, NUM_FW6_TYPES }; @@ -2382,7 +2711,8 @@ enum { ULP_TX_SC_NOOP = 0x80, ULP_TX_SC_IMM = 0x81, ULP_TX_SC_DSGL = 0x82, - ULP_TX_SC_ISGL = 0x83 + ULP_TX_SC_ISGL = 0x83, + ULP_TX_SC_PICTRL = 0x84 }; #define S_ULPTX_CMD 24 @@ -2455,6 +2785,10 @@ struct ulp_mem_io { #define V_T5_ULP_MEMIO_ORDER(x) ((x) << S_T5_ULP_MEMIO_ORDER) #define F_T5_ULP_MEMIO_ORDER V_T5_ULP_MEMIO_ORDER(1U) +#define S_T5_ULP_MEMIO_FID 4 +#define M_T5_ULP_MEMIO_FID 0x7ff +#define V_T5_ULP_MEMIO_FID(x) ((x) << S_T5_ULP_MEMIO_FID) + /* ulp_mem_io.lock_addr fields */ #define S_ULP_MEMIO_ADDR 0 #define M_ULP_MEMIO_ADDR 0x7FFFFFF @@ -2495,4 +2829,219 @@ struct ulp_txpkt { #define V_ULP_TXPKT_RO(x) ((x) << S_ULP_TXPKT_RO) #define F_ULP_TXPKT_RO V_ULP_TXPKT_RO(1U) +enum cpl_tx_tnl_lso_type { + TX_TNL_TYPE_OPAQUE, + TX_TNL_TYPE_NVGRE, + TX_TNL_TYPE_VXLAN, + TX_TNL_TYPE_GENEVE, +}; + +struct cpl_tx_tnl_lso { + __be32 op_to_IpIdSplitOut; + __be16 IpIdOffsetOut; + __be16 UdpLenSetOut_to_TnlHdrLen; + __be64 r1; + __be32 Flow_to_TcpHdrLen; + __be16 IpIdOffset; + __be16 IpIdSplit_to_Mss; + __be32 TCPSeqOffset; + __be32 EthLenOffset_Size; + /* encapsulated CPL (TX_PKT_XT) follows here */ +}; + +#define S_CPL_TX_TNL_LSO_OPCODE 24 +#define M_CPL_TX_TNL_LSO_OPCODE 0xff +#define V_CPL_TX_TNL_LSO_OPCODE(x) ((x) << S_CPL_TX_TNL_LSO_OPCODE) +#define G_CPL_TX_TNL_LSO_OPCODE(x) \ + (((x) >> S_CPL_TX_TNL_LSO_OPCODE) & M_CPL_TX_TNL_LSO_OPCODE) + +#define S_CPL_TX_TNL_LSO_FIRST 23 +#define M_CPL_TX_TNL_LSO_FIRST 0x1 +#define V_CPL_TX_TNL_LSO_FIRST(x) ((x) << S_CPL_TX_TNL_LSO_FIRST) +#define G_CPL_TX_TNL_LSO_FIRST(x) \ + (((x) >> S_CPL_TX_TNL_LSO_FIRST) & M_CPL_TX_TNL_LSO_FIRST) +#define F_CPL_TX_TNL_LSO_FIRST V_CPL_TX_TNL_LSO_FIRST(1U) + +#define S_CPL_TX_TNL_LSO_LAST 22 +#define M_CPL_TX_TNL_LSO_LAST 0x1 +#define V_CPL_TX_TNL_LSO_LAST(x) ((x) << S_CPL_TX_TNL_LSO_LAST) +#define G_CPL_TX_TNL_LSO_LAST(x) \ + (((x) >> S_CPL_TX_TNL_LSO_LAST) & M_CPL_TX_TNL_LSO_LAST) +#define F_CPL_TX_TNL_LSO_LAST V_CPL_TX_TNL_LSO_LAST(1U) + +#define S_CPL_TX_TNL_LSO_ETHHDRLENXOUT 21 +#define M_CPL_TX_TNL_LSO_ETHHDRLENXOUT 0x1 +#define V_CPL_TX_TNL_LSO_ETHHDRLENXOUT(x) \ + ((x) << S_CPL_TX_TNL_LSO_ETHHDRLENXOUT) +#define G_CPL_TX_TNL_LSO_ETHHDRLENXOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_ETHHDRLENXOUT) & M_CPL_TX_TNL_LSO_ETHHDRLENXOUT) +#define F_CPL_TX_TNL_LSO_ETHHDRLENXOUT V_CPL_TX_TNL_LSO_ETHHDRLENXOUT(1U) + +#define S_CPL_TX_TNL_LSO_IPV6OUT 20 +#define M_CPL_TX_TNL_LSO_IPV6OUT 0x1 +#define V_CPL_TX_TNL_LSO_IPV6OUT(x) ((x) << S_CPL_TX_TNL_LSO_IPV6OUT) +#define G_CPL_TX_TNL_LSO_IPV6OUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPV6OUT) & M_CPL_TX_TNL_LSO_IPV6OUT) +#define F_CPL_TX_TNL_LSO_IPV6OUT V_CPL_TX_TNL_LSO_IPV6OUT(1U) + +#define S_CPL_TX_TNL_LSO_ETHHDRLENOUT 16 +#define M_CPL_TX_TNL_LSO_ETHHDRLENOUT 0xf +#define V_CPL_TX_TNL_LSO_ETHHDRLENOUT(x) \ + ((x) << S_CPL_TX_TNL_LSO_ETHHDRLENOUT) +#define G_CPL_TX_TNL_LSO_ETHHDRLENOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_ETHHDRLENOUT) & M_CPL_TX_TNL_LSO_ETHHDRLENOUT) + +#define S_CPL_TX_TNL_LSO_IPHDRLENOUT 4 +#define M_CPL_TX_TNL_LSO_IPHDRLENOUT 0xfff +#define V_CPL_TX_TNL_LSO_IPHDRLENOUT(x) ((x) << S_CPL_TX_TNL_LSO_IPHDRLENOUT) +#define G_CPL_TX_TNL_LSO_IPHDRLENOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPHDRLENOUT) & M_CPL_TX_TNL_LSO_IPHDRLENOUT) + +#define S_CPL_TX_TNL_LSO_IPHDRCHKOUT 3 +#define M_CPL_TX_TNL_LSO_IPHDRCHKOUT 0x1 +#define V_CPL_TX_TNL_LSO_IPHDRCHKOUT(x) ((x) << S_CPL_TX_TNL_LSO_IPHDRCHKOUT) +#define G_CPL_TX_TNL_LSO_IPHDRCHKOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPHDRCHKOUT) & M_CPL_TX_TNL_LSO_IPHDRCHKOUT) +#define F_CPL_TX_TNL_LSO_IPHDRCHKOUT V_CPL_TX_TNL_LSO_IPHDRCHKOUT(1U) + +#define S_CPL_TX_TNL_LSO_IPLENSETOUT 2 +#define M_CPL_TX_TNL_LSO_IPLENSETOUT 0x1 +#define V_CPL_TX_TNL_LSO_IPLENSETOUT(x) ((x) << S_CPL_TX_TNL_LSO_IPLENSETOUT) +#define G_CPL_TX_TNL_LSO_IPLENSETOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPLENSETOUT) & M_CPL_TX_TNL_LSO_IPLENSETOUT) +#define F_CPL_TX_TNL_LSO_IPLENSETOUT V_CPL_TX_TNL_LSO_IPLENSETOUT(1U) + +#define S_CPL_TX_TNL_LSO_IPIDINCOUT 1 +#define M_CPL_TX_TNL_LSO_IPIDINCOUT 0x1 +#define V_CPL_TX_TNL_LSO_IPIDINCOUT(x) ((x) << S_CPL_TX_TNL_LSO_IPIDINCOUT) +#define G_CPL_TX_TNL_LSO_IPIDINCOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPIDINCOUT) & M_CPL_TX_TNL_LSO_IPIDINCOUT) +#define F_CPL_TX_TNL_LSO_IPIDINCOUT V_CPL_TX_TNL_LSO_IPIDINCOUT(1U) + +#define S_CPL_TX_TNL_LSO_IPIDSPLITOUT 0 +#define M_CPL_TX_TNL_LSO_IPIDSPLITOUT 0x1 +#define V_CPL_TX_TNL_LSO_IPIDSPLITOUT(x) \ + ((x) << S_CPL_TX_TNL_LSO_IPIDSPLITOUT) +#define G_CPL_TX_TNL_LSO_IPIDSPLITOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPIDSPLITOUT) & M_CPL_TX_TNL_LSO_IPIDSPLITOUT) +#define F_CPL_TX_TNL_LSO_IPIDSPLITOUT V_CPL_TX_TNL_LSO_IPIDSPLITOUT(1U) + +#define S_CPL_TX_TNL_LSO_UDPLENSETOUT 15 +#define M_CPL_TX_TNL_LSO_UDPLENSETOUT 0x1 +#define V_CPL_TX_TNL_LSO_UDPLENSETOUT(x) \ + ((x) << S_CPL_TX_TNL_LSO_UDPLENSETOUT) +#define G_CPL_TX_TNL_LSO_UDPLENSETOUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_UDPLENSETOUT) & M_CPL_TX_TNL_LSO_UDPLENSETOUT) +#define F_CPL_TX_TNL_LSO_UDPLENSETOUT V_CPL_TX_TNL_LSO_UDPLENSETOUT(1U) + +#define S_CPL_TX_TNL_LSO_UDPCHKCLROUT 14 +#define M_CPL_TX_TNL_LSO_UDPCHKCLROUT 0x1 +#define V_CPL_TX_TNL_LSO_UDPCHKCLROUT(x) \ + ((x) << S_CPL_TX_TNL_LSO_UDPCHKCLROUT) +#define G_CPL_TX_TNL_LSO_UDPCHKCLROUT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_UDPCHKCLROUT) & M_CPL_TX_TNL_LSO_UDPCHKCLROUT) +#define F_CPL_TX_TNL_LSO_UDPCHKCLROUT V_CPL_TX_TNL_LSO_UDPCHKCLROUT(1U) + +#define S_CPL_TX_TNL_LSO_TNLTYPE 12 +#define M_CPL_TX_TNL_LSO_TNLTYPE 0x3 +#define V_CPL_TX_TNL_LSO_TNLTYPE(x) ((x) << S_CPL_TX_TNL_LSO_TNLTYPE) +#define G_CPL_TX_TNL_LSO_TNLTYPE(x) \ + (((x) >> S_CPL_TX_TNL_LSO_TNLTYPE) & M_CPL_TX_TNL_LSO_TNLTYPE) + +#define S_CPL_TX_TNL_LSO_TNLHDRLEN 0 +#define M_CPL_TX_TNL_LSO_TNLHDRLEN 0xfff +#define V_CPL_TX_TNL_LSO_TNLHDRLEN(x) ((x) << S_CPL_TX_TNL_LSO_TNLHDRLEN) +#define G_CPL_TX_TNL_LSO_TNLHDRLEN(x) \ + (((x) >> S_CPL_TX_TNL_LSO_TNLHDRLEN) & M_CPL_TX_TNL_LSO_TNLHDRLEN) + +#define S_CPL_TX_TNL_LSO_FLOW 21 +#define M_CPL_TX_TNL_LSO_FLOW 0x1 +#define V_CPL_TX_TNL_LSO_FLOW(x) ((x) << S_CPL_TX_TNL_LSO_FLOW) +#define G_CPL_TX_TNL_LSO_FLOW(x) \ + (((x) >> S_CPL_TX_TNL_LSO_FLOW) & M_CPL_TX_TNL_LSO_FLOW) +#define F_CPL_TX_TNL_LSO_FLOW V_CPL_TX_TNL_LSO_FLOW(1U) + +#define S_CPL_TX_TNL_LSO_IPV6 20 +#define M_CPL_TX_TNL_LSO_IPV6 0x1 +#define V_CPL_TX_TNL_LSO_IPV6(x) ((x) << S_CPL_TX_TNL_LSO_IPV6) +#define G_CPL_TX_TNL_LSO_IPV6(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPV6) & M_CPL_TX_TNL_LSO_IPV6) +#define F_CPL_TX_TNL_LSO_IPV6 V_CPL_TX_TNL_LSO_IPV6(1U) + +#define S_CPL_TX_TNL_LSO_ETHHDRLEN 16 +#define M_CPL_TX_TNL_LSO_ETHHDRLEN 0xf +#define V_CPL_TX_TNL_LSO_ETHHDRLEN(x) ((x) << S_CPL_TX_TNL_LSO_ETHHDRLEN) +#define G_CPL_TX_TNL_LSO_ETHHDRLEN(x) \ + (((x) >> S_CPL_TX_TNL_LSO_ETHHDRLEN) & M_CPL_TX_TNL_LSO_ETHHDRLEN) + +#define S_CPL_TX_TNL_LSO_IPHDRLEN 4 +#define M_CPL_TX_TNL_LSO_IPHDRLEN 0xfff +#define V_CPL_TX_TNL_LSO_IPHDRLEN(x) ((x) << S_CPL_TX_TNL_LSO_IPHDRLEN) +#define G_CPL_TX_TNL_LSO_IPHDRLEN(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPHDRLEN) & M_CPL_TX_TNL_LSO_IPHDRLEN) + +#define S_CPL_TX_TNL_LSO_TCPHDRLEN 0 +#define M_CPL_TX_TNL_LSO_TCPHDRLEN 0xf +#define V_CPL_TX_TNL_LSO_TCPHDRLEN(x) ((x) << S_CPL_TX_TNL_LSO_TCPHDRLEN) +#define G_CPL_TX_TNL_LSO_TCPHDRLEN(x) \ + (((x) >> S_CPL_TX_TNL_LSO_TCPHDRLEN) & M_CPL_TX_TNL_LSO_TCPHDRLEN) + +#define S_CPL_TX_TNL_LSO_IPIDSPLIT 15 +#define M_CPL_TX_TNL_LSO_IPIDSPLIT 0x1 +#define V_CPL_TX_TNL_LSO_IPIDSPLIT(x) ((x) << S_CPL_TX_TNL_LSO_IPIDSPLIT) +#define G_CPL_TX_TNL_LSO_IPIDSPLIT(x) \ + (((x) >> S_CPL_TX_TNL_LSO_IPIDSPLIT) & M_CPL_TX_TNL_LSO_IPIDSPLIT) +#define F_CPL_TX_TNL_LSO_IPIDSPLIT V_CPL_TX_TNL_LSO_IPIDSPLIT(1U) + +#define S_CPL_TX_TNL_LSO_ETHHDRLENX 14 +#define M_CPL_TX_TNL_LSO_ETHHDRLENX 0x1 +#define V_CPL_TX_TNL_LSO_ETHHDRLENX(x) ((x) << S_CPL_TX_TNL_LSO_ETHHDRLENX) +#define G_CPL_TX_TNL_LSO_ETHHDRLENX(x) \ + (((x) >> S_CPL_TX_TNL_LSO_ETHHDRLENX) & M_CPL_TX_TNL_LSO_ETHHDRLENX) +#define F_CPL_TX_TNL_LSO_ETHHDRLENX V_CPL_TX_TNL_LSO_ETHHDRLENX(1U) + +#define S_CPL_TX_TNL_LSO_MSS 0 +#define M_CPL_TX_TNL_LSO_MSS 0x3fff +#define V_CPL_TX_TNL_LSO_MSS(x) ((x) << S_CPL_TX_TNL_LSO_MSS) +#define G_CPL_TX_TNL_LSO_MSS(x) \ + (((x) >> S_CPL_TX_TNL_LSO_MSS) & M_CPL_TX_TNL_LSO_MSS) + +#define S_CPL_TX_TNL_LSO_ETHLENOFFSET 28 +#define M_CPL_TX_TNL_LSO_ETHLENOFFSET 0xf +#define V_CPL_TX_TNL_LSO_ETHLENOFFSET(x) \ + ((x) << S_CPL_TX_TNL_LSO_ETHLENOFFSET) +#define G_CPL_TX_TNL_LSO_ETHLENOFFSET(x) \ + (((x) >> S_CPL_TX_TNL_LSO_ETHLENOFFSET) & M_CPL_TX_TNL_LSO_ETHLENOFFSET) + +#define S_CPL_TX_TNL_LSO_SIZE 0 +#define M_CPL_TX_TNL_LSO_SIZE 0xfffffff +#define V_CPL_TX_TNL_LSO_SIZE(x) ((x) << S_CPL_TX_TNL_LSO_SIZE) +#define G_CPL_TX_TNL_LSO_SIZE(x) \ + (((x) >> S_CPL_TX_TNL_LSO_SIZE) & M_CPL_TX_TNL_LSO_SIZE) + +struct cpl_rx_mps_pkt { + __be32 op_to_r1_hi; + __be32 r1_lo_length; +}; + +#define S_CPL_RX_MPS_PKT_OP 24 +#define M_CPL_RX_MPS_PKT_OP 0xff +#define V_CPL_RX_MPS_PKT_OP(x) ((x) << S_CPL_RX_MPS_PKT_OP) +#define G_CPL_RX_MPS_PKT_OP(x) \ + (((x) >> S_CPL_RX_MPS_PKT_OP) & M_CPL_RX_MPS_PKT_OP) + +#define S_CPL_RX_MPS_PKT_TYPE 20 +#define M_CPL_RX_MPS_PKT_TYPE 0xf +#define V_CPL_RX_MPS_PKT_TYPE(x) ((x) << S_CPL_RX_MPS_PKT_TYPE) +#define G_CPL_RX_MPS_PKT_TYPE(x) \ + (((x) >> S_CPL_RX_MPS_PKT_TYPE) & M_CPL_RX_MPS_PKT_TYPE) + +/* + * Values for CPL_RX_MPS_PKT_TYPE, a bit-wise orthogonal field. + */ +#define X_CPL_RX_MPS_PKT_TYPE_PAUSE (1 << 0) +#define X_CPL_RX_MPS_PKT_TYPE_PPP (1 << 1) +#define X_CPL_RX_MPS_PKT_TYPE_QFC (1 << 2) +#define X_CPL_RX_MPS_PKT_TYPE_PTP (1 << 3) + #endif /* T4_MSG_H */ diff --git a/sys/dev/cxgbe/common/t4_regs.h b/sys/dev/cxgbe/common/t4_regs.h index f20529884..21cb9618c 100644 --- a/sys/dev/cxgbe/common/t4_regs.h +++ b/sys/dev/cxgbe/common/t4_regs.h @@ -1,5 +1,5 @@ /*- - * Copyright (c) 2013 Chelsio Communications, Inc. + * Copyright (c) 2013, 2016 Chelsio Communications, Inc. * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -28,6 +28,10 @@ */ /* This file is automatically generated --- changes will be lost */ +/* Generation Date : Wed Jan 27 10:57:51 IST 2016 */ +/* Directory name: t4_reg.txt, Changeset: */ +/* Directory name: t5_reg.txt, Changeset: 6936:7f6342b03d61 */ +/* Directory name: t6_reg.txt, Changeset: 4191:ce3ccd95c109 */ #define MYPF_BASE 0x1b000 #define MYPF_REG(reg_addr) (MYPF_BASE + (reg_addr)) @@ -368,9 +372,141 @@ #define EDC_H_ECC_ERR_DATA_REG(reg_addr, idx) ((reg_addr) + (idx) * 4) #define NUM_EDC_H_ECC_ERR_DATA_INSTANCES 16 +#define SGE_DEBUG1_DBP_THREAD(idx) (A_SGE_DEBUG1_DBP_THREAD + (idx) * 4) +#define NUM_SGE_DEBUG1_DBP_THREAD_INSTANCES 4 + +#define SGE_DEBUG0_DBP_THREAD(idx) (A_SGE_DEBUG0_DBP_THREAD + (idx) * 4) +#define NUM_SGE_DEBUG0_DBP_THREAD_INSTANCES 5 + +#define SGE_WC_EGRS_BAR2_OFF_PF(idx) (A_SGE_WC_EGRS_BAR2_OFF_PF + (idx) * 4) +#define NUM_SGE_WC_EGRS_BAR2_OFF_PF_INSTANCES 8 + +#define SGE_WC_EGRS_BAR2_OFF_VF(idx) (A_SGE_WC_EGRS_BAR2_OFF_VF + (idx) * 4) +#define NUM_SGE_WC_EGRS_BAR2_OFF_VF_INSTANCES 8 + +#define PCIE_T6_DMA_REG(reg_addr, idx) ((reg_addr) + (idx) * 16) +#define NUM_PCIE_T6_DMA_INSTANCES 2 + +#define PCIE_T6_CMD_REG(reg_addr, idx) ((reg_addr) + (idx) * 16) +#define NUM_PCIE_T6_CMD_INSTANCES 1 + +#define PCIE_VF_256_INT_REG(reg_addr, idx) ((reg_addr) + (idx) * 4) +#define NUM_PCIE_VF_256_INT_INSTANCES 128 + +#define MPS_CLS_REQUEST_TRACE_MAC_DA_L(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_DA_L + (idx) * 32) +#define NUM_MPS_CLS_REQUEST_TRACE_MAC_DA_L_INSTANCES 8 + +#define MPS_CLS_REQUEST_TRACE_MAC_DA_H(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_DA_H + (idx) * 32) +#define NUM_MPS_CLS_REQUEST_TRACE_MAC_DA_H_INSTANCES 8 + +#define MPS_CLS_REQUEST_TRACE_MAC_SA_L(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_SA_L + (idx) * 32) +#define NUM_MPS_CLS_REQUEST_TRACE_MAC_SA_L_INSTANCES 8 + +#define MPS_CLS_REQUEST_TRACE_MAC_SA_H(idx) (A_MPS_CLS_REQUEST_TRACE_MAC_SA_H + (idx) * 32) +#define NUM_MPS_CLS_REQUEST_TRACE_MAC_SA_H_INSTANCES 8 + +#define MPS_CLS_REQUEST_TRACE_PORT_VLAN(idx) (A_MPS_CLS_REQUEST_TRACE_PORT_VLAN + (idx) * 32) +#define NUM_MPS_CLS_REQUEST_TRACE_PORT_VLAN_INSTANCES 8 + +#define MPS_CLS_REQUEST_TRACE_ENCAP(idx) (A_MPS_CLS_REQUEST_TRACE_ENCAP + (idx) * 32) +#define NUM_MPS_CLS_REQUEST_TRACE_ENCAP_INSTANCES 8 + +#define MPS_CLS_RESULT_TRACE(idx) (A_MPS_CLS_RESULT_TRACE + (idx) * 4) +#define NUM_MPS_CLS_RESULT_TRACE_INSTANCES 8 + +#define MPS_CLS_DIPIPV4_ID_TABLE(idx) (A_MPS_CLS_DIPIPV4_ID_TABLE + (idx) * 8) +#define NUM_MPS_CLS_DIPIPV4_ID_TABLE_INSTANCES 4 + +#define MPS_CLS_DIPIPV4_MASK_TABLE(idx) (A_MPS_CLS_DIPIPV4_MASK_TABLE + (idx) * 8) +#define NUM_MPS_CLS_DIPIPV4_MASK_TABLE_INSTANCES 4 + +#define MPS_CLS_DIPIPV6ID_0_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_0_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6ID_0_TABLE_INSTANCES 2 + +#define MPS_CLS_DIPIPV6ID_1_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_1_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6ID_1_TABLE_INSTANCES 2 + +#define MPS_CLS_DIPIPV6ID_2_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_2_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6ID_2_TABLE_INSTANCES 2 + +#define MPS_CLS_DIPIPV6ID_3_TABLE(idx) (A_MPS_CLS_DIPIPV6ID_3_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6ID_3_TABLE_INSTANCES 2 + +#define MPS_CLS_DIPIPV6MASK_0_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_0_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6MASK_0_TABLE_INSTANCES 2 + +#define MPS_CLS_DIPIPV6MASK_1_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_1_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6MASK_1_TABLE_INSTANCES 2 + +#define MPS_CLS_DIPIPV6MASK_2_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_2_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6MASK_2_TABLE_INSTANCES 2 + +#define MPS_CLS_DIPIPV6MASK_3_TABLE(idx) (A_MPS_CLS_DIPIPV6MASK_3_TABLE + (idx) * 32) +#define NUM_MPS_CLS_DIPIPV6MASK_3_TABLE_INSTANCES 2 + +#define MPS_RX_HASH_LKP_TABLE(idx) (A_MPS_RX_HASH_LKP_TABLE + (idx) * 4) +#define NUM_MPS_RX_HASH_LKP_TABLE_INSTANCES 4 + +#define LE_DB_DBG_MATCH_DATA_MASK(idx) (A_LE_DB_DBG_MATCH_DATA_MASK + (idx) * 4) +#define NUM_LE_DB_DBG_MATCH_DATA_MASK_INSTANCES 8 + +#define LE_DB_DBG_MATCH_DATA(idx) (A_LE_DB_DBG_MATCH_DATA + (idx) * 4) +#define NUM_LE_DB_DBG_MATCH_DATA_INSTANCES 8 + +#define LE_DB_DBGI_REQ_DATA_T6(idx) (A_LE_DB_DBGI_REQ_DATA + (idx) * 4) +#define NUM_LE_DB_DBGI_REQ_DATA_T6_INSTANCES 11 + +#define LE_DB_DBGI_REQ_MASK_T6(idx) (A_LE_DB_DBGI_REQ_MASK + (idx) * 4) +#define NUM_LE_DB_DBGI_REQ_MASK_T6_INSTANCES 11 + +#define LE_DB_DBGI_RSP_DATA_T6(idx) (A_LE_DB_DBGI_RSP_DATA + (idx) * 4) +#define NUM_LE_DB_DBGI_RSP_DATA_T6_INSTANCES 11 + +#define LE_DB_ACTIVE_MASK_IPV6_T6(idx) (A_LE_DB_ACTIVE_MASK_IPV6 + (idx) * 4) +#define NUM_LE_DB_ACTIVE_MASK_IPV6_T6_INSTANCES 8 + +#define LE_HASH_MASK_GEN_IPV4T6(idx) (A_LE_HASH_MASK_GEN_IPV4T5 + (idx) * 4) +#define NUM_LE_HASH_MASK_GEN_IPV4T6_INSTANCES 8 + +#define T6_LE_HASH_MASK_GEN_IPV6T5(idx) (A_T6_LE_HASH_MASK_GEN_IPV6T5 + (idx) * 4) +#define NUM_T6_LE_HASH_MASK_GEN_IPV6T5_INSTANCES 8 + +#define LE_DB_PSV_FILTER_MASK_TUP_IPV4(idx) (A_LE_DB_PSV_FILTER_MASK_TUP_IPV4 + (idx) * 4) +#define NUM_LE_DB_PSV_FILTER_MASK_TUP_IPV4_INSTANCES 3 + +#define LE_DB_PSV_FILTER_MASK_FLT_IPV4(idx) (A_LE_DB_PSV_FILTER_MASK_FLT_IPV4 + (idx) * 4) +#define NUM_LE_DB_PSV_FILTER_MASK_FLT_IPV4_INSTANCES 2 + +#define LE_DB_PSV_FILTER_MASK_TUP_IPV6(idx) (A_LE_DB_PSV_FILTER_MASK_TUP_IPV6 + (idx) * 4) +#define NUM_LE_DB_PSV_FILTER_MASK_TUP_IPV6_INSTANCES 9 + +#define LE_DB_PSV_FILTER_MASK_FLT_IPV6(idx) (A_LE_DB_PSV_FILTER_MASK_FLT_IPV6 + (idx) * 4) +#define NUM_LE_DB_PSV_FILTER_MASK_FLT_IPV6_INSTANCES 2 + +#define LE_DB_SECOND_GEN_HASH_MASK_IPV4_T6(idx) (A_LE_DB_SECOND_GEN_HASH_MASK_IPV4 + (idx) * 4) +#define NUM_LE_DB_SECOND_GEN_HASH_MASK_IPV4_T6_INSTANCES 8 + +#define MC_DDRPHY_DP18_T6_REG(reg_addr, idx) ((reg_addr) + (idx) * 512) +#define NUM_MC_DDRPHY_DP18_T6_INSTANCES 9 + +#define MC_CE_ERR_DATA_T6_REG(reg_addr, idx) ((reg_addr) + (idx) * 4) +#define NUM_MC_CE_ERR_DATA_T6_INSTANCES 16 + +#define MC_UE_ERR_DATA_T6_REG(reg_addr, idx) ((reg_addr) + (idx) * 4) +#define NUM_MC_UE_ERR_DATA_T6_INSTANCES 16 + +#define CIM_CTL_MAILBOX_VF_STATUS_T6(idx) (A_CIM_CTL_MAILBOX_VF_STATUS + (idx) * 4) +#define NUM_CIM_CTL_MAILBOX_VF_STATUS_T6_INSTANCES 8 + +#define CIM_CTL_MAILBOX_VFN_CTL_T6(idx) (A_CIM_CTL_MAILBOX_VFN_CTL + (idx) * 4) +#define NUM_CIM_CTL_MAILBOX_VFN_CTL_T6_INSTANCES 256 + #define EDC_STRIDE (EDC_1_BASE_ADDR - EDC_0_BASE_ADDR) #define EDC_REG(reg, idx) (reg + EDC_STRIDE * idx) +#define EDC_T5_STRIDE (EDC_T51_BASE_ADDR - EDC_T50_BASE_ADDR) +#define EDC_T5_REG(reg, idx) (reg + EDC_T5_STRIDE * idx) + /* registers for module SGE */ #define SGE_BASE_ADDR 0x1000 @@ -401,6 +537,10 @@ #define V_PIDX_T5(x) ((x) << S_PIDX_T5) #define G_PIDX_T5(x) (((x) >> S_PIDX_T5) & M_PIDX_T5) +#define S_SYNC_T6 14 +#define V_SYNC_T6(x) ((x) << S_SYNC_T6) +#define F_SYNC_T6 V_SYNC_T6(1U) + #define A_SGE_PF_GTS 0x4 #define S_INGRESSQID 16 @@ -793,6 +933,14 @@ #define V_PERR_PC_CHPI_RSP2(x) ((x) << S_PERR_PC_CHPI_RSP2) #define F_PERR_PC_CHPI_RSP2 V_PERR_PC_CHPI_RSP2(1U) +#define S_PERR_PC_RSP 23 +#define V_PERR_PC_RSP(x) ((x) << S_PERR_PC_RSP) +#define F_PERR_PC_RSP V_PERR_PC_RSP(1U) + +#define S_PERR_PC_REQ 22 +#define V_PERR_PC_REQ(x) ((x) << S_PERR_PC_REQ) +#define F_PERR_PC_REQ V_PERR_PC_REQ(1U) + #define A_SGE_INT_ENABLE1 0x1028 #define A_SGE_PERR_ENABLE1 0x102c #define A_SGE_INT_CAUSE2 0x1030 @@ -937,6 +1085,26 @@ #define V_PERR_PC_DBP2(x) ((x) << S_PERR_PC_DBP2) #define F_PERR_PC_DBP2 V_PERR_PC_DBP2(1U) +#define S_DEQ_LL_PERR 21 +#define V_DEQ_LL_PERR(x) ((x) << S_DEQ_LL_PERR) +#define F_DEQ_LL_PERR V_DEQ_LL_PERR(1U) + +#define S_ENQ_PERR 20 +#define V_ENQ_PERR(x) ((x) << S_ENQ_PERR) +#define F_ENQ_PERR V_ENQ_PERR(1U) + +#define S_DEQ_OUT_PERR 19 +#define V_DEQ_OUT_PERR(x) ((x) << S_DEQ_OUT_PERR) +#define F_DEQ_OUT_PERR V_DEQ_OUT_PERR(1U) + +#define S_BUF_PERR 18 +#define V_BUF_PERR(x) ((x) << S_BUF_PERR) +#define F_BUF_PERR V_BUF_PERR(1U) + +#define S_PERR_DB_FIFO 3 +#define V_PERR_DB_FIFO(x) ((x) << S_PERR_DB_FIFO) +#define F_PERR_DB_FIFO V_PERR_DB_FIFO(1U) + #define A_SGE_INT_ENABLE2 0x1034 #define A_SGE_PERR_ENABLE2 0x1038 #define A_SGE_INT_CAUSE3 0x103c @@ -1069,6 +1237,14 @@ #define V_ERR_INV_CTXT0(x) ((x) << S_ERR_INV_CTXT0) #define F_ERR_INV_CTXT0 V_ERR_INV_CTXT0(1U) +#define S_DBP_TBUF_FULL 8 +#define V_DBP_TBUF_FULL(x) ((x) << S_DBP_TBUF_FULL) +#define F_DBP_TBUF_FULL V_DBP_TBUF_FULL(1U) + +#define S_FATAL_WRE_LEN 7 +#define V_FATAL_WRE_LEN(x) ((x) << S_FATAL_WRE_LEN) +#define F_FATAL_WRE_LEN V_FATAL_WRE_LEN(1U) + #define A_SGE_INT_ENABLE3 0x1040 #define A_SGE_FL_BUFFER_SIZE0 0x1044 @@ -1077,21 +1253,116 @@ #define V_SIZE(x) ((x) << S_SIZE) #define G_SIZE(x) (((x) >> S_SIZE) & M_SIZE) +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE1 0x1048 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE2 0x104c + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE3 0x1050 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE4 0x1054 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE5 0x1058 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE6 0x105c + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE7 0x1060 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE8 0x1064 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE9 0x1068 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE10 0x106c + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE11 0x1070 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE12 0x1074 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE13 0x1078 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE14 0x107c + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_FL_BUFFER_SIZE15 0x1080 + +#define S_T6_SIZE 4 +#define M_T6_SIZE 0xfffffU +#define V_T6_SIZE(x) ((x) << S_T6_SIZE) +#define G_T6_SIZE(x) (((x) >> S_T6_SIZE) & M_T6_SIZE) + #define A_SGE_DBQ_CTXT_BADDR 0x1084 #define S_BASEADDR 3 @@ -1146,6 +1417,15 @@ #define V_CREDITCNTPACKING(x) ((x) << S_CREDITCNTPACKING) #define G_CREDITCNTPACKING(x) (((x) >> S_CREDITCNTPACKING) & M_CREDITCNTPACKING) +#define S_NULLPTR 20 +#define M_NULLPTR 0xfU +#define V_NULLPTR(x) ((x) << S_NULLPTR) +#define G_NULLPTR(x) (((x) >> S_NULLPTR) & M_NULLPTR) + +#define S_NULLPTREN 19 +#define V_NULLPTREN(x) ((x) << S_NULLPTREN) +#define F_NULLPTREN V_NULLPTREN(1U) + #define A_SGE_CONM_CTRL 0x1094 #define S_EGRTHRESHOLD 8 @@ -1171,6 +1451,16 @@ #define V_EGRTHRESHOLDPACKING(x) ((x) << S_EGRTHRESHOLDPACKING) #define G_EGRTHRESHOLDPACKING(x) (((x) >> S_EGRTHRESHOLDPACKING) & M_EGRTHRESHOLDPACKING) +#define S_T6_EGRTHRESHOLDPACKING 16 +#define M_T6_EGRTHRESHOLDPACKING 0xffU +#define V_T6_EGRTHRESHOLDPACKING(x) ((x) << S_T6_EGRTHRESHOLDPACKING) +#define G_T6_EGRTHRESHOLDPACKING(x) (((x) >> S_T6_EGRTHRESHOLDPACKING) & M_T6_EGRTHRESHOLDPACKING) + +#define S_T6_EGRTHRESHOLD 8 +#define M_T6_EGRTHRESHOLD 0xffU +#define V_T6_EGRTHRESHOLD(x) ((x) << S_T6_EGRTHRESHOLD) +#define G_T6_EGRTHRESHOLD(x) (((x) >> S_T6_EGRTHRESHOLD) & M_T6_EGRTHRESHOLD) + #define A_SGE_TIMESTAMP_LO 0x1098 #define A_SGE_TIMESTAMP_HI 0x109c @@ -1246,6 +1536,21 @@ #define V_LP_COUNT_T5(x) ((x) << S_LP_COUNT_T5) #define G_LP_COUNT_T5(x) (((x) >> S_LP_COUNT_T5) & M_LP_COUNT_T5) +#define S_VFIFO_CNT 15 +#define M_VFIFO_CNT 0x1ffffU +#define V_VFIFO_CNT(x) ((x) << S_VFIFO_CNT) +#define G_VFIFO_CNT(x) (((x) >> S_VFIFO_CNT) & M_VFIFO_CNT) + +#define S_COAL_CTL_FIFO_CNT 8 +#define M_COAL_CTL_FIFO_CNT 0x3fU +#define V_COAL_CTL_FIFO_CNT(x) ((x) << S_COAL_CTL_FIFO_CNT) +#define G_COAL_CTL_FIFO_CNT(x) (((x) >> S_COAL_CTL_FIFO_CNT) & M_COAL_CTL_FIFO_CNT) + +#define S_MERGE_FIFO_CNT 0 +#define M_MERGE_FIFO_CNT 0x3fU +#define V_MERGE_FIFO_CNT(x) ((x) << S_MERGE_FIFO_CNT) +#define G_MERGE_FIFO_CNT(x) (((x) >> S_MERGE_FIFO_CNT) & M_MERGE_FIFO_CNT) + #define A_SGE_DOORBELL_CONTROL 0x10a8 #define S_HINTDEPTHCTL 27 @@ -1315,6 +1620,32 @@ #define V_DROPPED_DB(x) ((x) << S_DROPPED_DB) #define F_DROPPED_DB V_DROPPED_DB(1U) +#define S_T6_DROP_TIMEOUT 7 +#define M_T6_DROP_TIMEOUT 0x3fU +#define V_T6_DROP_TIMEOUT(x) ((x) << S_T6_DROP_TIMEOUT) +#define G_T6_DROP_TIMEOUT(x) (((x) >> S_T6_DROP_TIMEOUT) & M_T6_DROP_TIMEOUT) + +#define S_INVONDBSYNC 6 +#define V_INVONDBSYNC(x) ((x) << S_INVONDBSYNC) +#define F_INVONDBSYNC V_INVONDBSYNC(1U) + +#define S_INVONGTSSYNC 5 +#define V_INVONGTSSYNC(x) ((x) << S_INVONGTSSYNC) +#define F_INVONGTSSYNC V_INVONGTSSYNC(1U) + +#define S_DB_DBG_EN 4 +#define V_DB_DBG_EN(x) ((x) << S_DB_DBG_EN) +#define F_DB_DBG_EN V_DB_DBG_EN(1U) + +#define S_GTS_DBG_TIMER_REG 1 +#define M_GTS_DBG_TIMER_REG 0x7U +#define V_GTS_DBG_TIMER_REG(x) ((x) << S_GTS_DBG_TIMER_REG) +#define G_GTS_DBG_TIMER_REG(x) (((x) >> S_GTS_DBG_TIMER_REG) & M_GTS_DBG_TIMER_REG) + +#define S_GTS_DBG_EN 0 +#define V_GTS_DBG_EN(x) ((x) << S_GTS_DBG_EN) +#define F_GTS_DBG_EN V_GTS_DBG_EN(1U) + #define A_SGE_DROPPED_DOORBELL 0x10ac #define A_SGE_DOORBELL_THROTTLE_CONTROL 0x10b0 @@ -1360,6 +1691,11 @@ #define V_LL_READ_WAIT_DISABLE(x) ((x) << S_LL_READ_WAIT_DISABLE) #define F_LL_READ_WAIT_DISABLE V_LL_READ_WAIT_DISABLE(1U) +#define S_TSCALE 28 +#define M_TSCALE 0xfU +#define V_TSCALE(x) ((x) << S_TSCALE) +#define G_TSCALE(x) (((x) >> S_TSCALE) & M_TSCALE) + #define A_SGE_TIMER_VALUE_0_AND_1 0x10b8 #define S_TIMERVALUE0 16 @@ -1426,6 +1762,39 @@ #define V_MAXRSPCNT1(x) ((x) << S_MAXRSPCNT1) #define G_MAXRSPCNT1(x) (((x) >> S_MAXRSPCNT1) & M_MAXRSPCNT1) +#define A_SGE_GK_CONTROL 0x10c4 + +#define S_EN_FLM_FIFTH 29 +#define V_EN_FLM_FIFTH(x) ((x) << S_EN_FLM_FIFTH) +#define F_EN_FLM_FIFTH V_EN_FLM_FIFTH(1U) + +#define S_FL_PROG_THRESH 20 +#define M_FL_PROG_THRESH 0x1ffU +#define V_FL_PROG_THRESH(x) ((x) << S_FL_PROG_THRESH) +#define G_FL_PROG_THRESH(x) (((x) >> S_FL_PROG_THRESH) & M_FL_PROG_THRESH) + +#define S_COAL_ALL_THREAD 19 +#define V_COAL_ALL_THREAD(x) ((x) << S_COAL_ALL_THREAD) +#define F_COAL_ALL_THREAD V_COAL_ALL_THREAD(1U) + +#define S_EN_PSHB 18 +#define V_EN_PSHB(x) ((x) << S_EN_PSHB) +#define F_EN_PSHB V_EN_PSHB(1U) + +#define S_EN_DB_FIFTH 17 +#define V_EN_DB_FIFTH(x) ((x) << S_EN_DB_FIFTH) +#define F_EN_DB_FIFTH V_EN_DB_FIFTH(1U) + +#define S_DB_PROG_THRESH 8 +#define M_DB_PROG_THRESH 0x1ffU +#define V_DB_PROG_THRESH(x) ((x) << S_DB_PROG_THRESH) +#define G_DB_PROG_THRESH(x) (((x) >> S_DB_PROG_THRESH) & M_DB_PROG_THRESH) + +#define S_100NS_TIMER 0 +#define M_100NS_TIMER 0xffU +#define V_100NS_TIMER(x) ((x) << S_100NS_TIMER) +#define G_100NS_TIMER(x) (((x) >> S_100NS_TIMER) & M_100NS_TIMER) + #define A_SGE_PD_RSP_CREDIT23 0x10c8 #define S_RSPCREDITEN2 31 @@ -1456,6 +1825,23 @@ #define V_MAXRSPCNT3(x) ((x) << S_MAXRSPCNT3) #define G_MAXRSPCNT3(x) (((x) >> S_MAXRSPCNT3) & M_MAXRSPCNT3) +#define A_SGE_GK_CONTROL2 0x10c8 + +#define S_DBQ_TIMER_TICK 16 +#define M_DBQ_TIMER_TICK 0xffffU +#define V_DBQ_TIMER_TICK(x) ((x) << S_DBQ_TIMER_TICK) +#define G_DBQ_TIMER_TICK(x) (((x) >> S_DBQ_TIMER_TICK) & M_DBQ_TIMER_TICK) + +#define S_FL_MERGE_CNT_THRESH 8 +#define M_FL_MERGE_CNT_THRESH 0xfU +#define V_FL_MERGE_CNT_THRESH(x) ((x) << S_FL_MERGE_CNT_THRESH) +#define G_FL_MERGE_CNT_THRESH(x) (((x) >> S_FL_MERGE_CNT_THRESH) & M_FL_MERGE_CNT_THRESH) + +#define S_MERGE_CNT_THRESH 0 +#define M_MERGE_CNT_THRESH 0x3fU +#define V_MERGE_CNT_THRESH(x) ((x) << S_MERGE_CNT_THRESH) +#define G_MERGE_CNT_THRESH(x) (((x) >> S_MERGE_CNT_THRESH) & M_MERGE_CNT_THRESH) + #define A_SGE_DEBUG_INDEX 0x10cc #define A_SGE_DEBUG_DATA_HIGH 0x10d0 #define A_SGE_DEBUG_DATA_LOW 0x10d4 @@ -1582,6 +1968,30 @@ #define V_ERR_RX_CPL_PACKET_SIZE0(x) ((x) << S_ERR_RX_CPL_PACKET_SIZE0) #define F_ERR_RX_CPL_PACKET_SIZE0 V_ERR_RX_CPL_PACKET_SIZE0(1U) +#define S_ERR_ISHIFT_UR1 31 +#define V_ERR_ISHIFT_UR1(x) ((x) << S_ERR_ISHIFT_UR1) +#define F_ERR_ISHIFT_UR1 V_ERR_ISHIFT_UR1(1U) + +#define S_ERR_ISHIFT_UR0 30 +#define V_ERR_ISHIFT_UR0(x) ((x) << S_ERR_ISHIFT_UR0) +#define F_ERR_ISHIFT_UR0 V_ERR_ISHIFT_UR0(1U) + +#define S_ERR_TH3_MAX_FETCH 14 +#define V_ERR_TH3_MAX_FETCH(x) ((x) << S_ERR_TH3_MAX_FETCH) +#define F_ERR_TH3_MAX_FETCH V_ERR_TH3_MAX_FETCH(1U) + +#define S_ERR_TH2_MAX_FETCH 13 +#define V_ERR_TH2_MAX_FETCH(x) ((x) << S_ERR_TH2_MAX_FETCH) +#define F_ERR_TH2_MAX_FETCH V_ERR_TH2_MAX_FETCH(1U) + +#define S_ERR_TH1_MAX_FETCH 12 +#define V_ERR_TH1_MAX_FETCH(x) ((x) << S_ERR_TH1_MAX_FETCH) +#define F_ERR_TH1_MAX_FETCH V_ERR_TH1_MAX_FETCH(1U) + +#define S_ERR_TH0_MAX_FETCH 11 +#define V_ERR_TH0_MAX_FETCH(x) ((x) << S_ERR_TH0_MAX_FETCH) +#define F_ERR_TH0_MAX_FETCH V_ERR_TH0_MAX_FETCH(1U) + #define A_SGE_INT_ENABLE4 0x10e0 #define A_SGE_STAT_TOTAL 0x10e4 #define A_SGE_STAT_MATCH 0x10e8 @@ -1616,6 +2026,11 @@ #define V_STATSOURCE_T5(x) ((x) << S_STATSOURCE_T5) #define G_STATSOURCE_T5(x) (((x) >> S_STATSOURCE_T5) & M_STATSOURCE_T5) +#define S_T6_STATMODE 0 +#define M_T6_STATMODE 0xfU +#define V_T6_STATMODE(x) ((x) << S_T6_STATMODE) +#define G_T6_STATMODE(x) (((x) >> S_T6_STATMODE) & M_T6_STATMODE) + #define A_SGE_HINT_CFG 0x10f0 #define S_HINTSALLOWEDNOHDR 6 @@ -1689,6 +2104,7 @@ #define V_MINTAG0(x) ((x) << S_MINTAG0) #define G_MINTAG0(x) (((x) >> S_MINTAG0) & M_MINTAG0) +#define A_SGE_IDMA0_DROP_CNT 0x1104 #define A_SGE_SHARED_TAG_POOL_CFG 0x1108 #define S_TAGPOOLTOTAL 0 @@ -1696,6 +2112,7 @@ #define V_TAGPOOLTOTAL(x) ((x) << S_TAGPOOLTOTAL) #define G_TAGPOOLTOTAL(x) (((x) >> S_TAGPOOLTOTAL) & M_TAGPOOLTOTAL) +#define A_SGE_IDMA1_DROP_CNT 0x1108 #define A_SGE_INT_CAUSE5 0x110c #define S_ERR_T_RXCRC 31 @@ -1992,6 +2409,90 @@ #define V_EDMA0_SLEEP_REQ(x) ((x) << S_EDMA0_SLEEP_REQ) #define F_EDMA0_SLEEP_REQ V_EDMA0_SLEEP_REQ(1U) +#define A_SGE_INT_CAUSE6 0x1128 + +#define S_ERR_DB_SYNC 21 +#define V_ERR_DB_SYNC(x) ((x) << S_ERR_DB_SYNC) +#define F_ERR_DB_SYNC V_ERR_DB_SYNC(1U) + +#define S_ERR_GTS_SYNC 20 +#define V_ERR_GTS_SYNC(x) ((x) << S_ERR_GTS_SYNC) +#define F_ERR_GTS_SYNC V_ERR_GTS_SYNC(1U) + +#define S_FATAL_LARGE_COAL 19 +#define V_FATAL_LARGE_COAL(x) ((x) << S_FATAL_LARGE_COAL) +#define F_FATAL_LARGE_COAL V_FATAL_LARGE_COAL(1U) + +#define S_PL_BAR2_FRM_ERR 18 +#define V_PL_BAR2_FRM_ERR(x) ((x) << S_PL_BAR2_FRM_ERR) +#define F_PL_BAR2_FRM_ERR V_PL_BAR2_FRM_ERR(1U) + +#define S_SILENT_DROP_TX_COAL 17 +#define V_SILENT_DROP_TX_COAL(x) ((x) << S_SILENT_DROP_TX_COAL) +#define F_SILENT_DROP_TX_COAL V_SILENT_DROP_TX_COAL(1U) + +#define S_ERR_INV_CTXT4 16 +#define V_ERR_INV_CTXT4(x) ((x) << S_ERR_INV_CTXT4) +#define F_ERR_INV_CTXT4 V_ERR_INV_CTXT4(1U) + +#define S_ERR_BAD_DB_PIDX4 15 +#define V_ERR_BAD_DB_PIDX4(x) ((x) << S_ERR_BAD_DB_PIDX4) +#define F_ERR_BAD_DB_PIDX4 V_ERR_BAD_DB_PIDX4(1U) + +#define S_ERR_BAD_UPFL_INC_CREDIT4 14 +#define V_ERR_BAD_UPFL_INC_CREDIT4(x) ((x) << S_ERR_BAD_UPFL_INC_CREDIT4) +#define F_ERR_BAD_UPFL_INC_CREDIT4 V_ERR_BAD_UPFL_INC_CREDIT4(1U) + +#define S_FATAL_TAG_MISMATCH 13 +#define V_FATAL_TAG_MISMATCH(x) ((x) << S_FATAL_TAG_MISMATCH) +#define F_FATAL_TAG_MISMATCH V_FATAL_TAG_MISMATCH(1U) + +#define S_FATAL_ENQ_CTL_RDY 12 +#define V_FATAL_ENQ_CTL_RDY(x) ((x) << S_FATAL_ENQ_CTL_RDY) +#define F_FATAL_ENQ_CTL_RDY V_FATAL_ENQ_CTL_RDY(1U) + +#define S_ERR_PC_RSP_LEN3 11 +#define V_ERR_PC_RSP_LEN3(x) ((x) << S_ERR_PC_RSP_LEN3) +#define F_ERR_PC_RSP_LEN3 V_ERR_PC_RSP_LEN3(1U) + +#define S_ERR_PC_RSP_LEN2 10 +#define V_ERR_PC_RSP_LEN2(x) ((x) << S_ERR_PC_RSP_LEN2) +#define F_ERR_PC_RSP_LEN2 V_ERR_PC_RSP_LEN2(1U) + +#define S_ERR_PC_RSP_LEN1 9 +#define V_ERR_PC_RSP_LEN1(x) ((x) << S_ERR_PC_RSP_LEN1) +#define F_ERR_PC_RSP_LEN1 V_ERR_PC_RSP_LEN1(1U) + +#define S_ERR_PC_RSP_LEN0 8 +#define V_ERR_PC_RSP_LEN0(x) ((x) << S_ERR_PC_RSP_LEN0) +#define F_ERR_PC_RSP_LEN0 V_ERR_PC_RSP_LEN0(1U) + +#define S_FATAL_ENQ2LL_VLD 7 +#define V_FATAL_ENQ2LL_VLD(x) ((x) << S_FATAL_ENQ2LL_VLD) +#define F_FATAL_ENQ2LL_VLD V_FATAL_ENQ2LL_VLD(1U) + +#define S_FATAL_LL_EMPTY 6 +#define V_FATAL_LL_EMPTY(x) ((x) << S_FATAL_LL_EMPTY) +#define F_FATAL_LL_EMPTY V_FATAL_LL_EMPTY(1U) + +#define S_FATAL_OFF_WDENQ 5 +#define V_FATAL_OFF_WDENQ(x) ((x) << S_FATAL_OFF_WDENQ) +#define F_FATAL_OFF_WDENQ V_FATAL_OFF_WDENQ(1U) + +#define S_FATAL_DEQ_DRDY 3 +#define M_FATAL_DEQ_DRDY 0x3U +#define V_FATAL_DEQ_DRDY(x) ((x) << S_FATAL_DEQ_DRDY) +#define G_FATAL_DEQ_DRDY(x) (((x) >> S_FATAL_DEQ_DRDY) & M_FATAL_DEQ_DRDY) + +#define S_FATAL_OUTP_DRDY 1 +#define M_FATAL_OUTP_DRDY 0x3U +#define V_FATAL_OUTP_DRDY(x) ((x) << S_FATAL_OUTP_DRDY) +#define G_FATAL_OUTP_DRDY(x) (((x) >> S_FATAL_OUTP_DRDY) & M_FATAL_OUTP_DRDY) + +#define S_FATAL_DEQ 0 +#define V_FATAL_DEQ(x) ((x) << S_FATAL_DEQ) +#define F_FATAL_DEQ V_FATAL_DEQ(1U) + #define A_SGE_DOORBELL_THROTTLE_THRESHOLD 0x112c #define S_THROTTLE_THRESHOLD_FL 16 @@ -2009,6 +2510,7 @@ #define V_THROTTLE_THRESHOLD_LP(x) ((x) << S_THROTTLE_THRESHOLD_LP) #define G_THROTTLE_THRESHOLD_LP(x) (((x) >> S_THROTTLE_THRESHOLD_LP) & M_THROTTLE_THRESHOLD_LP) +#define A_SGE_INT_ENABLE6 0x112c #define A_SGE_DBP_FETCH_THRESHOLD 0x1130 #define S_DBP_FETCH_THRESHOLD_FL 21 @@ -2066,6 +2568,11 @@ #define V_DBVFIFO_SIZE(x) ((x) << S_DBVFIFO_SIZE) #define G_DBVFIFO_SIZE(x) (((x) >> S_DBVFIFO_SIZE) & M_DBVFIFO_SIZE) +#define S_T6_DBVFIFO_SIZE 0 +#define M_T6_DBVFIFO_SIZE 0x1fffU +#define V_T6_DBVFIFO_SIZE(x) ((x) << S_T6_DBVFIFO_SIZE) +#define G_T6_DBVFIFO_SIZE(x) (((x) >> S_T6_DBVFIFO_SIZE) & M_T6_DBVFIFO_SIZE) + #define A_SGE_DBFIFO_STATUS3 0x1140 #define S_LP_PTRS_EQUAL 21 @@ -2093,6 +2600,18 @@ #define A_SGE_CHANGESET 0x1144 #define A_SGE_PC_RSP_ERROR 0x1148 +#define A_SGE_TBUF_CONTROL 0x114c + +#define S_DBPTBUFRSV1 9 +#define M_DBPTBUFRSV1 0x1ffU +#define V_DBPTBUFRSV1(x) ((x) << S_DBPTBUFRSV1) +#define G_DBPTBUFRSV1(x) (((x) >> S_DBPTBUFRSV1) & M_DBPTBUFRSV1) + +#define S_DBPTBUFRSV0 0 +#define M_DBPTBUFRSV0 0x1ffU +#define V_DBPTBUFRSV0(x) ((x) << S_DBPTBUFRSV0) +#define G_DBPTBUFRSV0(x) (((x) >> S_DBPTBUFRSV0) & M_DBPTBUFRSV0) + #define A_SGE_PC0_REQ_BIST_CMD 0x1180 #define A_SGE_PC0_REQ_BIST_ERROR_CNT 0x1184 #define A_SGE_PC1_REQ_BIST_CMD 0x1190 @@ -2138,6 +2657,115 @@ #define A_SGE_CTXT_MASK5 0x1234 #define A_SGE_CTXT_MASK6 0x1238 #define A_SGE_CTXT_MASK7 0x123c +#define A_SGE_QBASE_MAP0 0x1240 + +#define S_EGRESS0_SIZE 24 +#define M_EGRESS0_SIZE 0x1fU +#define V_EGRESS0_SIZE(x) ((x) << S_EGRESS0_SIZE) +#define G_EGRESS0_SIZE(x) (((x) >> S_EGRESS0_SIZE) & M_EGRESS0_SIZE) + +#define S_EGRESS1_SIZE 16 +#define M_EGRESS1_SIZE 0x1fU +#define V_EGRESS1_SIZE(x) ((x) << S_EGRESS1_SIZE) +#define G_EGRESS1_SIZE(x) (((x) >> S_EGRESS1_SIZE) & M_EGRESS1_SIZE) + +#define S_INGRESS0_SIZE 8 +#define M_INGRESS0_SIZE 0x1fU +#define V_INGRESS0_SIZE(x) ((x) << S_INGRESS0_SIZE) +#define G_INGRESS0_SIZE(x) (((x) >> S_INGRESS0_SIZE) & M_INGRESS0_SIZE) + +#define A_SGE_QBASE_MAP1 0x1244 + +#define S_EGRESS0_BASE 0 +#define M_EGRESS0_BASE 0x1ffffU +#define V_EGRESS0_BASE(x) ((x) << S_EGRESS0_BASE) +#define G_EGRESS0_BASE(x) (((x) >> S_EGRESS0_BASE) & M_EGRESS0_BASE) + +#define A_SGE_QBASE_MAP2 0x1248 + +#define S_EGRESS1_BASE 0 +#define M_EGRESS1_BASE 0x1ffffU +#define V_EGRESS1_BASE(x) ((x) << S_EGRESS1_BASE) +#define G_EGRESS1_BASE(x) (((x) >> S_EGRESS1_BASE) & M_EGRESS1_BASE) + +#define A_SGE_QBASE_MAP3 0x124c + +#define S_INGRESS1_BASE_256VF 16 +#define M_INGRESS1_BASE_256VF 0xffffU +#define V_INGRESS1_BASE_256VF(x) ((x) << S_INGRESS1_BASE_256VF) +#define G_INGRESS1_BASE_256VF(x) (((x) >> S_INGRESS1_BASE_256VF) & M_INGRESS1_BASE_256VF) + +#define S_INGRESS0_BASE 0 +#define M_INGRESS0_BASE 0xffffU +#define V_INGRESS0_BASE(x) ((x) << S_INGRESS0_BASE) +#define G_INGRESS0_BASE(x) (((x) >> S_INGRESS0_BASE) & M_INGRESS0_BASE) + +#define A_SGE_QBASE_INDEX 0x1250 + +#define S_QIDX 0 +#define M_QIDX 0x1ffU +#define V_QIDX(x) ((x) << S_QIDX) +#define G_QIDX(x) (((x) >> S_QIDX) & M_QIDX) + +#define A_SGE_CONM_CTRL2 0x1254 + +#define S_FLMTHRESHPACK 8 +#define M_FLMTHRESHPACK 0x7fU +#define V_FLMTHRESHPACK(x) ((x) << S_FLMTHRESHPACK) +#define G_FLMTHRESHPACK(x) (((x) >> S_FLMTHRESHPACK) & M_FLMTHRESHPACK) + +#define S_FLMTHRESH 0 +#define M_FLMTHRESH 0x7fU +#define V_FLMTHRESH(x) ((x) << S_FLMTHRESH) +#define G_FLMTHRESH(x) (((x) >> S_FLMTHRESH) & M_FLMTHRESH) + +#define A_SGE_DEBUG_CONM 0x1258 + +#define S_MPS_CH_CNG 16 +#define M_MPS_CH_CNG 0xffffU +#define V_MPS_CH_CNG(x) ((x) << S_MPS_CH_CNG) +#define G_MPS_CH_CNG(x) (((x) >> S_MPS_CH_CNG) & M_MPS_CH_CNG) + +#define S_TP_CH_CNG 14 +#define M_TP_CH_CNG 0x3U +#define V_TP_CH_CNG(x) ((x) << S_TP_CH_CNG) +#define G_TP_CH_CNG(x) (((x) >> S_TP_CH_CNG) & M_TP_CH_CNG) + +#define S_ST_CONG 12 +#define M_ST_CONG 0x3U +#define V_ST_CONG(x) ((x) << S_ST_CONG) +#define G_ST_CONG(x) (((x) >> S_ST_CONG) & M_ST_CONG) + +#define S_LAST_XOFF 10 +#define V_LAST_XOFF(x) ((x) << S_LAST_XOFF) +#define F_LAST_XOFF V_LAST_XOFF(1U) + +#define S_LAST_QID 0 +#define M_LAST_QID 0x3ffU +#define V_LAST_QID(x) ((x) << S_LAST_QID) +#define G_LAST_QID(x) (((x) >> S_LAST_QID) & M_LAST_QID) + +#define A_SGE_DBG_QUEUE_STAT0_CTRL 0x125c + +#define S_IMSG_GTS_SEL 18 +#define V_IMSG_GTS_SEL(x) ((x) << S_IMSG_GTS_SEL) +#define F_IMSG_GTS_SEL V_IMSG_GTS_SEL(1U) + +#define S_MGT_SEL 17 +#define V_MGT_SEL(x) ((x) << S_MGT_SEL) +#define F_MGT_SEL V_MGT_SEL(1U) + +#define S_DB_GTS_QID 0 +#define M_DB_GTS_QID 0x1ffffU +#define V_DB_GTS_QID(x) ((x) << S_DB_GTS_QID) +#define G_DB_GTS_QID(x) (((x) >> S_DB_GTS_QID) & M_DB_GTS_QID) + +#define A_SGE_DBG_QUEUE_STAT1_CTRL 0x1260 +#define A_SGE_DBG_QUEUE_STAT0 0x1264 +#define A_SGE_DBG_QUEUE_STAT1 0x1268 +#define A_SGE_DBG_BAR2_PKT_CNT 0x126c +#define A_SGE_DBG_DB_PKT_CNT 0x1270 +#define A_SGE_DBG_GTS_PKT_CNT 0x1274 #define A_SGE_DEBUG_DATA_HIGH_INDEX_0 0x1280 #define S_CIM_WM 24 @@ -2175,6 +2803,16 @@ #define V_DEBUG_CIM_EOP0_CNT(x) ((x) << S_DEBUG_CIM_EOP0_CNT) #define G_DEBUG_CIM_EOP0_CNT(x) (((x) >> S_DEBUG_CIM_EOP0_CNT) & M_DEBUG_CIM_EOP0_CNT) +#define S_DEBUG_BAR2_SOP_CNT 28 +#define M_DEBUG_BAR2_SOP_CNT 0xfU +#define V_DEBUG_BAR2_SOP_CNT(x) ((x) << S_DEBUG_BAR2_SOP_CNT) +#define G_DEBUG_BAR2_SOP_CNT(x) (((x) >> S_DEBUG_BAR2_SOP_CNT) & M_DEBUG_BAR2_SOP_CNT) + +#define S_DEBUG_BAR2_EOP_CNT 24 +#define M_DEBUG_BAR2_EOP_CNT 0xfU +#define V_DEBUG_BAR2_EOP_CNT(x) ((x) << S_DEBUG_BAR2_EOP_CNT) +#define G_DEBUG_BAR2_EOP_CNT(x) (((x) >> S_DEBUG_BAR2_EOP_CNT) & M_DEBUG_BAR2_EOP_CNT) + #define A_SGE_DEBUG_DATA_HIGH_INDEX_1 0x1284 #define S_DEBUG_T_RX_SOP1_CNT 28 @@ -2259,6 +2897,16 @@ #define V_DEBUG_UD_RX_EOP0_CNT(x) ((x) << S_DEBUG_UD_RX_EOP0_CNT) #define G_DEBUG_UD_RX_EOP0_CNT(x) (((x) >> S_DEBUG_UD_RX_EOP0_CNT) & M_DEBUG_UD_RX_EOP0_CNT) +#define S_DBG_TBUF_USED1 9 +#define M_DBG_TBUF_USED1 0x1ffU +#define V_DBG_TBUF_USED1(x) ((x) << S_DBG_TBUF_USED1) +#define G_DBG_TBUF_USED1(x) (((x) >> S_DBG_TBUF_USED1) & M_DBG_TBUF_USED1) + +#define S_DBG_TBUF_USED0 0 +#define M_DBG_TBUF_USED0 0x1ffU +#define V_DBG_TBUF_USED0(x) ((x) << S_DBG_TBUF_USED0) +#define G_DBG_TBUF_USED0(x) (((x) >> S_DBG_TBUF_USED0) & M_DBG_TBUF_USED0) + #define A_SGE_DEBUG_DATA_HIGH_INDEX_3 0x128c #define S_DEBUG_U_TX_SOP3_CNT 28 @@ -2301,6 +2949,28 @@ #define V_DEBUG_U_TX_EOP0_CNT(x) ((x) << S_DEBUG_U_TX_EOP0_CNT) #define G_DEBUG_U_TX_EOP0_CNT(x) (((x) >> S_DEBUG_U_TX_EOP0_CNT) & M_DEBUG_U_TX_EOP0_CNT) +#define A_SGE_DEBUG1_DBP_THREAD 0x128c + +#define S_WR_DEQ_CNT 12 +#define M_WR_DEQ_CNT 0xfU +#define V_WR_DEQ_CNT(x) ((x) << S_WR_DEQ_CNT) +#define G_WR_DEQ_CNT(x) (((x) >> S_WR_DEQ_CNT) & M_WR_DEQ_CNT) + +#define S_WR_ENQ_CNT 8 +#define M_WR_ENQ_CNT 0xfU +#define V_WR_ENQ_CNT(x) ((x) << S_WR_ENQ_CNT) +#define G_WR_ENQ_CNT(x) (((x) >> S_WR_ENQ_CNT) & M_WR_ENQ_CNT) + +#define S_FL_DEQ_CNT 4 +#define M_FL_DEQ_CNT 0xfU +#define V_FL_DEQ_CNT(x) ((x) << S_FL_DEQ_CNT) +#define G_FL_DEQ_CNT(x) (((x) >> S_FL_DEQ_CNT) & M_FL_DEQ_CNT) + +#define S_FL_ENQ_CNT 0 +#define M_FL_ENQ_CNT 0xfU +#define V_FL_ENQ_CNT(x) ((x) << S_FL_ENQ_CNT) +#define G_FL_ENQ_CNT(x) (((x) >> S_FL_ENQ_CNT) & M_FL_ENQ_CNT) + #define A_SGE_DEBUG_DATA_HIGH_INDEX_4 0x1290 #define S_DEBUG_PC_RSP_SOP1_CNT 28 @@ -2469,6 +3139,26 @@ #define V_DEBUG_PD_WRREQ_EOP0_CNT(x) ((x) << S_DEBUG_PD_WRREQ_EOP0_CNT) #define G_DEBUG_PD_WRREQ_EOP0_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_EOP0_CNT) & M_DEBUG_PD_WRREQ_EOP0_CNT) +#define S_DEBUG_PC_RSP_SOP_CNT 28 +#define M_DEBUG_PC_RSP_SOP_CNT 0xfU +#define V_DEBUG_PC_RSP_SOP_CNT(x) ((x) << S_DEBUG_PC_RSP_SOP_CNT) +#define G_DEBUG_PC_RSP_SOP_CNT(x) (((x) >> S_DEBUG_PC_RSP_SOP_CNT) & M_DEBUG_PC_RSP_SOP_CNT) + +#define S_DEBUG_PC_RSP_EOP_CNT 24 +#define M_DEBUG_PC_RSP_EOP_CNT 0xfU +#define V_DEBUG_PC_RSP_EOP_CNT(x) ((x) << S_DEBUG_PC_RSP_EOP_CNT) +#define G_DEBUG_PC_RSP_EOP_CNT(x) (((x) >> S_DEBUG_PC_RSP_EOP_CNT) & M_DEBUG_PC_RSP_EOP_CNT) + +#define S_DEBUG_PC_REQ_SOP_CNT 20 +#define M_DEBUG_PC_REQ_SOP_CNT 0xfU +#define V_DEBUG_PC_REQ_SOP_CNT(x) ((x) << S_DEBUG_PC_REQ_SOP_CNT) +#define G_DEBUG_PC_REQ_SOP_CNT(x) (((x) >> S_DEBUG_PC_REQ_SOP_CNT) & M_DEBUG_PC_REQ_SOP_CNT) + +#define S_DEBUG_PC_REQ_EOP_CNT 16 +#define M_DEBUG_PC_REQ_EOP_CNT 0xfU +#define V_DEBUG_PC_REQ_EOP_CNT(x) ((x) << S_DEBUG_PC_REQ_EOP_CNT) +#define G_DEBUG_PC_REQ_EOP_CNT(x) (((x) >> S_DEBUG_PC_REQ_EOP_CNT) & M_DEBUG_PC_REQ_EOP_CNT) + #define A_SGE_DEBUG_DATA_HIGH_INDEX_8 0x12a0 #define S_GLOBALENABLE_OFF 29 @@ -2541,6 +3231,14 @@ #define V_DEBUG_PD_WRREQ_INT0_CNT(x) ((x) << S_DEBUG_PD_WRREQ_INT0_CNT) #define G_DEBUG_PD_WRREQ_INT0_CNT(x) (((x) >> S_DEBUG_PD_WRREQ_INT0_CNT) & M_DEBUG_PD_WRREQ_INT0_CNT) +#define S_DEBUG_PL_BAR2_REQVLD 31 +#define V_DEBUG_PL_BAR2_REQVLD(x) ((x) << S_DEBUG_PL_BAR2_REQVLD) +#define F_DEBUG_PL_BAR2_REQVLD V_DEBUG_PL_BAR2_REQVLD(1U) + +#define S_DEBUG_PL_BAR2_REQFULL 30 +#define V_DEBUG_PL_BAR2_REQFULL(x) ((x) << S_DEBUG_PL_BAR2_REQFULL) +#define F_DEBUG_PL_BAR2_REQFULL V_DEBUG_PL_BAR2_REQFULL(1U) + #define A_SGE_DEBUG_DATA_HIGH_INDEX_9 0x12a4 #define S_DEBUG_CPLSW_TP_RX_SOP1_CNT 28 @@ -2635,6 +3333,94 @@ #define V_DEBUG_CIM_AFULL_D(x) ((x) << S_DEBUG_CIM_AFULL_D) #define G_DEBUG_CIM_AFULL_D(x) (((x) >> S_DEBUG_CIM_AFULL_D) & M_DEBUG_CIM_AFULL_D) +#define S_DEBUG_IDMA1_S_CPL_FLIT_REMAINING 28 +#define M_DEBUG_IDMA1_S_CPL_FLIT_REMAINING 0xfU +#define V_DEBUG_IDMA1_S_CPL_FLIT_REMAINING(x) ((x) << S_DEBUG_IDMA1_S_CPL_FLIT_REMAINING) +#define G_DEBUG_IDMA1_S_CPL_FLIT_REMAINING(x) (((x) >> S_DEBUG_IDMA1_S_CPL_FLIT_REMAINING) & M_DEBUG_IDMA1_S_CPL_FLIT_REMAINING) + +#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY 27 +#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY) +#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_SRDY(1U) + +#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS 26 +#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS) +#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_RSS(1U) + +#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL 25 +#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL) +#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL V_DEBUG_IDMA1_IDMA2IMSG_CMP_OUT_NOCPL(1U) + +#define S_DEBUG_IDMA1_IDMA2IMSG_FULL 24 +#define V_DEBUG_IDMA1_IDMA2IMSG_FULL(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_FULL) +#define F_DEBUG_IDMA1_IDMA2IMSG_FULL V_DEBUG_IDMA1_IDMA2IMSG_FULL(1U) + +#define S_DEBUG_IDMA1_IDMA2IMSG_EOP 23 +#define V_DEBUG_IDMA1_IDMA2IMSG_EOP(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_EOP) +#define F_DEBUG_IDMA1_IDMA2IMSG_EOP V_DEBUG_IDMA1_IDMA2IMSG_EOP(1U) + +#define S_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY 22 +#define V_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY) +#define F_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY V_DEBUG_IDMA1_IDMA2IMSG_FIFO_IN_DRDY(1U) + +#define S_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY 21 +#define V_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY(x) ((x) << S_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY) +#define F_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY V_DEBUG_IDMA1_IDMA2IMSG_CMP_IN_DRDY(1U) + +#define S_DEBUG_IDMA0_S_CPL_FLIT_REMAINING 17 +#define M_DEBUG_IDMA0_S_CPL_FLIT_REMAINING 0xfU +#define V_DEBUG_IDMA0_S_CPL_FLIT_REMAINING(x) ((x) << S_DEBUG_IDMA0_S_CPL_FLIT_REMAINING) +#define G_DEBUG_IDMA0_S_CPL_FLIT_REMAINING(x) (((x) >> S_DEBUG_IDMA0_S_CPL_FLIT_REMAINING) & M_DEBUG_IDMA0_S_CPL_FLIT_REMAINING) + +#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY 16 +#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY) +#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_SRDY(1U) + +#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS 15 +#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS) +#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_RSS(1U) + +#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL 14 +#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL) +#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL V_DEBUG_IDMA0_IDMA2IMSG_CMP_OUT_NOCPL(1U) + +#define S_DEBUG_IDMA0_IDMA2IMSG_FULL 13 +#define V_DEBUG_IDMA0_IDMA2IMSG_FULL(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_FULL) +#define F_DEBUG_IDMA0_IDMA2IMSG_FULL V_DEBUG_IDMA0_IDMA2IMSG_FULL(1U) + +#define S_DEBUG_IDMA0_IDMA2IMSG_EOP 12 +#define V_DEBUG_IDMA0_IDMA2IMSG_EOP(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_EOP) +#define F_DEBUG_IDMA0_IDMA2IMSG_EOP V_DEBUG_IDMA0_IDMA2IMSG_EOP(1U) + +#define S_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY 11 +#define V_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY) +#define F_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY V_DEBUG_IDMA0_IDMA2IMSG_CMP_IN_DRDY(1U) + +#define S_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY 10 +#define V_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY(x) ((x) << S_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY) +#define F_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY V_DEBUG_IDMA0_IDMA2IMSG_FIFO_IN_DRDY(1U) + +#define S_T6_DEBUG_T_RXAFULL_D 8 +#define M_T6_DEBUG_T_RXAFULL_D 0x3U +#define V_T6_DEBUG_T_RXAFULL_D(x) ((x) << S_T6_DEBUG_T_RXAFULL_D) +#define G_T6_DEBUG_T_RXAFULL_D(x) (((x) >> S_T6_DEBUG_T_RXAFULL_D) & M_T6_DEBUG_T_RXAFULL_D) + +#define S_T6_DEBUG_PD_WRREQAFULL_D 6 +#define M_T6_DEBUG_PD_WRREQAFULL_D 0x3U +#define V_T6_DEBUG_PD_WRREQAFULL_D(x) ((x) << S_T6_DEBUG_PD_WRREQAFULL_D) +#define G_T6_DEBUG_PD_WRREQAFULL_D(x) (((x) >> S_T6_DEBUG_PD_WRREQAFULL_D) & M_T6_DEBUG_PD_WRREQAFULL_D) + +#define S_T6_DEBUG_PC_RSPAFULL_D 5 +#define V_T6_DEBUG_PC_RSPAFULL_D(x) ((x) << S_T6_DEBUG_PC_RSPAFULL_D) +#define F_T6_DEBUG_PC_RSPAFULL_D V_T6_DEBUG_PC_RSPAFULL_D(1U) + +#define S_T6_DEBUG_PC_REQAFULL_D 4 +#define V_T6_DEBUG_PC_REQAFULL_D(x) ((x) << S_T6_DEBUG_PC_REQAFULL_D) +#define F_T6_DEBUG_PC_REQAFULL_D V_T6_DEBUG_PC_REQAFULL_D(1U) + +#define S_T6_DEBUG_CIM_AFULL_D 0 +#define V_T6_DEBUG_CIM_AFULL_D(x) ((x) << S_T6_DEBUG_CIM_AFULL_D) +#define F_T6_DEBUG_CIM_AFULL_D V_T6_DEBUG_CIM_AFULL_D(1U) + #define A_SGE_DEBUG_DATA_HIGH_INDEX_11 0x12ac #define S_DEBUG_FLM_IDMA1_CACHE_DATA_ACTIVE 24 @@ -2731,6 +3517,16 @@ #define V_DEBUG_PC_REQ_EOP2_CNT(x) ((x) << S_DEBUG_PC_REQ_EOP2_CNT) #define G_DEBUG_PC_REQ_EOP2_CNT(x) (((x) >> S_DEBUG_PC_REQ_EOP2_CNT) & M_DEBUG_PC_REQ_EOP2_CNT) +#define S_DEBUG_IDMA1_ISHIFT_TX_SIZE 8 +#define M_DEBUG_IDMA1_ISHIFT_TX_SIZE 0x7fU +#define V_DEBUG_IDMA1_ISHIFT_TX_SIZE(x) ((x) << S_DEBUG_IDMA1_ISHIFT_TX_SIZE) +#define G_DEBUG_IDMA1_ISHIFT_TX_SIZE(x) (((x) >> S_DEBUG_IDMA1_ISHIFT_TX_SIZE) & M_DEBUG_IDMA1_ISHIFT_TX_SIZE) + +#define S_DEBUG_IDMA0_ISHIFT_TX_SIZE 0 +#define M_DEBUG_IDMA0_ISHIFT_TX_SIZE 0x7fU +#define V_DEBUG_IDMA0_ISHIFT_TX_SIZE(x) ((x) << S_DEBUG_IDMA0_ISHIFT_TX_SIZE) +#define G_DEBUG_IDMA0_ISHIFT_TX_SIZE(x) (((x) >> S_DEBUG_IDMA0_ISHIFT_TX_SIZE) & M_DEBUG_IDMA0_ISHIFT_TX_SIZE) + #define A_SGE_DEBUG_DATA_HIGH_INDEX_13 0x12b4 #define A_SGE_DEBUG_DATA_HIGH_INDEX_14 0x12b8 #define A_SGE_DEBUG_DATA_HIGH_INDEX_15 0x12bc @@ -2776,6 +3572,14 @@ #define V_DEBUG_ST_IDMA0_IDMA_SM(x) ((x) << S_DEBUG_ST_IDMA0_IDMA_SM) #define G_DEBUG_ST_IDMA0_IDMA_SM(x) (((x) >> S_DEBUG_ST_IDMA0_IDMA_SM) & M_DEBUG_ST_IDMA0_IDMA_SM) +#define S_DEBUG_ST_IDMA1_IDMA2IMSG 15 +#define V_DEBUG_ST_IDMA1_IDMA2IMSG(x) ((x) << S_DEBUG_ST_IDMA1_IDMA2IMSG) +#define F_DEBUG_ST_IDMA1_IDMA2IMSG V_DEBUG_ST_IDMA1_IDMA2IMSG(1U) + +#define S_DEBUG_ST_IDMA0_IDMA2IMSG 6 +#define V_DEBUG_ST_IDMA0_IDMA2IMSG(x) ((x) << S_DEBUG_ST_IDMA0_IDMA2IMSG) +#define F_DEBUG_ST_IDMA0_IDMA2IMSG V_DEBUG_ST_IDMA0_IDMA2IMSG(1U) + #define A_SGE_DEBUG_DATA_LOW_INDEX_1 0x12c4 #define S_DEBUG_ITP_EMPTY 12 @@ -2837,6 +3641,11 @@ #define V_DEBUG_ST_DBP_THREAD0_MAIN(x) ((x) << S_DEBUG_ST_DBP_THREAD0_MAIN) #define G_DEBUG_ST_DBP_THREAD0_MAIN(x) (((x) >> S_DEBUG_ST_DBP_THREAD0_MAIN) & M_DEBUG_ST_DBP_THREAD0_MAIN) +#define S_T6_DEBUG_ST_DBP_UPCP_MAIN 14 +#define M_T6_DEBUG_ST_DBP_UPCP_MAIN 0x7U +#define V_T6_DEBUG_ST_DBP_UPCP_MAIN(x) ((x) << S_T6_DEBUG_ST_DBP_UPCP_MAIN) +#define G_T6_DEBUG_ST_DBP_UPCP_MAIN(x) (((x) >> S_T6_DEBUG_ST_DBP_UPCP_MAIN) & M_T6_DEBUG_ST_DBP_UPCP_MAIN) + #define A_SGE_DEBUG_DATA_LOW_INDEX_3 0x12cc #define S_DEBUG_ST_DBP_UPCP_MAIN 14 @@ -2956,6 +3765,28 @@ #define V_DEBUG_FLM_DBPTR_QID(x) ((x) << S_DEBUG_FLM_DBPTR_QID) #define G_DEBUG_FLM_DBPTR_QID(x) (((x) >> S_DEBUG_FLM_DBPTR_QID) & M_DEBUG_FLM_DBPTR_QID) +#define A_SGE_DEBUG0_DBP_THREAD 0x12d4 + +#define S_THREAD_ST_MAIN 25 +#define M_THREAD_ST_MAIN 0x3fU +#define V_THREAD_ST_MAIN(x) ((x) << S_THREAD_ST_MAIN) +#define G_THREAD_ST_MAIN(x) (((x) >> S_THREAD_ST_MAIN) & M_THREAD_ST_MAIN) + +#define S_THREAD_ST_CIMFL 21 +#define M_THREAD_ST_CIMFL 0xfU +#define V_THREAD_ST_CIMFL(x) ((x) << S_THREAD_ST_CIMFL) +#define G_THREAD_ST_CIMFL(x) (((x) >> S_THREAD_ST_CIMFL) & M_THREAD_ST_CIMFL) + +#define S_THREAD_CMDOP 17 +#define M_THREAD_CMDOP 0xfU +#define V_THREAD_CMDOP(x) ((x) << S_THREAD_CMDOP) +#define G_THREAD_CMDOP(x) (((x) >> S_THREAD_CMDOP) & M_THREAD_CMDOP) + +#define S_THREAD_QID 0 +#define M_THREAD_QID 0x1ffffU +#define V_THREAD_QID(x) ((x) << S_THREAD_QID) +#define G_THREAD_QID(x) (((x) >> S_THREAD_QID) & M_THREAD_QID) + #define A_SGE_DEBUG_DATA_LOW_INDEX_6 0x12d8 #define S_DEBUG_DBP_THREAD0_QID 0 @@ -3060,6 +3891,37 @@ #define V_INGRESS1_SIZE(x) ((x) << S_INGRESS1_SIZE) #define G_INGRESS1_SIZE(x) (((x) >> S_INGRESS1_SIZE) & M_INGRESS1_SIZE) +#define A_SGE_WC_EGRS_BAR2_OFF_PF 0x1300 + +#define S_PFIQSPERPAGE 28 +#define M_PFIQSPERPAGE 0xfU +#define V_PFIQSPERPAGE(x) ((x) << S_PFIQSPERPAGE) +#define G_PFIQSPERPAGE(x) (((x) >> S_PFIQSPERPAGE) & M_PFIQSPERPAGE) + +#define S_PFEQSPERPAGE 24 +#define M_PFEQSPERPAGE 0xfU +#define V_PFEQSPERPAGE(x) ((x) << S_PFEQSPERPAGE) +#define G_PFEQSPERPAGE(x) (((x) >> S_PFEQSPERPAGE) & M_PFEQSPERPAGE) + +#define S_PFWCQSPERPAGE 20 +#define M_PFWCQSPERPAGE 0xfU +#define V_PFWCQSPERPAGE(x) ((x) << S_PFWCQSPERPAGE) +#define G_PFWCQSPERPAGE(x) (((x) >> S_PFWCQSPERPAGE) & M_PFWCQSPERPAGE) + +#define S_PFWCOFFEN 19 +#define V_PFWCOFFEN(x) ((x) << S_PFWCOFFEN) +#define F_PFWCOFFEN V_PFWCOFFEN(1U) + +#define S_PFMAXWCSIZE 17 +#define M_PFMAXWCSIZE 0x3U +#define V_PFMAXWCSIZE(x) ((x) << S_PFMAXWCSIZE) +#define G_PFMAXWCSIZE(x) (((x) >> S_PFMAXWCSIZE) & M_PFMAXWCSIZE) + +#define S_PFWCOFFSET 0 +#define M_PFWCOFFSET 0x1ffffU +#define V_PFWCOFFSET(x) ((x) << S_PFWCOFFSET) +#define G_PFWCOFFSET(x) (((x) >> S_PFWCOFFSET) & M_PFWCOFFSET) + #define A_SGE_QUEUE_BASE_MAP_LOW 0x1304 #define S_INGRESS2_BASE 16 @@ -3072,6 +3934,37 @@ #define V_INGRESS1_BASE(x) ((x) << S_INGRESS1_BASE) #define G_INGRESS1_BASE(x) (((x) >> S_INGRESS1_BASE) & M_INGRESS1_BASE) +#define A_SGE_WC_EGRS_BAR2_OFF_VF 0x1320 + +#define S_VFIQSPERPAGE 28 +#define M_VFIQSPERPAGE 0xfU +#define V_VFIQSPERPAGE(x) ((x) << S_VFIQSPERPAGE) +#define G_VFIQSPERPAGE(x) (((x) >> S_VFIQSPERPAGE) & M_VFIQSPERPAGE) + +#define S_VFEQSPERPAGE 24 +#define M_VFEQSPERPAGE 0xfU +#define V_VFEQSPERPAGE(x) ((x) << S_VFEQSPERPAGE) +#define G_VFEQSPERPAGE(x) (((x) >> S_VFEQSPERPAGE) & M_VFEQSPERPAGE) + +#define S_VFWCQSPERPAGE 20 +#define M_VFWCQSPERPAGE 0xfU +#define V_VFWCQSPERPAGE(x) ((x) << S_VFWCQSPERPAGE) +#define G_VFWCQSPERPAGE(x) (((x) >> S_VFWCQSPERPAGE) & M_VFWCQSPERPAGE) + +#define S_VFWCOFFEN 19 +#define V_VFWCOFFEN(x) ((x) << S_VFWCOFFEN) +#define F_VFWCOFFEN V_VFWCOFFEN(1U) + +#define S_VFMAXWCSIZE 17 +#define M_VFMAXWCSIZE 0x3U +#define V_VFMAXWCSIZE(x) ((x) << S_VFMAXWCSIZE) +#define G_VFMAXWCSIZE(x) (((x) >> S_VFMAXWCSIZE) & M_VFMAXWCSIZE) + +#define S_VFWCOFFSET 0 +#define M_VFWCOFFSET 0x1ffffU +#define V_VFWCOFFSET(x) ((x) << S_VFWCOFFSET) +#define G_VFWCOFFSET(x) (((x) >> S_VFWCOFFSET) & M_VFWCOFFSET) + #define A_SGE_LA_RDPTR_0 0x1800 #define A_SGE_LA_RDDATA_0 0x1804 #define A_SGE_LA_WRPTR_0 0x1808 @@ -3409,6 +4302,11 @@ #define V_IDE(x) ((x) << S_IDE) #define F_IDE V_IDE(1U) +#define S_MEMSEL_PCIE 1 +#define M_MEMSEL_PCIE 0x1fU +#define V_MEMSEL_PCIE(x) ((x) << S_MEMSEL_PCIE) +#define G_MEMSEL_PCIE(x) (((x) >> S_MEMSEL_PCIE) & M_MEMSEL_PCIE) + #define A_PCIE_NONFAT_ERR 0x3010 #define S_RDRSPERR 9 @@ -3535,6 +4433,14 @@ #define V_BAR2REQ(x) ((x) << S_BAR2REQ) #define F_BAR2REQ V_BAR2REQ(1U) +#define S_MARSPUE 30 +#define V_MARSPUE(x) ((x) << S_MARSPUE) +#define F_MARSPUE V_MARSPUE(1U) + +#define S_KDBEOPERR 7 +#define V_KDBEOPERR(x) ((x) << S_KDBEOPERR) +#define F_KDBEOPERR V_KDBEOPERR(1U) + #define A_PCIE_CFG 0x3014 #define S_CFGDMAXPYLDSZRX 26 @@ -3625,6 +4531,10 @@ #define V_LINKDNRSTEN(x) ((x) << S_LINKDNRSTEN) #define F_LINKDNRSTEN V_LINKDNRSTEN(1U) +#define S_T5_PIOSTOPEN 31 +#define V_T5_PIOSTOPEN(x) ((x) << S_T5_PIOSTOPEN) +#define F_T5_PIOSTOPEN V_T5_PIOSTOPEN(1U) + #define S_DIAGCTRLBUS 28 #define M_DIAGCTRLBUS 0x7U #define V_DIAGCTRLBUS(x) ((x) << S_DIAGCTRLBUS) @@ -3672,6 +4582,10 @@ #define V_LINKREQRSTPCIECRSTMODE(x) ((x) << S_LINKREQRSTPCIECRSTMODE) #define F_LINKREQRSTPCIECRSTMODE V_LINKREQRSTPCIECRSTMODE(1U) +#define S_T6_PIOSTOPEN 31 +#define V_T6_PIOSTOPEN(x) ((x) << S_T6_PIOSTOPEN) +#define F_T6_PIOSTOPEN V_T6_PIOSTOPEN(1U) + #define A_PCIE_DMA_CTRL 0x3018 #define S_LITTLEENDIAN 7 @@ -3699,6 +4613,11 @@ #define V_TOTMAXTAG(x) ((x) << S_TOTMAXTAG) #define G_TOTMAXTAG(x) (((x) >> S_TOTMAXTAG) & M_TOTMAXTAG) +#define S_T6_TOTMAXTAG 0 +#define M_T6_TOTMAXTAG 0x7U +#define V_T6_TOTMAXTAG(x) ((x) << S_T6_TOTMAXTAG) +#define G_T6_TOTMAXTAG(x) (((x) >> S_T6_TOTMAXTAG) & M_T6_TOTMAXTAG) + #define A_PCIE_DMA_CFG 0x301c #define S_MAXPYLDSIZE 28 @@ -3828,6 +4747,7 @@ #define V_PERSTTIMER(x) ((x) << S_PERSTTIMER) #define G_PERSTTIMER(x) (((x) >> S_PERSTTIMER) & M_PERSTTIMER) +#define A_PCIE_CFG7 0x302c #define A_PCIE_CMD_CTRL 0x303c #define A_PCIE_CMD_CFG 0x3040 @@ -3965,6 +4885,32 @@ #define V_CFG_SPACE_PF(x) ((x) << S_CFG_SPACE_PF) #define G_CFG_SPACE_PF(x) (((x) >> S_CFG_SPACE_PF) & M_CFG_SPACE_PF) +#define S_T6_ENABLE 31 +#define V_T6_ENABLE(x) ((x) << S_T6_ENABLE) +#define F_T6_ENABLE V_T6_ENABLE(1U) + +#define S_T6_AI 30 +#define V_T6_AI(x) ((x) << S_T6_AI) +#define F_T6_AI V_T6_AI(1U) + +#define S_T6_CS2 29 +#define V_T6_CS2(x) ((x) << S_T6_CS2) +#define F_T6_CS2 V_T6_CS2(1U) + +#define S_T6_WRBE 25 +#define M_T6_WRBE 0xfU +#define V_T6_WRBE(x) ((x) << S_T6_WRBE) +#define G_T6_WRBE(x) (((x) >> S_T6_WRBE) & M_T6_WRBE) + +#define S_T6_CFG_SPACE_VFVLD 24 +#define V_T6_CFG_SPACE_VFVLD(x) ((x) << S_T6_CFG_SPACE_VFVLD) +#define F_T6_CFG_SPACE_VFVLD V_T6_CFG_SPACE_VFVLD(1U) + +#define S_T6_CFG_SPACE_RVF 16 +#define M_T6_CFG_SPACE_RVF 0xffU +#define V_T6_CFG_SPACE_RVF(x) ((x) << S_T6_CFG_SPACE_RVF) +#define G_T6_CFG_SPACE_RVF(x) (((x) >> S_T6_CFG_SPACE_RVF) & M_T6_CFG_SPACE_RVF) + #define A_PCIE_CFG_SPACE_DATA 0x3064 #define A_PCIE_MEM_ACCESS_BASE_WIN 0x3068 @@ -4294,6 +5240,30 @@ #define V_FID_VFID_RVF(x) ((x) << S_FID_VFID_RVF) #define G_FID_VFID_RVF(x) (((x) >> S_FID_VFID_RVF) & M_FID_VFID_RVF) +#define S_T6_FID_VFID_VFID 15 +#define M_T6_FID_VFID_VFID 0x1ffU +#define V_T6_FID_VFID_VFID(x) ((x) << S_T6_FID_VFID_VFID) +#define G_T6_FID_VFID_VFID(x) (((x) >> S_T6_FID_VFID_VFID) & M_T6_FID_VFID_VFID) + +#define S_T6_FID_VFID_TC 12 +#define M_T6_FID_VFID_TC 0x7U +#define V_T6_FID_VFID_TC(x) ((x) << S_T6_FID_VFID_TC) +#define G_T6_FID_VFID_TC(x) (((x) >> S_T6_FID_VFID_TC) & M_T6_FID_VFID_TC) + +#define S_T6_FID_VFID_VFVLD 11 +#define V_T6_FID_VFID_VFVLD(x) ((x) << S_T6_FID_VFID_VFVLD) +#define F_T6_FID_VFID_VFVLD V_T6_FID_VFID_VFVLD(1U) + +#define S_T6_FID_VFID_PF 8 +#define M_T6_FID_VFID_PF 0x7U +#define V_T6_FID_VFID_PF(x) ((x) << S_T6_FID_VFID_PF) +#define G_T6_FID_VFID_PF(x) (((x) >> S_T6_FID_VFID_PF) & M_T6_FID_VFID_PF) + +#define S_T6_FID_VFID_RVF 0 +#define M_T6_FID_VFID_RVF 0xffU +#define V_T6_FID_VFID_RVF(x) ((x) << S_T6_FID_VFID_RVF) +#define G_T6_FID_VFID_RVF(x) (((x) >> S_T6_FID_VFID_RVF) & M_T6_FID_VFID_RVF) + #define A_PCIE_FID 0x3900 #define S_PAD 11 @@ -4356,6 +5326,26 @@ #define V_RCVDPIOREQCOOKIE(x) ((x) << S_RCVDPIOREQCOOKIE) #define G_RCVDPIOREQCOOKIE(x) (((x) >> S_RCVDPIOREQCOOKIE) & M_RCVDPIOREQCOOKIE) +#define S_RCVDVDMRXCOOKIE 24 +#define M_RCVDVDMRXCOOKIE 0xffU +#define V_RCVDVDMRXCOOKIE(x) ((x) << S_RCVDVDMRXCOOKIE) +#define G_RCVDVDMRXCOOKIE(x) (((x) >> S_RCVDVDMRXCOOKIE) & M_RCVDVDMRXCOOKIE) + +#define S_RCVDVDMTXCOOKIE 16 +#define M_RCVDVDMTXCOOKIE 0xffU +#define V_RCVDVDMTXCOOKIE(x) ((x) << S_RCVDVDMTXCOOKIE) +#define G_RCVDVDMTXCOOKIE(x) (((x) >> S_RCVDVDMTXCOOKIE) & M_RCVDVDMTXCOOKIE) + +#define S_T6_RCVDMAREQCOOKIE 8 +#define M_T6_RCVDMAREQCOOKIE 0xffU +#define V_T6_RCVDMAREQCOOKIE(x) ((x) << S_T6_RCVDMAREQCOOKIE) +#define G_T6_RCVDMAREQCOOKIE(x) (((x) >> S_T6_RCVDMAREQCOOKIE) & M_T6_RCVDMAREQCOOKIE) + +#define S_T6_RCVDPIOREQCOOKIE 0 +#define M_T6_RCVDPIOREQCOOKIE 0xffU +#define V_T6_RCVDPIOREQCOOKIE(x) ((x) << S_T6_RCVDPIOREQCOOKIE) +#define G_T6_RCVDPIOREQCOOKIE(x) (((x) >> S_T6_RCVDPIOREQCOOKIE) & M_T6_RCVDPIOREQCOOKIE) + #define A_PCIE_VC0_CDTS0 0x56cc #define S_CPLD0 20 @@ -4455,6 +5445,20 @@ #define V_STATECFGINIT(x) ((x) << S_STATECFGINIT) #define G_STATECFGINIT(x) (((x) >> S_STATECFGINIT) & M_STATECFGINIT) +#define S_LTSSMENABLE_PCIE 12 +#define V_LTSSMENABLE_PCIE(x) ((x) << S_LTSSMENABLE_PCIE) +#define F_LTSSMENABLE_PCIE V_LTSSMENABLE_PCIE(1U) + +#define S_STATECFGINITF_PCIE 4 +#define M_STATECFGINITF_PCIE 0xffU +#define V_STATECFGINITF_PCIE(x) ((x) << S_STATECFGINITF_PCIE) +#define G_STATECFGINITF_PCIE(x) (((x) >> S_STATECFGINITF_PCIE) & M_STATECFGINITF_PCIE) + +#define S_STATECFGINIT_PCIE 0 +#define M_STATECFGINIT_PCIE 0xfU +#define V_STATECFGINIT_PCIE(x) ((x) << S_STATECFGINIT_PCIE) +#define G_STATECFGINIT_PCIE(x) (((x) >> S_STATECFGINIT_PCIE) & M_STATECFGINIT_PCIE) + #define A_PCIE_CRS 0x56f8 #define S_CRS_ENABLE 0 @@ -4467,6 +5471,10 @@ #define V_LTSSM_ENABLE(x) ((x) << S_LTSSM_ENABLE) #define F_LTSSM_ENABLE V_LTSSM_ENABLE(1U) +#define S_LTSSM_STALL_DISABLE 1 +#define V_LTSSM_STALL_DISABLE(x) ((x) << S_LTSSM_STALL_DISABLE) +#define F_LTSSM_STALL_DISABLE V_LTSSM_STALL_DISABLE(1U) + #define A_PCIE_CORE_ACK_LATENCY_TIMER_REPLAY_TIMER 0x5700 #define S_REPLAY_TIME_LIMIT 16 @@ -4867,6 +5875,15 @@ #define V_NFTS_GEN2_3(x) ((x) << S_NFTS_GEN2_3) #define G_NFTS_GEN2_3(x) (((x) >> S_NFTS_GEN2_3) & M_NFTS_GEN2_3) +#define S_AUTO_LANE_FLIP_CTRL_EN 16 +#define V_AUTO_LANE_FLIP_CTRL_EN(x) ((x) << S_AUTO_LANE_FLIP_CTRL_EN) +#define F_AUTO_LANE_FLIP_CTRL_EN V_AUTO_LANE_FLIP_CTRL_EN(1U) + +#define S_T6_NUM_LANES 8 +#define M_T6_NUM_LANES 0x1fU +#define V_T6_NUM_LANES(x) ((x) << S_T6_NUM_LANES) +#define G_T6_NUM_LANES(x) (((x) >> S_T6_NUM_LANES) & M_T6_NUM_LANES) + #define A_PCIE_CORE_PHY_STATUS 0x5810 #define A_PCIE_CORE_PHY_CONTROL 0x5814 #define A_PCIE_CORE_GEN3_CONTROL 0x5890 @@ -4993,6 +6010,10 @@ #define V_PIPE_LOOPBACK_EN(x) ((x) << S_PIPE_LOOPBACK_EN) #define F_PIPE_LOOPBACK_EN V_PIPE_LOOPBACK_EN(1U) +#define S_T6_PIPE_LOOPBACK_EN 31 +#define V_T6_PIPE_LOOPBACK_EN(x) ((x) << S_T6_PIPE_LOOPBACK_EN) +#define F_T6_PIPE_LOOPBACK_EN V_T6_PIPE_LOOPBACK_EN(1U) + #define A_PCIE_CORE_DBI_RO_WE 0x58bc #define S_READONLY_WRITEEN 0 @@ -5244,6 +6265,25 @@ #define V_MINTAG(x) ((x) << S_MINTAG) #define G_MINTAG(x) (((x) >> S_MINTAG) & M_MINTAG) +#define S_T6_T5_DMA_MAXREQCNT 20 +#define M_T6_T5_DMA_MAXREQCNT 0x7fU +#define V_T6_T5_DMA_MAXREQCNT(x) ((x) << S_T6_T5_DMA_MAXREQCNT) +#define G_T6_T5_DMA_MAXREQCNT(x) (((x) >> S_T6_T5_DMA_MAXREQCNT) & M_T6_T5_DMA_MAXREQCNT) + +#define S_T6_T5_DMA_MAXRSPCNT 9 +#define M_T6_T5_DMA_MAXRSPCNT 0xffU +#define V_T6_T5_DMA_MAXRSPCNT(x) ((x) << S_T6_T5_DMA_MAXRSPCNT) +#define G_T6_T5_DMA_MAXRSPCNT(x) (((x) >> S_T6_T5_DMA_MAXRSPCNT) & M_T6_T5_DMA_MAXRSPCNT) + +#define S_T6_SEQCHKDIS 8 +#define V_T6_SEQCHKDIS(x) ((x) << S_T6_SEQCHKDIS) +#define F_T6_SEQCHKDIS V_T6_SEQCHKDIS(1U) + +#define S_T6_MINTAG 0 +#define M_T6_MINTAG 0xffU +#define V_T6_MINTAG(x) ((x) << S_T6_MINTAG) +#define G_T6_MINTAG(x) (((x) >> S_T6_MINTAG) & M_T6_MINTAG) + #define A_PCIE_T5_DMA_STAT 0x5944 #define S_DMA_RESPCNT 20 @@ -5261,6 +6301,21 @@ #define V_DMA_WRREQCNT(x) ((x) << S_DMA_WRREQCNT) #define G_DMA_WRREQCNT(x) (((x) >> S_DMA_WRREQCNT) & M_DMA_WRREQCNT) +#define S_T6_DMA_RESPCNT 20 +#define M_T6_DMA_RESPCNT 0x3ffU +#define V_T6_DMA_RESPCNT(x) ((x) << S_T6_DMA_RESPCNT) +#define G_T6_DMA_RESPCNT(x) (((x) >> S_T6_DMA_RESPCNT) & M_T6_DMA_RESPCNT) + +#define S_T6_DMA_RDREQCNT 12 +#define M_T6_DMA_RDREQCNT 0x3fU +#define V_T6_DMA_RDREQCNT(x) ((x) << S_T6_DMA_RDREQCNT) +#define G_T6_DMA_RDREQCNT(x) (((x) >> S_T6_DMA_RDREQCNT) & M_T6_DMA_RDREQCNT) + +#define S_T6_DMA_WRREQCNT 0 +#define M_T6_DMA_WRREQCNT 0x1ffU +#define V_T6_DMA_WRREQCNT(x) ((x) << S_T6_DMA_WRREQCNT) +#define G_T6_DMA_WRREQCNT(x) (((x) >> S_T6_DMA_WRREQCNT) & M_T6_DMA_WRREQCNT) + #define A_PCIE_T5_DMA_STAT2 0x5948 #define S_COOKIECNT 24 @@ -5443,6 +6498,20 @@ #define V_USECMDPOOL(x) ((x) << S_USECMDPOOL) #define F_USECMDPOOL V_USECMDPOOL(1U) +#define S_T6_T5_CMD_MAXRSPCNT 9 +#define M_T6_T5_CMD_MAXRSPCNT 0x3fU +#define V_T6_T5_CMD_MAXRSPCNT(x) ((x) << S_T6_T5_CMD_MAXRSPCNT) +#define G_T6_T5_CMD_MAXRSPCNT(x) (((x) >> S_T6_T5_CMD_MAXRSPCNT) & M_T6_T5_CMD_MAXRSPCNT) + +#define S_T6_USECMDPOOL 8 +#define V_T6_USECMDPOOL(x) ((x) << S_T6_USECMDPOOL) +#define F_T6_USECMDPOOL V_T6_USECMDPOOL(1U) + +#define S_T6_MINTAG 0 +#define M_T6_MINTAG 0xffU +#define V_T6_MINTAG(x) ((x) << S_T6_MINTAG) +#define G_T6_MINTAG(x) (((x) >> S_T6_MINTAG) & M_T6_MINTAG) + #define A_PCIE_T5_CMD_STAT 0x5984 #define S_T5_STAT_RSPCNT 20 @@ -5455,6 +6524,16 @@ #define V_RDREQCNT(x) ((x) << S_RDREQCNT) #define G_RDREQCNT(x) (((x) >> S_RDREQCNT) & M_RDREQCNT) +#define S_T6_T5_STAT_RSPCNT 20 +#define M_T6_T5_STAT_RSPCNT 0xffU +#define V_T6_T5_STAT_RSPCNT(x) ((x) << S_T6_T5_STAT_RSPCNT) +#define G_T6_T5_STAT_RSPCNT(x) (((x) >> S_T6_T5_STAT_RSPCNT) & M_T6_T5_STAT_RSPCNT) + +#define S_T6_RDREQCNT 12 +#define M_T6_RDREQCNT 0xfU +#define V_T6_RDREQCNT(x) ((x) << S_T6_RDREQCNT) +#define G_T6_RDREQCNT(x) (((x) >> S_T6_RDREQCNT) & M_T6_RDREQCNT) + #define A_PCIE_CORE_INBOUND_NON_POSTED_REQUESTS_BUFFER_ALLOCATION 0x5988 #define S_IN0H 24 @@ -5779,6 +6858,25 @@ #define V_T5_HMA_MAXRSPCNT(x) ((x) << S_T5_HMA_MAXRSPCNT) #define G_T5_HMA_MAXRSPCNT(x) (((x) >> S_T5_HMA_MAXRSPCNT) & M_T5_HMA_MAXRSPCNT) +#define S_T6_HMA_MAXREQCNT 20 +#define M_T6_HMA_MAXREQCNT 0x7fU +#define V_T6_HMA_MAXREQCNT(x) ((x) << S_T6_HMA_MAXREQCNT) +#define G_T6_HMA_MAXREQCNT(x) (((x) >> S_T6_HMA_MAXREQCNT) & M_T6_HMA_MAXREQCNT) + +#define S_T6_T5_HMA_MAXRSPCNT 9 +#define M_T6_T5_HMA_MAXRSPCNT 0xffU +#define V_T6_T5_HMA_MAXRSPCNT(x) ((x) << S_T6_T5_HMA_MAXRSPCNT) +#define G_T6_T5_HMA_MAXRSPCNT(x) (((x) >> S_T6_T5_HMA_MAXRSPCNT) & M_T6_T5_HMA_MAXRSPCNT) + +#define S_T6_SEQCHKDIS 8 +#define V_T6_SEQCHKDIS(x) ((x) << S_T6_SEQCHKDIS) +#define F_T6_SEQCHKDIS V_T6_SEQCHKDIS(1U) + +#define S_T6_MINTAG 0 +#define M_T6_MINTAG 0xffU +#define V_T6_MINTAG(x) ((x) << S_T6_MINTAG) +#define G_T6_MINTAG(x) (((x) >> S_T6_MINTAG) & M_T6_MINTAG) + #define A_PCIE_CORE_ROOT_COMPLEX_ERROR_SEVERITY 0x59b4 #define S_RLCS 31 @@ -5842,6 +6940,11 @@ #define V_HMA_WRREQCNT(x) ((x) << S_HMA_WRREQCNT) #define G_HMA_WRREQCNT(x) (((x) >> S_HMA_WRREQCNT) & M_HMA_WRREQCNT) +#define S_T6_HMA_RESPCNT 20 +#define M_T6_HMA_RESPCNT 0x3ffU +#define V_T6_HMA_RESPCNT(x) ((x) << S_T6_HMA_RESPCNT) +#define G_T6_HMA_RESPCNT(x) (((x) >> S_T6_HMA_RESPCNT) & M_T6_HMA_RESPCNT) + #define A_PCIE_CORE_ROOT_COMPLEX_INTERRUPT_ENABLE 0x59b8 #define S_RLCI 31 @@ -6398,6 +7501,26 @@ #define V_PIOCPL_PLMRSPPERR(x) ((x) << S_PIOCPL_PLMRSPPERR) #define F_PIOCPL_PLMRSPPERR V_PIOCPL_PLMRSPPERR(1U) +#define S_MA_RSPCTLPERR 26 +#define V_MA_RSPCTLPERR(x) ((x) << S_MA_RSPCTLPERR) +#define F_MA_RSPCTLPERR V_MA_RSPCTLPERR(1U) + +#define S_T6_IPRXDATA_VC0PERR 15 +#define V_T6_IPRXDATA_VC0PERR(x) ((x) << S_T6_IPRXDATA_VC0PERR) +#define F_T6_IPRXDATA_VC0PERR V_T6_IPRXDATA_VC0PERR(1U) + +#define S_T6_IPRXHDR_VC0PERR 14 +#define V_T6_IPRXHDR_VC0PERR(x) ((x) << S_T6_IPRXHDR_VC0PERR) +#define F_T6_IPRXHDR_VC0PERR V_T6_IPRXHDR_VC0PERR(1U) + +#define S_PIOCPL_VDMTXCTLPERR 13 +#define V_PIOCPL_VDMTXCTLPERR(x) ((x) << S_PIOCPL_VDMTXCTLPERR) +#define F_PIOCPL_VDMTXCTLPERR V_PIOCPL_VDMTXCTLPERR(1U) + +#define S_PIOCPL_VDMTXDATAPERR 12 +#define V_PIOCPL_VDMTXDATAPERR(x) ((x) << S_PIOCPL_VDMTXDATAPERR) +#define F_PIOCPL_VDMTXDATAPERR V_PIOCPL_VDMTXDATAPERR(1U) + #define A_PCIE_CORE_GENERAL_PURPOSE_CONTROL_2 0x59d4 #define A_PCIE_RSP_ERR_INT_LOG_EN 0x59d4 @@ -6489,6 +7612,16 @@ #define V_REQVFID(x) ((x) << S_REQVFID) #define G_REQVFID(x) (((x) >> S_REQVFID) & M_REQVFID) +#define S_T6_ADDR10B 9 +#define M_T6_ADDR10B 0x3ffU +#define V_T6_ADDR10B(x) ((x) << S_T6_ADDR10B) +#define G_T6_ADDR10B(x) (((x) >> S_T6_ADDR10B) & M_T6_ADDR10B) + +#define S_T6_REQVFID 0 +#define M_T6_REQVFID 0x1ffU +#define V_T6_REQVFID(x) ((x) << S_T6_REQVFID) +#define G_T6_REQVFID(x) (((x) >> S_T6_REQVFID) & M_T6_REQVFID) + #define A_PCIE_CHANGESET 0x59fc #define A_PCIE_REVISION 0x5a00 #define A_PCIE_PDEBUG_INDEX 0x5a04 @@ -6503,6 +7636,16 @@ #define V_PDEBUGSELL(x) ((x) << S_PDEBUGSELL) #define G_PDEBUGSELL(x) (((x) >> S_PDEBUGSELL) & M_PDEBUGSELL) +#define S_T6_PDEBUGSELH 16 +#define M_T6_PDEBUGSELH 0x7fU +#define V_T6_PDEBUGSELH(x) ((x) << S_T6_PDEBUGSELH) +#define G_T6_PDEBUGSELH(x) (((x) >> S_T6_PDEBUGSELH) & M_T6_PDEBUGSELH) + +#define S_T6_PDEBUGSELL 0 +#define M_T6_PDEBUGSELL 0x7fU +#define V_T6_PDEBUGSELL(x) ((x) << S_T6_PDEBUGSELL) +#define G_T6_PDEBUGSELL(x) (((x) >> S_T6_PDEBUGSELL) & M_T6_PDEBUGSELL) + #define A_PCIE_PDEBUG_DATA_HIGH 0x5a08 #define A_PCIE_PDEBUG_DATA_LOW 0x5a0c #define A_PCIE_CDEBUG_INDEX 0x5a10 @@ -6693,6 +7836,34 @@ #define V_PL_TOVF(x) ((x) << S_PL_TOVF) #define G_PL_TOVF(x) (((x) >> S_PL_TOVF) & M_PL_TOVF) +#define S_T6_SOURCE 17 +#define M_T6_SOURCE 0x3U +#define V_T6_SOURCE(x) ((x) << S_T6_SOURCE) +#define G_T6_SOURCE(x) (((x) >> S_T6_SOURCE) & M_T6_SOURCE) + +#define S_T6_DBI_WRITE 13 +#define M_T6_DBI_WRITE 0xfU +#define V_T6_DBI_WRITE(x) ((x) << S_T6_DBI_WRITE) +#define G_T6_DBI_WRITE(x) (((x) >> S_T6_DBI_WRITE) & M_T6_DBI_WRITE) + +#define S_T6_DBI_CS2 12 +#define V_T6_DBI_CS2(x) ((x) << S_T6_DBI_CS2) +#define F_T6_DBI_CS2 V_T6_DBI_CS2(1U) + +#define S_T6_DBI_PF 9 +#define M_T6_DBI_PF 0x7U +#define V_T6_DBI_PF(x) ((x) << S_T6_DBI_PF) +#define G_T6_DBI_PF(x) (((x) >> S_T6_DBI_PF) & M_T6_DBI_PF) + +#define S_T6_PL_TOVFVLD 8 +#define V_T6_PL_TOVFVLD(x) ((x) << S_T6_PL_TOVFVLD) +#define F_T6_PL_TOVFVLD V_T6_PL_TOVFVLD(1U) + +#define S_T6_PL_TOVF 0 +#define M_T6_PL_TOVF 0xffU +#define V_T6_PL_TOVF(x) ((x) << S_T6_PL_TOVF) +#define G_T6_PL_TOVF(x) (((x) >> S_T6_PL_TOVF) & M_T6_PL_TOVF) + #define A_PCIE_MSI_EN_0 0x5aa0 #define A_PCIE_MSI_EN_1 0x5aa4 #define A_PCIE_MSI_EN_2 0x5aa8 @@ -6898,7 +8069,192 @@ #define V_LNA_RXPWRSTATE(x) ((x) << S_LNA_RXPWRSTATE) #define G_LNA_RXPWRSTATE(x) (((x) >> S_LNA_RXPWRSTATE) & M_LNA_RXPWRSTATE) +#define S_REQ_LNH_RXSTATEDONE 31 +#define V_REQ_LNH_RXSTATEDONE(x) ((x) << S_REQ_LNH_RXSTATEDONE) +#define F_REQ_LNH_RXSTATEDONE V_REQ_LNH_RXSTATEDONE(1U) + +#define S_REQ_LNH_RXSTATEREQ 30 +#define V_REQ_LNH_RXSTATEREQ(x) ((x) << S_REQ_LNH_RXSTATEREQ) +#define F_REQ_LNH_RXSTATEREQ V_REQ_LNH_RXSTATEREQ(1U) + +#define S_REQ_LNH_RXPWRSTATE 28 +#define M_REQ_LNH_RXPWRSTATE 0x3U +#define V_REQ_LNH_RXPWRSTATE(x) ((x) << S_REQ_LNH_RXPWRSTATE) +#define G_REQ_LNH_RXPWRSTATE(x) (((x) >> S_REQ_LNH_RXPWRSTATE) & M_REQ_LNH_RXPWRSTATE) + +#define S_REQ_LNG_RXSTATEDONE 27 +#define V_REQ_LNG_RXSTATEDONE(x) ((x) << S_REQ_LNG_RXSTATEDONE) +#define F_REQ_LNG_RXSTATEDONE V_REQ_LNG_RXSTATEDONE(1U) + +#define S_REQ_LNG_RXSTATEREQ 26 +#define V_REQ_LNG_RXSTATEREQ(x) ((x) << S_REQ_LNG_RXSTATEREQ) +#define F_REQ_LNG_RXSTATEREQ V_REQ_LNG_RXSTATEREQ(1U) + +#define S_REQ_LNG_RXPWRSTATE 24 +#define M_REQ_LNG_RXPWRSTATE 0x3U +#define V_REQ_LNG_RXPWRSTATE(x) ((x) << S_REQ_LNG_RXPWRSTATE) +#define G_REQ_LNG_RXPWRSTATE(x) (((x) >> S_REQ_LNG_RXPWRSTATE) & M_REQ_LNG_RXPWRSTATE) + +#define S_REQ_LNF_RXSTATEDONE 23 +#define V_REQ_LNF_RXSTATEDONE(x) ((x) << S_REQ_LNF_RXSTATEDONE) +#define F_REQ_LNF_RXSTATEDONE V_REQ_LNF_RXSTATEDONE(1U) + +#define S_REQ_LNF_RXSTATEREQ 22 +#define V_REQ_LNF_RXSTATEREQ(x) ((x) << S_REQ_LNF_RXSTATEREQ) +#define F_REQ_LNF_RXSTATEREQ V_REQ_LNF_RXSTATEREQ(1U) + +#define S_REQ_LNF_RXPWRSTATE 20 +#define M_REQ_LNF_RXPWRSTATE 0x3U +#define V_REQ_LNF_RXPWRSTATE(x) ((x) << S_REQ_LNF_RXPWRSTATE) +#define G_REQ_LNF_RXPWRSTATE(x) (((x) >> S_REQ_LNF_RXPWRSTATE) & M_REQ_LNF_RXPWRSTATE) + +#define S_REQ_LNE_RXSTATEDONE 19 +#define V_REQ_LNE_RXSTATEDONE(x) ((x) << S_REQ_LNE_RXSTATEDONE) +#define F_REQ_LNE_RXSTATEDONE V_REQ_LNE_RXSTATEDONE(1U) + +#define S_REQ_LNE_RXSTATEREQ 18 +#define V_REQ_LNE_RXSTATEREQ(x) ((x) << S_REQ_LNE_RXSTATEREQ) +#define F_REQ_LNE_RXSTATEREQ V_REQ_LNE_RXSTATEREQ(1U) + +#define S_REQ_LNE_RXPWRSTATE 16 +#define M_REQ_LNE_RXPWRSTATE 0x3U +#define V_REQ_LNE_RXPWRSTATE(x) ((x) << S_REQ_LNE_RXPWRSTATE) +#define G_REQ_LNE_RXPWRSTATE(x) (((x) >> S_REQ_LNE_RXPWRSTATE) & M_REQ_LNE_RXPWRSTATE) + +#define S_REQ_LND_RXSTATEDONE 15 +#define V_REQ_LND_RXSTATEDONE(x) ((x) << S_REQ_LND_RXSTATEDONE) +#define F_REQ_LND_RXSTATEDONE V_REQ_LND_RXSTATEDONE(1U) + +#define S_REQ_LND_RXSTATEREQ 14 +#define V_REQ_LND_RXSTATEREQ(x) ((x) << S_REQ_LND_RXSTATEREQ) +#define F_REQ_LND_RXSTATEREQ V_REQ_LND_RXSTATEREQ(1U) + +#define S_REQ_LND_RXPWRSTATE 12 +#define M_REQ_LND_RXPWRSTATE 0x3U +#define V_REQ_LND_RXPWRSTATE(x) ((x) << S_REQ_LND_RXPWRSTATE) +#define G_REQ_LND_RXPWRSTATE(x) (((x) >> S_REQ_LND_RXPWRSTATE) & M_REQ_LND_RXPWRSTATE) + +#define S_REQ_LNC_RXSTATEDONE 11 +#define V_REQ_LNC_RXSTATEDONE(x) ((x) << S_REQ_LNC_RXSTATEDONE) +#define F_REQ_LNC_RXSTATEDONE V_REQ_LNC_RXSTATEDONE(1U) + +#define S_REQ_LNC_RXSTATEREQ 10 +#define V_REQ_LNC_RXSTATEREQ(x) ((x) << S_REQ_LNC_RXSTATEREQ) +#define F_REQ_LNC_RXSTATEREQ V_REQ_LNC_RXSTATEREQ(1U) + +#define S_REQ_LNC_RXPWRSTATE 8 +#define M_REQ_LNC_RXPWRSTATE 0x3U +#define V_REQ_LNC_RXPWRSTATE(x) ((x) << S_REQ_LNC_RXPWRSTATE) +#define G_REQ_LNC_RXPWRSTATE(x) (((x) >> S_REQ_LNC_RXPWRSTATE) & M_REQ_LNC_RXPWRSTATE) + +#define S_REQ_LNB_RXSTATEDONE 7 +#define V_REQ_LNB_RXSTATEDONE(x) ((x) << S_REQ_LNB_RXSTATEDONE) +#define F_REQ_LNB_RXSTATEDONE V_REQ_LNB_RXSTATEDONE(1U) + +#define S_REQ_LNB_RXSTATEREQ 6 +#define V_REQ_LNB_RXSTATEREQ(x) ((x) << S_REQ_LNB_RXSTATEREQ) +#define F_REQ_LNB_RXSTATEREQ V_REQ_LNB_RXSTATEREQ(1U) + +#define S_REQ_LNB_RXPWRSTATE 4 +#define M_REQ_LNB_RXPWRSTATE 0x3U +#define V_REQ_LNB_RXPWRSTATE(x) ((x) << S_REQ_LNB_RXPWRSTATE) +#define G_REQ_LNB_RXPWRSTATE(x) (((x) >> S_REQ_LNB_RXPWRSTATE) & M_REQ_LNB_RXPWRSTATE) + +#define S_REQ_LNA_RXSTATEDONE 3 +#define V_REQ_LNA_RXSTATEDONE(x) ((x) << S_REQ_LNA_RXSTATEDONE) +#define F_REQ_LNA_RXSTATEDONE V_REQ_LNA_RXSTATEDONE(1U) + +#define S_REQ_LNA_RXSTATEREQ 2 +#define V_REQ_LNA_RXSTATEREQ(x) ((x) << S_REQ_LNA_RXSTATEREQ) +#define F_REQ_LNA_RXSTATEREQ V_REQ_LNA_RXSTATEREQ(1U) + +#define S_REQ_LNA_RXPWRSTATE 0 +#define M_REQ_LNA_RXPWRSTATE 0x3U +#define V_REQ_LNA_RXPWRSTATE(x) ((x) << S_REQ_LNA_RXPWRSTATE) +#define G_REQ_LNA_RXPWRSTATE(x) (((x) >> S_REQ_LNA_RXPWRSTATE) & M_REQ_LNA_RXPWRSTATE) + #define A_PCIE_PHY_CURRXPWR 0x5ba4 + +#define S_T5_LNH_RXPWRSTATE 28 +#define M_T5_LNH_RXPWRSTATE 0x7U +#define V_T5_LNH_RXPWRSTATE(x) ((x) << S_T5_LNH_RXPWRSTATE) +#define G_T5_LNH_RXPWRSTATE(x) (((x) >> S_T5_LNH_RXPWRSTATE) & M_T5_LNH_RXPWRSTATE) + +#define S_T5_LNG_RXPWRSTATE 24 +#define M_T5_LNG_RXPWRSTATE 0x7U +#define V_T5_LNG_RXPWRSTATE(x) ((x) << S_T5_LNG_RXPWRSTATE) +#define G_T5_LNG_RXPWRSTATE(x) (((x) >> S_T5_LNG_RXPWRSTATE) & M_T5_LNG_RXPWRSTATE) + +#define S_T5_LNF_RXPWRSTATE 20 +#define M_T5_LNF_RXPWRSTATE 0x7U +#define V_T5_LNF_RXPWRSTATE(x) ((x) << S_T5_LNF_RXPWRSTATE) +#define G_T5_LNF_RXPWRSTATE(x) (((x) >> S_T5_LNF_RXPWRSTATE) & M_T5_LNF_RXPWRSTATE) + +#define S_T5_LNE_RXPWRSTATE 16 +#define M_T5_LNE_RXPWRSTATE 0x7U +#define V_T5_LNE_RXPWRSTATE(x) ((x) << S_T5_LNE_RXPWRSTATE) +#define G_T5_LNE_RXPWRSTATE(x) (((x) >> S_T5_LNE_RXPWRSTATE) & M_T5_LNE_RXPWRSTATE) + +#define S_T5_LND_RXPWRSTATE 12 +#define M_T5_LND_RXPWRSTATE 0x7U +#define V_T5_LND_RXPWRSTATE(x) ((x) << S_T5_LND_RXPWRSTATE) +#define G_T5_LND_RXPWRSTATE(x) (((x) >> S_T5_LND_RXPWRSTATE) & M_T5_LND_RXPWRSTATE) + +#define S_T5_LNC_RXPWRSTATE 8 +#define M_T5_LNC_RXPWRSTATE 0x7U +#define V_T5_LNC_RXPWRSTATE(x) ((x) << S_T5_LNC_RXPWRSTATE) +#define G_T5_LNC_RXPWRSTATE(x) (((x) >> S_T5_LNC_RXPWRSTATE) & M_T5_LNC_RXPWRSTATE) + +#define S_T5_LNB_RXPWRSTATE 4 +#define M_T5_LNB_RXPWRSTATE 0x7U +#define V_T5_LNB_RXPWRSTATE(x) ((x) << S_T5_LNB_RXPWRSTATE) +#define G_T5_LNB_RXPWRSTATE(x) (((x) >> S_T5_LNB_RXPWRSTATE) & M_T5_LNB_RXPWRSTATE) + +#define S_T5_LNA_RXPWRSTATE 0 +#define M_T5_LNA_RXPWRSTATE 0x7U +#define V_T5_LNA_RXPWRSTATE(x) ((x) << S_T5_LNA_RXPWRSTATE) +#define G_T5_LNA_RXPWRSTATE(x) (((x) >> S_T5_LNA_RXPWRSTATE) & M_T5_LNA_RXPWRSTATE) + +#define S_CUR_LNH_RXPWRSTATE 28 +#define M_CUR_LNH_RXPWRSTATE 0x7U +#define V_CUR_LNH_RXPWRSTATE(x) ((x) << S_CUR_LNH_RXPWRSTATE) +#define G_CUR_LNH_RXPWRSTATE(x) (((x) >> S_CUR_LNH_RXPWRSTATE) & M_CUR_LNH_RXPWRSTATE) + +#define S_CUR_LNG_RXPWRSTATE 24 +#define M_CUR_LNG_RXPWRSTATE 0x7U +#define V_CUR_LNG_RXPWRSTATE(x) ((x) << S_CUR_LNG_RXPWRSTATE) +#define G_CUR_LNG_RXPWRSTATE(x) (((x) >> S_CUR_LNG_RXPWRSTATE) & M_CUR_LNG_RXPWRSTATE) + +#define S_CUR_LNF_RXPWRSTATE 20 +#define M_CUR_LNF_RXPWRSTATE 0x7U +#define V_CUR_LNF_RXPWRSTATE(x) ((x) << S_CUR_LNF_RXPWRSTATE) +#define G_CUR_LNF_RXPWRSTATE(x) (((x) >> S_CUR_LNF_RXPWRSTATE) & M_CUR_LNF_RXPWRSTATE) + +#define S_CUR_LNE_RXPWRSTATE 16 +#define M_CUR_LNE_RXPWRSTATE 0x7U +#define V_CUR_LNE_RXPWRSTATE(x) ((x) << S_CUR_LNE_RXPWRSTATE) +#define G_CUR_LNE_RXPWRSTATE(x) (((x) >> S_CUR_LNE_RXPWRSTATE) & M_CUR_LNE_RXPWRSTATE) + +#define S_CUR_LND_RXPWRSTATE 12 +#define M_CUR_LND_RXPWRSTATE 0x7U +#define V_CUR_LND_RXPWRSTATE(x) ((x) << S_CUR_LND_RXPWRSTATE) +#define G_CUR_LND_RXPWRSTATE(x) (((x) >> S_CUR_LND_RXPWRSTATE) & M_CUR_LND_RXPWRSTATE) + +#define S_CUR_LNC_RXPWRSTATE 8 +#define M_CUR_LNC_RXPWRSTATE 0x7U +#define V_CUR_LNC_RXPWRSTATE(x) ((x) << S_CUR_LNC_RXPWRSTATE) +#define G_CUR_LNC_RXPWRSTATE(x) (((x) >> S_CUR_LNC_RXPWRSTATE) & M_CUR_LNC_RXPWRSTATE) + +#define S_CUR_LNB_RXPWRSTATE 4 +#define M_CUR_LNB_RXPWRSTATE 0x7U +#define V_CUR_LNB_RXPWRSTATE(x) ((x) << S_CUR_LNB_RXPWRSTATE) +#define G_CUR_LNB_RXPWRSTATE(x) (((x) >> S_CUR_LNB_RXPWRSTATE) & M_CUR_LNB_RXPWRSTATE) + +#define S_CUR_LNA_RXPWRSTATE 0 +#define M_CUR_LNA_RXPWRSTATE 0x7U +#define V_CUR_LNA_RXPWRSTATE(x) ((x) << S_CUR_LNA_RXPWRSTATE) +#define G_CUR_LNA_RXPWRSTATE(x) (((x) >> S_CUR_LNA_RXPWRSTATE) & M_CUR_LNA_RXPWRSTATE) + #define A_PCIE_PHY_GEN3_AE0 0x5ba8 #define S_LND_STAT 28 @@ -7086,6 +8442,11 @@ #define V_COEFFSTART(x) ((x) << S_COEFFSTART) #define F_COEFFSTART V_COEFFSTART(1U) +#define S_T6_COEFFLANE 8 +#define M_T6_COEFFLANE 0xfU +#define V_T6_COEFFLANE(x) ((x) << S_T6_COEFFLANE) +#define G_T6_COEFFLANE(x) (((x) >> S_T6_COEFFLANE) & M_T6_COEFFLANE) + #define A_PCIE_PHY_PRESET_COEFF 0x5bc4 #define S_COEFF 0 @@ -7107,6 +8468,3026 @@ #define A_PCIE_PHY_INDIR_DATA 0x5bf4 #define A_PCIE_STATIC_SPARE1 0x5bf8 #define A_PCIE_STATIC_SPARE2 0x5bfc +#define A_PCIE_KDOORBELL_GTS_PF_BASE_LEN 0x5c10 + +#define S_KDB_PF_LEN 24 +#define M_KDB_PF_LEN 0x1fU +#define V_KDB_PF_LEN(x) ((x) << S_KDB_PF_LEN) +#define G_KDB_PF_LEN(x) (((x) >> S_KDB_PF_LEN) & M_KDB_PF_LEN) + +#define S_KDB_PF_BASEADDR 0 +#define M_KDB_PF_BASEADDR 0xfffffU +#define V_KDB_PF_BASEADDR(x) ((x) << S_KDB_PF_BASEADDR) +#define G_KDB_PF_BASEADDR(x) (((x) >> S_KDB_PF_BASEADDR) & M_KDB_PF_BASEADDR) + +#define A_PCIE_KDOORBELL_GTS_VF_BASE_LEN 0x5c14 + +#define S_KDB_VF_LEN 24 +#define M_KDB_VF_LEN 0x1fU +#define V_KDB_VF_LEN(x) ((x) << S_KDB_VF_LEN) +#define G_KDB_VF_LEN(x) (((x) >> S_KDB_VF_LEN) & M_KDB_VF_LEN) + +#define S_KDB_VF_BASEADDR 0 +#define M_KDB_VF_BASEADDR 0xfffffU +#define V_KDB_VF_BASEADDR(x) ((x) << S_KDB_VF_BASEADDR) +#define G_KDB_VF_BASEADDR(x) (((x) >> S_KDB_VF_BASEADDR) & M_KDB_VF_BASEADDR) + +#define A_PCIE_KDOORBELL_GTS_VF_OFFSET 0x5c18 + +#define S_KDB_VF_MODOFST 0 +#define M_KDB_VF_MODOFST 0xfffU +#define V_KDB_VF_MODOFST(x) ((x) << S_KDB_VF_MODOFST) +#define G_KDB_VF_MODOFST(x) (((x) >> S_KDB_VF_MODOFST) & M_KDB_VF_MODOFST) + +#define A_PCIE_PHY_REQRXPWR1 0x5c1c + +#define S_REQ_LNP_RXSTATEDONE 31 +#define V_REQ_LNP_RXSTATEDONE(x) ((x) << S_REQ_LNP_RXSTATEDONE) +#define F_REQ_LNP_RXSTATEDONE V_REQ_LNP_RXSTATEDONE(1U) + +#define S_REQ_LNP_RXSTATEREQ 30 +#define V_REQ_LNP_RXSTATEREQ(x) ((x) << S_REQ_LNP_RXSTATEREQ) +#define F_REQ_LNP_RXSTATEREQ V_REQ_LNP_RXSTATEREQ(1U) + +#define S_REQ_LNP_RXPWRSTATE 28 +#define M_REQ_LNP_RXPWRSTATE 0x3U +#define V_REQ_LNP_RXPWRSTATE(x) ((x) << S_REQ_LNP_RXPWRSTATE) +#define G_REQ_LNP_RXPWRSTATE(x) (((x) >> S_REQ_LNP_RXPWRSTATE) & M_REQ_LNP_RXPWRSTATE) + +#define S_REQ_LNO_RXSTATEDONE 27 +#define V_REQ_LNO_RXSTATEDONE(x) ((x) << S_REQ_LNO_RXSTATEDONE) +#define F_REQ_LNO_RXSTATEDONE V_REQ_LNO_RXSTATEDONE(1U) + +#define S_REQ_LNO_RXSTATEREQ 26 +#define V_REQ_LNO_RXSTATEREQ(x) ((x) << S_REQ_LNO_RXSTATEREQ) +#define F_REQ_LNO_RXSTATEREQ V_REQ_LNO_RXSTATEREQ(1U) + +#define S_REQ_LNO_RXPWRSTATE 24 +#define M_REQ_LNO_RXPWRSTATE 0x3U +#define V_REQ_LNO_RXPWRSTATE(x) ((x) << S_REQ_LNO_RXPWRSTATE) +#define G_REQ_LNO_RXPWRSTATE(x) (((x) >> S_REQ_LNO_RXPWRSTATE) & M_REQ_LNO_RXPWRSTATE) + +#define S_REQ_LNN_RXSTATEDONE 23 +#define V_REQ_LNN_RXSTATEDONE(x) ((x) << S_REQ_LNN_RXSTATEDONE) +#define F_REQ_LNN_RXSTATEDONE V_REQ_LNN_RXSTATEDONE(1U) + +#define S_REQ_LNN_RXSTATEREQ 22 +#define V_REQ_LNN_RXSTATEREQ(x) ((x) << S_REQ_LNN_RXSTATEREQ) +#define F_REQ_LNN_RXSTATEREQ V_REQ_LNN_RXSTATEREQ(1U) + +#define S_REQ_LNN_RXPWRSTATE 20 +#define M_REQ_LNN_RXPWRSTATE 0x3U +#define V_REQ_LNN_RXPWRSTATE(x) ((x) << S_REQ_LNN_RXPWRSTATE) +#define G_REQ_LNN_RXPWRSTATE(x) (((x) >> S_REQ_LNN_RXPWRSTATE) & M_REQ_LNN_RXPWRSTATE) + +#define S_REQ_LNM_RXSTATEDONE 19 +#define V_REQ_LNM_RXSTATEDONE(x) ((x) << S_REQ_LNM_RXSTATEDONE) +#define F_REQ_LNM_RXSTATEDONE V_REQ_LNM_RXSTATEDONE(1U) + +#define S_REQ_LNM_RXSTATEREQ 18 +#define V_REQ_LNM_RXSTATEREQ(x) ((x) << S_REQ_LNM_RXSTATEREQ) +#define F_REQ_LNM_RXSTATEREQ V_REQ_LNM_RXSTATEREQ(1U) + +#define S_REQ_LNM_RXPWRSTATE 16 +#define M_REQ_LNM_RXPWRSTATE 0x3U +#define V_REQ_LNM_RXPWRSTATE(x) ((x) << S_REQ_LNM_RXPWRSTATE) +#define G_REQ_LNM_RXPWRSTATE(x) (((x) >> S_REQ_LNM_RXPWRSTATE) & M_REQ_LNM_RXPWRSTATE) + +#define S_REQ_LNL_RXSTATEDONE 15 +#define V_REQ_LNL_RXSTATEDONE(x) ((x) << S_REQ_LNL_RXSTATEDONE) +#define F_REQ_LNL_RXSTATEDONE V_REQ_LNL_RXSTATEDONE(1U) + +#define S_REQ_LNL_RXSTATEREQ 14 +#define V_REQ_LNL_RXSTATEREQ(x) ((x) << S_REQ_LNL_RXSTATEREQ) +#define F_REQ_LNL_RXSTATEREQ V_REQ_LNL_RXSTATEREQ(1U) + +#define S_REQ_LNL_RXPWRSTATE 12 +#define M_REQ_LNL_RXPWRSTATE 0x3U +#define V_REQ_LNL_RXPWRSTATE(x) ((x) << S_REQ_LNL_RXPWRSTATE) +#define G_REQ_LNL_RXPWRSTATE(x) (((x) >> S_REQ_LNL_RXPWRSTATE) & M_REQ_LNL_RXPWRSTATE) + +#define S_REQ_LNK_RXSTATEDONE 11 +#define V_REQ_LNK_RXSTATEDONE(x) ((x) << S_REQ_LNK_RXSTATEDONE) +#define F_REQ_LNK_RXSTATEDONE V_REQ_LNK_RXSTATEDONE(1U) + +#define S_REQ_LNK_RXSTATEREQ 10 +#define V_REQ_LNK_RXSTATEREQ(x) ((x) << S_REQ_LNK_RXSTATEREQ) +#define F_REQ_LNK_RXSTATEREQ V_REQ_LNK_RXSTATEREQ(1U) + +#define S_REQ_LNK_RXPWRSTATE 8 +#define M_REQ_LNK_RXPWRSTATE 0x3U +#define V_REQ_LNK_RXPWRSTATE(x) ((x) << S_REQ_LNK_RXPWRSTATE) +#define G_REQ_LNK_RXPWRSTATE(x) (((x) >> S_REQ_LNK_RXPWRSTATE) & M_REQ_LNK_RXPWRSTATE) + +#define S_REQ_LNJ_RXSTATEDONE 7 +#define V_REQ_LNJ_RXSTATEDONE(x) ((x) << S_REQ_LNJ_RXSTATEDONE) +#define F_REQ_LNJ_RXSTATEDONE V_REQ_LNJ_RXSTATEDONE(1U) + +#define S_REQ_LNJ_RXSTATEREQ 6 +#define V_REQ_LNJ_RXSTATEREQ(x) ((x) << S_REQ_LNJ_RXSTATEREQ) +#define F_REQ_LNJ_RXSTATEREQ V_REQ_LNJ_RXSTATEREQ(1U) + +#define S_REQ_LNJ_RXPWRSTATE 4 +#define M_REQ_LNJ_RXPWRSTATE 0x3U +#define V_REQ_LNJ_RXPWRSTATE(x) ((x) << S_REQ_LNJ_RXPWRSTATE) +#define G_REQ_LNJ_RXPWRSTATE(x) (((x) >> S_REQ_LNJ_RXPWRSTATE) & M_REQ_LNJ_RXPWRSTATE) + +#define S_REQ_LNI_RXSTATEDONE 3 +#define V_REQ_LNI_RXSTATEDONE(x) ((x) << S_REQ_LNI_RXSTATEDONE) +#define F_REQ_LNI_RXSTATEDONE V_REQ_LNI_RXSTATEDONE(1U) + +#define S_REQ_LNI_RXSTATEREQ 2 +#define V_REQ_LNI_RXSTATEREQ(x) ((x) << S_REQ_LNI_RXSTATEREQ) +#define F_REQ_LNI_RXSTATEREQ V_REQ_LNI_RXSTATEREQ(1U) + +#define S_REQ_LNI_RXPWRSTATE 0 +#define M_REQ_LNI_RXPWRSTATE 0x3U +#define V_REQ_LNI_RXPWRSTATE(x) ((x) << S_REQ_LNI_RXPWRSTATE) +#define G_REQ_LNI_RXPWRSTATE(x) (((x) >> S_REQ_LNI_RXPWRSTATE) & M_REQ_LNI_RXPWRSTATE) + +#define A_PCIE_PHY_CURRXPWR1 0x5c20 + +#define S_CUR_LNP_RXPWRSTATE 28 +#define M_CUR_LNP_RXPWRSTATE 0x7U +#define V_CUR_LNP_RXPWRSTATE(x) ((x) << S_CUR_LNP_RXPWRSTATE) +#define G_CUR_LNP_RXPWRSTATE(x) (((x) >> S_CUR_LNP_RXPWRSTATE) & M_CUR_LNP_RXPWRSTATE) + +#define S_CUR_LNO_RXPWRSTATE 24 +#define M_CUR_LNO_RXPWRSTATE 0x7U +#define V_CUR_LNO_RXPWRSTATE(x) ((x) << S_CUR_LNO_RXPWRSTATE) +#define G_CUR_LNO_RXPWRSTATE(x) (((x) >> S_CUR_LNO_RXPWRSTATE) & M_CUR_LNO_RXPWRSTATE) + +#define S_CUR_LNN_RXPWRSTATE 20 +#define M_CUR_LNN_RXPWRSTATE 0x7U +#define V_CUR_LNN_RXPWRSTATE(x) ((x) << S_CUR_LNN_RXPWRSTATE) +#define G_CUR_LNN_RXPWRSTATE(x) (((x) >> S_CUR_LNN_RXPWRSTATE) & M_CUR_LNN_RXPWRSTATE) + +#define S_CUR_LNM_RXPWRSTATE 16 +#define M_CUR_LNM_RXPWRSTATE 0x7U +#define V_CUR_LNM_RXPWRSTATE(x) ((x) << S_CUR_LNM_RXPWRSTATE) +#define G_CUR_LNM_RXPWRSTATE(x) (((x) >> S_CUR_LNM_RXPWRSTATE) & M_CUR_LNM_RXPWRSTATE) + +#define S_CUR_LNL_RXPWRSTATE 12 +#define M_CUR_LNL_RXPWRSTATE 0x7U +#define V_CUR_LNL_RXPWRSTATE(x) ((x) << S_CUR_LNL_RXPWRSTATE) +#define G_CUR_LNL_RXPWRSTATE(x) (((x) >> S_CUR_LNL_RXPWRSTATE) & M_CUR_LNL_RXPWRSTATE) + +#define S_CUR_LNK_RXPWRSTATE 8 +#define M_CUR_LNK_RXPWRSTATE 0x7U +#define V_CUR_LNK_RXPWRSTATE(x) ((x) << S_CUR_LNK_RXPWRSTATE) +#define G_CUR_LNK_RXPWRSTATE(x) (((x) >> S_CUR_LNK_RXPWRSTATE) & M_CUR_LNK_RXPWRSTATE) + +#define S_CUR_LNJ_RXPWRSTATE 4 +#define M_CUR_LNJ_RXPWRSTATE 0x7U +#define V_CUR_LNJ_RXPWRSTATE(x) ((x) << S_CUR_LNJ_RXPWRSTATE) +#define G_CUR_LNJ_RXPWRSTATE(x) (((x) >> S_CUR_LNJ_RXPWRSTATE) & M_CUR_LNJ_RXPWRSTATE) + +#define S_CUR_LNI_RXPWRSTATE 0 +#define M_CUR_LNI_RXPWRSTATE 0x7U +#define V_CUR_LNI_RXPWRSTATE(x) ((x) << S_CUR_LNI_RXPWRSTATE) +#define G_CUR_LNI_RXPWRSTATE(x) (((x) >> S_CUR_LNI_RXPWRSTATE) & M_CUR_LNI_RXPWRSTATE) + +#define A_PCIE_PHY_GEN3_AE2 0x5c24 + +#define S_LNL_STAT 28 +#define M_LNL_STAT 0x7U +#define V_LNL_STAT(x) ((x) << S_LNL_STAT) +#define G_LNL_STAT(x) (((x) >> S_LNL_STAT) & M_LNL_STAT) + +#define S_LNL_CMD 24 +#define M_LNL_CMD 0x7U +#define V_LNL_CMD(x) ((x) << S_LNL_CMD) +#define G_LNL_CMD(x) (((x) >> S_LNL_CMD) & M_LNL_CMD) + +#define S_LNK_STAT 20 +#define M_LNK_STAT 0x7U +#define V_LNK_STAT(x) ((x) << S_LNK_STAT) +#define G_LNK_STAT(x) (((x) >> S_LNK_STAT) & M_LNK_STAT) + +#define S_LNK_CMD 16 +#define M_LNK_CMD 0x7U +#define V_LNK_CMD(x) ((x) << S_LNK_CMD) +#define G_LNK_CMD(x) (((x) >> S_LNK_CMD) & M_LNK_CMD) + +#define S_LNJ_STAT 12 +#define M_LNJ_STAT 0x7U +#define V_LNJ_STAT(x) ((x) << S_LNJ_STAT) +#define G_LNJ_STAT(x) (((x) >> S_LNJ_STAT) & M_LNJ_STAT) + +#define S_LNJ_CMD 8 +#define M_LNJ_CMD 0x7U +#define V_LNJ_CMD(x) ((x) << S_LNJ_CMD) +#define G_LNJ_CMD(x) (((x) >> S_LNJ_CMD) & M_LNJ_CMD) + +#define S_LNI_STAT 4 +#define M_LNI_STAT 0x7U +#define V_LNI_STAT(x) ((x) << S_LNI_STAT) +#define G_LNI_STAT(x) (((x) >> S_LNI_STAT) & M_LNI_STAT) + +#define S_LNI_CMD 0 +#define M_LNI_CMD 0x7U +#define V_LNI_CMD(x) ((x) << S_LNI_CMD) +#define G_LNI_CMD(x) (((x) >> S_LNI_CMD) & M_LNI_CMD) + +#define A_PCIE_PHY_GEN3_AE3 0x5c28 + +#define S_LNP_STAT 28 +#define M_LNP_STAT 0x7U +#define V_LNP_STAT(x) ((x) << S_LNP_STAT) +#define G_LNP_STAT(x) (((x) >> S_LNP_STAT) & M_LNP_STAT) + +#define S_LNP_CMD 24 +#define M_LNP_CMD 0x7U +#define V_LNP_CMD(x) ((x) << S_LNP_CMD) +#define G_LNP_CMD(x) (((x) >> S_LNP_CMD) & M_LNP_CMD) + +#define S_LNO_STAT 20 +#define M_LNO_STAT 0x7U +#define V_LNO_STAT(x) ((x) << S_LNO_STAT) +#define G_LNO_STAT(x) (((x) >> S_LNO_STAT) & M_LNO_STAT) + +#define S_LNO_CMD 16 +#define M_LNO_CMD 0x7U +#define V_LNO_CMD(x) ((x) << S_LNO_CMD) +#define G_LNO_CMD(x) (((x) >> S_LNO_CMD) & M_LNO_CMD) + +#define S_LNN_STAT 12 +#define M_LNN_STAT 0x7U +#define V_LNN_STAT(x) ((x) << S_LNN_STAT) +#define G_LNN_STAT(x) (((x) >> S_LNN_STAT) & M_LNN_STAT) + +#define S_LNN_CMD 8 +#define M_LNN_CMD 0x7U +#define V_LNN_CMD(x) ((x) << S_LNN_CMD) +#define G_LNN_CMD(x) (((x) >> S_LNN_CMD) & M_LNN_CMD) + +#define S_LNM_STAT 4 +#define M_LNM_STAT 0x7U +#define V_LNM_STAT(x) ((x) << S_LNM_STAT) +#define G_LNM_STAT(x) (((x) >> S_LNM_STAT) & M_LNM_STAT) + +#define S_LNM_CMD 0 +#define M_LNM_CMD 0x7U +#define V_LNM_CMD(x) ((x) << S_LNM_CMD) +#define G_LNM_CMD(x) (((x) >> S_LNM_CMD) & M_LNM_CMD) + +#define A_PCIE_PHY_FS_LF4 0x5c2c + +#define S_LANE9LF 24 +#define M_LANE9LF 0x3fU +#define V_LANE9LF(x) ((x) << S_LANE9LF) +#define G_LANE9LF(x) (((x) >> S_LANE9LF) & M_LANE9LF) + +#define S_LANE9FS 16 +#define M_LANE9FS 0x3fU +#define V_LANE9FS(x) ((x) << S_LANE9FS) +#define G_LANE9FS(x) (((x) >> S_LANE9FS) & M_LANE9FS) + +#define S_LANE8LF 8 +#define M_LANE8LF 0x3fU +#define V_LANE8LF(x) ((x) << S_LANE8LF) +#define G_LANE8LF(x) (((x) >> S_LANE8LF) & M_LANE8LF) + +#define S_LANE8FS 0 +#define M_LANE8FS 0x3fU +#define V_LANE8FS(x) ((x) << S_LANE8FS) +#define G_LANE8FS(x) (((x) >> S_LANE8FS) & M_LANE8FS) + +#define A_PCIE_PHY_FS_LF5 0x5c30 + +#define S_LANE11LF 24 +#define M_LANE11LF 0x3fU +#define V_LANE11LF(x) ((x) << S_LANE11LF) +#define G_LANE11LF(x) (((x) >> S_LANE11LF) & M_LANE11LF) + +#define S_LANE11FS 16 +#define M_LANE11FS 0x3fU +#define V_LANE11FS(x) ((x) << S_LANE11FS) +#define G_LANE11FS(x) (((x) >> S_LANE11FS) & M_LANE11FS) + +#define S_LANE10LF 8 +#define M_LANE10LF 0x3fU +#define V_LANE10LF(x) ((x) << S_LANE10LF) +#define G_LANE10LF(x) (((x) >> S_LANE10LF) & M_LANE10LF) + +#define S_LANE10FS 0 +#define M_LANE10FS 0x3fU +#define V_LANE10FS(x) ((x) << S_LANE10FS) +#define G_LANE10FS(x) (((x) >> S_LANE10FS) & M_LANE10FS) + +#define A_PCIE_PHY_FS_LF6 0x5c34 + +#define S_LANE13LF 24 +#define M_LANE13LF 0x3fU +#define V_LANE13LF(x) ((x) << S_LANE13LF) +#define G_LANE13LF(x) (((x) >> S_LANE13LF) & M_LANE13LF) + +#define S_LANE13FS 16 +#define M_LANE13FS 0x3fU +#define V_LANE13FS(x) ((x) << S_LANE13FS) +#define G_LANE13FS(x) (((x) >> S_LANE13FS) & M_LANE13FS) + +#define S_LANE12LF 8 +#define M_LANE12LF 0x3fU +#define V_LANE12LF(x) ((x) << S_LANE12LF) +#define G_LANE12LF(x) (((x) >> S_LANE12LF) & M_LANE12LF) + +#define S_LANE12FS 0 +#define M_LANE12FS 0x3fU +#define V_LANE12FS(x) ((x) << S_LANE12FS) +#define G_LANE12FS(x) (((x) >> S_LANE12FS) & M_LANE12FS) + +#define A_PCIE_PHY_FS_LF7 0x5c38 + +#define S_LANE15LF 24 +#define M_LANE15LF 0x3fU +#define V_LANE15LF(x) ((x) << S_LANE15LF) +#define G_LANE15LF(x) (((x) >> S_LANE15LF) & M_LANE15LF) + +#define S_LANE15FS 16 +#define M_LANE15FS 0x3fU +#define V_LANE15FS(x) ((x) << S_LANE15FS) +#define G_LANE15FS(x) (((x) >> S_LANE15FS) & M_LANE15FS) + +#define S_LANE14LF 8 +#define M_LANE14LF 0x3fU +#define V_LANE14LF(x) ((x) << S_LANE14LF) +#define G_LANE14LF(x) (((x) >> S_LANE14LF) & M_LANE14LF) + +#define S_LANE14FS 0 +#define M_LANE14FS 0x3fU +#define V_LANE14FS(x) ((x) << S_LANE14FS) +#define G_LANE14FS(x) (((x) >> S_LANE14FS) & M_LANE14FS) + +#define A_PCIE_MULTI_PHY_INDIR_REQ 0x5c3c + +#define S_PHY_REG_ENABLE 31 +#define V_PHY_REG_ENABLE(x) ((x) << S_PHY_REG_ENABLE) +#define F_PHY_REG_ENABLE V_PHY_REG_ENABLE(1U) + +#define S_PHY_REG_SELECT 22 +#define M_PHY_REG_SELECT 0x3U +#define V_PHY_REG_SELECT(x) ((x) << S_PHY_REG_SELECT) +#define G_PHY_REG_SELECT(x) (((x) >> S_PHY_REG_SELECT) & M_PHY_REG_SELECT) + +#define S_PHY_REG_REGADDR 0 +#define M_PHY_REG_REGADDR 0xffffU +#define V_PHY_REG_REGADDR(x) ((x) << S_PHY_REG_REGADDR) +#define G_PHY_REG_REGADDR(x) (((x) >> S_PHY_REG_REGADDR) & M_PHY_REG_REGADDR) + +#define A_PCIE_MULTI_PHY_INDIR_DATA 0x5c40 + +#define S_PHY_REG_DATA 0 +#define M_PHY_REG_DATA 0xffffU +#define V_PHY_REG_DATA(x) ((x) << S_PHY_REG_DATA) +#define G_PHY_REG_DATA(x) (((x) >> S_PHY_REG_DATA) & M_PHY_REG_DATA) + +#define A_PCIE_VF_INT_INDIR_REQ 0x5c44 + +#define S_ENABLE_VF 24 +#define V_ENABLE_VF(x) ((x) << S_ENABLE_VF) +#define F_ENABLE_VF V_ENABLE_VF(1U) + +#define S_AI_VF 23 +#define V_AI_VF(x) ((x) << S_AI_VF) +#define F_AI_VF V_AI_VF(1U) + +#define S_VFID_PCIE 0 +#define M_VFID_PCIE 0x3ffU +#define V_VFID_PCIE(x) ((x) << S_VFID_PCIE) +#define G_VFID_PCIE(x) (((x) >> S_VFID_PCIE) & M_VFID_PCIE) + +#define A_PCIE_VF_INT_INDIR_DATA 0x5c48 +#define A_PCIE_VF_256_INT_CFG2 0x5c4c +#define A_PCIE_VF_MSI_EN_4 0x5e50 +#define A_PCIE_VF_MSI_EN_5 0x5e54 +#define A_PCIE_VF_MSI_EN_6 0x5e58 +#define A_PCIE_VF_MSI_EN_7 0x5e5c +#define A_PCIE_VF_MSIX_EN_4 0x5e60 +#define A_PCIE_VF_MSIX_EN_5 0x5e64 +#define A_PCIE_VF_MSIX_EN_6 0x5e68 +#define A_PCIE_VF_MSIX_EN_7 0x5e6c +#define A_PCIE_FLR_VF4_STATUS 0x5e70 +#define A_PCIE_FLR_VF5_STATUS 0x5e74 +#define A_PCIE_FLR_VF6_STATUS 0x5e78 +#define A_PCIE_FLR_VF7_STATUS 0x5e7c +#define A_T6_PCIE_BUS_MST_STAT_4 0x5e80 +#define A_T6_PCIE_BUS_MST_STAT_5 0x5e84 +#define A_T6_PCIE_BUS_MST_STAT_6 0x5e88 +#define A_T6_PCIE_BUS_MST_STAT_7 0x5e8c +#define A_PCIE_BUS_MST_STAT_8 0x5e90 + +#define S_BUSMST_263_256 0 +#define M_BUSMST_263_256 0xffU +#define V_BUSMST_263_256(x) ((x) << S_BUSMST_263_256) +#define G_BUSMST_263_256(x) (((x) >> S_BUSMST_263_256) & M_BUSMST_263_256) + +#define A_PCIE_TGT_SKID_FIFO 0x5e94 + +#define S_HDRFREECNT 16 +#define M_HDRFREECNT 0xfffU +#define V_HDRFREECNT(x) ((x) << S_HDRFREECNT) +#define G_HDRFREECNT(x) (((x) >> S_HDRFREECNT) & M_HDRFREECNT) + +#define S_DATAFREECNT 0 +#define M_DATAFREECNT 0xfffU +#define V_DATAFREECNT(x) ((x) << S_DATAFREECNT) +#define G_DATAFREECNT(x) (((x) >> S_DATAFREECNT) & M_DATAFREECNT) + +#define A_T6_PCIE_RSP_ERR_STAT_4 0x5ea0 +#define A_T6_PCIE_RSP_ERR_STAT_5 0x5ea4 +#define A_T6_PCIE_RSP_ERR_STAT_6 0x5ea8 +#define A_T6_PCIE_RSP_ERR_STAT_7 0x5eac +#define A_PCIE_RSP_ERR_STAT_8 0x5eb0 + +#define S_RSPERR_263_256 0 +#define M_RSPERR_263_256 0xffU +#define V_RSPERR_263_256(x) ((x) << S_RSPERR_263_256) +#define G_RSPERR_263_256(x) (((x) >> S_RSPERR_263_256) & M_RSPERR_263_256) + +#define A_PCIE_PHY_STAT1 0x5ec0 + +#define S_PHY0_RTUNE_ACK 31 +#define V_PHY0_RTUNE_ACK(x) ((x) << S_PHY0_RTUNE_ACK) +#define F_PHY0_RTUNE_ACK V_PHY0_RTUNE_ACK(1U) + +#define S_PHY1_RTUNE_ACK 30 +#define V_PHY1_RTUNE_ACK(x) ((x) << S_PHY1_RTUNE_ACK) +#define F_PHY1_RTUNE_ACK V_PHY1_RTUNE_ACK(1U) + +#define A_PCIE_PHY_CTRL1 0x5ec4 + +#define S_PHY0_RTUNE_REQ 31 +#define V_PHY0_RTUNE_REQ(x) ((x) << S_PHY0_RTUNE_REQ) +#define F_PHY0_RTUNE_REQ V_PHY0_RTUNE_REQ(1U) + +#define S_PHY1_RTUNE_REQ 30 +#define V_PHY1_RTUNE_REQ(x) ((x) << S_PHY1_RTUNE_REQ) +#define F_PHY1_RTUNE_REQ V_PHY1_RTUNE_REQ(1U) + +#define S_TXDEEMPH_GEN1 16 +#define M_TXDEEMPH_GEN1 0xffU +#define V_TXDEEMPH_GEN1(x) ((x) << S_TXDEEMPH_GEN1) +#define G_TXDEEMPH_GEN1(x) (((x) >> S_TXDEEMPH_GEN1) & M_TXDEEMPH_GEN1) + +#define S_TXDEEMPH_GEN2_3P5DB 8 +#define M_TXDEEMPH_GEN2_3P5DB 0xffU +#define V_TXDEEMPH_GEN2_3P5DB(x) ((x) << S_TXDEEMPH_GEN2_3P5DB) +#define G_TXDEEMPH_GEN2_3P5DB(x) (((x) >> S_TXDEEMPH_GEN2_3P5DB) & M_TXDEEMPH_GEN2_3P5DB) + +#define S_TXDEEMPH_GEN2_6DB 0 +#define M_TXDEEMPH_GEN2_6DB 0xffU +#define V_TXDEEMPH_GEN2_6DB(x) ((x) << S_TXDEEMPH_GEN2_6DB) +#define G_TXDEEMPH_GEN2_6DB(x) (((x) >> S_TXDEEMPH_GEN2_6DB) & M_TXDEEMPH_GEN2_6DB) + +#define A_PCIE_PCIE_SPARE0 0x5ec8 +#define A_PCIE_RESET_STAT 0x5ecc + +#define S_PON_RST_STATE_FLAG 11 +#define V_PON_RST_STATE_FLAG(x) ((x) << S_PON_RST_STATE_FLAG) +#define F_PON_RST_STATE_FLAG V_PON_RST_STATE_FLAG(1U) + +#define S_BUS_RST_STATE_FLAG 10 +#define V_BUS_RST_STATE_FLAG(x) ((x) << S_BUS_RST_STATE_FLAG) +#define F_BUS_RST_STATE_FLAG V_BUS_RST_STATE_FLAG(1U) + +#define S_DL_DOWN_PCIECRST_MODE0_STATE_FLAG 9 +#define V_DL_DOWN_PCIECRST_MODE0_STATE_FLAG(x) ((x) << S_DL_DOWN_PCIECRST_MODE0_STATE_FLAG) +#define F_DL_DOWN_PCIECRST_MODE0_STATE_FLAG V_DL_DOWN_PCIECRST_MODE0_STATE_FLAG(1U) + +#define S_DL_DOWN_PCIECRST_MODE1_STATE_FLAG 8 +#define V_DL_DOWN_PCIECRST_MODE1_STATE_FLAG(x) ((x) << S_DL_DOWN_PCIECRST_MODE1_STATE_FLAG) +#define F_DL_DOWN_PCIECRST_MODE1_STATE_FLAG V_DL_DOWN_PCIECRST_MODE1_STATE_FLAG(1U) + +#define S_PCIE_WARM_RST_MODE0_STATE_FLAG 7 +#define V_PCIE_WARM_RST_MODE0_STATE_FLAG(x) ((x) << S_PCIE_WARM_RST_MODE0_STATE_FLAG) +#define F_PCIE_WARM_RST_MODE0_STATE_FLAG V_PCIE_WARM_RST_MODE0_STATE_FLAG(1U) + +#define S_PCIE_WARM_RST_MODE1_STATE_FLAG 6 +#define V_PCIE_WARM_RST_MODE1_STATE_FLAG(x) ((x) << S_PCIE_WARM_RST_MODE1_STATE_FLAG) +#define F_PCIE_WARM_RST_MODE1_STATE_FLAG V_PCIE_WARM_RST_MODE1_STATE_FLAG(1U) + +#define S_PIO_WARM_RST_MODE0_STATE_FLAG 5 +#define V_PIO_WARM_RST_MODE0_STATE_FLAG(x) ((x) << S_PIO_WARM_RST_MODE0_STATE_FLAG) +#define F_PIO_WARM_RST_MODE0_STATE_FLAG V_PIO_WARM_RST_MODE0_STATE_FLAG(1U) + +#define S_PIO_WARM_RST_MODE1_STATE_FLAG 4 +#define V_PIO_WARM_RST_MODE1_STATE_FLAG(x) ((x) << S_PIO_WARM_RST_MODE1_STATE_FLAG) +#define F_PIO_WARM_RST_MODE1_STATE_FLAG V_PIO_WARM_RST_MODE1_STATE_FLAG(1U) + +#define S_LASTRESETSTATE 0 +#define M_LASTRESETSTATE 0x7U +#define V_LASTRESETSTATE(x) ((x) << S_LASTRESETSTATE) +#define G_LASTRESETSTATE(x) (((x) >> S_LASTRESETSTATE) & M_LASTRESETSTATE) + +#define A_PCIE_FUNC_DSTATE 0x5ed0 + +#define S_PF7_DSTATE 21 +#define M_PF7_DSTATE 0x7U +#define V_PF7_DSTATE(x) ((x) << S_PF7_DSTATE) +#define G_PF7_DSTATE(x) (((x) >> S_PF7_DSTATE) & M_PF7_DSTATE) + +#define S_PF6_DSTATE 18 +#define M_PF6_DSTATE 0x7U +#define V_PF6_DSTATE(x) ((x) << S_PF6_DSTATE) +#define G_PF6_DSTATE(x) (((x) >> S_PF6_DSTATE) & M_PF6_DSTATE) + +#define S_PF5_DSTATE 15 +#define M_PF5_DSTATE 0x7U +#define V_PF5_DSTATE(x) ((x) << S_PF5_DSTATE) +#define G_PF5_DSTATE(x) (((x) >> S_PF5_DSTATE) & M_PF5_DSTATE) + +#define S_PF4_DSTATE 12 +#define M_PF4_DSTATE 0x7U +#define V_PF4_DSTATE(x) ((x) << S_PF4_DSTATE) +#define G_PF4_DSTATE(x) (((x) >> S_PF4_DSTATE) & M_PF4_DSTATE) + +#define S_PF3_DSTATE 9 +#define M_PF3_DSTATE 0x7U +#define V_PF3_DSTATE(x) ((x) << S_PF3_DSTATE) +#define G_PF3_DSTATE(x) (((x) >> S_PF3_DSTATE) & M_PF3_DSTATE) + +#define S_PF2_DSTATE 6 +#define M_PF2_DSTATE 0x7U +#define V_PF2_DSTATE(x) ((x) << S_PF2_DSTATE) +#define G_PF2_DSTATE(x) (((x) >> S_PF2_DSTATE) & M_PF2_DSTATE) + +#define S_PF1_DSTATE 3 +#define M_PF1_DSTATE 0x7U +#define V_PF1_DSTATE(x) ((x) << S_PF1_DSTATE) +#define G_PF1_DSTATE(x) (((x) >> S_PF1_DSTATE) & M_PF1_DSTATE) + +#define S_PF0_DSTATE 0 +#define M_PF0_DSTATE 0x7U +#define V_PF0_DSTATE(x) ((x) << S_PF0_DSTATE) +#define G_PF0_DSTATE(x) (((x) >> S_PF0_DSTATE) & M_PF0_DSTATE) + +#define A_PCIE_DEBUG_ADDR_RANGE1 0x5ee0 +#define A_PCIE_DEBUG_ADDR_RANGE2 0x5ef0 +#define A_PCIE_DEBUG_ADDR_RANGE_CNT 0x5f00 +#define A_PCIE_PDEBUG_REG_0X0 0x0 +#define A_PCIE_PDEBUG_REG_0X1 0x1 +#define A_PCIE_PDEBUG_REG_0X2 0x2 + +#define S_TAGQ_CH0_TAGS_USED 11 +#define M_TAGQ_CH0_TAGS_USED 0xffU +#define V_TAGQ_CH0_TAGS_USED(x) ((x) << S_TAGQ_CH0_TAGS_USED) +#define G_TAGQ_CH0_TAGS_USED(x) (((x) >> S_TAGQ_CH0_TAGS_USED) & M_TAGQ_CH0_TAGS_USED) + +#define S_REQ_CH0_DATA_EMPTY 10 +#define V_REQ_CH0_DATA_EMPTY(x) ((x) << S_REQ_CH0_DATA_EMPTY) +#define F_REQ_CH0_DATA_EMPTY V_REQ_CH0_DATA_EMPTY(1U) + +#define S_RDQ_CH0_REQ_EMPTY 9 +#define V_RDQ_CH0_REQ_EMPTY(x) ((x) << S_RDQ_CH0_REQ_EMPTY) +#define F_RDQ_CH0_REQ_EMPTY V_RDQ_CH0_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH0_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_CMD V_REQ_CTL_RD_CH0_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH0_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_RDQ V_REQ_CTL_RD_CH0_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH0_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH0_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH0_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH0_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH0_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0X3 0x3 + +#define S_TAGQ_CH1_TAGS_USED 11 +#define M_TAGQ_CH1_TAGS_USED 0xffU +#define V_TAGQ_CH1_TAGS_USED(x) ((x) << S_TAGQ_CH1_TAGS_USED) +#define G_TAGQ_CH1_TAGS_USED(x) (((x) >> S_TAGQ_CH1_TAGS_USED) & M_TAGQ_CH1_TAGS_USED) + +#define S_REQ_CH1_DATA_EMPTY 10 +#define V_REQ_CH1_DATA_EMPTY(x) ((x) << S_REQ_CH1_DATA_EMPTY) +#define F_REQ_CH1_DATA_EMPTY V_REQ_CH1_DATA_EMPTY(1U) + +#define S_RDQ_CH1_REQ_EMPTY 9 +#define V_RDQ_CH1_REQ_EMPTY(x) ((x) << S_RDQ_CH1_REQ_EMPTY) +#define F_RDQ_CH1_REQ_EMPTY V_RDQ_CH1_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH1_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_CMD V_REQ_CTL_RD_CH1_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH1_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_RDQ V_REQ_CTL_RD_CH1_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH1_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH1_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH1_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH1_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH1_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0X4 0x4 + +#define S_TAGQ_CH2_TAGS_USED 11 +#define M_TAGQ_CH2_TAGS_USED 0xffU +#define V_TAGQ_CH2_TAGS_USED(x) ((x) << S_TAGQ_CH2_TAGS_USED) +#define G_TAGQ_CH2_TAGS_USED(x) (((x) >> S_TAGQ_CH2_TAGS_USED) & M_TAGQ_CH2_TAGS_USED) + +#define S_REQ_CH2_DATA_EMPTY 10 +#define V_REQ_CH2_DATA_EMPTY(x) ((x) << S_REQ_CH2_DATA_EMPTY) +#define F_REQ_CH2_DATA_EMPTY V_REQ_CH2_DATA_EMPTY(1U) + +#define S_RDQ_CH2_REQ_EMPTY 9 +#define V_RDQ_CH2_REQ_EMPTY(x) ((x) << S_RDQ_CH2_REQ_EMPTY) +#define F_RDQ_CH2_REQ_EMPTY V_RDQ_CH2_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH2_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_CMD V_REQ_CTL_RD_CH2_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH2_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_RDQ V_REQ_CTL_RD_CH2_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH2_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH2_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH2_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH2_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH2_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0X5 0x5 + +#define S_TAGQ_CH3_TAGS_USED 11 +#define M_TAGQ_CH3_TAGS_USED 0xffU +#define V_TAGQ_CH3_TAGS_USED(x) ((x) << S_TAGQ_CH3_TAGS_USED) +#define G_TAGQ_CH3_TAGS_USED(x) (((x) >> S_TAGQ_CH3_TAGS_USED) & M_TAGQ_CH3_TAGS_USED) + +#define S_REQ_CH3_DATA_EMPTY 10 +#define V_REQ_CH3_DATA_EMPTY(x) ((x) << S_REQ_CH3_DATA_EMPTY) +#define F_REQ_CH3_DATA_EMPTY V_REQ_CH3_DATA_EMPTY(1U) + +#define S_RDQ_CH3_REQ_EMPTY 9 +#define V_RDQ_CH3_REQ_EMPTY(x) ((x) << S_RDQ_CH3_REQ_EMPTY) +#define F_RDQ_CH3_REQ_EMPTY V_RDQ_CH3_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH3_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_CMD V_REQ_CTL_RD_CH3_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH3_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_RDQ V_REQ_CTL_RD_CH3_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH3_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH3_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH3_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH3_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH3_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0X6 0x6 + +#define S_TAGQ_CH4_TAGS_USED 11 +#define M_TAGQ_CH4_TAGS_USED 0xffU +#define V_TAGQ_CH4_TAGS_USED(x) ((x) << S_TAGQ_CH4_TAGS_USED) +#define G_TAGQ_CH4_TAGS_USED(x) (((x) >> S_TAGQ_CH4_TAGS_USED) & M_TAGQ_CH4_TAGS_USED) + +#define S_REQ_CH4_DATA_EMPTY 10 +#define V_REQ_CH4_DATA_EMPTY(x) ((x) << S_REQ_CH4_DATA_EMPTY) +#define F_REQ_CH4_DATA_EMPTY V_REQ_CH4_DATA_EMPTY(1U) + +#define S_RDQ_CH4_REQ_EMPTY 9 +#define V_RDQ_CH4_REQ_EMPTY(x) ((x) << S_RDQ_CH4_REQ_EMPTY) +#define F_RDQ_CH4_REQ_EMPTY V_RDQ_CH4_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH4_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_CMD V_REQ_CTL_RD_CH4_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH4_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_RDQ V_REQ_CTL_RD_CH4_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH4_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH4_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH4_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH4_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH4_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0X7 0x7 + +#define S_TAGQ_CH5_TAGS_USED 11 +#define M_TAGQ_CH5_TAGS_USED 0xffU +#define V_TAGQ_CH5_TAGS_USED(x) ((x) << S_TAGQ_CH5_TAGS_USED) +#define G_TAGQ_CH5_TAGS_USED(x) (((x) >> S_TAGQ_CH5_TAGS_USED) & M_TAGQ_CH5_TAGS_USED) + +#define S_REQ_CH5_DATA_EMPTY 10 +#define V_REQ_CH5_DATA_EMPTY(x) ((x) << S_REQ_CH5_DATA_EMPTY) +#define F_REQ_CH5_DATA_EMPTY V_REQ_CH5_DATA_EMPTY(1U) + +#define S_RDQ_CH5_REQ_EMPTY 9 +#define V_RDQ_CH5_REQ_EMPTY(x) ((x) << S_RDQ_CH5_REQ_EMPTY) +#define F_RDQ_CH5_REQ_EMPTY V_RDQ_CH5_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH5_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH5_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH5_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH5_WAIT_FOR_CMD V_REQ_CTL_RD_CH5_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH5_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH5_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH5_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH5_WAIT_FOR_RDQ V_REQ_CTL_RD_CH5_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH5_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH5_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH5_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH5_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH5_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0X8 0x8 + +#define S_TAGQ_CH6_TAGS_USED 11 +#define M_TAGQ_CH6_TAGS_USED 0xffU +#define V_TAGQ_CH6_TAGS_USED(x) ((x) << S_TAGQ_CH6_TAGS_USED) +#define G_TAGQ_CH6_TAGS_USED(x) (((x) >> S_TAGQ_CH6_TAGS_USED) & M_TAGQ_CH6_TAGS_USED) + +#define S_REQ_CH6_DATA_EMPTY 10 +#define V_REQ_CH6_DATA_EMPTY(x) ((x) << S_REQ_CH6_DATA_EMPTY) +#define F_REQ_CH6_DATA_EMPTY V_REQ_CH6_DATA_EMPTY(1U) + +#define S_RDQ_CH6_REQ_EMPTY 9 +#define V_RDQ_CH6_REQ_EMPTY(x) ((x) << S_RDQ_CH6_REQ_EMPTY) +#define F_RDQ_CH6_REQ_EMPTY V_RDQ_CH6_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH6_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH6_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH6_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH6_WAIT_FOR_CMD V_REQ_CTL_RD_CH6_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH6_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH6_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH6_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH6_WAIT_FOR_RDQ V_REQ_CTL_RD_CH6_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH6_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH6_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH6_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH6_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH6_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0X9 0x9 + +#define S_TAGQ_CH7_TAGS_USED 11 +#define M_TAGQ_CH7_TAGS_USED 0xffU +#define V_TAGQ_CH7_TAGS_USED(x) ((x) << S_TAGQ_CH7_TAGS_USED) +#define G_TAGQ_CH7_TAGS_USED(x) (((x) >> S_TAGQ_CH7_TAGS_USED) & M_TAGQ_CH7_TAGS_USED) + +#define S_REQ_CH7_DATA_EMPTY 10 +#define V_REQ_CH7_DATA_EMPTY(x) ((x) << S_REQ_CH7_DATA_EMPTY) +#define F_REQ_CH7_DATA_EMPTY V_REQ_CH7_DATA_EMPTY(1U) + +#define S_RDQ_CH7_REQ_EMPTY 9 +#define V_RDQ_CH7_REQ_EMPTY(x) ((x) << S_RDQ_CH7_REQ_EMPTY) +#define F_RDQ_CH7_REQ_EMPTY V_RDQ_CH7_REQ_EMPTY(1U) + +#define S_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ 8 +#define V_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ) +#define F_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ V_REQ_CTL_RD_CH7_WAIT_FOR_TAGTQ(1U) + +#define S_REQ_CTL_RD_CH7_WAIT_FOR_CMD 7 +#define V_REQ_CTL_RD_CH7_WAIT_FOR_CMD(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_CMD) +#define F_REQ_CTL_RD_CH7_WAIT_FOR_CMD V_REQ_CTL_RD_CH7_WAIT_FOR_CMD(1U) + +#define S_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM 6 +#define V_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM) +#define F_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM V_REQ_CTL_RD_CH7_WAIT_FOR_DATA_MEM(1U) + +#define S_REQ_CTL_RD_CH7_WAIT_FOR_RDQ 5 +#define V_REQ_CTL_RD_CH7_WAIT_FOR_RDQ(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_RDQ) +#define F_REQ_CTL_RD_CH7_WAIT_FOR_RDQ V_REQ_CTL_RD_CH7_WAIT_FOR_RDQ(1U) + +#define S_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO 4 +#define V_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO) +#define F_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO V_REQ_CTL_RD_CH7_WAIT_FOR_TXN_DISABLE_FIFO(1U) + +#define S_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED V_REQ_CTL_RD_CH7_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED V_REQ_CTL_RD_CH7_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE) +#define F_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE V_REQ_CTL_RD_CH7_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA V_REQ_CTL_RD_CH7_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0XA 0xa + +#define S_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM 27 +#define V_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM) +#define F_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH0_WAIT_FOR_SEQNUM(1U) + +#define S_REQ_CTL_WR_CH0_SEQNUM 19 +#define M_REQ_CTL_WR_CH0_SEQNUM 0xffU +#define V_REQ_CTL_WR_CH0_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH0_SEQNUM) +#define G_REQ_CTL_WR_CH0_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH0_SEQNUM) & M_REQ_CTL_WR_CH0_SEQNUM) + +#define S_REQ_CTL_RD_CH0_SEQNUM 11 +#define M_REQ_CTL_RD_CH0_SEQNUM 0xffU +#define V_REQ_CTL_RD_CH0_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH0_SEQNUM) +#define G_REQ_CTL_RD_CH0_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH0_SEQNUM) & M_REQ_CTL_RD_CH0_SEQNUM) + +#define S_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO 4 +#define V_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO) +#define F_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH0_WAIT_FOR_SI_FIFO(1U) + +#define S_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH0_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH0_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE) +#define F_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH0_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH0_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0XB 0xb + +#define S_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM 27 +#define V_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM) +#define F_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH1_WAIT_FOR_SEQNUM(1U) + +#define S_REQ_CTL_WR_CH1_SEQNUM 19 +#define M_REQ_CTL_WR_CH1_SEQNUM 0xffU +#define V_REQ_CTL_WR_CH1_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH1_SEQNUM) +#define G_REQ_CTL_WR_CH1_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH1_SEQNUM) & M_REQ_CTL_WR_CH1_SEQNUM) + +#define S_REQ_CTL_RD_CH1_SEQNUM 11 +#define M_REQ_CTL_RD_CH1_SEQNUM 0xffU +#define V_REQ_CTL_RD_CH1_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH1_SEQNUM) +#define G_REQ_CTL_RD_CH1_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH1_SEQNUM) & M_REQ_CTL_RD_CH1_SEQNUM) + +#define S_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO 4 +#define V_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO) +#define F_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH1_WAIT_FOR_SI_FIFO(1U) + +#define S_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH1_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH1_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE) +#define F_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH1_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH1_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0XC 0xc + +#define S_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM 27 +#define V_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM) +#define F_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH2_WAIT_FOR_SEQNUM(1U) + +#define S_REQ_CTL_WR_CH2_SEQNUM 19 +#define M_REQ_CTL_WR_CH2_SEQNUM 0xffU +#define V_REQ_CTL_WR_CH2_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH2_SEQNUM) +#define G_REQ_CTL_WR_CH2_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH2_SEQNUM) & M_REQ_CTL_WR_CH2_SEQNUM) + +#define S_REQ_CTL_RD_CH2_SEQNUM 11 +#define M_REQ_CTL_RD_CH2_SEQNUM 0xffU +#define V_REQ_CTL_RD_CH2_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH2_SEQNUM) +#define G_REQ_CTL_RD_CH2_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH2_SEQNUM) & M_REQ_CTL_RD_CH2_SEQNUM) + +#define S_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO 4 +#define V_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO) +#define F_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH2_WAIT_FOR_SI_FIFO(1U) + +#define S_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH2_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH2_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE) +#define F_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH2_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH2_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0XD 0xd + +#define S_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM 27 +#define V_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM) +#define F_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH3_WAIT_FOR_SEQNUM(1U) + +#define S_REQ_CTL_WR_CH3_SEQNUM 19 +#define M_REQ_CTL_WR_CH3_SEQNUM 0xffU +#define V_REQ_CTL_WR_CH3_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH3_SEQNUM) +#define G_REQ_CTL_WR_CH3_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH3_SEQNUM) & M_REQ_CTL_WR_CH3_SEQNUM) + +#define S_REQ_CTL_RD_CH3_SEQNUM 11 +#define M_REQ_CTL_RD_CH3_SEQNUM 0xffU +#define V_REQ_CTL_RD_CH3_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH3_SEQNUM) +#define G_REQ_CTL_RD_CH3_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH3_SEQNUM) & M_REQ_CTL_RD_CH3_SEQNUM) + +#define S_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO 4 +#define V_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO) +#define F_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH3_WAIT_FOR_SI_FIFO(1U) + +#define S_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH3_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH3_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE) +#define F_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH3_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH3_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0XE 0xe + +#define S_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM 27 +#define V_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM) +#define F_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM V_REQ_CTL_RD_CH4_WAIT_FOR_SEQNUM(1U) + +#define S_REQ_CTL_WR_CH4_SEQNUM 19 +#define M_REQ_CTL_WR_CH4_SEQNUM 0xffU +#define V_REQ_CTL_WR_CH4_SEQNUM(x) ((x) << S_REQ_CTL_WR_CH4_SEQNUM) +#define G_REQ_CTL_WR_CH4_SEQNUM(x) (((x) >> S_REQ_CTL_WR_CH4_SEQNUM) & M_REQ_CTL_WR_CH4_SEQNUM) + +#define S_REQ_CTL_RD_CH4_SEQNUM 11 +#define M_REQ_CTL_RD_CH4_SEQNUM 0xffU +#define V_REQ_CTL_RD_CH4_SEQNUM(x) ((x) << S_REQ_CTL_RD_CH4_SEQNUM) +#define G_REQ_CTL_RD_CH4_SEQNUM(x) (((x) >> S_REQ_CTL_RD_CH4_SEQNUM) & M_REQ_CTL_RD_CH4_SEQNUM) + +#define S_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO 4 +#define V_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO(x) ((x) << S_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO) +#define F_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO V_REQ_CTL_WR_CH4_WAIT_FOR_SI_FIFO(1U) + +#define S_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED 3 +#define V_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED) +#define F_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED V_REQ_CTL_WR_CH4_EXIT_BOT_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED 2 +#define V_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED(x) ((x) << S_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED) +#define F_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED V_REQ_CTL_WR_CH4_EXIT_TOP_VLD_STARTED(1U) + +#define S_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE 1 +#define V_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE(x) ((x) << S_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE) +#define F_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE V_REQ_CTL_WR_CH4_WAIT_FOR_PAUSE(1U) + +#define S_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA 0 +#define V_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA(x) ((x) << S_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA) +#define F_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA V_REQ_CTL_WR_CH4_WAIT_FOR_FIFO_DATA(1U) + +#define A_PCIE_PDEBUG_REG_0XF 0xf +#define A_PCIE_PDEBUG_REG_0X10 0x10 + +#define S_PIPE0_TX3_DATAK_0 31 +#define V_PIPE0_TX3_DATAK_0(x) ((x) << S_PIPE0_TX3_DATAK_0) +#define F_PIPE0_TX3_DATAK_0 V_PIPE0_TX3_DATAK_0(1U) + +#define S_PIPE0_TX3_DATA_6_0 24 +#define M_PIPE0_TX3_DATA_6_0 0x7fU +#define V_PIPE0_TX3_DATA_6_0(x) ((x) << S_PIPE0_TX3_DATA_6_0) +#define G_PIPE0_TX3_DATA_6_0(x) (((x) >> S_PIPE0_TX3_DATA_6_0) & M_PIPE0_TX3_DATA_6_0) + +#define S_PIPE0_TX2_DATA_7_0 16 +#define M_PIPE0_TX2_DATA_7_0 0xffU +#define V_PIPE0_TX2_DATA_7_0(x) ((x) << S_PIPE0_TX2_DATA_7_0) +#define G_PIPE0_TX2_DATA_7_0(x) (((x) >> S_PIPE0_TX2_DATA_7_0) & M_PIPE0_TX2_DATA_7_0) + +#define S_PIPE0_TX1_DATA_7_0 8 +#define M_PIPE0_TX1_DATA_7_0 0xffU +#define V_PIPE0_TX1_DATA_7_0(x) ((x) << S_PIPE0_TX1_DATA_7_0) +#define G_PIPE0_TX1_DATA_7_0(x) (((x) >> S_PIPE0_TX1_DATA_7_0) & M_PIPE0_TX1_DATA_7_0) + +#define S_PIPE0_TX0_DATAK_0 7 +#define V_PIPE0_TX0_DATAK_0(x) ((x) << S_PIPE0_TX0_DATAK_0) +#define F_PIPE0_TX0_DATAK_0 V_PIPE0_TX0_DATAK_0(1U) + +#define S_PIPE0_TX0_DATA_6_0 0 +#define M_PIPE0_TX0_DATA_6_0 0x7fU +#define V_PIPE0_TX0_DATA_6_0(x) ((x) << S_PIPE0_TX0_DATA_6_0) +#define G_PIPE0_TX0_DATA_6_0(x) (((x) >> S_PIPE0_TX0_DATA_6_0) & M_PIPE0_TX0_DATA_6_0) + +#define A_PCIE_PDEBUG_REG_0X11 0x11 + +#define S_PIPE0_TX3_DATAK_1 31 +#define V_PIPE0_TX3_DATAK_1(x) ((x) << S_PIPE0_TX3_DATAK_1) +#define F_PIPE0_TX3_DATAK_1 V_PIPE0_TX3_DATAK_1(1U) + +#define S_PIPE0_TX3_DATA_14_8 24 +#define M_PIPE0_TX3_DATA_14_8 0x7fU +#define V_PIPE0_TX3_DATA_14_8(x) ((x) << S_PIPE0_TX3_DATA_14_8) +#define G_PIPE0_TX3_DATA_14_8(x) (((x) >> S_PIPE0_TX3_DATA_14_8) & M_PIPE0_TX3_DATA_14_8) + +#define S_PIPE0_TX2_DATA_15_8 16 +#define M_PIPE0_TX2_DATA_15_8 0xffU +#define V_PIPE0_TX2_DATA_15_8(x) ((x) << S_PIPE0_TX2_DATA_15_8) +#define G_PIPE0_TX2_DATA_15_8(x) (((x) >> S_PIPE0_TX2_DATA_15_8) & M_PIPE0_TX2_DATA_15_8) + +#define S_PIPE0_TX1_DATA_15_8 8 +#define M_PIPE0_TX1_DATA_15_8 0xffU +#define V_PIPE0_TX1_DATA_15_8(x) ((x) << S_PIPE0_TX1_DATA_15_8) +#define G_PIPE0_TX1_DATA_15_8(x) (((x) >> S_PIPE0_TX1_DATA_15_8) & M_PIPE0_TX1_DATA_15_8) + +#define S_PIPE0_TX0_DATAK_1 7 +#define V_PIPE0_TX0_DATAK_1(x) ((x) << S_PIPE0_TX0_DATAK_1) +#define F_PIPE0_TX0_DATAK_1 V_PIPE0_TX0_DATAK_1(1U) + +#define S_PIPE0_TX0_DATA_14_8 0 +#define M_PIPE0_TX0_DATA_14_8 0x7fU +#define V_PIPE0_TX0_DATA_14_8(x) ((x) << S_PIPE0_TX0_DATA_14_8) +#define G_PIPE0_TX0_DATA_14_8(x) (((x) >> S_PIPE0_TX0_DATA_14_8) & M_PIPE0_TX0_DATA_14_8) + +#define A_PCIE_PDEBUG_REG_0X12 0x12 + +#define S_PIPE0_TX7_DATAK_0 31 +#define V_PIPE0_TX7_DATAK_0(x) ((x) << S_PIPE0_TX7_DATAK_0) +#define F_PIPE0_TX7_DATAK_0 V_PIPE0_TX7_DATAK_0(1U) + +#define S_PIPE0_TX7_DATA_6_0 24 +#define M_PIPE0_TX7_DATA_6_0 0x7fU +#define V_PIPE0_TX7_DATA_6_0(x) ((x) << S_PIPE0_TX7_DATA_6_0) +#define G_PIPE0_TX7_DATA_6_0(x) (((x) >> S_PIPE0_TX7_DATA_6_0) & M_PIPE0_TX7_DATA_6_0) + +#define S_PIPE0_TX6_DATA_7_0 16 +#define M_PIPE0_TX6_DATA_7_0 0xffU +#define V_PIPE0_TX6_DATA_7_0(x) ((x) << S_PIPE0_TX6_DATA_7_0) +#define G_PIPE0_TX6_DATA_7_0(x) (((x) >> S_PIPE0_TX6_DATA_7_0) & M_PIPE0_TX6_DATA_7_0) + +#define S_PIPE0_TX5_DATA_7_0 8 +#define M_PIPE0_TX5_DATA_7_0 0xffU +#define V_PIPE0_TX5_DATA_7_0(x) ((x) << S_PIPE0_TX5_DATA_7_0) +#define G_PIPE0_TX5_DATA_7_0(x) (((x) >> S_PIPE0_TX5_DATA_7_0) & M_PIPE0_TX5_DATA_7_0) + +#define S_PIPE0_TX4_DATAK_0 7 +#define V_PIPE0_TX4_DATAK_0(x) ((x) << S_PIPE0_TX4_DATAK_0) +#define F_PIPE0_TX4_DATAK_0 V_PIPE0_TX4_DATAK_0(1U) + +#define S_PIPE0_TX4_DATA_6_0 0 +#define M_PIPE0_TX4_DATA_6_0 0x7fU +#define V_PIPE0_TX4_DATA_6_0(x) ((x) << S_PIPE0_TX4_DATA_6_0) +#define G_PIPE0_TX4_DATA_6_0(x) (((x) >> S_PIPE0_TX4_DATA_6_0) & M_PIPE0_TX4_DATA_6_0) + +#define A_PCIE_PDEBUG_REG_0X13 0x13 + +#define S_PIPE0_TX7_DATAK_1 31 +#define V_PIPE0_TX7_DATAK_1(x) ((x) << S_PIPE0_TX7_DATAK_1) +#define F_PIPE0_TX7_DATAK_1 V_PIPE0_TX7_DATAK_1(1U) + +#define S_PIPE0_TX7_DATA_14_8 24 +#define M_PIPE0_TX7_DATA_14_8 0x7fU +#define V_PIPE0_TX7_DATA_14_8(x) ((x) << S_PIPE0_TX7_DATA_14_8) +#define G_PIPE0_TX7_DATA_14_8(x) (((x) >> S_PIPE0_TX7_DATA_14_8) & M_PIPE0_TX7_DATA_14_8) + +#define S_PIPE0_TX6_DATA_15_8 16 +#define M_PIPE0_TX6_DATA_15_8 0xffU +#define V_PIPE0_TX6_DATA_15_8(x) ((x) << S_PIPE0_TX6_DATA_15_8) +#define G_PIPE0_TX6_DATA_15_8(x) (((x) >> S_PIPE0_TX6_DATA_15_8) & M_PIPE0_TX6_DATA_15_8) + +#define S_PIPE0_TX5_DATA_15_8 8 +#define M_PIPE0_TX5_DATA_15_8 0xffU +#define V_PIPE0_TX5_DATA_15_8(x) ((x) << S_PIPE0_TX5_DATA_15_8) +#define G_PIPE0_TX5_DATA_15_8(x) (((x) >> S_PIPE0_TX5_DATA_15_8) & M_PIPE0_TX5_DATA_15_8) + +#define S_PIPE0_TX4_DATAK_1 7 +#define V_PIPE0_TX4_DATAK_1(x) ((x) << S_PIPE0_TX4_DATAK_1) +#define F_PIPE0_TX4_DATAK_1 V_PIPE0_TX4_DATAK_1(1U) + +#define S_PIPE0_TX4_DATA_14_8 0 +#define M_PIPE0_TX4_DATA_14_8 0x7fU +#define V_PIPE0_TX4_DATA_14_8(x) ((x) << S_PIPE0_TX4_DATA_14_8) +#define G_PIPE0_TX4_DATA_14_8(x) (((x) >> S_PIPE0_TX4_DATA_14_8) & M_PIPE0_TX4_DATA_14_8) + +#define A_PCIE_PDEBUG_REG_0X14 0x14 + +#define S_PIPE0_RX3_VALID_14 31 +#define V_PIPE0_RX3_VALID_14(x) ((x) << S_PIPE0_RX3_VALID_14) +#define F_PIPE0_RX3_VALID_14 V_PIPE0_RX3_VALID_14(1U) + +#define S_PIPE0_RX3_VALID2_14 24 +#define M_PIPE0_RX3_VALID2_14 0x7fU +#define V_PIPE0_RX3_VALID2_14(x) ((x) << S_PIPE0_RX3_VALID2_14) +#define G_PIPE0_RX3_VALID2_14(x) (((x) >> S_PIPE0_RX3_VALID2_14) & M_PIPE0_RX3_VALID2_14) + +#define S_PIPE0_RX2_VALID_14 16 +#define M_PIPE0_RX2_VALID_14 0xffU +#define V_PIPE0_RX2_VALID_14(x) ((x) << S_PIPE0_RX2_VALID_14) +#define G_PIPE0_RX2_VALID_14(x) (((x) >> S_PIPE0_RX2_VALID_14) & M_PIPE0_RX2_VALID_14) + +#define S_PIPE0_RX1_VALID_14 8 +#define M_PIPE0_RX1_VALID_14 0xffU +#define V_PIPE0_RX1_VALID_14(x) ((x) << S_PIPE0_RX1_VALID_14) +#define G_PIPE0_RX1_VALID_14(x) (((x) >> S_PIPE0_RX1_VALID_14) & M_PIPE0_RX1_VALID_14) + +#define S_PIPE0_RX0_VALID_14 7 +#define V_PIPE0_RX0_VALID_14(x) ((x) << S_PIPE0_RX0_VALID_14) +#define F_PIPE0_RX0_VALID_14 V_PIPE0_RX0_VALID_14(1U) + +#define S_PIPE0_RX0_VALID2_14 0 +#define M_PIPE0_RX0_VALID2_14 0x7fU +#define V_PIPE0_RX0_VALID2_14(x) ((x) << S_PIPE0_RX0_VALID2_14) +#define G_PIPE0_RX0_VALID2_14(x) (((x) >> S_PIPE0_RX0_VALID2_14) & M_PIPE0_RX0_VALID2_14) + +#define A_PCIE_PDEBUG_REG_0X15 0x15 + +#define S_PIPE0_RX3_VALID_15 31 +#define V_PIPE0_RX3_VALID_15(x) ((x) << S_PIPE0_RX3_VALID_15) +#define F_PIPE0_RX3_VALID_15 V_PIPE0_RX3_VALID_15(1U) + +#define S_PIPE0_RX3_VALID2_15 24 +#define M_PIPE0_RX3_VALID2_15 0x7fU +#define V_PIPE0_RX3_VALID2_15(x) ((x) << S_PIPE0_RX3_VALID2_15) +#define G_PIPE0_RX3_VALID2_15(x) (((x) >> S_PIPE0_RX3_VALID2_15) & M_PIPE0_RX3_VALID2_15) + +#define S_PIPE0_RX2_VALID_15 16 +#define M_PIPE0_RX2_VALID_15 0xffU +#define V_PIPE0_RX2_VALID_15(x) ((x) << S_PIPE0_RX2_VALID_15) +#define G_PIPE0_RX2_VALID_15(x) (((x) >> S_PIPE0_RX2_VALID_15) & M_PIPE0_RX2_VALID_15) + +#define S_PIPE0_RX1_VALID_15 8 +#define M_PIPE0_RX1_VALID_15 0xffU +#define V_PIPE0_RX1_VALID_15(x) ((x) << S_PIPE0_RX1_VALID_15) +#define G_PIPE0_RX1_VALID_15(x) (((x) >> S_PIPE0_RX1_VALID_15) & M_PIPE0_RX1_VALID_15) + +#define S_PIPE0_RX0_VALID_15 7 +#define V_PIPE0_RX0_VALID_15(x) ((x) << S_PIPE0_RX0_VALID_15) +#define F_PIPE0_RX0_VALID_15 V_PIPE0_RX0_VALID_15(1U) + +#define S_PIPE0_RX0_VALID2_15 0 +#define M_PIPE0_RX0_VALID2_15 0x7fU +#define V_PIPE0_RX0_VALID2_15(x) ((x) << S_PIPE0_RX0_VALID2_15) +#define G_PIPE0_RX0_VALID2_15(x) (((x) >> S_PIPE0_RX0_VALID2_15) & M_PIPE0_RX0_VALID2_15) + +#define A_PCIE_PDEBUG_REG_0X16 0x16 + +#define S_PIPE0_RX7_VALID_16 31 +#define V_PIPE0_RX7_VALID_16(x) ((x) << S_PIPE0_RX7_VALID_16) +#define F_PIPE0_RX7_VALID_16 V_PIPE0_RX7_VALID_16(1U) + +#define S_PIPE0_RX7_VALID2_16 24 +#define M_PIPE0_RX7_VALID2_16 0x7fU +#define V_PIPE0_RX7_VALID2_16(x) ((x) << S_PIPE0_RX7_VALID2_16) +#define G_PIPE0_RX7_VALID2_16(x) (((x) >> S_PIPE0_RX7_VALID2_16) & M_PIPE0_RX7_VALID2_16) + +#define S_PIPE0_RX6_VALID_16 16 +#define M_PIPE0_RX6_VALID_16 0xffU +#define V_PIPE0_RX6_VALID_16(x) ((x) << S_PIPE0_RX6_VALID_16) +#define G_PIPE0_RX6_VALID_16(x) (((x) >> S_PIPE0_RX6_VALID_16) & M_PIPE0_RX6_VALID_16) + +#define S_PIPE0_RX5_VALID_16 8 +#define M_PIPE0_RX5_VALID_16 0xffU +#define V_PIPE0_RX5_VALID_16(x) ((x) << S_PIPE0_RX5_VALID_16) +#define G_PIPE0_RX5_VALID_16(x) (((x) >> S_PIPE0_RX5_VALID_16) & M_PIPE0_RX5_VALID_16) + +#define S_PIPE0_RX4_VALID_16 7 +#define V_PIPE0_RX4_VALID_16(x) ((x) << S_PIPE0_RX4_VALID_16) +#define F_PIPE0_RX4_VALID_16 V_PIPE0_RX4_VALID_16(1U) + +#define S_PIPE0_RX4_VALID2_16 0 +#define M_PIPE0_RX4_VALID2_16 0x7fU +#define V_PIPE0_RX4_VALID2_16(x) ((x) << S_PIPE0_RX4_VALID2_16) +#define G_PIPE0_RX4_VALID2_16(x) (((x) >> S_PIPE0_RX4_VALID2_16) & M_PIPE0_RX4_VALID2_16) + +#define A_PCIE_PDEBUG_REG_0X17 0x17 + +#define S_PIPE0_RX7_VALID_17 31 +#define V_PIPE0_RX7_VALID_17(x) ((x) << S_PIPE0_RX7_VALID_17) +#define F_PIPE0_RX7_VALID_17 V_PIPE0_RX7_VALID_17(1U) + +#define S_PIPE0_RX7_VALID2_17 24 +#define M_PIPE0_RX7_VALID2_17 0x7fU +#define V_PIPE0_RX7_VALID2_17(x) ((x) << S_PIPE0_RX7_VALID2_17) +#define G_PIPE0_RX7_VALID2_17(x) (((x) >> S_PIPE0_RX7_VALID2_17) & M_PIPE0_RX7_VALID2_17) + +#define S_PIPE0_RX6_VALID_17 16 +#define M_PIPE0_RX6_VALID_17 0xffU +#define V_PIPE0_RX6_VALID_17(x) ((x) << S_PIPE0_RX6_VALID_17) +#define G_PIPE0_RX6_VALID_17(x) (((x) >> S_PIPE0_RX6_VALID_17) & M_PIPE0_RX6_VALID_17) + +#define S_PIPE0_RX5_VALID_17 8 +#define M_PIPE0_RX5_VALID_17 0xffU +#define V_PIPE0_RX5_VALID_17(x) ((x) << S_PIPE0_RX5_VALID_17) +#define G_PIPE0_RX5_VALID_17(x) (((x) >> S_PIPE0_RX5_VALID_17) & M_PIPE0_RX5_VALID_17) + +#define S_PIPE0_RX4_VALID_17 7 +#define V_PIPE0_RX4_VALID_17(x) ((x) << S_PIPE0_RX4_VALID_17) +#define F_PIPE0_RX4_VALID_17 V_PIPE0_RX4_VALID_17(1U) + +#define S_PIPE0_RX4_VALID2_17 0 +#define M_PIPE0_RX4_VALID2_17 0x7fU +#define V_PIPE0_RX4_VALID2_17(x) ((x) << S_PIPE0_RX4_VALID2_17) +#define G_PIPE0_RX4_VALID2_17(x) (((x) >> S_PIPE0_RX4_VALID2_17) & M_PIPE0_RX4_VALID2_17) + +#define A_PCIE_PDEBUG_REG_0X18 0x18 + +#define S_PIPE0_RX7_POLARITY 31 +#define V_PIPE0_RX7_POLARITY(x) ((x) << S_PIPE0_RX7_POLARITY) +#define F_PIPE0_RX7_POLARITY V_PIPE0_RX7_POLARITY(1U) + +#define S_PIPE0_RX7_STATUS 28 +#define M_PIPE0_RX7_STATUS 0x7U +#define V_PIPE0_RX7_STATUS(x) ((x) << S_PIPE0_RX7_STATUS) +#define G_PIPE0_RX7_STATUS(x) (((x) >> S_PIPE0_RX7_STATUS) & M_PIPE0_RX7_STATUS) + +#define S_PIPE0_RX6_POLARITY 27 +#define V_PIPE0_RX6_POLARITY(x) ((x) << S_PIPE0_RX6_POLARITY) +#define F_PIPE0_RX6_POLARITY V_PIPE0_RX6_POLARITY(1U) + +#define S_PIPE0_RX6_STATUS 24 +#define M_PIPE0_RX6_STATUS 0x7U +#define V_PIPE0_RX6_STATUS(x) ((x) << S_PIPE0_RX6_STATUS) +#define G_PIPE0_RX6_STATUS(x) (((x) >> S_PIPE0_RX6_STATUS) & M_PIPE0_RX6_STATUS) + +#define S_PIPE0_RX5_POLARITY 23 +#define V_PIPE0_RX5_POLARITY(x) ((x) << S_PIPE0_RX5_POLARITY) +#define F_PIPE0_RX5_POLARITY V_PIPE0_RX5_POLARITY(1U) + +#define S_PIPE0_RX5_STATUS 20 +#define M_PIPE0_RX5_STATUS 0x7U +#define V_PIPE0_RX5_STATUS(x) ((x) << S_PIPE0_RX5_STATUS) +#define G_PIPE0_RX5_STATUS(x) (((x) >> S_PIPE0_RX5_STATUS) & M_PIPE0_RX5_STATUS) + +#define S_PIPE0_RX4_POLARITY 19 +#define V_PIPE0_RX4_POLARITY(x) ((x) << S_PIPE0_RX4_POLARITY) +#define F_PIPE0_RX4_POLARITY V_PIPE0_RX4_POLARITY(1U) + +#define S_PIPE0_RX4_STATUS 16 +#define M_PIPE0_RX4_STATUS 0x7U +#define V_PIPE0_RX4_STATUS(x) ((x) << S_PIPE0_RX4_STATUS) +#define G_PIPE0_RX4_STATUS(x) (((x) >> S_PIPE0_RX4_STATUS) & M_PIPE0_RX4_STATUS) + +#define S_PIPE0_RX3_POLARITY 15 +#define V_PIPE0_RX3_POLARITY(x) ((x) << S_PIPE0_RX3_POLARITY) +#define F_PIPE0_RX3_POLARITY V_PIPE0_RX3_POLARITY(1U) + +#define S_PIPE0_RX3_STATUS 12 +#define M_PIPE0_RX3_STATUS 0x7U +#define V_PIPE0_RX3_STATUS(x) ((x) << S_PIPE0_RX3_STATUS) +#define G_PIPE0_RX3_STATUS(x) (((x) >> S_PIPE0_RX3_STATUS) & M_PIPE0_RX3_STATUS) + +#define S_PIPE0_RX2_POLARITY 11 +#define V_PIPE0_RX2_POLARITY(x) ((x) << S_PIPE0_RX2_POLARITY) +#define F_PIPE0_RX2_POLARITY V_PIPE0_RX2_POLARITY(1U) + +#define S_PIPE0_RX2_STATUS 8 +#define M_PIPE0_RX2_STATUS 0x7U +#define V_PIPE0_RX2_STATUS(x) ((x) << S_PIPE0_RX2_STATUS) +#define G_PIPE0_RX2_STATUS(x) (((x) >> S_PIPE0_RX2_STATUS) & M_PIPE0_RX2_STATUS) + +#define S_PIPE0_RX1_POLARITY 7 +#define V_PIPE0_RX1_POLARITY(x) ((x) << S_PIPE0_RX1_POLARITY) +#define F_PIPE0_RX1_POLARITY V_PIPE0_RX1_POLARITY(1U) + +#define S_PIPE0_RX1_STATUS 4 +#define M_PIPE0_RX1_STATUS 0x7U +#define V_PIPE0_RX1_STATUS(x) ((x) << S_PIPE0_RX1_STATUS) +#define G_PIPE0_RX1_STATUS(x) (((x) >> S_PIPE0_RX1_STATUS) & M_PIPE0_RX1_STATUS) + +#define S_PIPE0_RX0_POLARITY 3 +#define V_PIPE0_RX0_POLARITY(x) ((x) << S_PIPE0_RX0_POLARITY) +#define F_PIPE0_RX0_POLARITY V_PIPE0_RX0_POLARITY(1U) + +#define S_PIPE0_RX0_STATUS 0 +#define M_PIPE0_RX0_STATUS 0x7U +#define V_PIPE0_RX0_STATUS(x) ((x) << S_PIPE0_RX0_STATUS) +#define G_PIPE0_RX0_STATUS(x) (((x) >> S_PIPE0_RX0_STATUS) & M_PIPE0_RX0_STATUS) + +#define A_PCIE_PDEBUG_REG_0X19 0x19 + +#define S_PIPE0_TX7_COMPLIANCE 31 +#define V_PIPE0_TX7_COMPLIANCE(x) ((x) << S_PIPE0_TX7_COMPLIANCE) +#define F_PIPE0_TX7_COMPLIANCE V_PIPE0_TX7_COMPLIANCE(1U) + +#define S_PIPE0_TX6_COMPLIANCE 30 +#define V_PIPE0_TX6_COMPLIANCE(x) ((x) << S_PIPE0_TX6_COMPLIANCE) +#define F_PIPE0_TX6_COMPLIANCE V_PIPE0_TX6_COMPLIANCE(1U) + +#define S_PIPE0_TX5_COMPLIANCE 29 +#define V_PIPE0_TX5_COMPLIANCE(x) ((x) << S_PIPE0_TX5_COMPLIANCE) +#define F_PIPE0_TX5_COMPLIANCE V_PIPE0_TX5_COMPLIANCE(1U) + +#define S_PIPE0_TX4_COMPLIANCE 28 +#define V_PIPE0_TX4_COMPLIANCE(x) ((x) << S_PIPE0_TX4_COMPLIANCE) +#define F_PIPE0_TX4_COMPLIANCE V_PIPE0_TX4_COMPLIANCE(1U) + +#define S_PIPE0_TX3_COMPLIANCE 27 +#define V_PIPE0_TX3_COMPLIANCE(x) ((x) << S_PIPE0_TX3_COMPLIANCE) +#define F_PIPE0_TX3_COMPLIANCE V_PIPE0_TX3_COMPLIANCE(1U) + +#define S_PIPE0_TX2_COMPLIANCE 26 +#define V_PIPE0_TX2_COMPLIANCE(x) ((x) << S_PIPE0_TX2_COMPLIANCE) +#define F_PIPE0_TX2_COMPLIANCE V_PIPE0_TX2_COMPLIANCE(1U) + +#define S_PIPE0_TX1_COMPLIANCE 25 +#define V_PIPE0_TX1_COMPLIANCE(x) ((x) << S_PIPE0_TX1_COMPLIANCE) +#define F_PIPE0_TX1_COMPLIANCE V_PIPE0_TX1_COMPLIANCE(1U) + +#define S_PIPE0_TX0_COMPLIANCE 24 +#define V_PIPE0_TX0_COMPLIANCE(x) ((x) << S_PIPE0_TX0_COMPLIANCE) +#define F_PIPE0_TX0_COMPLIANCE V_PIPE0_TX0_COMPLIANCE(1U) + +#define S_PIPE0_TX7_ELECIDLE 23 +#define V_PIPE0_TX7_ELECIDLE(x) ((x) << S_PIPE0_TX7_ELECIDLE) +#define F_PIPE0_TX7_ELECIDLE V_PIPE0_TX7_ELECIDLE(1U) + +#define S_PIPE0_TX6_ELECIDLE 22 +#define V_PIPE0_TX6_ELECIDLE(x) ((x) << S_PIPE0_TX6_ELECIDLE) +#define F_PIPE0_TX6_ELECIDLE V_PIPE0_TX6_ELECIDLE(1U) + +#define S_PIPE0_TX5_ELECIDLE 21 +#define V_PIPE0_TX5_ELECIDLE(x) ((x) << S_PIPE0_TX5_ELECIDLE) +#define F_PIPE0_TX5_ELECIDLE V_PIPE0_TX5_ELECIDLE(1U) + +#define S_PIPE0_TX4_ELECIDLE 20 +#define V_PIPE0_TX4_ELECIDLE(x) ((x) << S_PIPE0_TX4_ELECIDLE) +#define F_PIPE0_TX4_ELECIDLE V_PIPE0_TX4_ELECIDLE(1U) + +#define S_PIPE0_TX3_ELECIDLE 19 +#define V_PIPE0_TX3_ELECIDLE(x) ((x) << S_PIPE0_TX3_ELECIDLE) +#define F_PIPE0_TX3_ELECIDLE V_PIPE0_TX3_ELECIDLE(1U) + +#define S_PIPE0_TX2_ELECIDLE 18 +#define V_PIPE0_TX2_ELECIDLE(x) ((x) << S_PIPE0_TX2_ELECIDLE) +#define F_PIPE0_TX2_ELECIDLE V_PIPE0_TX2_ELECIDLE(1U) + +#define S_PIPE0_TX1_ELECIDLE 17 +#define V_PIPE0_TX1_ELECIDLE(x) ((x) << S_PIPE0_TX1_ELECIDLE) +#define F_PIPE0_TX1_ELECIDLE V_PIPE0_TX1_ELECIDLE(1U) + +#define S_PIPE0_TX0_ELECIDLE 16 +#define V_PIPE0_TX0_ELECIDLE(x) ((x) << S_PIPE0_TX0_ELECIDLE) +#define F_PIPE0_TX0_ELECIDLE V_PIPE0_TX0_ELECIDLE(1U) + +#define S_PIPE0_RX7_POLARITY_19 15 +#define V_PIPE0_RX7_POLARITY_19(x) ((x) << S_PIPE0_RX7_POLARITY_19) +#define F_PIPE0_RX7_POLARITY_19 V_PIPE0_RX7_POLARITY_19(1U) + +#define S_PIPE0_RX6_POLARITY_19 14 +#define V_PIPE0_RX6_POLARITY_19(x) ((x) << S_PIPE0_RX6_POLARITY_19) +#define F_PIPE0_RX6_POLARITY_19 V_PIPE0_RX6_POLARITY_19(1U) + +#define S_PIPE0_RX5_POLARITY_19 13 +#define V_PIPE0_RX5_POLARITY_19(x) ((x) << S_PIPE0_RX5_POLARITY_19) +#define F_PIPE0_RX5_POLARITY_19 V_PIPE0_RX5_POLARITY_19(1U) + +#define S_PIPE0_RX4_POLARITY_19 12 +#define V_PIPE0_RX4_POLARITY_19(x) ((x) << S_PIPE0_RX4_POLARITY_19) +#define F_PIPE0_RX4_POLARITY_19 V_PIPE0_RX4_POLARITY_19(1U) + +#define S_PIPE0_RX3_POLARITY_19 11 +#define V_PIPE0_RX3_POLARITY_19(x) ((x) << S_PIPE0_RX3_POLARITY_19) +#define F_PIPE0_RX3_POLARITY_19 V_PIPE0_RX3_POLARITY_19(1U) + +#define S_PIPE0_RX2_POLARITY_19 10 +#define V_PIPE0_RX2_POLARITY_19(x) ((x) << S_PIPE0_RX2_POLARITY_19) +#define F_PIPE0_RX2_POLARITY_19 V_PIPE0_RX2_POLARITY_19(1U) + +#define S_PIPE0_RX1_POLARITY_19 9 +#define V_PIPE0_RX1_POLARITY_19(x) ((x) << S_PIPE0_RX1_POLARITY_19) +#define F_PIPE0_RX1_POLARITY_19 V_PIPE0_RX1_POLARITY_19(1U) + +#define S_PIPE0_RX0_POLARITY_19 8 +#define V_PIPE0_RX0_POLARITY_19(x) ((x) << S_PIPE0_RX0_POLARITY_19) +#define F_PIPE0_RX0_POLARITY_19 V_PIPE0_RX0_POLARITY_19(1U) + +#define S_PIPE0_RX7_ELECIDLE 7 +#define V_PIPE0_RX7_ELECIDLE(x) ((x) << S_PIPE0_RX7_ELECIDLE) +#define F_PIPE0_RX7_ELECIDLE V_PIPE0_RX7_ELECIDLE(1U) + +#define S_PIPE0_RX6_ELECIDLE 6 +#define V_PIPE0_RX6_ELECIDLE(x) ((x) << S_PIPE0_RX6_ELECIDLE) +#define F_PIPE0_RX6_ELECIDLE V_PIPE0_RX6_ELECIDLE(1U) + +#define S_PIPE0_RX5_ELECIDLE 5 +#define V_PIPE0_RX5_ELECIDLE(x) ((x) << S_PIPE0_RX5_ELECIDLE) +#define F_PIPE0_RX5_ELECIDLE V_PIPE0_RX5_ELECIDLE(1U) + +#define S_PIPE0_RX4_ELECIDLE 4 +#define V_PIPE0_RX4_ELECIDLE(x) ((x) << S_PIPE0_RX4_ELECIDLE) +#define F_PIPE0_RX4_ELECIDLE V_PIPE0_RX4_ELECIDLE(1U) + +#define S_PIPE0_RX3_ELECIDLE 3 +#define V_PIPE0_RX3_ELECIDLE(x) ((x) << S_PIPE0_RX3_ELECIDLE) +#define F_PIPE0_RX3_ELECIDLE V_PIPE0_RX3_ELECIDLE(1U) + +#define S_PIPE0_RX2_ELECIDLE 2 +#define V_PIPE0_RX2_ELECIDLE(x) ((x) << S_PIPE0_RX2_ELECIDLE) +#define F_PIPE0_RX2_ELECIDLE V_PIPE0_RX2_ELECIDLE(1U) + +#define S_PIPE0_RX1_ELECIDLE 1 +#define V_PIPE0_RX1_ELECIDLE(x) ((x) << S_PIPE0_RX1_ELECIDLE) +#define F_PIPE0_RX1_ELECIDLE V_PIPE0_RX1_ELECIDLE(1U) + +#define S_PIPE0_RX0_ELECIDLE 0 +#define V_PIPE0_RX0_ELECIDLE(x) ((x) << S_PIPE0_RX0_ELECIDLE) +#define F_PIPE0_RX0_ELECIDLE V_PIPE0_RX0_ELECIDLE(1U) + +#define A_PCIE_PDEBUG_REG_0X1A 0x1a + +#define S_PIPE0_RESET_N 21 +#define V_PIPE0_RESET_N(x) ((x) << S_PIPE0_RESET_N) +#define F_PIPE0_RESET_N V_PIPE0_RESET_N(1U) + +#define S_PCS_COMMON_CLOCKS 20 +#define V_PCS_COMMON_CLOCKS(x) ((x) << S_PCS_COMMON_CLOCKS) +#define F_PCS_COMMON_CLOCKS V_PCS_COMMON_CLOCKS(1U) + +#define S_PCS_CLK_REQ 19 +#define V_PCS_CLK_REQ(x) ((x) << S_PCS_CLK_REQ) +#define F_PCS_CLK_REQ V_PCS_CLK_REQ(1U) + +#define S_PIPE_CLKREQ_N 18 +#define V_PIPE_CLKREQ_N(x) ((x) << S_PIPE_CLKREQ_N) +#define F_PIPE_CLKREQ_N V_PIPE_CLKREQ_N(1U) + +#define S_MAC_CLKREQ_N_TO_MUX 17 +#define V_MAC_CLKREQ_N_TO_MUX(x) ((x) << S_MAC_CLKREQ_N_TO_MUX) +#define F_MAC_CLKREQ_N_TO_MUX V_MAC_CLKREQ_N_TO_MUX(1U) + +#define S_PIPE0_TX2RX_LOOPBK 16 +#define V_PIPE0_TX2RX_LOOPBK(x) ((x) << S_PIPE0_TX2RX_LOOPBK) +#define F_PIPE0_TX2RX_LOOPBK V_PIPE0_TX2RX_LOOPBK(1U) + +#define S_PIPE0_TX_SWING 15 +#define V_PIPE0_TX_SWING(x) ((x) << S_PIPE0_TX_SWING) +#define F_PIPE0_TX_SWING V_PIPE0_TX_SWING(1U) + +#define S_PIPE0_TX_MARGIN 12 +#define M_PIPE0_TX_MARGIN 0x7U +#define V_PIPE0_TX_MARGIN(x) ((x) << S_PIPE0_TX_MARGIN) +#define G_PIPE0_TX_MARGIN(x) (((x) >> S_PIPE0_TX_MARGIN) & M_PIPE0_TX_MARGIN) + +#define S_PIPE0_TX_DEEMPH 11 +#define V_PIPE0_TX_DEEMPH(x) ((x) << S_PIPE0_TX_DEEMPH) +#define F_PIPE0_TX_DEEMPH V_PIPE0_TX_DEEMPH(1U) + +#define S_PIPE0_TX_DETECTRX 10 +#define V_PIPE0_TX_DETECTRX(x) ((x) << S_PIPE0_TX_DETECTRX) +#define F_PIPE0_TX_DETECTRX V_PIPE0_TX_DETECTRX(1U) + +#define S_PIPE0_POWERDOWN 8 +#define M_PIPE0_POWERDOWN 0x3U +#define V_PIPE0_POWERDOWN(x) ((x) << S_PIPE0_POWERDOWN) +#define G_PIPE0_POWERDOWN(x) (((x) >> S_PIPE0_POWERDOWN) & M_PIPE0_POWERDOWN) + +#define S_PHY_MAC_PHYSTATUS 0 +#define M_PHY_MAC_PHYSTATUS 0xffU +#define V_PHY_MAC_PHYSTATUS(x) ((x) << S_PHY_MAC_PHYSTATUS) +#define G_PHY_MAC_PHYSTATUS(x) (((x) >> S_PHY_MAC_PHYSTATUS) & M_PHY_MAC_PHYSTATUS) + +#define A_PCIE_PDEBUG_REG_0X1B 0x1b + +#define S_PIPE0_RX7_EQ_IN_PROG 31 +#define V_PIPE0_RX7_EQ_IN_PROG(x) ((x) << S_PIPE0_RX7_EQ_IN_PROG) +#define F_PIPE0_RX7_EQ_IN_PROG V_PIPE0_RX7_EQ_IN_PROG(1U) + +#define S_PIPE0_RX7_EQ_INVLD_REQ 30 +#define V_PIPE0_RX7_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX7_EQ_INVLD_REQ) +#define F_PIPE0_RX7_EQ_INVLD_REQ V_PIPE0_RX7_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX7_SYNCHEADER 28 +#define M_PIPE0_RX7_SYNCHEADER 0x3U +#define V_PIPE0_RX7_SYNCHEADER(x) ((x) << S_PIPE0_RX7_SYNCHEADER) +#define G_PIPE0_RX7_SYNCHEADER(x) (((x) >> S_PIPE0_RX7_SYNCHEADER) & M_PIPE0_RX7_SYNCHEADER) + +#define S_PIPE0_RX6_EQ_IN_PROG 27 +#define V_PIPE0_RX6_EQ_IN_PROG(x) ((x) << S_PIPE0_RX6_EQ_IN_PROG) +#define F_PIPE0_RX6_EQ_IN_PROG V_PIPE0_RX6_EQ_IN_PROG(1U) + +#define S_PIPE0_RX6_EQ_INVLD_REQ 26 +#define V_PIPE0_RX6_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX6_EQ_INVLD_REQ) +#define F_PIPE0_RX6_EQ_INVLD_REQ V_PIPE0_RX6_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX6_SYNCHEADER 24 +#define M_PIPE0_RX6_SYNCHEADER 0x3U +#define V_PIPE0_RX6_SYNCHEADER(x) ((x) << S_PIPE0_RX6_SYNCHEADER) +#define G_PIPE0_RX6_SYNCHEADER(x) (((x) >> S_PIPE0_RX6_SYNCHEADER) & M_PIPE0_RX6_SYNCHEADER) + +#define S_PIPE0_RX5_EQ_IN_PROG 23 +#define V_PIPE0_RX5_EQ_IN_PROG(x) ((x) << S_PIPE0_RX5_EQ_IN_PROG) +#define F_PIPE0_RX5_EQ_IN_PROG V_PIPE0_RX5_EQ_IN_PROG(1U) + +#define S_PIPE0_RX5_EQ_INVLD_REQ 22 +#define V_PIPE0_RX5_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX5_EQ_INVLD_REQ) +#define F_PIPE0_RX5_EQ_INVLD_REQ V_PIPE0_RX5_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX5_SYNCHEADER 20 +#define M_PIPE0_RX5_SYNCHEADER 0x3U +#define V_PIPE0_RX5_SYNCHEADER(x) ((x) << S_PIPE0_RX5_SYNCHEADER) +#define G_PIPE0_RX5_SYNCHEADER(x) (((x) >> S_PIPE0_RX5_SYNCHEADER) & M_PIPE0_RX5_SYNCHEADER) + +#define S_PIPE0_RX4_EQ_IN_PROG 19 +#define V_PIPE0_RX4_EQ_IN_PROG(x) ((x) << S_PIPE0_RX4_EQ_IN_PROG) +#define F_PIPE0_RX4_EQ_IN_PROG V_PIPE0_RX4_EQ_IN_PROG(1U) + +#define S_PIPE0_RX4_EQ_INVLD_REQ 18 +#define V_PIPE0_RX4_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX4_EQ_INVLD_REQ) +#define F_PIPE0_RX4_EQ_INVLD_REQ V_PIPE0_RX4_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX4_SYNCHEADER 16 +#define M_PIPE0_RX4_SYNCHEADER 0x3U +#define V_PIPE0_RX4_SYNCHEADER(x) ((x) << S_PIPE0_RX4_SYNCHEADER) +#define G_PIPE0_RX4_SYNCHEADER(x) (((x) >> S_PIPE0_RX4_SYNCHEADER) & M_PIPE0_RX4_SYNCHEADER) + +#define S_PIPE0_RX3_EQ_IN_PROG 15 +#define V_PIPE0_RX3_EQ_IN_PROG(x) ((x) << S_PIPE0_RX3_EQ_IN_PROG) +#define F_PIPE0_RX3_EQ_IN_PROG V_PIPE0_RX3_EQ_IN_PROG(1U) + +#define S_PIPE0_RX3_EQ_INVLD_REQ 14 +#define V_PIPE0_RX3_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX3_EQ_INVLD_REQ) +#define F_PIPE0_RX3_EQ_INVLD_REQ V_PIPE0_RX3_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX3_SYNCHEADER 12 +#define M_PIPE0_RX3_SYNCHEADER 0x3U +#define V_PIPE0_RX3_SYNCHEADER(x) ((x) << S_PIPE0_RX3_SYNCHEADER) +#define G_PIPE0_RX3_SYNCHEADER(x) (((x) >> S_PIPE0_RX3_SYNCHEADER) & M_PIPE0_RX3_SYNCHEADER) + +#define S_PIPE0_RX2_EQ_IN_PROG 11 +#define V_PIPE0_RX2_EQ_IN_PROG(x) ((x) << S_PIPE0_RX2_EQ_IN_PROG) +#define F_PIPE0_RX2_EQ_IN_PROG V_PIPE0_RX2_EQ_IN_PROG(1U) + +#define S_PIPE0_RX2_EQ_INVLD_REQ 10 +#define V_PIPE0_RX2_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX2_EQ_INVLD_REQ) +#define F_PIPE0_RX2_EQ_INVLD_REQ V_PIPE0_RX2_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX2_SYNCHEADER 8 +#define M_PIPE0_RX2_SYNCHEADER 0x3U +#define V_PIPE0_RX2_SYNCHEADER(x) ((x) << S_PIPE0_RX2_SYNCHEADER) +#define G_PIPE0_RX2_SYNCHEADER(x) (((x) >> S_PIPE0_RX2_SYNCHEADER) & M_PIPE0_RX2_SYNCHEADER) + +#define S_PIPE0_RX1_EQ_IN_PROG 7 +#define V_PIPE0_RX1_EQ_IN_PROG(x) ((x) << S_PIPE0_RX1_EQ_IN_PROG) +#define F_PIPE0_RX1_EQ_IN_PROG V_PIPE0_RX1_EQ_IN_PROG(1U) + +#define S_PIPE0_RX1_EQ_INVLD_REQ 6 +#define V_PIPE0_RX1_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX1_EQ_INVLD_REQ) +#define F_PIPE0_RX1_EQ_INVLD_REQ V_PIPE0_RX1_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX1_SYNCHEADER 4 +#define M_PIPE0_RX1_SYNCHEADER 0x3U +#define V_PIPE0_RX1_SYNCHEADER(x) ((x) << S_PIPE0_RX1_SYNCHEADER) +#define G_PIPE0_RX1_SYNCHEADER(x) (((x) >> S_PIPE0_RX1_SYNCHEADER) & M_PIPE0_RX1_SYNCHEADER) + +#define S_PIPE0_RX0_EQ_IN_PROG 3 +#define V_PIPE0_RX0_EQ_IN_PROG(x) ((x) << S_PIPE0_RX0_EQ_IN_PROG) +#define F_PIPE0_RX0_EQ_IN_PROG V_PIPE0_RX0_EQ_IN_PROG(1U) + +#define S_PIPE0_RX0_EQ_INVLD_REQ 2 +#define V_PIPE0_RX0_EQ_INVLD_REQ(x) ((x) << S_PIPE0_RX0_EQ_INVLD_REQ) +#define F_PIPE0_RX0_EQ_INVLD_REQ V_PIPE0_RX0_EQ_INVLD_REQ(1U) + +#define S_PIPE0_RX0_SYNCHEADER 0 +#define M_PIPE0_RX0_SYNCHEADER 0x3U +#define V_PIPE0_RX0_SYNCHEADER(x) ((x) << S_PIPE0_RX0_SYNCHEADER) +#define G_PIPE0_RX0_SYNCHEADER(x) (((x) >> S_PIPE0_RX0_SYNCHEADER) & M_PIPE0_RX0_SYNCHEADER) + +#define A_PCIE_PDEBUG_REG_0X1C 0x1c + +#define S_SI_REQVFID 24 +#define M_SI_REQVFID 0xffU +#define V_SI_REQVFID(x) ((x) << S_SI_REQVFID) +#define G_SI_REQVFID(x) (((x) >> S_SI_REQVFID) & M_SI_REQVFID) + +#define S_SI_REQVEC 13 +#define M_SI_REQVEC 0x7ffU +#define V_SI_REQVEC(x) ((x) << S_SI_REQVEC) +#define G_SI_REQVEC(x) (((x) >> S_SI_REQVEC) & M_SI_REQVEC) + +#define S_SI_REQTCVAL 10 +#define M_SI_REQTCVAL 0x7U +#define V_SI_REQTCVAL(x) ((x) << S_SI_REQTCVAL) +#define G_SI_REQTCVAL(x) (((x) >> S_SI_REQTCVAL) & M_SI_REQTCVAL) + +#define S_SI_REQRDY 9 +#define V_SI_REQRDY(x) ((x) << S_SI_REQRDY) +#define F_SI_REQRDY V_SI_REQRDY(1U) + +#define S_SI_REQVLD 8 +#define V_SI_REQVLD(x) ((x) << S_SI_REQVLD) +#define F_SI_REQVLD V_SI_REQVLD(1U) + +#define S_T5_AI 0 +#define M_T5_AI 0xffU +#define V_T5_AI(x) ((x) << S_T5_AI) +#define G_T5_AI(x) (((x) >> S_T5_AI) & M_T5_AI) + +#define A_PCIE_PDEBUG_REG_0X1D 0x1d + +#define S_GNTSI 31 +#define V_GNTSI(x) ((x) << S_GNTSI) +#define F_GNTSI V_GNTSI(1U) + +#define S_DROPINTFORFLR 30 +#define V_DROPINTFORFLR(x) ((x) << S_DROPINTFORFLR) +#define F_DROPINTFORFLR V_DROPINTFORFLR(1U) + +#define S_SMARB 27 +#define M_SMARB 0x7U +#define V_SMARB(x) ((x) << S_SMARB) +#define G_SMARB(x) (((x) >> S_SMARB) & M_SMARB) + +#define S_SMDEFR 24 +#define M_SMDEFR 0x7U +#define V_SMDEFR(x) ((x) << S_SMDEFR) +#define G_SMDEFR(x) (((x) >> S_SMDEFR) & M_SMDEFR) + +#define S_SYS_INT 16 +#define M_SYS_INT 0xffU +#define V_SYS_INT(x) ((x) << S_SYS_INT) +#define G_SYS_INT(x) (((x) >> S_SYS_INT) & M_SYS_INT) + +#define S_CFG_INTXCLR 8 +#define M_CFG_INTXCLR 0xffU +#define V_CFG_INTXCLR(x) ((x) << S_CFG_INTXCLR) +#define G_CFG_INTXCLR(x) (((x) >> S_CFG_INTXCLR) & M_CFG_INTXCLR) + +#define S_PIO_INTXCLR 0 +#define M_PIO_INTXCLR 0xffU +#define V_PIO_INTXCLR(x) ((x) << S_PIO_INTXCLR) +#define G_PIO_INTXCLR(x) (((x) >> S_PIO_INTXCLR) & M_PIO_INTXCLR) + +#define A_PCIE_PDEBUG_REG_0X1E 0x1e + +#define S_PLI_TABDATWREN 31 +#define V_PLI_TABDATWREN(x) ((x) << S_PLI_TABDATWREN) +#define F_PLI_TABDATWREN V_PLI_TABDATWREN(1U) + +#define S_TAB_RDENA 30 +#define V_TAB_RDENA(x) ((x) << S_TAB_RDENA) +#define F_TAB_RDENA V_TAB_RDENA(1U) + +#define S_TAB_RDENA2 19 +#define M_TAB_RDENA2 0x7ffU +#define V_TAB_RDENA2(x) ((x) << S_TAB_RDENA2) +#define G_TAB_RDENA2(x) (((x) >> S_TAB_RDENA2) & M_TAB_RDENA2) + +#define S_PLI_REQADDR 10 +#define M_PLI_REQADDR 0x1ffU +#define V_PLI_REQADDR(x) ((x) << S_PLI_REQADDR) +#define G_PLI_REQADDR(x) (((x) >> S_PLI_REQADDR) & M_PLI_REQADDR) + +#define S_PLI_REQVFID 2 +#define M_PLI_REQVFID 0xffU +#define V_PLI_REQVFID(x) ((x) << S_PLI_REQVFID) +#define G_PLI_REQVFID(x) (((x) >> S_PLI_REQVFID) & M_PLI_REQVFID) + +#define S_PLI_REQTABHIT 1 +#define V_PLI_REQTABHIT(x) ((x) << S_PLI_REQTABHIT) +#define F_PLI_REQTABHIT V_PLI_REQTABHIT(1U) + +#define S_PLI_REQRDVLD 0 +#define V_PLI_REQRDVLD(x) ((x) << S_PLI_REQRDVLD) +#define F_PLI_REQRDVLD V_PLI_REQRDVLD(1U) + +#define A_PCIE_PDEBUG_REG_0X1F 0x1f +#define A_PCIE_PDEBUG_REG_0X20 0x20 +#define A_PCIE_PDEBUG_REG_0X21 0x21 + +#define S_PLI_REQPBASTART 20 +#define M_PLI_REQPBASTART 0xfffU +#define V_PLI_REQPBASTART(x) ((x) << S_PLI_REQPBASTART) +#define G_PLI_REQPBASTART(x) (((x) >> S_PLI_REQPBASTART) & M_PLI_REQPBASTART) + +#define S_PLI_REQPBAEND 9 +#define M_PLI_REQPBAEND 0x7ffU +#define V_PLI_REQPBAEND(x) ((x) << S_PLI_REQPBAEND) +#define G_PLI_REQPBAEND(x) (((x) >> S_PLI_REQPBAEND) & M_PLI_REQPBAEND) + +#define S_T5_PLI_REQVFID 2 +#define M_T5_PLI_REQVFID 0x7fU +#define V_T5_PLI_REQVFID(x) ((x) << S_T5_PLI_REQVFID) +#define G_T5_PLI_REQVFID(x) (((x) >> S_T5_PLI_REQVFID) & M_T5_PLI_REQVFID) + +#define S_PLI_REQPBAHIT 1 +#define V_PLI_REQPBAHIT(x) ((x) << S_PLI_REQPBAHIT) +#define F_PLI_REQPBAHIT V_PLI_REQPBAHIT(1U) + +#define A_PCIE_PDEBUG_REG_0X22 0x22 + +#define S_GNTSI1 31 +#define V_GNTSI1(x) ((x) << S_GNTSI1) +#define F_GNTSI1 V_GNTSI1(1U) + +#define S_GNTSI2 30 +#define V_GNTSI2(x) ((x) << S_GNTSI2) +#define F_GNTSI2 V_GNTSI2(1U) + +#define S_GNTSI3 27 +#define M_GNTSI3 0x7U +#define V_GNTSI3(x) ((x) << S_GNTSI3) +#define G_GNTSI3(x) (((x) >> S_GNTSI3) & M_GNTSI3) + +#define S_GNTSI4 16 +#define M_GNTSI4 0x7ffU +#define V_GNTSI4(x) ((x) << S_GNTSI4) +#define G_GNTSI4(x) (((x) >> S_GNTSI4) & M_GNTSI4) + +#define S_GNTSI5 8 +#define M_GNTSI5 0xffU +#define V_GNTSI5(x) ((x) << S_GNTSI5) +#define G_GNTSI5(x) (((x) >> S_GNTSI5) & M_GNTSI5) + +#define S_GNTSI6 7 +#define V_GNTSI6(x) ((x) << S_GNTSI6) +#define F_GNTSI6 V_GNTSI6(1U) + +#define S_GNTSI7 6 +#define V_GNTSI7(x) ((x) << S_GNTSI7) +#define F_GNTSI7 V_GNTSI7(1U) + +#define S_GNTSI8 5 +#define V_GNTSI8(x) ((x) << S_GNTSI8) +#define F_GNTSI8 V_GNTSI8(1U) + +#define S_GNTSI9 4 +#define V_GNTSI9(x) ((x) << S_GNTSI9) +#define F_GNTSI9 V_GNTSI9(1U) + +#define S_GNTSIA 3 +#define V_GNTSIA(x) ((x) << S_GNTSIA) +#define F_GNTSIA V_GNTSIA(1U) + +#define S_GNTAI 2 +#define V_GNTAI(x) ((x) << S_GNTAI) +#define F_GNTAI V_GNTAI(1U) + +#define S_GNTDB 1 +#define V_GNTDB(x) ((x) << S_GNTDB) +#define F_GNTDB V_GNTDB(1U) + +#define S_GNTDI 0 +#define V_GNTDI(x) ((x) << S_GNTDI) +#define F_GNTDI V_GNTDI(1U) + +#define A_PCIE_PDEBUG_REG_0X23 0x23 + +#define S_DI_REQVLD 31 +#define V_DI_REQVLD(x) ((x) << S_DI_REQVLD) +#define F_DI_REQVLD V_DI_REQVLD(1U) + +#define S_DI_REQRDY 30 +#define V_DI_REQRDY(x) ((x) << S_DI_REQRDY) +#define F_DI_REQRDY V_DI_REQRDY(1U) + +#define S_DI_REQWREN 19 +#define M_DI_REQWREN 0x7ffU +#define V_DI_REQWREN(x) ((x) << S_DI_REQWREN) +#define G_DI_REQWREN(x) (((x) >> S_DI_REQWREN) & M_DI_REQWREN) + +#define S_DI_REQMSIEN 18 +#define V_DI_REQMSIEN(x) ((x) << S_DI_REQMSIEN) +#define F_DI_REQMSIEN V_DI_REQMSIEN(1U) + +#define S_DI_REQMSXEN 17 +#define V_DI_REQMSXEN(x) ((x) << S_DI_REQMSXEN) +#define F_DI_REQMSXEN V_DI_REQMSXEN(1U) + +#define S_DI_REQMSXVFIDMSK 16 +#define V_DI_REQMSXVFIDMSK(x) ((x) << S_DI_REQMSXVFIDMSK) +#define F_DI_REQMSXVFIDMSK V_DI_REQMSXVFIDMSK(1U) + +#define S_DI_REQWREN2 2 +#define M_DI_REQWREN2 0x3fffU +#define V_DI_REQWREN2(x) ((x) << S_DI_REQWREN2) +#define G_DI_REQWREN2(x) (((x) >> S_DI_REQWREN2) & M_DI_REQWREN2) + +#define S_DI_REQRDEN 1 +#define V_DI_REQRDEN(x) ((x) << S_DI_REQRDEN) +#define F_DI_REQRDEN V_DI_REQRDEN(1U) + +#define S_DI_REQWREN3 0 +#define V_DI_REQWREN3(x) ((x) << S_DI_REQWREN3) +#define F_DI_REQWREN3 V_DI_REQWREN3(1U) + +#define A_PCIE_PDEBUG_REG_0X24 0x24 +#define A_PCIE_PDEBUG_REG_0X25 0x25 +#define A_PCIE_PDEBUG_REG_0X26 0x26 +#define A_PCIE_PDEBUG_REG_0X27 0x27 + +#define S_FID_STI_RSPVLD 31 +#define V_FID_STI_RSPVLD(x) ((x) << S_FID_STI_RSPVLD) +#define F_FID_STI_RSPVLD V_FID_STI_RSPVLD(1U) + +#define S_TAB_STIRDENA 30 +#define V_TAB_STIRDENA(x) ((x) << S_TAB_STIRDENA) +#define F_TAB_STIRDENA V_TAB_STIRDENA(1U) + +#define S_TAB_STIWRENA 29 +#define V_TAB_STIWRENA(x) ((x) << S_TAB_STIWRENA) +#define F_TAB_STIWRENA V_TAB_STIWRENA(1U) + +#define S_TAB_STIRDENA2 18 +#define M_TAB_STIRDENA2 0x7ffU +#define V_TAB_STIRDENA2(x) ((x) << S_TAB_STIRDENA2) +#define G_TAB_STIRDENA2(x) (((x) >> S_TAB_STIRDENA2) & M_TAB_STIRDENA2) + +#define S_T5_PLI_REQTABHIT 7 +#define M_T5_PLI_REQTABHIT 0x7ffU +#define V_T5_PLI_REQTABHIT(x) ((x) << S_T5_PLI_REQTABHIT) +#define G_T5_PLI_REQTABHIT(x) (((x) >> S_T5_PLI_REQTABHIT) & M_T5_PLI_REQTABHIT) + +#define S_T5_GNTSI 0 +#define M_T5_GNTSI 0x7fU +#define V_T5_GNTSI(x) ((x) << S_T5_GNTSI) +#define G_T5_GNTSI(x) (((x) >> S_T5_GNTSI) & M_T5_GNTSI) + +#define A_PCIE_PDEBUG_REG_0X28 0x28 + +#define S_PLI_REQWRVLD 31 +#define V_PLI_REQWRVLD(x) ((x) << S_PLI_REQWRVLD) +#define F_PLI_REQWRVLD V_PLI_REQWRVLD(1U) + +#define S_T5_PLI_REQPBAHIT 30 +#define V_T5_PLI_REQPBAHIT(x) ((x) << S_T5_PLI_REQPBAHIT) +#define F_T5_PLI_REQPBAHIT V_T5_PLI_REQPBAHIT(1U) + +#define S_PLI_TABADDRLWREN 29 +#define V_PLI_TABADDRLWREN(x) ((x) << S_PLI_TABADDRLWREN) +#define F_PLI_TABADDRLWREN V_PLI_TABADDRLWREN(1U) + +#define S_PLI_TABADDRHWREN 28 +#define V_PLI_TABADDRHWREN(x) ((x) << S_PLI_TABADDRHWREN) +#define F_PLI_TABADDRHWREN V_PLI_TABADDRHWREN(1U) + +#define S_T5_PLI_TABDATWREN 27 +#define V_T5_PLI_TABDATWREN(x) ((x) << S_T5_PLI_TABDATWREN) +#define F_T5_PLI_TABDATWREN V_T5_PLI_TABDATWREN(1U) + +#define S_PLI_TABMSKWREN 26 +#define V_PLI_TABMSKWREN(x) ((x) << S_PLI_TABMSKWREN) +#define F_PLI_TABMSKWREN V_PLI_TABMSKWREN(1U) + +#define S_AI_REQVLD 23 +#define M_AI_REQVLD 0x7U +#define V_AI_REQVLD(x) ((x) << S_AI_REQVLD) +#define G_AI_REQVLD(x) (((x) >> S_AI_REQVLD) & M_AI_REQVLD) + +#define S_AI_REQVLD2 22 +#define V_AI_REQVLD2(x) ((x) << S_AI_REQVLD2) +#define F_AI_REQVLD2 V_AI_REQVLD2(1U) + +#define S_AI_REQRDY 21 +#define V_AI_REQRDY(x) ((x) << S_AI_REQRDY) +#define F_AI_REQRDY V_AI_REQRDY(1U) + +#define S_VEN_MSI_REQ_28 18 +#define M_VEN_MSI_REQ_28 0x7U +#define V_VEN_MSI_REQ_28(x) ((x) << S_VEN_MSI_REQ_28) +#define G_VEN_MSI_REQ_28(x) (((x) >> S_VEN_MSI_REQ_28) & M_VEN_MSI_REQ_28) + +#define S_VEN_MSI_REQ2 11 +#define M_VEN_MSI_REQ2 0x7fU +#define V_VEN_MSI_REQ2(x) ((x) << S_VEN_MSI_REQ2) +#define G_VEN_MSI_REQ2(x) (((x) >> S_VEN_MSI_REQ2) & M_VEN_MSI_REQ2) + +#define S_VEN_MSI_REQ3 6 +#define M_VEN_MSI_REQ3 0x1fU +#define V_VEN_MSI_REQ3(x) ((x) << S_VEN_MSI_REQ3) +#define G_VEN_MSI_REQ3(x) (((x) >> S_VEN_MSI_REQ3) & M_VEN_MSI_REQ3) + +#define S_VEN_MSI_REQ4 3 +#define M_VEN_MSI_REQ4 0x7U +#define V_VEN_MSI_REQ4(x) ((x) << S_VEN_MSI_REQ4) +#define G_VEN_MSI_REQ4(x) (((x) >> S_VEN_MSI_REQ4) & M_VEN_MSI_REQ4) + +#define S_VEN_MSI_REQ5 2 +#define V_VEN_MSI_REQ5(x) ((x) << S_VEN_MSI_REQ5) +#define F_VEN_MSI_REQ5 V_VEN_MSI_REQ5(1U) + +#define S_VEN_MSI_GRANT 1 +#define V_VEN_MSI_GRANT(x) ((x) << S_VEN_MSI_GRANT) +#define F_VEN_MSI_GRANT V_VEN_MSI_GRANT(1U) + +#define S_VEN_MSI_REQ6 0 +#define V_VEN_MSI_REQ6(x) ((x) << S_VEN_MSI_REQ6) +#define F_VEN_MSI_REQ6 V_VEN_MSI_REQ6(1U) + +#define A_PCIE_PDEBUG_REG_0X29 0x29 + +#define S_TRGT1_REQDATAVLD 16 +#define M_TRGT1_REQDATAVLD 0xffffU +#define V_TRGT1_REQDATAVLD(x) ((x) << S_TRGT1_REQDATAVLD) +#define G_TRGT1_REQDATAVLD(x) (((x) >> S_TRGT1_REQDATAVLD) & M_TRGT1_REQDATAVLD) + +#define S_TRGT1_REQDATAVLD2 12 +#define M_TRGT1_REQDATAVLD2 0xfU +#define V_TRGT1_REQDATAVLD2(x) ((x) << S_TRGT1_REQDATAVLD2) +#define G_TRGT1_REQDATAVLD2(x) (((x) >> S_TRGT1_REQDATAVLD2) & M_TRGT1_REQDATAVLD2) + +#define S_TRGT1_REQDATAVLD3 11 +#define V_TRGT1_REQDATAVLD3(x) ((x) << S_TRGT1_REQDATAVLD3) +#define F_TRGT1_REQDATAVLD3 V_TRGT1_REQDATAVLD3(1U) + +#define S_TRGT1_REQDATAVLD4 10 +#define V_TRGT1_REQDATAVLD4(x) ((x) << S_TRGT1_REQDATAVLD4) +#define F_TRGT1_REQDATAVLD4 V_TRGT1_REQDATAVLD4(1U) + +#define S_TRGT1_REQDATAVLD5 9 +#define V_TRGT1_REQDATAVLD5(x) ((x) << S_TRGT1_REQDATAVLD5) +#define F_TRGT1_REQDATAVLD5 V_TRGT1_REQDATAVLD5(1U) + +#define S_TRGT1_REQDATAVLD6 8 +#define V_TRGT1_REQDATAVLD6(x) ((x) << S_TRGT1_REQDATAVLD6) +#define F_TRGT1_REQDATAVLD6 V_TRGT1_REQDATAVLD6(1U) + +#define S_TRGT1_REQDATAVLD7 4 +#define M_TRGT1_REQDATAVLD7 0xfU +#define V_TRGT1_REQDATAVLD7(x) ((x) << S_TRGT1_REQDATAVLD7) +#define G_TRGT1_REQDATAVLD7(x) (((x) >> S_TRGT1_REQDATAVLD7) & M_TRGT1_REQDATAVLD7) + +#define S_TRGT1_REQDATAVLD8 2 +#define M_TRGT1_REQDATAVLD8 0x3U +#define V_TRGT1_REQDATAVLD8(x) ((x) << S_TRGT1_REQDATAVLD8) +#define G_TRGT1_REQDATAVLD8(x) (((x) >> S_TRGT1_REQDATAVLD8) & M_TRGT1_REQDATAVLD8) + +#define S_TRGT1_REQDATARDY 1 +#define V_TRGT1_REQDATARDY(x) ((x) << S_TRGT1_REQDATARDY) +#define F_TRGT1_REQDATARDY V_TRGT1_REQDATARDY(1U) + +#define S_TRGT1_REQDATAVLD0 0 +#define V_TRGT1_REQDATAVLD0(x) ((x) << S_TRGT1_REQDATAVLD0) +#define F_TRGT1_REQDATAVLD0 V_TRGT1_REQDATAVLD0(1U) + +#define A_PCIE_PDEBUG_REG_0X2A 0x2a +#define A_PCIE_PDEBUG_REG_0X2B 0x2b + +#define S_RADM_TRGT1_ADDR 20 +#define M_RADM_TRGT1_ADDR 0xfffU +#define V_RADM_TRGT1_ADDR(x) ((x) << S_RADM_TRGT1_ADDR) +#define G_RADM_TRGT1_ADDR(x) (((x) >> S_RADM_TRGT1_ADDR) & M_RADM_TRGT1_ADDR) + +#define S_RADM_TRGT1_DWEN 16 +#define M_RADM_TRGT1_DWEN 0xfU +#define V_RADM_TRGT1_DWEN(x) ((x) << S_RADM_TRGT1_DWEN) +#define G_RADM_TRGT1_DWEN(x) (((x) >> S_RADM_TRGT1_DWEN) & M_RADM_TRGT1_DWEN) + +#define S_RADM_TRGT1_FMT 14 +#define M_RADM_TRGT1_FMT 0x3U +#define V_RADM_TRGT1_FMT(x) ((x) << S_RADM_TRGT1_FMT) +#define G_RADM_TRGT1_FMT(x) (((x) >> S_RADM_TRGT1_FMT) & M_RADM_TRGT1_FMT) + +#define S_RADM_TRGT1_TYPE 9 +#define M_RADM_TRGT1_TYPE 0x1fU +#define V_RADM_TRGT1_TYPE(x) ((x) << S_RADM_TRGT1_TYPE) +#define G_RADM_TRGT1_TYPE(x) (((x) >> S_RADM_TRGT1_TYPE) & M_RADM_TRGT1_TYPE) + +#define S_RADM_TRGT1_IN_MEMBAR_RANGE 6 +#define M_RADM_TRGT1_IN_MEMBAR_RANGE 0x7U +#define V_RADM_TRGT1_IN_MEMBAR_RANGE(x) ((x) << S_RADM_TRGT1_IN_MEMBAR_RANGE) +#define G_RADM_TRGT1_IN_MEMBAR_RANGE(x) (((x) >> S_RADM_TRGT1_IN_MEMBAR_RANGE) & M_RADM_TRGT1_IN_MEMBAR_RANGE) + +#define S_RADM_TRGT1_ECRC_ERR 5 +#define V_RADM_TRGT1_ECRC_ERR(x) ((x) << S_RADM_TRGT1_ECRC_ERR) +#define F_RADM_TRGT1_ECRC_ERR V_RADM_TRGT1_ECRC_ERR(1U) + +#define S_RADM_TRGT1_DLLP_ABORT 4 +#define V_RADM_TRGT1_DLLP_ABORT(x) ((x) << S_RADM_TRGT1_DLLP_ABORT) +#define F_RADM_TRGT1_DLLP_ABORT V_RADM_TRGT1_DLLP_ABORT(1U) + +#define S_RADM_TRGT1_TLP_ABORT 3 +#define V_RADM_TRGT1_TLP_ABORT(x) ((x) << S_RADM_TRGT1_TLP_ABORT) +#define F_RADM_TRGT1_TLP_ABORT V_RADM_TRGT1_TLP_ABORT(1U) + +#define S_RADM_TRGT1_EOT 2 +#define V_RADM_TRGT1_EOT(x) ((x) << S_RADM_TRGT1_EOT) +#define F_RADM_TRGT1_EOT V_RADM_TRGT1_EOT(1U) + +#define S_RADM_TRGT1_DV_2B 1 +#define V_RADM_TRGT1_DV_2B(x) ((x) << S_RADM_TRGT1_DV_2B) +#define F_RADM_TRGT1_DV_2B V_RADM_TRGT1_DV_2B(1U) + +#define S_RADM_TRGT1_HV_2B 0 +#define V_RADM_TRGT1_HV_2B(x) ((x) << S_RADM_TRGT1_HV_2B) +#define F_RADM_TRGT1_HV_2B V_RADM_TRGT1_HV_2B(1U) + +#define A_PCIE_PDEBUG_REG_0X2C 0x2c + +#define S_STATEMPIO 29 +#define M_STATEMPIO 0x7U +#define V_STATEMPIO(x) ((x) << S_STATEMPIO) +#define G_STATEMPIO(x) (((x) >> S_STATEMPIO) & M_STATEMPIO) + +#define S_STATECPL 25 +#define M_STATECPL 0xfU +#define V_STATECPL(x) ((x) << S_STATECPL) +#define G_STATECPL(x) (((x) >> S_STATECPL) & M_STATECPL) + +#define S_STATEALIN 22 +#define M_STATEALIN 0x7U +#define V_STATEALIN(x) ((x) << S_STATEALIN) +#define G_STATEALIN(x) (((x) >> S_STATEALIN) & M_STATEALIN) + +#define S_STATEPL 19 +#define M_STATEPL 0x7U +#define V_STATEPL(x) ((x) << S_STATEPL) +#define G_STATEPL(x) (((x) >> S_STATEPL) & M_STATEPL) + +#define S_STATEMARSP 18 +#define V_STATEMARSP(x) ((x) << S_STATEMARSP) +#define F_STATEMARSP V_STATEMARSP(1U) + +#define S_MA_TAGSINUSE 11 +#define M_MA_TAGSINUSE 0x7fU +#define V_MA_TAGSINUSE(x) ((x) << S_MA_TAGSINUSE) +#define G_MA_TAGSINUSE(x) (((x) >> S_MA_TAGSINUSE) & M_MA_TAGSINUSE) + +#define S_RADM_TRGT1_HSRDY 10 +#define V_RADM_TRGT1_HSRDY(x) ((x) << S_RADM_TRGT1_HSRDY) +#define F_RADM_TRGT1_HSRDY V_RADM_TRGT1_HSRDY(1U) + +#define S_RADM_TRGT1_DSRDY 9 +#define V_RADM_TRGT1_DSRDY(x) ((x) << S_RADM_TRGT1_DSRDY) +#define F_RADM_TRGT1_DSRDY V_RADM_TRGT1_DSRDY(1U) + +#define S_ALIND_REQWRDATAVLD 8 +#define V_ALIND_REQWRDATAVLD(x) ((x) << S_ALIND_REQWRDATAVLD) +#define F_ALIND_REQWRDATAVLD V_ALIND_REQWRDATAVLD(1U) + +#define S_FID_LKUPWRHDRVLD 7 +#define V_FID_LKUPWRHDRVLD(x) ((x) << S_FID_LKUPWRHDRVLD) +#define F_FID_LKUPWRHDRVLD V_FID_LKUPWRHDRVLD(1U) + +#define S_MPIO_WRVLD 6 +#define V_MPIO_WRVLD(x) ((x) << S_MPIO_WRVLD) +#define F_MPIO_WRVLD V_MPIO_WRVLD(1U) + +#define S_TRGT1_RADM_HALT 5 +#define V_TRGT1_RADM_HALT(x) ((x) << S_TRGT1_RADM_HALT) +#define F_TRGT1_RADM_HALT V_TRGT1_RADM_HALT(1U) + +#define S_RADM_TRGT1_DV_2C 4 +#define V_RADM_TRGT1_DV_2C(x) ((x) << S_RADM_TRGT1_DV_2C) +#define F_RADM_TRGT1_DV_2C V_RADM_TRGT1_DV_2C(1U) + +#define S_RADM_TRGT1_DV_2C_2 3 +#define V_RADM_TRGT1_DV_2C_2(x) ((x) << S_RADM_TRGT1_DV_2C_2) +#define F_RADM_TRGT1_DV_2C_2 V_RADM_TRGT1_DV_2C_2(1U) + +#define S_RADM_TRGT1_TLP_ABORT_2C 2 +#define V_RADM_TRGT1_TLP_ABORT_2C(x) ((x) << S_RADM_TRGT1_TLP_ABORT_2C) +#define F_RADM_TRGT1_TLP_ABORT_2C V_RADM_TRGT1_TLP_ABORT_2C(1U) + +#define S_RADM_TRGT1_DLLP_ABORT_2C 1 +#define V_RADM_TRGT1_DLLP_ABORT_2C(x) ((x) << S_RADM_TRGT1_DLLP_ABORT_2C) +#define F_RADM_TRGT1_DLLP_ABORT_2C V_RADM_TRGT1_DLLP_ABORT_2C(1U) + +#define S_RADM_TRGT1_ECRC_ERR_2C 0 +#define V_RADM_TRGT1_ECRC_ERR_2C(x) ((x) << S_RADM_TRGT1_ECRC_ERR_2C) +#define F_RADM_TRGT1_ECRC_ERR_2C V_RADM_TRGT1_ECRC_ERR_2C(1U) + +#define A_PCIE_PDEBUG_REG_0X2D 0x2d + +#define S_RADM_TRGT1_HV_2D 31 +#define V_RADM_TRGT1_HV_2D(x) ((x) << S_RADM_TRGT1_HV_2D) +#define F_RADM_TRGT1_HV_2D V_RADM_TRGT1_HV_2D(1U) + +#define S_RADM_TRGT1_DV_2D 30 +#define V_RADM_TRGT1_DV_2D(x) ((x) << S_RADM_TRGT1_DV_2D) +#define F_RADM_TRGT1_DV_2D V_RADM_TRGT1_DV_2D(1U) + +#define S_RADM_TRGT1_HV2 23 +#define M_RADM_TRGT1_HV2 0x7fU +#define V_RADM_TRGT1_HV2(x) ((x) << S_RADM_TRGT1_HV2) +#define G_RADM_TRGT1_HV2(x) (((x) >> S_RADM_TRGT1_HV2) & M_RADM_TRGT1_HV2) + +#define S_RADM_TRGT1_HV3 20 +#define M_RADM_TRGT1_HV3 0x7U +#define V_RADM_TRGT1_HV3(x) ((x) << S_RADM_TRGT1_HV3) +#define G_RADM_TRGT1_HV3(x) (((x) >> S_RADM_TRGT1_HV3) & M_RADM_TRGT1_HV3) + +#define S_RADM_TRGT1_HV4 16 +#define M_RADM_TRGT1_HV4 0xfU +#define V_RADM_TRGT1_HV4(x) ((x) << S_RADM_TRGT1_HV4) +#define G_RADM_TRGT1_HV4(x) (((x) >> S_RADM_TRGT1_HV4) & M_RADM_TRGT1_HV4) + +#define S_RADM_TRGT1_HV5 12 +#define M_RADM_TRGT1_HV5 0xfU +#define V_RADM_TRGT1_HV5(x) ((x) << S_RADM_TRGT1_HV5) +#define G_RADM_TRGT1_HV5(x) (((x) >> S_RADM_TRGT1_HV5) & M_RADM_TRGT1_HV5) + +#define S_RADM_TRGT1_HV6 11 +#define V_RADM_TRGT1_HV6(x) ((x) << S_RADM_TRGT1_HV6) +#define F_RADM_TRGT1_HV6 V_RADM_TRGT1_HV6(1U) + +#define S_RADM_TRGT1_HV7 10 +#define V_RADM_TRGT1_HV7(x) ((x) << S_RADM_TRGT1_HV7) +#define F_RADM_TRGT1_HV7 V_RADM_TRGT1_HV7(1U) + +#define S_RADM_TRGT1_HV8 7 +#define M_RADM_TRGT1_HV8 0x7U +#define V_RADM_TRGT1_HV8(x) ((x) << S_RADM_TRGT1_HV8) +#define G_RADM_TRGT1_HV8(x) (((x) >> S_RADM_TRGT1_HV8) & M_RADM_TRGT1_HV8) + +#define S_RADM_TRGT1_HV9 6 +#define V_RADM_TRGT1_HV9(x) ((x) << S_RADM_TRGT1_HV9) +#define F_RADM_TRGT1_HV9 V_RADM_TRGT1_HV9(1U) + +#define S_RADM_TRGT1_HVA 5 +#define V_RADM_TRGT1_HVA(x) ((x) << S_RADM_TRGT1_HVA) +#define F_RADM_TRGT1_HVA V_RADM_TRGT1_HVA(1U) + +#define S_RADM_TRGT1_DSRDY_2D 4 +#define V_RADM_TRGT1_DSRDY_2D(x) ((x) << S_RADM_TRGT1_DSRDY_2D) +#define F_RADM_TRGT1_DSRDY_2D V_RADM_TRGT1_DSRDY_2D(1U) + +#define S_RADM_TRGT1_WRCNT 0 +#define M_RADM_TRGT1_WRCNT 0xfU +#define V_RADM_TRGT1_WRCNT(x) ((x) << S_RADM_TRGT1_WRCNT) +#define G_RADM_TRGT1_WRCNT(x) (((x) >> S_RADM_TRGT1_WRCNT) & M_RADM_TRGT1_WRCNT) + +#define A_PCIE_PDEBUG_REG_0X2E 0x2e + +#define S_RADM_TRGT1_HV_2E 30 +#define M_RADM_TRGT1_HV_2E 0x3U +#define V_RADM_TRGT1_HV_2E(x) ((x) << S_RADM_TRGT1_HV_2E) +#define G_RADM_TRGT1_HV_2E(x) (((x) >> S_RADM_TRGT1_HV_2E) & M_RADM_TRGT1_HV_2E) + +#define S_RADM_TRGT1_HV_2E_2 20 +#define M_RADM_TRGT1_HV_2E_2 0x3ffU +#define V_RADM_TRGT1_HV_2E_2(x) ((x) << S_RADM_TRGT1_HV_2E_2) +#define G_RADM_TRGT1_HV_2E_2(x) (((x) >> S_RADM_TRGT1_HV_2E_2) & M_RADM_TRGT1_HV_2E_2) + +#define S_RADM_TRGT1_HV_WE_3 12 +#define M_RADM_TRGT1_HV_WE_3 0xffU +#define V_RADM_TRGT1_HV_WE_3(x) ((x) << S_RADM_TRGT1_HV_WE_3) +#define G_RADM_TRGT1_HV_WE_3(x) (((x) >> S_RADM_TRGT1_HV_WE_3) & M_RADM_TRGT1_HV_WE_3) + +#define S_ALIN_REQDATAVLD4 8 +#define M_ALIN_REQDATAVLD4 0xfU +#define V_ALIN_REQDATAVLD4(x) ((x) << S_ALIN_REQDATAVLD4) +#define G_ALIN_REQDATAVLD4(x) (((x) >> S_ALIN_REQDATAVLD4) & M_ALIN_REQDATAVLD4) + +#define S_ALIN_REQDATAVLD5 7 +#define V_ALIN_REQDATAVLD5(x) ((x) << S_ALIN_REQDATAVLD5) +#define F_ALIN_REQDATAVLD5 V_ALIN_REQDATAVLD5(1U) + +#define S_ALIN_REQDATAVLD6 6 +#define V_ALIN_REQDATAVLD6(x) ((x) << S_ALIN_REQDATAVLD6) +#define F_ALIN_REQDATAVLD6 V_ALIN_REQDATAVLD6(1U) + +#define S_ALIN_REQDATAVLD7 4 +#define M_ALIN_REQDATAVLD7 0x3U +#define V_ALIN_REQDATAVLD7(x) ((x) << S_ALIN_REQDATAVLD7) +#define G_ALIN_REQDATAVLD7(x) (((x) >> S_ALIN_REQDATAVLD7) & M_ALIN_REQDATAVLD7) + +#define S_ALIN_REQDATAVLD8 3 +#define V_ALIN_REQDATAVLD8(x) ((x) << S_ALIN_REQDATAVLD8) +#define F_ALIN_REQDATAVLD8 V_ALIN_REQDATAVLD8(1U) + +#define S_ALIN_REQDATAVLD9 2 +#define V_ALIN_REQDATAVLD9(x) ((x) << S_ALIN_REQDATAVLD9) +#define F_ALIN_REQDATAVLD9 V_ALIN_REQDATAVLD9(1U) + +#define S_ALIN_REQDATARDY 1 +#define V_ALIN_REQDATARDY(x) ((x) << S_ALIN_REQDATARDY) +#define F_ALIN_REQDATARDY V_ALIN_REQDATARDY(1U) + +#define S_ALIN_REQDATAVLDA 0 +#define V_ALIN_REQDATAVLDA(x) ((x) << S_ALIN_REQDATAVLDA) +#define F_ALIN_REQDATAVLDA V_ALIN_REQDATAVLDA(1U) + +#define A_PCIE_PDEBUG_REG_0X2F 0x2f +#define A_PCIE_PDEBUG_REG_0X30 0x30 + +#define S_RADM_TRGT1_HV_30 25 +#define M_RADM_TRGT1_HV_30 0x7fU +#define V_RADM_TRGT1_HV_30(x) ((x) << S_RADM_TRGT1_HV_30) +#define G_RADM_TRGT1_HV_30(x) (((x) >> S_RADM_TRGT1_HV_30) & M_RADM_TRGT1_HV_30) + +#define S_PIO_WRCNT 15 +#define M_PIO_WRCNT 0x3ffU +#define V_PIO_WRCNT(x) ((x) << S_PIO_WRCNT) +#define G_PIO_WRCNT(x) (((x) >> S_PIO_WRCNT) & M_PIO_WRCNT) + +#define S_ALIND_REQWRCNT 12 +#define M_ALIND_REQWRCNT 0x7U +#define V_ALIND_REQWRCNT(x) ((x) << S_ALIND_REQWRCNT) +#define G_ALIND_REQWRCNT(x) (((x) >> S_ALIND_REQWRCNT) & M_ALIND_REQWRCNT) + +#define S_FID_LKUPWRCNT 9 +#define M_FID_LKUPWRCNT 0x7U +#define V_FID_LKUPWRCNT(x) ((x) << S_FID_LKUPWRCNT) +#define G_FID_LKUPWRCNT(x) (((x) >> S_FID_LKUPWRCNT) & M_FID_LKUPWRCNT) + +#define S_ALIND_REQRDDATAVLD 8 +#define V_ALIND_REQRDDATAVLD(x) ((x) << S_ALIND_REQRDDATAVLD) +#define F_ALIND_REQRDDATAVLD V_ALIND_REQRDDATAVLD(1U) + +#define S_ALIND_REQRDDATARDY 7 +#define V_ALIND_REQRDDATARDY(x) ((x) << S_ALIND_REQRDDATARDY) +#define F_ALIND_REQRDDATARDY V_ALIND_REQRDDATARDY(1U) + +#define S_ALIND_REQRDDATAVLD2 6 +#define V_ALIND_REQRDDATAVLD2(x) ((x) << S_ALIND_REQRDDATAVLD2) +#define F_ALIND_REQRDDATAVLD2 V_ALIND_REQRDDATAVLD2(1U) + +#define S_ALIND_REQWRDATAVLD3 3 +#define M_ALIND_REQWRDATAVLD3 0x7U +#define V_ALIND_REQWRDATAVLD3(x) ((x) << S_ALIND_REQWRDATAVLD3) +#define G_ALIND_REQWRDATAVLD3(x) (((x) >> S_ALIND_REQWRDATAVLD3) & M_ALIND_REQWRDATAVLD3) + +#define S_ALIND_REQWRDATAVLD4 2 +#define V_ALIND_REQWRDATAVLD4(x) ((x) << S_ALIND_REQWRDATAVLD4) +#define F_ALIND_REQWRDATAVLD4 V_ALIND_REQWRDATAVLD4(1U) + +#define S_ALIND_REQWRDATARDYOPEN 1 +#define V_ALIND_REQWRDATARDYOPEN(x) ((x) << S_ALIND_REQWRDATARDYOPEN) +#define F_ALIND_REQWRDATARDYOPEN V_ALIND_REQWRDATARDYOPEN(1U) + +#define S_ALIND_REQWRDATAVLD5 0 +#define V_ALIND_REQWRDATAVLD5(x) ((x) << S_ALIND_REQWRDATAVLD5) +#define F_ALIND_REQWRDATAVLD5 V_ALIND_REQWRDATAVLD5(1U) + +#define A_PCIE_PDEBUG_REG_0X31 0x31 +#define A_PCIE_PDEBUG_REG_0X32 0x32 +#define A_PCIE_PDEBUG_REG_0X33 0x33 +#define A_PCIE_PDEBUG_REG_0X34 0x34 +#define A_PCIE_PDEBUG_REG_0X35 0x35 + +#define S_T5_MPIO_WRVLD 19 +#define M_T5_MPIO_WRVLD 0x1fffU +#define V_T5_MPIO_WRVLD(x) ((x) << S_T5_MPIO_WRVLD) +#define G_T5_MPIO_WRVLD(x) (((x) >> S_T5_MPIO_WRVLD) & M_T5_MPIO_WRVLD) + +#define S_FID_LKUPRDHDRVLD 18 +#define V_FID_LKUPRDHDRVLD(x) ((x) << S_FID_LKUPRDHDRVLD) +#define F_FID_LKUPRDHDRVLD V_FID_LKUPRDHDRVLD(1U) + +#define S_FID_LKUPRDHDRVLD2 17 +#define V_FID_LKUPRDHDRVLD2(x) ((x) << S_FID_LKUPRDHDRVLD2) +#define F_FID_LKUPRDHDRVLD2 V_FID_LKUPRDHDRVLD2(1U) + +#define S_FID_LKUPRDHDRVLD3 16 +#define V_FID_LKUPRDHDRVLD3(x) ((x) << S_FID_LKUPRDHDRVLD3) +#define F_FID_LKUPRDHDRVLD3 V_FID_LKUPRDHDRVLD3(1U) + +#define S_FID_LKUPRDHDRVLD4 15 +#define V_FID_LKUPRDHDRVLD4(x) ((x) << S_FID_LKUPRDHDRVLD4) +#define F_FID_LKUPRDHDRVLD4 V_FID_LKUPRDHDRVLD4(1U) + +#define S_FID_LKUPRDHDRVLD5 14 +#define V_FID_LKUPRDHDRVLD5(x) ((x) << S_FID_LKUPRDHDRVLD5) +#define F_FID_LKUPRDHDRVLD5 V_FID_LKUPRDHDRVLD5(1U) + +#define S_FID_LKUPRDHDRVLD6 13 +#define V_FID_LKUPRDHDRVLD6(x) ((x) << S_FID_LKUPRDHDRVLD6) +#define F_FID_LKUPRDHDRVLD6 V_FID_LKUPRDHDRVLD6(1U) + +#define S_FID_LKUPRDHDRVLD7 12 +#define V_FID_LKUPRDHDRVLD7(x) ((x) << S_FID_LKUPRDHDRVLD7) +#define F_FID_LKUPRDHDRVLD7 V_FID_LKUPRDHDRVLD7(1U) + +#define S_FID_LKUPRDHDRVLD8 11 +#define V_FID_LKUPRDHDRVLD8(x) ((x) << S_FID_LKUPRDHDRVLD8) +#define F_FID_LKUPRDHDRVLD8 V_FID_LKUPRDHDRVLD8(1U) + +#define S_FID_LKUPRDHDRVLD9 10 +#define V_FID_LKUPRDHDRVLD9(x) ((x) << S_FID_LKUPRDHDRVLD9) +#define F_FID_LKUPRDHDRVLD9 V_FID_LKUPRDHDRVLD9(1U) + +#define S_FID_LKUPRDHDRVLDA 9 +#define V_FID_LKUPRDHDRVLDA(x) ((x) << S_FID_LKUPRDHDRVLDA) +#define F_FID_LKUPRDHDRVLDA V_FID_LKUPRDHDRVLDA(1U) + +#define S_FID_LKUPRDHDRVLDB 8 +#define V_FID_LKUPRDHDRVLDB(x) ((x) << S_FID_LKUPRDHDRVLDB) +#define F_FID_LKUPRDHDRVLDB V_FID_LKUPRDHDRVLDB(1U) + +#define S_FID_LKUPRDHDRVLDC 7 +#define V_FID_LKUPRDHDRVLDC(x) ((x) << S_FID_LKUPRDHDRVLDC) +#define F_FID_LKUPRDHDRVLDC V_FID_LKUPRDHDRVLDC(1U) + +#define S_MPIO_WRVLD1 6 +#define V_MPIO_WRVLD1(x) ((x) << S_MPIO_WRVLD1) +#define F_MPIO_WRVLD1 V_MPIO_WRVLD1(1U) + +#define S_MPIO_WRVLD2 5 +#define V_MPIO_WRVLD2(x) ((x) << S_MPIO_WRVLD2) +#define F_MPIO_WRVLD2 V_MPIO_WRVLD2(1U) + +#define S_MPIO_WRVLD3 4 +#define V_MPIO_WRVLD3(x) ((x) << S_MPIO_WRVLD3) +#define F_MPIO_WRVLD3 V_MPIO_WRVLD3(1U) + +#define S_MPIO_WRVLD4 0 +#define M_MPIO_WRVLD4 0xfU +#define V_MPIO_WRVLD4(x) ((x) << S_MPIO_WRVLD4) +#define G_MPIO_WRVLD4(x) (((x) >> S_MPIO_WRVLD4) & M_MPIO_WRVLD4) + +#define A_PCIE_PDEBUG_REG_0X36 0x36 +#define A_PCIE_PDEBUG_REG_0X37 0x37 +#define A_PCIE_PDEBUG_REG_0X38 0x38 +#define A_PCIE_PDEBUG_REG_0X39 0x39 +#define A_PCIE_PDEBUG_REG_0X3A 0x3a + +#define S_CLIENT0_TLP_VFUNC_ACTIVE 31 +#define V_CLIENT0_TLP_VFUNC_ACTIVE(x) ((x) << S_CLIENT0_TLP_VFUNC_ACTIVE) +#define F_CLIENT0_TLP_VFUNC_ACTIVE V_CLIENT0_TLP_VFUNC_ACTIVE(1U) + +#define S_CLIENT0_TLP_VFUNC_NUM 24 +#define M_CLIENT0_TLP_VFUNC_NUM 0x7fU +#define V_CLIENT0_TLP_VFUNC_NUM(x) ((x) << S_CLIENT0_TLP_VFUNC_NUM) +#define G_CLIENT0_TLP_VFUNC_NUM(x) (((x) >> S_CLIENT0_TLP_VFUNC_NUM) & M_CLIENT0_TLP_VFUNC_NUM) + +#define S_CLIENT0_TLP_FUNC_NUM 21 +#define M_CLIENT0_TLP_FUNC_NUM 0x7U +#define V_CLIENT0_TLP_FUNC_NUM(x) ((x) << S_CLIENT0_TLP_FUNC_NUM) +#define G_CLIENT0_TLP_FUNC_NUM(x) (((x) >> S_CLIENT0_TLP_FUNC_NUM) & M_CLIENT0_TLP_FUNC_NUM) + +#define S_CLIENT0_TLP_BYTE_EN 13 +#define M_CLIENT0_TLP_BYTE_EN 0xffU +#define V_CLIENT0_TLP_BYTE_EN(x) ((x) << S_CLIENT0_TLP_BYTE_EN) +#define G_CLIENT0_TLP_BYTE_EN(x) (((x) >> S_CLIENT0_TLP_BYTE_EN) & M_CLIENT0_TLP_BYTE_EN) + +#define S_CLIENT0_TLP_BYTE_LEN 0 +#define M_CLIENT0_TLP_BYTE_LEN 0x1fffU +#define V_CLIENT0_TLP_BYTE_LEN(x) ((x) << S_CLIENT0_TLP_BYTE_LEN) +#define G_CLIENT0_TLP_BYTE_LEN(x) (((x) >> S_CLIENT0_TLP_BYTE_LEN) & M_CLIENT0_TLP_BYTE_LEN) + +#define A_PCIE_PDEBUG_REG_0X3B 0x3b + +#define S_XADM_CLIENT0_HALT 31 +#define V_XADM_CLIENT0_HALT(x) ((x) << S_XADM_CLIENT0_HALT) +#define F_XADM_CLIENT0_HALT V_XADM_CLIENT0_HALT(1U) + +#define S_CLIENT0_TLP_DV 30 +#define V_CLIENT0_TLP_DV(x) ((x) << S_CLIENT0_TLP_DV) +#define F_CLIENT0_TLP_DV V_CLIENT0_TLP_DV(1U) + +#define S_CLIENT0_ADDR_ALIGN_EN 29 +#define V_CLIENT0_ADDR_ALIGN_EN(x) ((x) << S_CLIENT0_ADDR_ALIGN_EN) +#define F_CLIENT0_ADDR_ALIGN_EN V_CLIENT0_ADDR_ALIGN_EN(1U) + +#define S_CLIENT0_CPL_BCM 28 +#define V_CLIENT0_CPL_BCM(x) ((x) << S_CLIENT0_CPL_BCM) +#define F_CLIENT0_CPL_BCM V_CLIENT0_CPL_BCM(1U) + +#define S_CLIENT0_TLP_EP 27 +#define V_CLIENT0_TLP_EP(x) ((x) << S_CLIENT0_TLP_EP) +#define F_CLIENT0_TLP_EP V_CLIENT0_TLP_EP(1U) + +#define S_CLIENT0_CPL_STATUS 24 +#define M_CLIENT0_CPL_STATUS 0x7U +#define V_CLIENT0_CPL_STATUS(x) ((x) << S_CLIENT0_CPL_STATUS) +#define G_CLIENT0_CPL_STATUS(x) (((x) >> S_CLIENT0_CPL_STATUS) & M_CLIENT0_CPL_STATUS) + +#define S_CLIENT0_TLP_TD 23 +#define V_CLIENT0_TLP_TD(x) ((x) << S_CLIENT0_TLP_TD) +#define F_CLIENT0_TLP_TD V_CLIENT0_TLP_TD(1U) + +#define S_CLIENT0_TLP_TYPE 18 +#define M_CLIENT0_TLP_TYPE 0x1fU +#define V_CLIENT0_TLP_TYPE(x) ((x) << S_CLIENT0_TLP_TYPE) +#define G_CLIENT0_TLP_TYPE(x) (((x) >> S_CLIENT0_TLP_TYPE) & M_CLIENT0_TLP_TYPE) + +#define S_CLIENT0_TLP_FMT 16 +#define M_CLIENT0_TLP_FMT 0x3U +#define V_CLIENT0_TLP_FMT(x) ((x) << S_CLIENT0_TLP_FMT) +#define G_CLIENT0_TLP_FMT(x) (((x) >> S_CLIENT0_TLP_FMT) & M_CLIENT0_TLP_FMT) + +#define S_CLIENT0_TLP_BAD_EOT 15 +#define V_CLIENT0_TLP_BAD_EOT(x) ((x) << S_CLIENT0_TLP_BAD_EOT) +#define F_CLIENT0_TLP_BAD_EOT V_CLIENT0_TLP_BAD_EOT(1U) + +#define S_CLIENT0_TLP_EOT 14 +#define V_CLIENT0_TLP_EOT(x) ((x) << S_CLIENT0_TLP_EOT) +#define F_CLIENT0_TLP_EOT V_CLIENT0_TLP_EOT(1U) + +#define S_CLIENT0_TLP_ATTR 11 +#define M_CLIENT0_TLP_ATTR 0x7U +#define V_CLIENT0_TLP_ATTR(x) ((x) << S_CLIENT0_TLP_ATTR) +#define G_CLIENT0_TLP_ATTR(x) (((x) >> S_CLIENT0_TLP_ATTR) & M_CLIENT0_TLP_ATTR) + +#define S_CLIENT0_TLP_TC 8 +#define M_CLIENT0_TLP_TC 0x7U +#define V_CLIENT0_TLP_TC(x) ((x) << S_CLIENT0_TLP_TC) +#define G_CLIENT0_TLP_TC(x) (((x) >> S_CLIENT0_TLP_TC) & M_CLIENT0_TLP_TC) + +#define S_CLIENT0_TLP_TID 0 +#define M_CLIENT0_TLP_TID 0xffU +#define V_CLIENT0_TLP_TID(x) ((x) << S_CLIENT0_TLP_TID) +#define G_CLIENT0_TLP_TID(x) (((x) >> S_CLIENT0_TLP_TID) & M_CLIENT0_TLP_TID) + +#define A_PCIE_PDEBUG_REG_0X3C 0x3c + +#define S_MEM_RSPRRAVLD 31 +#define V_MEM_RSPRRAVLD(x) ((x) << S_MEM_RSPRRAVLD) +#define F_MEM_RSPRRAVLD V_MEM_RSPRRAVLD(1U) + +#define S_MEM_RSPRRARDY 30 +#define V_MEM_RSPRRARDY(x) ((x) << S_MEM_RSPRRARDY) +#define F_MEM_RSPRRARDY V_MEM_RSPRRARDY(1U) + +#define S_PIO_RSPRRAVLD 29 +#define V_PIO_RSPRRAVLD(x) ((x) << S_PIO_RSPRRAVLD) +#define F_PIO_RSPRRAVLD V_PIO_RSPRRAVLD(1U) + +#define S_PIO_RSPRRARDY 28 +#define V_PIO_RSPRRARDY(x) ((x) << S_PIO_RSPRRARDY) +#define F_PIO_RSPRRARDY V_PIO_RSPRRARDY(1U) + +#define S_MEM_RSPRDVLD 27 +#define V_MEM_RSPRDVLD(x) ((x) << S_MEM_RSPRDVLD) +#define F_MEM_RSPRDVLD V_MEM_RSPRDVLD(1U) + +#define S_MEM_RSPRDRRARDY 26 +#define V_MEM_RSPRDRRARDY(x) ((x) << S_MEM_RSPRDRRARDY) +#define F_MEM_RSPRDRRARDY V_MEM_RSPRDRRARDY(1U) + +#define S_PIO_RSPRDVLD 25 +#define V_PIO_RSPRDVLD(x) ((x) << S_PIO_RSPRDVLD) +#define F_PIO_RSPRDVLD V_PIO_RSPRDVLD(1U) + +#define S_PIO_RSPRDRRARDY 24 +#define V_PIO_RSPRDRRARDY(x) ((x) << S_PIO_RSPRDRRARDY) +#define F_PIO_RSPRDRRARDY V_PIO_RSPRDRRARDY(1U) + +#define S_TGT_TAGQ_RDVLD 16 +#define M_TGT_TAGQ_RDVLD 0xffU +#define V_TGT_TAGQ_RDVLD(x) ((x) << S_TGT_TAGQ_RDVLD) +#define G_TGT_TAGQ_RDVLD(x) (((x) >> S_TGT_TAGQ_RDVLD) & M_TGT_TAGQ_RDVLD) + +#define S_CPLTXNDISABLE 8 +#define M_CPLTXNDISABLE 0xffU +#define V_CPLTXNDISABLE(x) ((x) << S_CPLTXNDISABLE) +#define G_CPLTXNDISABLE(x) (((x) >> S_CPLTXNDISABLE) & M_CPLTXNDISABLE) + +#define S_CPLTXNDISABLE2 7 +#define V_CPLTXNDISABLE2(x) ((x) << S_CPLTXNDISABLE2) +#define F_CPLTXNDISABLE2 V_CPLTXNDISABLE2(1U) + +#define S_CLIENT0_TLP_HV 0 +#define M_CLIENT0_TLP_HV 0x7fU +#define V_CLIENT0_TLP_HV(x) ((x) << S_CLIENT0_TLP_HV) +#define G_CLIENT0_TLP_HV(x) (((x) >> S_CLIENT0_TLP_HV) & M_CLIENT0_TLP_HV) + +#define A_PCIE_PDEBUG_REG_0X3D 0x3d +#define A_PCIE_PDEBUG_REG_0X3E 0x3e +#define A_PCIE_PDEBUG_REG_0X3F 0x3f +#define A_PCIE_PDEBUG_REG_0X40 0x40 +#define A_PCIE_PDEBUG_REG_0X41 0x41 +#define A_PCIE_PDEBUG_REG_0X42 0x42 +#define A_PCIE_PDEBUG_REG_0X43 0x43 +#define A_PCIE_PDEBUG_REG_0X44 0x44 +#define A_PCIE_PDEBUG_REG_0X45 0x45 +#define A_PCIE_PDEBUG_REG_0X46 0x46 +#define A_PCIE_PDEBUG_REG_0X47 0x47 +#define A_PCIE_PDEBUG_REG_0X48 0x48 +#define A_PCIE_PDEBUG_REG_0X49 0x49 +#define A_PCIE_PDEBUG_REG_0X4A 0x4a +#define A_PCIE_PDEBUG_REG_0X4B 0x4b +#define A_PCIE_PDEBUG_REG_0X4C 0x4c +#define A_PCIE_PDEBUG_REG_0X4D 0x4d +#define A_PCIE_PDEBUG_REG_0X4E 0x4e +#define A_PCIE_PDEBUG_REG_0X4F 0x4f +#define A_PCIE_PDEBUG_REG_0X50 0x50 +#define A_PCIE_CDEBUG_REG_0X0 0x0 +#define A_PCIE_CDEBUG_REG_0X1 0x1 +#define A_PCIE_CDEBUG_REG_0X2 0x2 + +#define S_FLR_REQVLD 31 +#define V_FLR_REQVLD(x) ((x) << S_FLR_REQVLD) +#define F_FLR_REQVLD V_FLR_REQVLD(1U) + +#define S_D_RSPVLD 28 +#define M_D_RSPVLD 0x7U +#define V_D_RSPVLD(x) ((x) << S_D_RSPVLD) +#define G_D_RSPVLD(x) (((x) >> S_D_RSPVLD) & M_D_RSPVLD) + +#define S_D_RSPVLD2 27 +#define V_D_RSPVLD2(x) ((x) << S_D_RSPVLD2) +#define F_D_RSPVLD2 V_D_RSPVLD2(1U) + +#define S_D_RSPVLD3 26 +#define V_D_RSPVLD3(x) ((x) << S_D_RSPVLD3) +#define F_D_RSPVLD3 V_D_RSPVLD3(1U) + +#define S_D_RSPVLD4 25 +#define V_D_RSPVLD4(x) ((x) << S_D_RSPVLD4) +#define F_D_RSPVLD4 V_D_RSPVLD4(1U) + +#define S_D_RSPVLD5 24 +#define V_D_RSPVLD5(x) ((x) << S_D_RSPVLD5) +#define F_D_RSPVLD5 V_D_RSPVLD5(1U) + +#define S_D_RSPVLD6 20 +#define M_D_RSPVLD6 0xfU +#define V_D_RSPVLD6(x) ((x) << S_D_RSPVLD6) +#define G_D_RSPVLD6(x) (((x) >> S_D_RSPVLD6) & M_D_RSPVLD6) + +#define S_D_RSPAFULL 16 +#define M_D_RSPAFULL 0xfU +#define V_D_RSPAFULL(x) ((x) << S_D_RSPAFULL) +#define G_D_RSPAFULL(x) (((x) >> S_D_RSPAFULL) & M_D_RSPAFULL) + +#define S_D_RDREQVLD 12 +#define M_D_RDREQVLD 0xfU +#define V_D_RDREQVLD(x) ((x) << S_D_RDREQVLD) +#define G_D_RDREQVLD(x) (((x) >> S_D_RDREQVLD) & M_D_RDREQVLD) + +#define S_D_RDREQAFULL 8 +#define M_D_RDREQAFULL 0xfU +#define V_D_RDREQAFULL(x) ((x) << S_D_RDREQAFULL) +#define G_D_RDREQAFULL(x) (((x) >> S_D_RDREQAFULL) & M_D_RDREQAFULL) + +#define S_D_WRREQVLD 4 +#define M_D_WRREQVLD 0xfU +#define V_D_WRREQVLD(x) ((x) << S_D_WRREQVLD) +#define G_D_WRREQVLD(x) (((x) >> S_D_WRREQVLD) & M_D_WRREQVLD) + +#define S_D_WRREQAFULL 0 +#define M_D_WRREQAFULL 0xfU +#define V_D_WRREQAFULL(x) ((x) << S_D_WRREQAFULL) +#define G_D_WRREQAFULL(x) (((x) >> S_D_WRREQAFULL) & M_D_WRREQAFULL) + +#define A_PCIE_CDEBUG_REG_0X3 0x3 + +#define S_C_REQVLD 19 +#define M_C_REQVLD 0x1fffU +#define V_C_REQVLD(x) ((x) << S_C_REQVLD) +#define G_C_REQVLD(x) (((x) >> S_C_REQVLD) & M_C_REQVLD) + +#define S_C_RSPVLD2 16 +#define M_C_RSPVLD2 0x7U +#define V_C_RSPVLD2(x) ((x) << S_C_RSPVLD2) +#define G_C_RSPVLD2(x) (((x) >> S_C_RSPVLD2) & M_C_RSPVLD2) + +#define S_C_RSPVLD3 15 +#define V_C_RSPVLD3(x) ((x) << S_C_RSPVLD3) +#define F_C_RSPVLD3 V_C_RSPVLD3(1U) + +#define S_C_RSPVLD4 14 +#define V_C_RSPVLD4(x) ((x) << S_C_RSPVLD4) +#define F_C_RSPVLD4 V_C_RSPVLD4(1U) + +#define S_C_RSPVLD5 13 +#define V_C_RSPVLD5(x) ((x) << S_C_RSPVLD5) +#define F_C_RSPVLD5 V_C_RSPVLD5(1U) + +#define S_C_RSPVLD6 12 +#define V_C_RSPVLD6(x) ((x) << S_C_RSPVLD6) +#define F_C_RSPVLD6 V_C_RSPVLD6(1U) + +#define S_C_RSPVLD7 9 +#define M_C_RSPVLD7 0x7U +#define V_C_RSPVLD7(x) ((x) << S_C_RSPVLD7) +#define G_C_RSPVLD7(x) (((x) >> S_C_RSPVLD7) & M_C_RSPVLD7) + +#define S_C_RSPAFULL 6 +#define M_C_RSPAFULL 0x7U +#define V_C_RSPAFULL(x) ((x) << S_C_RSPAFULL) +#define G_C_RSPAFULL(x) (((x) >> S_C_RSPAFULL) & M_C_RSPAFULL) + +#define S_C_REQVLD8 3 +#define M_C_REQVLD8 0x7U +#define V_C_REQVLD8(x) ((x) << S_C_REQVLD8) +#define G_C_REQVLD8(x) (((x) >> S_C_REQVLD8) & M_C_REQVLD8) + +#define S_C_REQAFULL 0 +#define M_C_REQAFULL 0x7U +#define V_C_REQAFULL(x) ((x) << S_C_REQAFULL) +#define G_C_REQAFULL(x) (((x) >> S_C_REQAFULL) & M_C_REQAFULL) + +#define A_PCIE_CDEBUG_REG_0X4 0x4 + +#define S_H_REQVLD 7 +#define M_H_REQVLD 0x1ffffffU +#define V_H_REQVLD(x) ((x) << S_H_REQVLD) +#define G_H_REQVLD(x) (((x) >> S_H_REQVLD) & M_H_REQVLD) + +#define S_H_RSPVLD 6 +#define V_H_RSPVLD(x) ((x) << S_H_RSPVLD) +#define F_H_RSPVLD V_H_RSPVLD(1U) + +#define S_H_RSPVLD2 5 +#define V_H_RSPVLD2(x) ((x) << S_H_RSPVLD2) +#define F_H_RSPVLD2 V_H_RSPVLD2(1U) + +#define S_H_RSPVLD3 4 +#define V_H_RSPVLD3(x) ((x) << S_H_RSPVLD3) +#define F_H_RSPVLD3 V_H_RSPVLD3(1U) + +#define S_H_RSPVLD4 3 +#define V_H_RSPVLD4(x) ((x) << S_H_RSPVLD4) +#define F_H_RSPVLD4 V_H_RSPVLD4(1U) + +#define S_H_RSPAFULL 2 +#define V_H_RSPAFULL(x) ((x) << S_H_RSPAFULL) +#define F_H_RSPAFULL V_H_RSPAFULL(1U) + +#define S_H_REQVLD2 1 +#define V_H_REQVLD2(x) ((x) << S_H_REQVLD2) +#define F_H_REQVLD2 V_H_REQVLD2(1U) + +#define S_H_REQAFULL 0 +#define V_H_REQAFULL(x) ((x) << S_H_REQAFULL) +#define F_H_REQAFULL V_H_REQAFULL(1U) + +#define A_PCIE_CDEBUG_REG_0X5 0x5 + +#define S_ER_RSPVLD 16 +#define M_ER_RSPVLD 0xffffU +#define V_ER_RSPVLD(x) ((x) << S_ER_RSPVLD) +#define G_ER_RSPVLD(x) (((x) >> S_ER_RSPVLD) & M_ER_RSPVLD) + +#define S_ER_REQVLD2 5 +#define M_ER_REQVLD2 0x7ffU +#define V_ER_REQVLD2(x) ((x) << S_ER_REQVLD2) +#define G_ER_REQVLD2(x) (((x) >> S_ER_REQVLD2) & M_ER_REQVLD2) + +#define S_ER_REQVLD3 2 +#define M_ER_REQVLD3 0x7U +#define V_ER_REQVLD3(x) ((x) << S_ER_REQVLD3) +#define G_ER_REQVLD3(x) (((x) >> S_ER_REQVLD3) & M_ER_REQVLD3) + +#define S_ER_RSPVLD4 1 +#define V_ER_RSPVLD4(x) ((x) << S_ER_RSPVLD4) +#define F_ER_RSPVLD4 V_ER_RSPVLD4(1U) + +#define S_ER_REQVLD5 0 +#define V_ER_REQVLD5(x) ((x) << S_ER_REQVLD5) +#define F_ER_REQVLD5 V_ER_REQVLD5(1U) + +#define A_PCIE_CDEBUG_REG_0X6 0x6 + +#define S_PL_BAR2_REQVLD 4 +#define M_PL_BAR2_REQVLD 0xfffffffU +#define V_PL_BAR2_REQVLD(x) ((x) << S_PL_BAR2_REQVLD) +#define G_PL_BAR2_REQVLD(x) (((x) >> S_PL_BAR2_REQVLD) & M_PL_BAR2_REQVLD) + +#define S_PL_BAR2_REQVLD2 3 +#define V_PL_BAR2_REQVLD2(x) ((x) << S_PL_BAR2_REQVLD2) +#define F_PL_BAR2_REQVLD2 V_PL_BAR2_REQVLD2(1U) + +#define S_PL_BAR2_REQVLDE 2 +#define V_PL_BAR2_REQVLDE(x) ((x) << S_PL_BAR2_REQVLDE) +#define F_PL_BAR2_REQVLDE V_PL_BAR2_REQVLDE(1U) + +#define S_PL_BAR2_REQFULL 1 +#define V_PL_BAR2_REQFULL(x) ((x) << S_PL_BAR2_REQFULL) +#define F_PL_BAR2_REQFULL V_PL_BAR2_REQFULL(1U) + +#define S_PL_BAR2_REQVLD4 0 +#define V_PL_BAR2_REQVLD4(x) ((x) << S_PL_BAR2_REQVLD4) +#define F_PL_BAR2_REQVLD4 V_PL_BAR2_REQVLD4(1U) + +#define A_PCIE_CDEBUG_REG_0X7 0x7 +#define A_PCIE_CDEBUG_REG_0X8 0x8 +#define A_PCIE_CDEBUG_REG_0X9 0x9 +#define A_PCIE_CDEBUG_REG_0XA 0xa + +#define S_VPD_RSPVLD 20 +#define M_VPD_RSPVLD 0xfffU +#define V_VPD_RSPVLD(x) ((x) << S_VPD_RSPVLD) +#define G_VPD_RSPVLD(x) (((x) >> S_VPD_RSPVLD) & M_VPD_RSPVLD) + +#define S_VPD_REQVLD2 9 +#define M_VPD_REQVLD2 0x7ffU +#define V_VPD_REQVLD2(x) ((x) << S_VPD_REQVLD2) +#define G_VPD_REQVLD2(x) (((x) >> S_VPD_REQVLD2) & M_VPD_REQVLD2) + +#define S_VPD_REQVLD3 6 +#define M_VPD_REQVLD3 0x7U +#define V_VPD_REQVLD3(x) ((x) << S_VPD_REQVLD3) +#define G_VPD_REQVLD3(x) (((x) >> S_VPD_REQVLD3) & M_VPD_REQVLD3) + +#define S_VPD_REQVLD4 5 +#define V_VPD_REQVLD4(x) ((x) << S_VPD_REQVLD4) +#define F_VPD_REQVLD4 V_VPD_REQVLD4(1U) + +#define S_VPD_REQVLD5 3 +#define M_VPD_REQVLD5 0x3U +#define V_VPD_REQVLD5(x) ((x) << S_VPD_REQVLD5) +#define G_VPD_REQVLD5(x) (((x) >> S_VPD_REQVLD5) & M_VPD_REQVLD5) + +#define S_VPD_RSPVLD2 2 +#define V_VPD_RSPVLD2(x) ((x) << S_VPD_RSPVLD2) +#define F_VPD_RSPVLD2 V_VPD_RSPVLD2(1U) + +#define S_VPD_RSPVLD3 1 +#define V_VPD_RSPVLD3(x) ((x) << S_VPD_RSPVLD3) +#define F_VPD_RSPVLD3 V_VPD_RSPVLD3(1U) + +#define S_VPD_REQVLD6 0 +#define V_VPD_REQVLD6(x) ((x) << S_VPD_REQVLD6) +#define F_VPD_REQVLD6 V_VPD_REQVLD6(1U) + +#define A_PCIE_CDEBUG_REG_0XB 0xb + +#define S_MA_REQDATAVLD 28 +#define M_MA_REQDATAVLD 0xfU +#define V_MA_REQDATAVLD(x) ((x) << S_MA_REQDATAVLD) +#define G_MA_REQDATAVLD(x) (((x) >> S_MA_REQDATAVLD) & M_MA_REQDATAVLD) + +#define S_MA_REQADDRVLD 27 +#define V_MA_REQADDRVLD(x) ((x) << S_MA_REQADDRVLD) +#define F_MA_REQADDRVLD V_MA_REQADDRVLD(1U) + +#define S_MA_REQADDRVLD2 26 +#define V_MA_REQADDRVLD2(x) ((x) << S_MA_REQADDRVLD2) +#define F_MA_REQADDRVLD2 V_MA_REQADDRVLD2(1U) + +#define S_MA_RSPDATAVLD2 22 +#define M_MA_RSPDATAVLD2 0xfU +#define V_MA_RSPDATAVLD2(x) ((x) << S_MA_RSPDATAVLD2) +#define G_MA_RSPDATAVLD2(x) (((x) >> S_MA_RSPDATAVLD2) & M_MA_RSPDATAVLD2) + +#define S_MA_REQADDRVLD3 20 +#define M_MA_REQADDRVLD3 0x3U +#define V_MA_REQADDRVLD3(x) ((x) << S_MA_REQADDRVLD3) +#define G_MA_REQADDRVLD3(x) (((x) >> S_MA_REQADDRVLD3) & M_MA_REQADDRVLD3) + +#define S_MA_REQADDRVLD4 4 +#define M_MA_REQADDRVLD4 0xffffU +#define V_MA_REQADDRVLD4(x) ((x) << S_MA_REQADDRVLD4) +#define G_MA_REQADDRVLD4(x) (((x) >> S_MA_REQADDRVLD4) & M_MA_REQADDRVLD4) + +#define S_MA_REQADDRVLD5 3 +#define V_MA_REQADDRVLD5(x) ((x) << S_MA_REQADDRVLD5) +#define F_MA_REQADDRVLD5 V_MA_REQADDRVLD5(1U) + +#define S_MA_REQADDRVLD6 2 +#define V_MA_REQADDRVLD6(x) ((x) << S_MA_REQADDRVLD6) +#define F_MA_REQADDRVLD6 V_MA_REQADDRVLD6(1U) + +#define S_MA_REQADDRRDY 1 +#define V_MA_REQADDRRDY(x) ((x) << S_MA_REQADDRRDY) +#define F_MA_REQADDRRDY V_MA_REQADDRRDY(1U) + +#define S_MA_REQADDRVLD7 0 +#define V_MA_REQADDRVLD7(x) ((x) << S_MA_REQADDRVLD7) +#define F_MA_REQADDRVLD7 V_MA_REQADDRVLD7(1U) + +#define A_PCIE_CDEBUG_REG_0XC 0xc +#define A_PCIE_CDEBUG_REG_0XD 0xd +#define A_PCIE_CDEBUG_REG_0XE 0xe +#define A_PCIE_CDEBUG_REG_0XF 0xf +#define A_PCIE_CDEBUG_REG_0X10 0x10 +#define A_PCIE_CDEBUG_REG_0X11 0x11 +#define A_PCIE_CDEBUG_REG_0X12 0x12 +#define A_PCIE_CDEBUG_REG_0X13 0x13 +#define A_PCIE_CDEBUG_REG_0X14 0x14 +#define A_PCIE_CDEBUG_REG_0X15 0x15 + +#define S_PLM_REQVLD 19 +#define M_PLM_REQVLD 0x1fffU +#define V_PLM_REQVLD(x) ((x) << S_PLM_REQVLD) +#define G_PLM_REQVLD(x) (((x) >> S_PLM_REQVLD) & M_PLM_REQVLD) + +#define S_PLM_REQVLD2 18 +#define V_PLM_REQVLD2(x) ((x) << S_PLM_REQVLD2) +#define F_PLM_REQVLD2 V_PLM_REQVLD2(1U) + +#define S_PLM_RSPVLD3 17 +#define V_PLM_RSPVLD3(x) ((x) << S_PLM_RSPVLD3) +#define F_PLM_RSPVLD3 V_PLM_RSPVLD3(1U) + +#define S_PLM_REQVLD4 16 +#define V_PLM_REQVLD4(x) ((x) << S_PLM_REQVLD4) +#define F_PLM_REQVLD4 V_PLM_REQVLD4(1U) + +#define S_PLM_REQVLD5 15 +#define V_PLM_REQVLD5(x) ((x) << S_PLM_REQVLD5) +#define F_PLM_REQVLD5 V_PLM_REQVLD5(1U) + +#define S_PLM_REQVLD6 14 +#define V_PLM_REQVLD6(x) ((x) << S_PLM_REQVLD6) +#define F_PLM_REQVLD6 V_PLM_REQVLD6(1U) + +#define S_PLM_REQVLD7 13 +#define V_PLM_REQVLD7(x) ((x) << S_PLM_REQVLD7) +#define F_PLM_REQVLD7 V_PLM_REQVLD7(1U) + +#define S_PLM_REQVLD8 12 +#define V_PLM_REQVLD8(x) ((x) << S_PLM_REQVLD8) +#define F_PLM_REQVLD8 V_PLM_REQVLD8(1U) + +#define S_PLM_REQVLD9 4 +#define M_PLM_REQVLD9 0xffU +#define V_PLM_REQVLD9(x) ((x) << S_PLM_REQVLD9) +#define G_PLM_REQVLD9(x) (((x) >> S_PLM_REQVLD9) & M_PLM_REQVLD9) + +#define S_PLM_REQVLDA 1 +#define M_PLM_REQVLDA 0x7U +#define V_PLM_REQVLDA(x) ((x) << S_PLM_REQVLDA) +#define G_PLM_REQVLDA(x) (((x) >> S_PLM_REQVLDA) & M_PLM_REQVLDA) + +#define S_PLM_REQVLDB 0 +#define V_PLM_REQVLDB(x) ((x) << S_PLM_REQVLDB) +#define F_PLM_REQVLDB V_PLM_REQVLDB(1U) + +#define A_PCIE_CDEBUG_REG_0X16 0x16 +#define A_PCIE_CDEBUG_REG_0X17 0x17 +#define A_PCIE_CDEBUG_REG_0X18 0x18 +#define A_PCIE_CDEBUG_REG_0X19 0x19 +#define A_PCIE_CDEBUG_REG_0X1A 0x1a +#define A_PCIE_CDEBUG_REG_0X1B 0x1b +#define A_PCIE_CDEBUG_REG_0X1C 0x1c +#define A_PCIE_CDEBUG_REG_0X1D 0x1d +#define A_PCIE_CDEBUG_REG_0X1E 0x1e +#define A_PCIE_CDEBUG_REG_0X1F 0x1f +#define A_PCIE_CDEBUG_REG_0X20 0x20 +#define A_PCIE_CDEBUG_REG_0X21 0x21 +#define A_PCIE_CDEBUG_REG_0X22 0x22 +#define A_PCIE_CDEBUG_REG_0X23 0x23 +#define A_PCIE_CDEBUG_REG_0X24 0x24 +#define A_PCIE_CDEBUG_REG_0X25 0x25 +#define A_PCIE_CDEBUG_REG_0X26 0x26 +#define A_PCIE_CDEBUG_REG_0X27 0x27 +#define A_PCIE_CDEBUG_REG_0X28 0x28 +#define A_PCIE_CDEBUG_REG_0X29 0x29 +#define A_PCIE_CDEBUG_REG_0X2A 0x2a +#define A_PCIE_CDEBUG_REG_0X2B 0x2b +#define A_PCIE_CDEBUG_REG_0X2C 0x2c +#define A_PCIE_CDEBUG_REG_0X2D 0x2d +#define A_PCIE_CDEBUG_REG_0X2E 0x2e +#define A_PCIE_CDEBUG_REG_0X2F 0x2f +#define A_PCIE_CDEBUG_REG_0X30 0x30 +#define A_PCIE_CDEBUG_REG_0X31 0x31 +#define A_PCIE_CDEBUG_REG_0X32 0x32 +#define A_PCIE_CDEBUG_REG_0X33 0x33 +#define A_PCIE_CDEBUG_REG_0X34 0x34 +#define A_PCIE_CDEBUG_REG_0X35 0x35 +#define A_PCIE_CDEBUG_REG_0X36 0x36 +#define A_PCIE_CDEBUG_REG_0X37 0x37 /* registers for module DBG */ #define DBG_BASE_ADDR 0x6000 @@ -7998,6 +12379,11 @@ #define V_T5_P_OCLK_MUXSEL(x) ((x) << S_T5_P_OCLK_MUXSEL) #define G_T5_P_OCLK_MUXSEL(x) (((x) >> S_T5_P_OCLK_MUXSEL) & M_T5_P_OCLK_MUXSEL) +#define S_T6_P_OCLK_MUXSEL 13 +#define M_T6_P_OCLK_MUXSEL 0xfU +#define V_T6_P_OCLK_MUXSEL(x) ((x) << S_T6_P_OCLK_MUXSEL) +#define G_T6_P_OCLK_MUXSEL(x) (((x) >> S_T6_P_OCLK_MUXSEL) & M_T6_P_OCLK_MUXSEL) + #define A_DBG_TRACE0_CONF_COMPREG0 0x6060 #define A_DBG_TRACE0_CONF_COMPREG1 0x6064 #define A_DBG_TRACE1_CONF_COMPREG0 0x6068 @@ -8071,6 +12457,26 @@ #define V_RD_EN0(x) ((x) << S_RD_EN0) #define F_RD_EN0 V_RD_EN0(1U) +#define S_T5_RD_ADDR1 11 +#define M_T5_RD_ADDR1 0x1ffU +#define V_T5_RD_ADDR1(x) ((x) << S_T5_RD_ADDR1) +#define G_T5_RD_ADDR1(x) (((x) >> S_T5_RD_ADDR1) & M_T5_RD_ADDR1) + +#define S_T5_RD_ADDR0 2 +#define M_T5_RD_ADDR0 0x1ffU +#define V_T5_RD_ADDR0(x) ((x) << S_T5_RD_ADDR0) +#define G_T5_RD_ADDR0(x) (((x) >> S_T5_RD_ADDR0) & M_T5_RD_ADDR0) + +#define S_T6_RD_ADDR1 11 +#define M_T6_RD_ADDR1 0x1ffU +#define V_T6_RD_ADDR1(x) ((x) << S_T6_RD_ADDR1) +#define G_T6_RD_ADDR1(x) (((x) >> S_T6_RD_ADDR1) & M_T6_RD_ADDR1) + +#define S_T6_RD_ADDR0 2 +#define M_T6_RD_ADDR0 0x1ffU +#define V_T6_RD_ADDR0(x) ((x) << S_T6_RD_ADDR0) +#define G_T6_RD_ADDR0(x) (((x) >> S_T6_RD_ADDR0) & M_T6_RD_ADDR0) + #define A_DBG_TRACE_WRADDR 0x6090 #define S_WR_POINTER_ADDR1 16 @@ -8083,6 +12489,26 @@ #define V_WR_POINTER_ADDR0(x) ((x) << S_WR_POINTER_ADDR0) #define G_WR_POINTER_ADDR0(x) (((x) >> S_WR_POINTER_ADDR0) & M_WR_POINTER_ADDR0) +#define S_T5_WR_POINTER_ADDR1 16 +#define M_T5_WR_POINTER_ADDR1 0x1ffU +#define V_T5_WR_POINTER_ADDR1(x) ((x) << S_T5_WR_POINTER_ADDR1) +#define G_T5_WR_POINTER_ADDR1(x) (((x) >> S_T5_WR_POINTER_ADDR1) & M_T5_WR_POINTER_ADDR1) + +#define S_T5_WR_POINTER_ADDR0 0 +#define M_T5_WR_POINTER_ADDR0 0x1ffU +#define V_T5_WR_POINTER_ADDR0(x) ((x) << S_T5_WR_POINTER_ADDR0) +#define G_T5_WR_POINTER_ADDR0(x) (((x) >> S_T5_WR_POINTER_ADDR0) & M_T5_WR_POINTER_ADDR0) + +#define S_T6_WR_POINTER_ADDR1 16 +#define M_T6_WR_POINTER_ADDR1 0x1ffU +#define V_T6_WR_POINTER_ADDR1(x) ((x) << S_T6_WR_POINTER_ADDR1) +#define G_T6_WR_POINTER_ADDR1(x) (((x) >> S_T6_WR_POINTER_ADDR1) & M_T6_WR_POINTER_ADDR1) + +#define S_T6_WR_POINTER_ADDR0 0 +#define M_T6_WR_POINTER_ADDR0 0x1ffU +#define V_T6_WR_POINTER_ADDR0(x) ((x) << S_T6_WR_POINTER_ADDR0) +#define G_T6_WR_POINTER_ADDR0(x) (((x) >> S_T6_WR_POINTER_ADDR0) & M_T6_WR_POINTER_ADDR0) + #define A_DBG_TRACE0_DATA_OUT 0x6094 #define A_DBG_TRACE1_DATA_OUT 0x6098 #define A_DBG_FUSE_SENSE_DONE 0x609c @@ -8137,6 +12563,18 @@ #define V_TVSENSE_RATIO(x) ((x) << S_TVSENSE_RATIO) #define G_TVSENSE_RATIO(x) (((x) >> S_TVSENSE_RATIO) & M_TVSENSE_RATIO) +#define S_T6_TVSENSE_SLEEP 11 +#define V_T6_TVSENSE_SLEEP(x) ((x) << S_T6_TVSENSE_SLEEP) +#define F_T6_TVSENSE_SLEEP V_T6_TVSENSE_SLEEP(1U) + +#define S_T6_TVSENSE_SENSV 10 +#define V_T6_TVSENSE_SENSV(x) ((x) << S_T6_TVSENSE_SENSV) +#define F_T6_TVSENSE_SENSV V_T6_TVSENSE_SENSV(1U) + +#define S_T6_TVSENSE_RST 9 +#define V_T6_TVSENSE_RST(x) ((x) << S_T6_TVSENSE_RST) +#define F_T6_TVSENSE_RST V_T6_TVSENSE_RST(1U) + #define A_DBG_CUST_EFUSE_OUT_EN 0x60ac #define A_DBG_CUST_EFUSE_SEL1_EN 0x60b0 #define A_DBG_CUST_EFUSE_SEL2_EN 0x60b4 @@ -8188,6 +12626,18 @@ #define V_T5_STATIC_M_PLL_FFSLEWRATE(x) ((x) << S_T5_STATIC_M_PLL_FFSLEWRATE) #define G_T5_STATIC_M_PLL_FFSLEWRATE(x) (((x) >> S_T5_STATIC_M_PLL_FFSLEWRATE) & M_T5_STATIC_M_PLL_FFSLEWRATE) +#define A_DBG_STATIC_M_PLL_CONF1 0x60b8 + +#define S_STATIC_M_PLL_MULTFRAC 8 +#define M_STATIC_M_PLL_MULTFRAC 0xffffffU +#define V_STATIC_M_PLL_MULTFRAC(x) ((x) << S_STATIC_M_PLL_MULTFRAC) +#define G_STATIC_M_PLL_MULTFRAC(x) (((x) >> S_STATIC_M_PLL_MULTFRAC) & M_STATIC_M_PLL_MULTFRAC) + +#define S_STATIC_M_PLL_FFSLEWRATE 0 +#define M_STATIC_M_PLL_FFSLEWRATE 0xffU +#define V_STATIC_M_PLL_FFSLEWRATE(x) ((x) << S_STATIC_M_PLL_FFSLEWRATE) +#define G_STATIC_M_PLL_FFSLEWRATE(x) (((x) >> S_STATIC_M_PLL_FFSLEWRATE) & M_STATIC_M_PLL_FFSLEWRATE) + #define A_DBG_T5_STATIC_M_PLL_CONF2 0x60bc #define S_T5_STATIC_M_PLL_DCO_BYPASS 23 @@ -8224,6 +12674,47 @@ #define V_T5_STATIC_M_PLL_LOCKTUNE(x) ((x) << S_T5_STATIC_M_PLL_LOCKTUNE) #define G_T5_STATIC_M_PLL_LOCKTUNE(x) (((x) >> S_T5_STATIC_M_PLL_LOCKTUNE) & M_T5_STATIC_M_PLL_LOCKTUNE) +#define A_DBG_STATIC_M_PLL_CONF2 0x60bc + +#define S_T6_STATIC_M_PLL_PREDIV 24 +#define M_T6_STATIC_M_PLL_PREDIV 0x3fU +#define V_T6_STATIC_M_PLL_PREDIV(x) ((x) << S_T6_STATIC_M_PLL_PREDIV) +#define G_T6_STATIC_M_PLL_PREDIV(x) (((x) >> S_T6_STATIC_M_PLL_PREDIV) & M_T6_STATIC_M_PLL_PREDIV) + +#define S_STATIC_M_PLL_DCO_BYPASS 23 +#define V_STATIC_M_PLL_DCO_BYPASS(x) ((x) << S_STATIC_M_PLL_DCO_BYPASS) +#define F_STATIC_M_PLL_DCO_BYPASS V_STATIC_M_PLL_DCO_BYPASS(1U) + +#define S_STATIC_M_PLL_SDORDER 21 +#define M_STATIC_M_PLL_SDORDER 0x3U +#define V_STATIC_M_PLL_SDORDER(x) ((x) << S_STATIC_M_PLL_SDORDER) +#define G_STATIC_M_PLL_SDORDER(x) (((x) >> S_STATIC_M_PLL_SDORDER) & M_STATIC_M_PLL_SDORDER) + +#define S_STATIC_M_PLL_FFENABLE 20 +#define V_STATIC_M_PLL_FFENABLE(x) ((x) << S_STATIC_M_PLL_FFENABLE) +#define F_STATIC_M_PLL_FFENABLE V_STATIC_M_PLL_FFENABLE(1U) + +#define S_STATIC_M_PLL_STOPCLKB 19 +#define V_STATIC_M_PLL_STOPCLKB(x) ((x) << S_STATIC_M_PLL_STOPCLKB) +#define F_STATIC_M_PLL_STOPCLKB V_STATIC_M_PLL_STOPCLKB(1U) + +#define S_STATIC_M_PLL_STOPCLKA 18 +#define V_STATIC_M_PLL_STOPCLKA(x) ((x) << S_STATIC_M_PLL_STOPCLKA) +#define F_STATIC_M_PLL_STOPCLKA V_STATIC_M_PLL_STOPCLKA(1U) + +#define S_T6_STATIC_M_PLL_SLEEP 17 +#define V_T6_STATIC_M_PLL_SLEEP(x) ((x) << S_T6_STATIC_M_PLL_SLEEP) +#define F_T6_STATIC_M_PLL_SLEEP V_T6_STATIC_M_PLL_SLEEP(1U) + +#define S_T6_STATIC_M_PLL_BYPASS 16 +#define V_T6_STATIC_M_PLL_BYPASS(x) ((x) << S_T6_STATIC_M_PLL_BYPASS) +#define F_T6_STATIC_M_PLL_BYPASS V_T6_STATIC_M_PLL_BYPASS(1U) + +#define S_STATIC_M_PLL_LOCKTUNE 0 +#define M_STATIC_M_PLL_LOCKTUNE 0x1fU +#define V_STATIC_M_PLL_LOCKTUNE(x) ((x) << S_STATIC_M_PLL_LOCKTUNE) +#define G_STATIC_M_PLL_LOCKTUNE(x) (((x) >> S_STATIC_M_PLL_LOCKTUNE) & M_STATIC_M_PLL_LOCKTUNE) + #define A_DBG_T5_STATIC_M_PLL_CONF3 0x60c0 #define S_T5_STATIC_M_PLL_MULTPRE 30 @@ -8256,7 +12747,39 @@ #define V_T5_STATIC_M_PLL_RANGEA(x) ((x) << S_T5_STATIC_M_PLL_RANGEA) #define G_T5_STATIC_M_PLL_RANGEA(x) (((x) >> S_T5_STATIC_M_PLL_RANGEA) & M_T5_STATIC_M_PLL_RANGEA) +#define A_DBG_STATIC_M_PLL_CONF3 0x60c0 + +#define S_STATIC_M_PLL_MULTPRE 30 +#define M_STATIC_M_PLL_MULTPRE 0x3U +#define V_STATIC_M_PLL_MULTPRE(x) ((x) << S_STATIC_M_PLL_MULTPRE) +#define G_STATIC_M_PLL_MULTPRE(x) (((x) >> S_STATIC_M_PLL_MULTPRE) & M_STATIC_M_PLL_MULTPRE) + +#define S_STATIC_M_PLL_LOCKSEL 28 +#define V_STATIC_M_PLL_LOCKSEL(x) ((x) << S_STATIC_M_PLL_LOCKSEL) +#define F_STATIC_M_PLL_LOCKSEL V_STATIC_M_PLL_LOCKSEL(1U) + +#define S_STATIC_M_PLL_FFTUNE 12 +#define M_STATIC_M_PLL_FFTUNE 0xffffU +#define V_STATIC_M_PLL_FFTUNE(x) ((x) << S_STATIC_M_PLL_FFTUNE) +#define G_STATIC_M_PLL_FFTUNE(x) (((x) >> S_STATIC_M_PLL_FFTUNE) & M_STATIC_M_PLL_FFTUNE) + +#define S_STATIC_M_PLL_RANGEPRE 10 +#define M_STATIC_M_PLL_RANGEPRE 0x3U +#define V_STATIC_M_PLL_RANGEPRE(x) ((x) << S_STATIC_M_PLL_RANGEPRE) +#define G_STATIC_M_PLL_RANGEPRE(x) (((x) >> S_STATIC_M_PLL_RANGEPRE) & M_STATIC_M_PLL_RANGEPRE) + +#define S_T6_STATIC_M_PLL_RANGEB 5 +#define M_T6_STATIC_M_PLL_RANGEB 0x1fU +#define V_T6_STATIC_M_PLL_RANGEB(x) ((x) << S_T6_STATIC_M_PLL_RANGEB) +#define G_T6_STATIC_M_PLL_RANGEB(x) (((x) >> S_T6_STATIC_M_PLL_RANGEB) & M_T6_STATIC_M_PLL_RANGEB) + +#define S_T6_STATIC_M_PLL_RANGEA 0 +#define M_T6_STATIC_M_PLL_RANGEA 0x1fU +#define V_T6_STATIC_M_PLL_RANGEA(x) ((x) << S_T6_STATIC_M_PLL_RANGEA) +#define G_T6_STATIC_M_PLL_RANGEA(x) (((x) >> S_T6_STATIC_M_PLL_RANGEA) & M_T6_STATIC_M_PLL_RANGEA) + #define A_DBG_T5_STATIC_M_PLL_CONF4 0x60c4 +#define A_DBG_STATIC_M_PLL_CONF4 0x60c4 #define A_DBG_T5_STATIC_M_PLL_CONF5 0x60c8 #define S_T5_STATIC_M_PLL_VCVTUNE 24 @@ -8287,6 +12810,31 @@ #define V_T5_STATIC_M_PLL_MULT(x) ((x) << S_T5_STATIC_M_PLL_MULT) #define G_T5_STATIC_M_PLL_MULT(x) (((x) >> S_T5_STATIC_M_PLL_MULT) & M_T5_STATIC_M_PLL_MULT) +#define A_DBG_STATIC_M_PLL_CONF5 0x60c8 + +#define S_STATIC_M_PLL_VCVTUNE 24 +#define M_STATIC_M_PLL_VCVTUNE 0x7U +#define V_STATIC_M_PLL_VCVTUNE(x) ((x) << S_STATIC_M_PLL_VCVTUNE) +#define G_STATIC_M_PLL_VCVTUNE(x) (((x) >> S_STATIC_M_PLL_VCVTUNE) & M_STATIC_M_PLL_VCVTUNE) + +#define S_T6_STATIC_M_PLL_RESET 23 +#define V_T6_STATIC_M_PLL_RESET(x) ((x) << S_T6_STATIC_M_PLL_RESET) +#define F_T6_STATIC_M_PLL_RESET V_T6_STATIC_M_PLL_RESET(1U) + +#define S_STATIC_MPLL_REFCLK_SEL 22 +#define V_STATIC_MPLL_REFCLK_SEL(x) ((x) << S_STATIC_MPLL_REFCLK_SEL) +#define F_STATIC_MPLL_REFCLK_SEL V_STATIC_MPLL_REFCLK_SEL(1U) + +#define S_STATIC_M_PLL_LFTUNE_32_40 13 +#define M_STATIC_M_PLL_LFTUNE_32_40 0x1ffU +#define V_STATIC_M_PLL_LFTUNE_32_40(x) ((x) << S_STATIC_M_PLL_LFTUNE_32_40) +#define G_STATIC_M_PLL_LFTUNE_32_40(x) (((x) >> S_STATIC_M_PLL_LFTUNE_32_40) & M_STATIC_M_PLL_LFTUNE_32_40) + +#define S_T6_STATIC_M_PLL_MULT 0 +#define M_T6_STATIC_M_PLL_MULT 0xffU +#define V_T6_STATIC_M_PLL_MULT(x) ((x) << S_T6_STATIC_M_PLL_MULT) +#define G_T6_STATIC_M_PLL_MULT(x) (((x) >> S_T6_STATIC_M_PLL_MULT) & M_T6_STATIC_M_PLL_MULT) + #define A_DBG_T5_STATIC_M_PLL_CONF6 0x60cc #define S_T5_STATIC_PHY0RECRST_ 5 @@ -8313,6 +12861,58 @@ #define V_T5_STATIC_SWMC1CFGRST_(x) ((x) << S_T5_STATIC_SWMC1CFGRST_) #define F_T5_STATIC_SWMC1CFGRST_ V_T5_STATIC_SWMC1CFGRST_(1U) +#define A_DBG_STATIC_M_PLL_CONF6 0x60cc + +#define S_STATIC_M_PLL_DIVCHANGE 30 +#define V_STATIC_M_PLL_DIVCHANGE(x) ((x) << S_STATIC_M_PLL_DIVCHANGE) +#define F_STATIC_M_PLL_DIVCHANGE V_STATIC_M_PLL_DIVCHANGE(1U) + +#define S_STATIC_M_PLL_FRAMESTOP 29 +#define V_STATIC_M_PLL_FRAMESTOP(x) ((x) << S_STATIC_M_PLL_FRAMESTOP) +#define F_STATIC_M_PLL_FRAMESTOP V_STATIC_M_PLL_FRAMESTOP(1U) + +#define S_STATIC_M_PLL_FASTSTOP 28 +#define V_STATIC_M_PLL_FASTSTOP(x) ((x) << S_STATIC_M_PLL_FASTSTOP) +#define F_STATIC_M_PLL_FASTSTOP V_STATIC_M_PLL_FASTSTOP(1U) + +#define S_STATIC_M_PLL_FFBYPASS 27 +#define V_STATIC_M_PLL_FFBYPASS(x) ((x) << S_STATIC_M_PLL_FFBYPASS) +#define F_STATIC_M_PLL_FFBYPASS V_STATIC_M_PLL_FFBYPASS(1U) + +#define S_STATIC_M_PLL_STARTUP 25 +#define M_STATIC_M_PLL_STARTUP 0x3U +#define V_STATIC_M_PLL_STARTUP(x) ((x) << S_STATIC_M_PLL_STARTUP) +#define G_STATIC_M_PLL_STARTUP(x) (((x) >> S_STATIC_M_PLL_STARTUP) & M_STATIC_M_PLL_STARTUP) + +#define S_STATIC_M_PLL_VREGTUNE 6 +#define M_STATIC_M_PLL_VREGTUNE 0x7ffffU +#define V_STATIC_M_PLL_VREGTUNE(x) ((x) << S_STATIC_M_PLL_VREGTUNE) +#define G_STATIC_M_PLL_VREGTUNE(x) (((x) >> S_STATIC_M_PLL_VREGTUNE) & M_STATIC_M_PLL_VREGTUNE) + +#define S_STATIC_PHY0RECRST_ 5 +#define V_STATIC_PHY0RECRST_(x) ((x) << S_STATIC_PHY0RECRST_) +#define F_STATIC_PHY0RECRST_ V_STATIC_PHY0RECRST_(1U) + +#define S_STATIC_PHY1RECRST_ 4 +#define V_STATIC_PHY1RECRST_(x) ((x) << S_STATIC_PHY1RECRST_) +#define F_STATIC_PHY1RECRST_ V_STATIC_PHY1RECRST_(1U) + +#define S_STATIC_SWMC0RST_ 3 +#define V_STATIC_SWMC0RST_(x) ((x) << S_STATIC_SWMC0RST_) +#define F_STATIC_SWMC0RST_ V_STATIC_SWMC0RST_(1U) + +#define S_STATIC_SWMC0CFGRST_ 2 +#define V_STATIC_SWMC0CFGRST_(x) ((x) << S_STATIC_SWMC0CFGRST_) +#define F_STATIC_SWMC0CFGRST_ V_STATIC_SWMC0CFGRST_(1U) + +#define S_STATIC_SWMC1RST_ 1 +#define V_STATIC_SWMC1RST_(x) ((x) << S_STATIC_SWMC1RST_) +#define F_STATIC_SWMC1RST_ V_STATIC_SWMC1RST_(1U) + +#define S_STATIC_SWMC1CFGRST_ 0 +#define V_STATIC_SWMC1CFGRST_(x) ((x) << S_STATIC_SWMC1CFGRST_) +#define F_STATIC_SWMC1CFGRST_ V_STATIC_SWMC1CFGRST_(1U) + #define A_DBG_T5_STATIC_C_PLL_CONF1 0x60d0 #define S_T5_STATIC_C_PLL_MULTFRAC 8 @@ -8325,6 +12925,18 @@ #define V_T5_STATIC_C_PLL_FFSLEWRATE(x) ((x) << S_T5_STATIC_C_PLL_FFSLEWRATE) #define G_T5_STATIC_C_PLL_FFSLEWRATE(x) (((x) >> S_T5_STATIC_C_PLL_FFSLEWRATE) & M_T5_STATIC_C_PLL_FFSLEWRATE) +#define A_DBG_STATIC_C_PLL_CONF1 0x60d0 + +#define S_STATIC_C_PLL_MULTFRAC 8 +#define M_STATIC_C_PLL_MULTFRAC 0xffffffU +#define V_STATIC_C_PLL_MULTFRAC(x) ((x) << S_STATIC_C_PLL_MULTFRAC) +#define G_STATIC_C_PLL_MULTFRAC(x) (((x) >> S_STATIC_C_PLL_MULTFRAC) & M_STATIC_C_PLL_MULTFRAC) + +#define S_STATIC_C_PLL_FFSLEWRATE 0 +#define M_STATIC_C_PLL_FFSLEWRATE 0xffU +#define V_STATIC_C_PLL_FFSLEWRATE(x) ((x) << S_STATIC_C_PLL_FFSLEWRATE) +#define G_STATIC_C_PLL_FFSLEWRATE(x) (((x) >> S_STATIC_C_PLL_FFSLEWRATE) & M_STATIC_C_PLL_FFSLEWRATE) + #define A_DBG_T5_STATIC_C_PLL_CONF2 0x60d4 #define S_T5_STATIC_C_PLL_DCO_BYPASS 23 @@ -8361,6 +12973,52 @@ #define V_T5_STATIC_C_PLL_LOCKTUNE(x) ((x) << S_T5_STATIC_C_PLL_LOCKTUNE) #define G_T5_STATIC_C_PLL_LOCKTUNE(x) (((x) >> S_T5_STATIC_C_PLL_LOCKTUNE) & M_T5_STATIC_C_PLL_LOCKTUNE) +#define A_DBG_STATIC_C_PLL_CONF2 0x60d4 + +#define S_T6_STATIC_C_PLL_PREDIV 26 +#define M_T6_STATIC_C_PLL_PREDIV 0x3fU +#define V_T6_STATIC_C_PLL_PREDIV(x) ((x) << S_T6_STATIC_C_PLL_PREDIV) +#define G_T6_STATIC_C_PLL_PREDIV(x) (((x) >> S_T6_STATIC_C_PLL_PREDIV) & M_T6_STATIC_C_PLL_PREDIV) + +#define S_STATIC_C_PLL_STARTUP 24 +#define M_STATIC_C_PLL_STARTUP 0x3U +#define V_STATIC_C_PLL_STARTUP(x) ((x) << S_STATIC_C_PLL_STARTUP) +#define G_STATIC_C_PLL_STARTUP(x) (((x) >> S_STATIC_C_PLL_STARTUP) & M_STATIC_C_PLL_STARTUP) + +#define S_STATIC_C_PLL_DCO_BYPASS 23 +#define V_STATIC_C_PLL_DCO_BYPASS(x) ((x) << S_STATIC_C_PLL_DCO_BYPASS) +#define F_STATIC_C_PLL_DCO_BYPASS V_STATIC_C_PLL_DCO_BYPASS(1U) + +#define S_STATIC_C_PLL_SDORDER 21 +#define M_STATIC_C_PLL_SDORDER 0x3U +#define V_STATIC_C_PLL_SDORDER(x) ((x) << S_STATIC_C_PLL_SDORDER) +#define G_STATIC_C_PLL_SDORDER(x) (((x) >> S_STATIC_C_PLL_SDORDER) & M_STATIC_C_PLL_SDORDER) + +#define S_STATIC_C_PLL_DIVCHANGE 20 +#define V_STATIC_C_PLL_DIVCHANGE(x) ((x) << S_STATIC_C_PLL_DIVCHANGE) +#define F_STATIC_C_PLL_DIVCHANGE V_STATIC_C_PLL_DIVCHANGE(1U) + +#define S_STATIC_C_PLL_STOPCLKB 19 +#define V_STATIC_C_PLL_STOPCLKB(x) ((x) << S_STATIC_C_PLL_STOPCLKB) +#define F_STATIC_C_PLL_STOPCLKB V_STATIC_C_PLL_STOPCLKB(1U) + +#define S_STATIC_C_PLL_STOPCLKA 18 +#define V_STATIC_C_PLL_STOPCLKA(x) ((x) << S_STATIC_C_PLL_STOPCLKA) +#define F_STATIC_C_PLL_STOPCLKA V_STATIC_C_PLL_STOPCLKA(1U) + +#define S_T6_STATIC_C_PLL_SLEEP 17 +#define V_T6_STATIC_C_PLL_SLEEP(x) ((x) << S_T6_STATIC_C_PLL_SLEEP) +#define F_T6_STATIC_C_PLL_SLEEP V_T6_STATIC_C_PLL_SLEEP(1U) + +#define S_T6_STATIC_C_PLL_BYPASS 16 +#define V_T6_STATIC_C_PLL_BYPASS(x) ((x) << S_T6_STATIC_C_PLL_BYPASS) +#define F_T6_STATIC_C_PLL_BYPASS V_T6_STATIC_C_PLL_BYPASS(1U) + +#define S_STATIC_C_PLL_LOCKTUNE 0 +#define M_STATIC_C_PLL_LOCKTUNE 0x1fU +#define V_STATIC_C_PLL_LOCKTUNE(x) ((x) << S_STATIC_C_PLL_LOCKTUNE) +#define G_STATIC_C_PLL_LOCKTUNE(x) (((x) >> S_STATIC_C_PLL_LOCKTUNE) & M_STATIC_C_PLL_LOCKTUNE) + #define A_DBG_T5_STATIC_C_PLL_CONF3 0x60d8 #define S_T5_STATIC_C_PLL_MULTPRE 30 @@ -8393,7 +13051,39 @@ #define V_T5_STATIC_C_PLL_RANGEA(x) ((x) << S_T5_STATIC_C_PLL_RANGEA) #define G_T5_STATIC_C_PLL_RANGEA(x) (((x) >> S_T5_STATIC_C_PLL_RANGEA) & M_T5_STATIC_C_PLL_RANGEA) +#define A_DBG_STATIC_C_PLL_CONF3 0x60d8 + +#define S_STATIC_C_PLL_MULTPRE 30 +#define M_STATIC_C_PLL_MULTPRE 0x3U +#define V_STATIC_C_PLL_MULTPRE(x) ((x) << S_STATIC_C_PLL_MULTPRE) +#define G_STATIC_C_PLL_MULTPRE(x) (((x) >> S_STATIC_C_PLL_MULTPRE) & M_STATIC_C_PLL_MULTPRE) + +#define S_STATIC_C_PLL_LOCKSEL 28 +#define V_STATIC_C_PLL_LOCKSEL(x) ((x) << S_STATIC_C_PLL_LOCKSEL) +#define F_STATIC_C_PLL_LOCKSEL V_STATIC_C_PLL_LOCKSEL(1U) + +#define S_STATIC_C_PLL_FFTUNE 12 +#define M_STATIC_C_PLL_FFTUNE 0xffffU +#define V_STATIC_C_PLL_FFTUNE(x) ((x) << S_STATIC_C_PLL_FFTUNE) +#define G_STATIC_C_PLL_FFTUNE(x) (((x) >> S_STATIC_C_PLL_FFTUNE) & M_STATIC_C_PLL_FFTUNE) + +#define S_STATIC_C_PLL_RANGEPRE 10 +#define M_STATIC_C_PLL_RANGEPRE 0x3U +#define V_STATIC_C_PLL_RANGEPRE(x) ((x) << S_STATIC_C_PLL_RANGEPRE) +#define G_STATIC_C_PLL_RANGEPRE(x) (((x) >> S_STATIC_C_PLL_RANGEPRE) & M_STATIC_C_PLL_RANGEPRE) + +#define S_T6_STATIC_C_PLL_RANGEB 5 +#define M_T6_STATIC_C_PLL_RANGEB 0x1fU +#define V_T6_STATIC_C_PLL_RANGEB(x) ((x) << S_T6_STATIC_C_PLL_RANGEB) +#define G_T6_STATIC_C_PLL_RANGEB(x) (((x) >> S_T6_STATIC_C_PLL_RANGEB) & M_T6_STATIC_C_PLL_RANGEB) + +#define S_T6_STATIC_C_PLL_RANGEA 0 +#define M_T6_STATIC_C_PLL_RANGEA 0x1fU +#define V_T6_STATIC_C_PLL_RANGEA(x) ((x) << S_T6_STATIC_C_PLL_RANGEA) +#define G_T6_STATIC_C_PLL_RANGEA(x) (((x) >> S_T6_STATIC_C_PLL_RANGEA) & M_T6_STATIC_C_PLL_RANGEA) + #define A_DBG_T5_STATIC_C_PLL_CONF4 0x60dc +#define A_DBG_STATIC_C_PLL_CONF4 0x60dc #define A_DBG_T5_STATIC_C_PLL_CONF5 0x60e0 #define S_T5_STATIC_C_PLL_VCVTUNE 22 @@ -8416,6 +13106,40 @@ #define V_T5_STATIC_C_PLL_MULT(x) ((x) << S_T5_STATIC_C_PLL_MULT) #define G_T5_STATIC_C_PLL_MULT(x) (((x) >> S_T5_STATIC_C_PLL_MULT) & M_T5_STATIC_C_PLL_MULT) +#define A_DBG_STATIC_C_PLL_CONF5 0x60e0 + +#define S_STATIC_C_PLL_FFBYPASS 27 +#define V_STATIC_C_PLL_FFBYPASS(x) ((x) << S_STATIC_C_PLL_FFBYPASS) +#define F_STATIC_C_PLL_FFBYPASS V_STATIC_C_PLL_FFBYPASS(1U) + +#define S_STATIC_C_PLL_FASTSTOP 26 +#define V_STATIC_C_PLL_FASTSTOP(x) ((x) << S_STATIC_C_PLL_FASTSTOP) +#define F_STATIC_C_PLL_FASTSTOP V_STATIC_C_PLL_FASTSTOP(1U) + +#define S_STATIC_C_PLL_FRAMESTOP 25 +#define V_STATIC_C_PLL_FRAMESTOP(x) ((x) << S_STATIC_C_PLL_FRAMESTOP) +#define F_STATIC_C_PLL_FRAMESTOP V_STATIC_C_PLL_FRAMESTOP(1U) + +#define S_STATIC_C_PLL_VCVTUNE 22 +#define M_STATIC_C_PLL_VCVTUNE 0x7U +#define V_STATIC_C_PLL_VCVTUNE(x) ((x) << S_STATIC_C_PLL_VCVTUNE) +#define G_STATIC_C_PLL_VCVTUNE(x) (((x) >> S_STATIC_C_PLL_VCVTUNE) & M_STATIC_C_PLL_VCVTUNE) + +#define S_STATIC_C_PLL_LFTUNE_32_40 13 +#define M_STATIC_C_PLL_LFTUNE_32_40 0x1ffU +#define V_STATIC_C_PLL_LFTUNE_32_40(x) ((x) << S_STATIC_C_PLL_LFTUNE_32_40) +#define G_STATIC_C_PLL_LFTUNE_32_40(x) (((x) >> S_STATIC_C_PLL_LFTUNE_32_40) & M_STATIC_C_PLL_LFTUNE_32_40) + +#define S_STATIC_C_PLL_PREDIV_CNF5 8 +#define M_STATIC_C_PLL_PREDIV_CNF5 0x1fU +#define V_STATIC_C_PLL_PREDIV_CNF5(x) ((x) << S_STATIC_C_PLL_PREDIV_CNF5) +#define G_STATIC_C_PLL_PREDIV_CNF5(x) (((x) >> S_STATIC_C_PLL_PREDIV_CNF5) & M_STATIC_C_PLL_PREDIV_CNF5) + +#define S_T6_STATIC_C_PLL_MULT 0 +#define M_T6_STATIC_C_PLL_MULT 0xffU +#define V_T6_STATIC_C_PLL_MULT(x) ((x) << S_T6_STATIC_C_PLL_MULT) +#define G_T6_STATIC_C_PLL_MULT(x) (((x) >> S_T6_STATIC_C_PLL_MULT) & M_T6_STATIC_C_PLL_MULT) + #define A_DBG_T5_STATIC_U_PLL_CONF1 0x60e4 #define S_T5_STATIC_U_PLL_MULTFRAC 8 @@ -8428,6 +13152,18 @@ #define V_T5_STATIC_U_PLL_FFSLEWRATE(x) ((x) << S_T5_STATIC_U_PLL_FFSLEWRATE) #define G_T5_STATIC_U_PLL_FFSLEWRATE(x) (((x) >> S_T5_STATIC_U_PLL_FFSLEWRATE) & M_T5_STATIC_U_PLL_FFSLEWRATE) +#define A_DBG_STATIC_U_PLL_CONF1 0x60e4 + +#define S_STATIC_U_PLL_MULTFRAC 8 +#define M_STATIC_U_PLL_MULTFRAC 0xffffffU +#define V_STATIC_U_PLL_MULTFRAC(x) ((x) << S_STATIC_U_PLL_MULTFRAC) +#define G_STATIC_U_PLL_MULTFRAC(x) (((x) >> S_STATIC_U_PLL_MULTFRAC) & M_STATIC_U_PLL_MULTFRAC) + +#define S_STATIC_U_PLL_FFSLEWRATE 0 +#define M_STATIC_U_PLL_FFSLEWRATE 0xffU +#define V_STATIC_U_PLL_FFSLEWRATE(x) ((x) << S_STATIC_U_PLL_FFSLEWRATE) +#define G_STATIC_U_PLL_FFSLEWRATE(x) (((x) >> S_STATIC_U_PLL_FFSLEWRATE) & M_STATIC_U_PLL_FFSLEWRATE) + #define A_DBG_T5_STATIC_U_PLL_CONF2 0x60e8 #define S_T5_STATIC_U_PLL_DCO_BYPASS 23 @@ -8464,6 +13200,52 @@ #define V_T5_STATIC_U_PLL_LOCKTUNE(x) ((x) << S_T5_STATIC_U_PLL_LOCKTUNE) #define G_T5_STATIC_U_PLL_LOCKTUNE(x) (((x) >> S_T5_STATIC_U_PLL_LOCKTUNE) & M_T5_STATIC_U_PLL_LOCKTUNE) +#define A_DBG_STATIC_U_PLL_CONF2 0x60e8 + +#define S_T6_STATIC_U_PLL_PREDIV 26 +#define M_T6_STATIC_U_PLL_PREDIV 0x3fU +#define V_T6_STATIC_U_PLL_PREDIV(x) ((x) << S_T6_STATIC_U_PLL_PREDIV) +#define G_T6_STATIC_U_PLL_PREDIV(x) (((x) >> S_T6_STATIC_U_PLL_PREDIV) & M_T6_STATIC_U_PLL_PREDIV) + +#define S_STATIC_U_PLL_STARTUP 24 +#define M_STATIC_U_PLL_STARTUP 0x3U +#define V_STATIC_U_PLL_STARTUP(x) ((x) << S_STATIC_U_PLL_STARTUP) +#define G_STATIC_U_PLL_STARTUP(x) (((x) >> S_STATIC_U_PLL_STARTUP) & M_STATIC_U_PLL_STARTUP) + +#define S_STATIC_U_PLL_DCO_BYPASS 23 +#define V_STATIC_U_PLL_DCO_BYPASS(x) ((x) << S_STATIC_U_PLL_DCO_BYPASS) +#define F_STATIC_U_PLL_DCO_BYPASS V_STATIC_U_PLL_DCO_BYPASS(1U) + +#define S_STATIC_U_PLL_SDORDER 21 +#define M_STATIC_U_PLL_SDORDER 0x3U +#define V_STATIC_U_PLL_SDORDER(x) ((x) << S_STATIC_U_PLL_SDORDER) +#define G_STATIC_U_PLL_SDORDER(x) (((x) >> S_STATIC_U_PLL_SDORDER) & M_STATIC_U_PLL_SDORDER) + +#define S_STATIC_U_PLL_DIVCHANGE 20 +#define V_STATIC_U_PLL_DIVCHANGE(x) ((x) << S_STATIC_U_PLL_DIVCHANGE) +#define F_STATIC_U_PLL_DIVCHANGE V_STATIC_U_PLL_DIVCHANGE(1U) + +#define S_STATIC_U_PLL_STOPCLKB 19 +#define V_STATIC_U_PLL_STOPCLKB(x) ((x) << S_STATIC_U_PLL_STOPCLKB) +#define F_STATIC_U_PLL_STOPCLKB V_STATIC_U_PLL_STOPCLKB(1U) + +#define S_STATIC_U_PLL_STOPCLKA 18 +#define V_STATIC_U_PLL_STOPCLKA(x) ((x) << S_STATIC_U_PLL_STOPCLKA) +#define F_STATIC_U_PLL_STOPCLKA V_STATIC_U_PLL_STOPCLKA(1U) + +#define S_T6_STATIC_U_PLL_SLEEP 17 +#define V_T6_STATIC_U_PLL_SLEEP(x) ((x) << S_T6_STATIC_U_PLL_SLEEP) +#define F_T6_STATIC_U_PLL_SLEEP V_T6_STATIC_U_PLL_SLEEP(1U) + +#define S_T6_STATIC_U_PLL_BYPASS 16 +#define V_T6_STATIC_U_PLL_BYPASS(x) ((x) << S_T6_STATIC_U_PLL_BYPASS) +#define F_T6_STATIC_U_PLL_BYPASS V_T6_STATIC_U_PLL_BYPASS(1U) + +#define S_STATIC_U_PLL_LOCKTUNE 0 +#define M_STATIC_U_PLL_LOCKTUNE 0x1fU +#define V_STATIC_U_PLL_LOCKTUNE(x) ((x) << S_STATIC_U_PLL_LOCKTUNE) +#define G_STATIC_U_PLL_LOCKTUNE(x) (((x) >> S_STATIC_U_PLL_LOCKTUNE) & M_STATIC_U_PLL_LOCKTUNE) + #define A_DBG_T5_STATIC_U_PLL_CONF3 0x60ec #define S_T5_STATIC_U_PLL_MULTPRE 30 @@ -8496,7 +13278,39 @@ #define V_T5_STATIC_U_PLL_RANGEA(x) ((x) << S_T5_STATIC_U_PLL_RANGEA) #define G_T5_STATIC_U_PLL_RANGEA(x) (((x) >> S_T5_STATIC_U_PLL_RANGEA) & M_T5_STATIC_U_PLL_RANGEA) +#define A_DBG_STATIC_U_PLL_CONF3 0x60ec + +#define S_STATIC_U_PLL_MULTPRE 30 +#define M_STATIC_U_PLL_MULTPRE 0x3U +#define V_STATIC_U_PLL_MULTPRE(x) ((x) << S_STATIC_U_PLL_MULTPRE) +#define G_STATIC_U_PLL_MULTPRE(x) (((x) >> S_STATIC_U_PLL_MULTPRE) & M_STATIC_U_PLL_MULTPRE) + +#define S_STATIC_U_PLL_LOCKSEL 28 +#define V_STATIC_U_PLL_LOCKSEL(x) ((x) << S_STATIC_U_PLL_LOCKSEL) +#define F_STATIC_U_PLL_LOCKSEL V_STATIC_U_PLL_LOCKSEL(1U) + +#define S_STATIC_U_PLL_FFTUNE 12 +#define M_STATIC_U_PLL_FFTUNE 0xffffU +#define V_STATIC_U_PLL_FFTUNE(x) ((x) << S_STATIC_U_PLL_FFTUNE) +#define G_STATIC_U_PLL_FFTUNE(x) (((x) >> S_STATIC_U_PLL_FFTUNE) & M_STATIC_U_PLL_FFTUNE) + +#define S_STATIC_U_PLL_RANGEPRE 10 +#define M_STATIC_U_PLL_RANGEPRE 0x3U +#define V_STATIC_U_PLL_RANGEPRE(x) ((x) << S_STATIC_U_PLL_RANGEPRE) +#define G_STATIC_U_PLL_RANGEPRE(x) (((x) >> S_STATIC_U_PLL_RANGEPRE) & M_STATIC_U_PLL_RANGEPRE) + +#define S_T6_STATIC_U_PLL_RANGEB 5 +#define M_T6_STATIC_U_PLL_RANGEB 0x1fU +#define V_T6_STATIC_U_PLL_RANGEB(x) ((x) << S_T6_STATIC_U_PLL_RANGEB) +#define G_T6_STATIC_U_PLL_RANGEB(x) (((x) >> S_T6_STATIC_U_PLL_RANGEB) & M_T6_STATIC_U_PLL_RANGEB) + +#define S_T6_STATIC_U_PLL_RANGEA 0 +#define M_T6_STATIC_U_PLL_RANGEA 0x1fU +#define V_T6_STATIC_U_PLL_RANGEA(x) ((x) << S_T6_STATIC_U_PLL_RANGEA) +#define G_T6_STATIC_U_PLL_RANGEA(x) (((x) >> S_T6_STATIC_U_PLL_RANGEA) & M_T6_STATIC_U_PLL_RANGEA) + #define A_DBG_T5_STATIC_U_PLL_CONF4 0x60f0 +#define A_DBG_STATIC_U_PLL_CONF4 0x60f0 #define A_DBG_T5_STATIC_U_PLL_CONF5 0x60f4 #define S_T5_STATIC_U_PLL_VCVTUNE 22 @@ -8519,6 +13333,40 @@ #define V_T5_STATIC_U_PLL_MULT(x) ((x) << S_T5_STATIC_U_PLL_MULT) #define G_T5_STATIC_U_PLL_MULT(x) (((x) >> S_T5_STATIC_U_PLL_MULT) & M_T5_STATIC_U_PLL_MULT) +#define A_DBG_STATIC_U_PLL_CONF5 0x60f4 + +#define S_STATIC_U_PLL_FFBYPASS 27 +#define V_STATIC_U_PLL_FFBYPASS(x) ((x) << S_STATIC_U_PLL_FFBYPASS) +#define F_STATIC_U_PLL_FFBYPASS V_STATIC_U_PLL_FFBYPASS(1U) + +#define S_STATIC_U_PLL_FASTSTOP 26 +#define V_STATIC_U_PLL_FASTSTOP(x) ((x) << S_STATIC_U_PLL_FASTSTOP) +#define F_STATIC_U_PLL_FASTSTOP V_STATIC_U_PLL_FASTSTOP(1U) + +#define S_STATIC_U_PLL_FRAMESTOP 25 +#define V_STATIC_U_PLL_FRAMESTOP(x) ((x) << S_STATIC_U_PLL_FRAMESTOP) +#define F_STATIC_U_PLL_FRAMESTOP V_STATIC_U_PLL_FRAMESTOP(1U) + +#define S_STATIC_U_PLL_VCVTUNE 22 +#define M_STATIC_U_PLL_VCVTUNE 0x7U +#define V_STATIC_U_PLL_VCVTUNE(x) ((x) << S_STATIC_U_PLL_VCVTUNE) +#define G_STATIC_U_PLL_VCVTUNE(x) (((x) >> S_STATIC_U_PLL_VCVTUNE) & M_STATIC_U_PLL_VCVTUNE) + +#define S_STATIC_U_PLL_LFTUNE_32_40 13 +#define M_STATIC_U_PLL_LFTUNE_32_40 0x1ffU +#define V_STATIC_U_PLL_LFTUNE_32_40(x) ((x) << S_STATIC_U_PLL_LFTUNE_32_40) +#define G_STATIC_U_PLL_LFTUNE_32_40(x) (((x) >> S_STATIC_U_PLL_LFTUNE_32_40) & M_STATIC_U_PLL_LFTUNE_32_40) + +#define S_STATIC_U_PLL_PREDIV_CNF5 8 +#define M_STATIC_U_PLL_PREDIV_CNF5 0x1fU +#define V_STATIC_U_PLL_PREDIV_CNF5(x) ((x) << S_STATIC_U_PLL_PREDIV_CNF5) +#define G_STATIC_U_PLL_PREDIV_CNF5(x) (((x) >> S_STATIC_U_PLL_PREDIV_CNF5) & M_STATIC_U_PLL_PREDIV_CNF5) + +#define S_T6_STATIC_U_PLL_MULT 0 +#define M_T6_STATIC_U_PLL_MULT 0xffU +#define V_T6_STATIC_U_PLL_MULT(x) ((x) << S_T6_STATIC_U_PLL_MULT) +#define G_T6_STATIC_U_PLL_MULT(x) (((x) >> S_T6_STATIC_U_PLL_MULT) & M_T6_STATIC_U_PLL_MULT) + #define A_DBG_T5_STATIC_KR_PLL_CONF1 0x60f8 #define S_T5_STATIC_KR_PLL_BYPASS 30 @@ -8580,6 +13428,67 @@ #define V_T5_STATIC_KR_PLL_N1(x) ((x) << S_T5_STATIC_KR_PLL_N1) #define G_T5_STATIC_KR_PLL_N1(x) (((x) >> S_T5_STATIC_KR_PLL_N1) & M_T5_STATIC_KR_PLL_N1) +#define A_DBG_STATIC_KR_PLL_CONF1 0x60f8 + +#define S_T6_STATIC_KR_PLL_BYPASS 30 +#define V_T6_STATIC_KR_PLL_BYPASS(x) ((x) << S_T6_STATIC_KR_PLL_BYPASS) +#define F_T6_STATIC_KR_PLL_BYPASS V_T6_STATIC_KR_PLL_BYPASS(1U) + +#define S_STATIC_KR_PLL_VBOOSTDIV 27 +#define M_STATIC_KR_PLL_VBOOSTDIV 0x7U +#define V_STATIC_KR_PLL_VBOOSTDIV(x) ((x) << S_STATIC_KR_PLL_VBOOSTDIV) +#define G_STATIC_KR_PLL_VBOOSTDIV(x) (((x) >> S_STATIC_KR_PLL_VBOOSTDIV) & M_STATIC_KR_PLL_VBOOSTDIV) + +#define S_STATIC_KR_PLL_CPISEL 24 +#define M_STATIC_KR_PLL_CPISEL 0x7U +#define V_STATIC_KR_PLL_CPISEL(x) ((x) << S_STATIC_KR_PLL_CPISEL) +#define G_STATIC_KR_PLL_CPISEL(x) (((x) >> S_STATIC_KR_PLL_CPISEL) & M_STATIC_KR_PLL_CPISEL) + +#define S_STATIC_KR_PLL_CCALMETHOD 23 +#define V_STATIC_KR_PLL_CCALMETHOD(x) ((x) << S_STATIC_KR_PLL_CCALMETHOD) +#define F_STATIC_KR_PLL_CCALMETHOD V_STATIC_KR_PLL_CCALMETHOD(1U) + +#define S_STATIC_KR_PLL_CCALLOAD 22 +#define V_STATIC_KR_PLL_CCALLOAD(x) ((x) << S_STATIC_KR_PLL_CCALLOAD) +#define F_STATIC_KR_PLL_CCALLOAD V_STATIC_KR_PLL_CCALLOAD(1U) + +#define S_STATIC_KR_PLL_CCALFMIN 21 +#define V_STATIC_KR_PLL_CCALFMIN(x) ((x) << S_STATIC_KR_PLL_CCALFMIN) +#define F_STATIC_KR_PLL_CCALFMIN V_STATIC_KR_PLL_CCALFMIN(1U) + +#define S_STATIC_KR_PLL_CCALFMAX 20 +#define V_STATIC_KR_PLL_CCALFMAX(x) ((x) << S_STATIC_KR_PLL_CCALFMAX) +#define F_STATIC_KR_PLL_CCALFMAX V_STATIC_KR_PLL_CCALFMAX(1U) + +#define S_STATIC_KR_PLL_CCALCVHOLD 19 +#define V_STATIC_KR_PLL_CCALCVHOLD(x) ((x) << S_STATIC_KR_PLL_CCALCVHOLD) +#define F_STATIC_KR_PLL_CCALCVHOLD V_STATIC_KR_PLL_CCALCVHOLD(1U) + +#define S_STATIC_KR_PLL_CCALBANDSEL 15 +#define M_STATIC_KR_PLL_CCALBANDSEL 0xfU +#define V_STATIC_KR_PLL_CCALBANDSEL(x) ((x) << S_STATIC_KR_PLL_CCALBANDSEL) +#define G_STATIC_KR_PLL_CCALBANDSEL(x) (((x) >> S_STATIC_KR_PLL_CCALBANDSEL) & M_STATIC_KR_PLL_CCALBANDSEL) + +#define S_STATIC_KR_PLL_BGOFFSET 11 +#define M_STATIC_KR_PLL_BGOFFSET 0xfU +#define V_STATIC_KR_PLL_BGOFFSET(x) ((x) << S_STATIC_KR_PLL_BGOFFSET) +#define G_STATIC_KR_PLL_BGOFFSET(x) (((x) >> S_STATIC_KR_PLL_BGOFFSET) & M_STATIC_KR_PLL_BGOFFSET) + +#define S_T6_STATIC_KR_PLL_P 8 +#define M_T6_STATIC_KR_PLL_P 0x7U +#define V_T6_STATIC_KR_PLL_P(x) ((x) << S_T6_STATIC_KR_PLL_P) +#define G_T6_STATIC_KR_PLL_P(x) (((x) >> S_T6_STATIC_KR_PLL_P) & M_T6_STATIC_KR_PLL_P) + +#define S_T6_STATIC_KR_PLL_N2 4 +#define M_T6_STATIC_KR_PLL_N2 0xfU +#define V_T6_STATIC_KR_PLL_N2(x) ((x) << S_T6_STATIC_KR_PLL_N2) +#define G_T6_STATIC_KR_PLL_N2(x) (((x) >> S_T6_STATIC_KR_PLL_N2) & M_T6_STATIC_KR_PLL_N2) + +#define S_T6_STATIC_KR_PLL_N1 0 +#define M_T6_STATIC_KR_PLL_N1 0xfU +#define V_T6_STATIC_KR_PLL_N1(x) ((x) << S_T6_STATIC_KR_PLL_N1) +#define G_T6_STATIC_KR_PLL_N1(x) (((x) >> S_T6_STATIC_KR_PLL_N1) & M_T6_STATIC_KR_PLL_N1) + #define A_DBG_T5_STATIC_KR_PLL_CONF2 0x60fc #define S_T5_STATIC_KR_PLL_M 11 @@ -8592,6 +13501,18 @@ #define V_T5_STATIC_KR_PLL_ANALOGTUNE(x) ((x) << S_T5_STATIC_KR_PLL_ANALOGTUNE) #define G_T5_STATIC_KR_PLL_ANALOGTUNE(x) (((x) >> S_T5_STATIC_KR_PLL_ANALOGTUNE) & M_T5_STATIC_KR_PLL_ANALOGTUNE) +#define A_DBG_STATIC_KR_PLL_CONF2 0x60fc + +#define S_T6_STATIC_KR_PLL_M 11 +#define M_T6_STATIC_KR_PLL_M 0x1ffU +#define V_T6_STATIC_KR_PLL_M(x) ((x) << S_T6_STATIC_KR_PLL_M) +#define G_T6_STATIC_KR_PLL_M(x) (((x) >> S_T6_STATIC_KR_PLL_M) & M_T6_STATIC_KR_PLL_M) + +#define S_STATIC_KR_PLL_ANALOGTUNE 0 +#define M_STATIC_KR_PLL_ANALOGTUNE 0x7ffU +#define V_STATIC_KR_PLL_ANALOGTUNE(x) ((x) << S_STATIC_KR_PLL_ANALOGTUNE) +#define G_STATIC_KR_PLL_ANALOGTUNE(x) (((x) >> S_STATIC_KR_PLL_ANALOGTUNE) & M_STATIC_KR_PLL_ANALOGTUNE) + #define A_DBG_PVT_REG_CALIBRATE_CTL 0x6100 #define S_HALT_CALIBRATE 1 @@ -8668,21 +13589,21 @@ #define V_GPIO19_CHG_DET(x) ((x) << S_GPIO19_CHG_DET) #define F_GPIO19_CHG_DET V_GPIO19_CHG_DET(1U) -#define S_GPIO16_IN 3 -#define V_GPIO16_IN(x) ((x) << S_GPIO16_IN) -#define F_GPIO16_IN V_GPIO16_IN(1U) - -#define S_GPIO17_IN 2 -#define V_GPIO17_IN(x) ((x) << S_GPIO17_IN) -#define F_GPIO17_IN V_GPIO17_IN(1U) +#define S_GPIO19_IN 3 +#define V_GPIO19_IN(x) ((x) << S_GPIO19_IN) +#define F_GPIO19_IN V_GPIO19_IN(1U) -#define S_GPIO18_IN 1 +#define S_GPIO18_IN 2 #define V_GPIO18_IN(x) ((x) << S_GPIO18_IN) #define F_GPIO18_IN V_GPIO18_IN(1U) -#define S_GPIO19_IN 0 -#define V_GPIO19_IN(x) ((x) << S_GPIO19_IN) -#define F_GPIO19_IN V_GPIO19_IN(1U) +#define S_GPIO17_IN 1 +#define V_GPIO17_IN(x) ((x) << S_GPIO17_IN) +#define F_GPIO17_IN V_GPIO17_IN(1U) + +#define S_GPIO16_IN 0 +#define V_GPIO16_IN(x) ((x) << S_GPIO16_IN) +#define F_GPIO16_IN V_GPIO16_IN(1U) #define A_DBG_PVT_REG_LAST_MEASUREMENT 0x6108 @@ -8762,6 +13683,67 @@ #define V_T5_STATIC_KX_PLL_N1(x) ((x) << S_T5_STATIC_KX_PLL_N1) #define G_T5_STATIC_KX_PLL_N1(x) (((x) >> S_T5_STATIC_KX_PLL_N1) & M_T5_STATIC_KX_PLL_N1) +#define A_DBG_STATIC_KX_PLL_CONF1 0x6108 + +#define S_T6_STATIC_KX_PLL_BYPASS 30 +#define V_T6_STATIC_KX_PLL_BYPASS(x) ((x) << S_T6_STATIC_KX_PLL_BYPASS) +#define F_T6_STATIC_KX_PLL_BYPASS V_T6_STATIC_KX_PLL_BYPASS(1U) + +#define S_STATIC_KX_PLL_VBOOSTDIV 27 +#define M_STATIC_KX_PLL_VBOOSTDIV 0x7U +#define V_STATIC_KX_PLL_VBOOSTDIV(x) ((x) << S_STATIC_KX_PLL_VBOOSTDIV) +#define G_STATIC_KX_PLL_VBOOSTDIV(x) (((x) >> S_STATIC_KX_PLL_VBOOSTDIV) & M_STATIC_KX_PLL_VBOOSTDIV) + +#define S_STATIC_KX_PLL_CPISEL 24 +#define M_STATIC_KX_PLL_CPISEL 0x7U +#define V_STATIC_KX_PLL_CPISEL(x) ((x) << S_STATIC_KX_PLL_CPISEL) +#define G_STATIC_KX_PLL_CPISEL(x) (((x) >> S_STATIC_KX_PLL_CPISEL) & M_STATIC_KX_PLL_CPISEL) + +#define S_STATIC_KX_PLL_CCALMETHOD 23 +#define V_STATIC_KX_PLL_CCALMETHOD(x) ((x) << S_STATIC_KX_PLL_CCALMETHOD) +#define F_STATIC_KX_PLL_CCALMETHOD V_STATIC_KX_PLL_CCALMETHOD(1U) + +#define S_STATIC_KX_PLL_CCALLOAD 22 +#define V_STATIC_KX_PLL_CCALLOAD(x) ((x) << S_STATIC_KX_PLL_CCALLOAD) +#define F_STATIC_KX_PLL_CCALLOAD V_STATIC_KX_PLL_CCALLOAD(1U) + +#define S_STATIC_KX_PLL_CCALFMIN 21 +#define V_STATIC_KX_PLL_CCALFMIN(x) ((x) << S_STATIC_KX_PLL_CCALFMIN) +#define F_STATIC_KX_PLL_CCALFMIN V_STATIC_KX_PLL_CCALFMIN(1U) + +#define S_STATIC_KX_PLL_CCALFMAX 20 +#define V_STATIC_KX_PLL_CCALFMAX(x) ((x) << S_STATIC_KX_PLL_CCALFMAX) +#define F_STATIC_KX_PLL_CCALFMAX V_STATIC_KX_PLL_CCALFMAX(1U) + +#define S_STATIC_KX_PLL_CCALCVHOLD 19 +#define V_STATIC_KX_PLL_CCALCVHOLD(x) ((x) << S_STATIC_KX_PLL_CCALCVHOLD) +#define F_STATIC_KX_PLL_CCALCVHOLD V_STATIC_KX_PLL_CCALCVHOLD(1U) + +#define S_STATIC_KX_PLL_CCALBANDSEL 15 +#define M_STATIC_KX_PLL_CCALBANDSEL 0xfU +#define V_STATIC_KX_PLL_CCALBANDSEL(x) ((x) << S_STATIC_KX_PLL_CCALBANDSEL) +#define G_STATIC_KX_PLL_CCALBANDSEL(x) (((x) >> S_STATIC_KX_PLL_CCALBANDSEL) & M_STATIC_KX_PLL_CCALBANDSEL) + +#define S_STATIC_KX_PLL_BGOFFSET 11 +#define M_STATIC_KX_PLL_BGOFFSET 0xfU +#define V_STATIC_KX_PLL_BGOFFSET(x) ((x) << S_STATIC_KX_PLL_BGOFFSET) +#define G_STATIC_KX_PLL_BGOFFSET(x) (((x) >> S_STATIC_KX_PLL_BGOFFSET) & M_STATIC_KX_PLL_BGOFFSET) + +#define S_T6_STATIC_KX_PLL_P 8 +#define M_T6_STATIC_KX_PLL_P 0x7U +#define V_T6_STATIC_KX_PLL_P(x) ((x) << S_T6_STATIC_KX_PLL_P) +#define G_T6_STATIC_KX_PLL_P(x) (((x) >> S_T6_STATIC_KX_PLL_P) & M_T6_STATIC_KX_PLL_P) + +#define S_T6_STATIC_KX_PLL_N2 4 +#define M_T6_STATIC_KX_PLL_N2 0xfU +#define V_T6_STATIC_KX_PLL_N2(x) ((x) << S_T6_STATIC_KX_PLL_N2) +#define G_T6_STATIC_KX_PLL_N2(x) (((x) >> S_T6_STATIC_KX_PLL_N2) & M_T6_STATIC_KX_PLL_N2) + +#define S_T6_STATIC_KX_PLL_N1 0 +#define M_T6_STATIC_KX_PLL_N1 0xfU +#define V_T6_STATIC_KX_PLL_N1(x) ((x) << S_T6_STATIC_KX_PLL_N1) +#define G_T6_STATIC_KX_PLL_N1(x) (((x) >> S_T6_STATIC_KX_PLL_N1) & M_T6_STATIC_KX_PLL_N1) + #define A_DBG_PVT_REG_DRVN 0x610c #define S_PVT_REG_DRVN_EN 8 @@ -8790,6 +13772,18 @@ #define V_T5_STATIC_KX_PLL_ANALOGTUNE(x) ((x) << S_T5_STATIC_KX_PLL_ANALOGTUNE) #define G_T5_STATIC_KX_PLL_ANALOGTUNE(x) (((x) >> S_T5_STATIC_KX_PLL_ANALOGTUNE) & M_T5_STATIC_KX_PLL_ANALOGTUNE) +#define A_DBG_STATIC_KX_PLL_CONF2 0x610c + +#define S_T6_STATIC_KX_PLL_M 11 +#define M_T6_STATIC_KX_PLL_M 0x1ffU +#define V_T6_STATIC_KX_PLL_M(x) ((x) << S_T6_STATIC_KX_PLL_M) +#define G_T6_STATIC_KX_PLL_M(x) (((x) >> S_T6_STATIC_KX_PLL_M) & M_T6_STATIC_KX_PLL_M) + +#define S_STATIC_KX_PLL_ANALOGTUNE 0 +#define M_STATIC_KX_PLL_ANALOGTUNE 0x7ffU +#define V_STATIC_KX_PLL_ANALOGTUNE(x) ((x) << S_STATIC_KX_PLL_ANALOGTUNE) +#define G_STATIC_KX_PLL_ANALOGTUNE(x) (((x) >> S_STATIC_KX_PLL_ANALOGTUNE) & M_STATIC_KX_PLL_ANALOGTUNE) + #define A_DBG_PVT_REG_DRVP 0x6110 #define S_PVT_REG_DRVP_EN 8 @@ -8830,6 +13824,7 @@ #define V_STATIC_C_DFS_ENABLE(x) ((x) << S_STATIC_C_DFS_ENABLE) #define F_STATIC_C_DFS_ENABLE V_STATIC_C_DFS_ENABLE(1U) +#define A_DBG_STATIC_C_DFS_CONF 0x6110 #define A_DBG_PVT_REG_TERMN 0x6114 #define S_PVT_REG_TERMN_EN 8 @@ -8870,6 +13865,7 @@ #define V_STATIC_U_DFS_ENABLE(x) ((x) << S_STATIC_U_DFS_ENABLE) #define F_STATIC_U_DFS_ENABLE V_STATIC_U_DFS_ENABLE(1U) +#define A_DBG_STATIC_U_DFS_CONF 0x6114 #define A_DBG_PVT_REG_TERMP 0x6118 #define S_PVT_REG_TERMP_EN 8 @@ -9251,6 +14247,70 @@ #define V_SAMPLE_WAIT_CLKS(x) ((x) << S_SAMPLE_WAIT_CLKS) #define G_SAMPLE_WAIT_CLKS(x) (((x) >> S_SAMPLE_WAIT_CLKS) & M_SAMPLE_WAIT_CLKS) +#define A_DBG_STATIC_U_PLL_CONF6 0x6150 + +#define S_STATIC_U_PLL_VREGTUNE 0 +#define M_STATIC_U_PLL_VREGTUNE 0x7ffffU +#define V_STATIC_U_PLL_VREGTUNE(x) ((x) << S_STATIC_U_PLL_VREGTUNE) +#define G_STATIC_U_PLL_VREGTUNE(x) (((x) >> S_STATIC_U_PLL_VREGTUNE) & M_STATIC_U_PLL_VREGTUNE) + +#define A_DBG_STATIC_C_PLL_CONF6 0x6154 + +#define S_STATIC_C_PLL_VREGTUNE 0 +#define M_STATIC_C_PLL_VREGTUNE 0x7ffffU +#define V_STATIC_C_PLL_VREGTUNE(x) ((x) << S_STATIC_C_PLL_VREGTUNE) +#define G_STATIC_C_PLL_VREGTUNE(x) (((x) >> S_STATIC_C_PLL_VREGTUNE) & M_STATIC_C_PLL_VREGTUNE) + +#define A_DBG_CUST_EFUSE_PROGRAM 0x6158 + +#define S_EFUSE_PROG_PERIOD 16 +#define M_EFUSE_PROG_PERIOD 0xffffU +#define V_EFUSE_PROG_PERIOD(x) ((x) << S_EFUSE_PROG_PERIOD) +#define G_EFUSE_PROG_PERIOD(x) (((x) >> S_EFUSE_PROG_PERIOD) & M_EFUSE_PROG_PERIOD) + +#define S_EFUSE_OPER_TYP 14 +#define M_EFUSE_OPER_TYP 0x3U +#define V_EFUSE_OPER_TYP(x) ((x) << S_EFUSE_OPER_TYP) +#define G_EFUSE_OPER_TYP(x) (((x) >> S_EFUSE_OPER_TYP) & M_EFUSE_OPER_TYP) + +#define S_EFUSE_ADDR 8 +#define M_EFUSE_ADDR 0x3fU +#define V_EFUSE_ADDR(x) ((x) << S_EFUSE_ADDR) +#define G_EFUSE_ADDR(x) (((x) >> S_EFUSE_ADDR) & M_EFUSE_ADDR) + +#define S_EFUSE_DIN 0 +#define M_EFUSE_DIN 0xffU +#define V_EFUSE_DIN(x) ((x) << S_EFUSE_DIN) +#define G_EFUSE_DIN(x) (((x) >> S_EFUSE_DIN) & M_EFUSE_DIN) + +#define A_DBG_CUST_EFUSE_OUT 0x615c + +#define S_EFUSE_OPER_DONE 8 +#define V_EFUSE_OPER_DONE(x) ((x) << S_EFUSE_OPER_DONE) +#define F_EFUSE_OPER_DONE V_EFUSE_OPER_DONE(1U) + +#define S_EFUSE_DOUT 0 +#define M_EFUSE_DOUT 0xffU +#define V_EFUSE_DOUT(x) ((x) << S_EFUSE_DOUT) +#define G_EFUSE_DOUT(x) (((x) >> S_EFUSE_DOUT) & M_EFUSE_DOUT) + +#define A_DBG_CUST_EFUSE_BYTE0_3 0x6160 +#define A_DBG_CUST_EFUSE_BYTE4_7 0x6164 +#define A_DBG_CUST_EFUSE_BYTE8_11 0x6168 +#define A_DBG_CUST_EFUSE_BYTE12_15 0x616c +#define A_DBG_CUST_EFUSE_BYTE16_19 0x6170 +#define A_DBG_CUST_EFUSE_BYTE20_23 0x6174 +#define A_DBG_CUST_EFUSE_BYTE24_27 0x6178 +#define A_DBG_CUST_EFUSE_BYTE28_31 0x617c +#define A_DBG_CUST_EFUSE_BYTE32_35 0x6180 +#define A_DBG_CUST_EFUSE_BYTE36_39 0x6184 +#define A_DBG_CUST_EFUSE_BYTE40_43 0x6188 +#define A_DBG_CUST_EFUSE_BYTE44_47 0x618c +#define A_DBG_CUST_EFUSE_BYTE48_51 0x6190 +#define A_DBG_CUST_EFUSE_BYTE52_55 0x6194 +#define A_DBG_CUST_EFUSE_BYTE56_59 0x6198 +#define A_DBG_CUST_EFUSE_BYTE60_63 0x619c + /* registers for module MC */ #define MC_BASE_ADDR 0x6200 @@ -11127,6 +16187,19 @@ #define V_EXT_MEM_PAGE_SIZE1(x) ((x) << S_EXT_MEM_PAGE_SIZE1) #define G_EXT_MEM_PAGE_SIZE1(x) (((x) >> S_EXT_MEM_PAGE_SIZE1) & M_EXT_MEM_PAGE_SIZE1) +#define S_BRBC_MODE 4 +#define V_BRBC_MODE(x) ((x) << S_BRBC_MODE) +#define F_BRBC_MODE V_BRBC_MODE(1U) + +#define S_T6_BRC_MODE 3 +#define V_T6_BRC_MODE(x) ((x) << S_T6_BRC_MODE) +#define F_T6_BRC_MODE V_T6_BRC_MODE(1U) + +#define S_T6_EXT_MEM_PAGE_SIZE 0 +#define M_T6_EXT_MEM_PAGE_SIZE 0x7U +#define V_T6_EXT_MEM_PAGE_SIZE(x) ((x) << S_T6_EXT_MEM_PAGE_SIZE) +#define G_T6_EXT_MEM_PAGE_SIZE(x) (((x) >> S_T6_EXT_MEM_PAGE_SIZE) & M_T6_EXT_MEM_PAGE_SIZE) + #define A_MA_ARB_CTRL 0x77d4 #define S_DIS_PAGE_HINT 1 @@ -11141,6 +16214,48 @@ #define V_DIS_BANK_FAIR(x) ((x) << S_DIS_BANK_FAIR) #define F_DIS_BANK_FAIR V_DIS_BANK_FAIR(1U) +#define S_HMA_WRT_EN 26 +#define V_HMA_WRT_EN(x) ((x) << S_HMA_WRT_EN) +#define F_HMA_WRT_EN V_HMA_WRT_EN(1U) + +#define S_HMA_NUM_PG_128B_FDBK 21 +#define M_HMA_NUM_PG_128B_FDBK 0x1fU +#define V_HMA_NUM_PG_128B_FDBK(x) ((x) << S_HMA_NUM_PG_128B_FDBK) +#define G_HMA_NUM_PG_128B_FDBK(x) (((x) >> S_HMA_NUM_PG_128B_FDBK) & M_HMA_NUM_PG_128B_FDBK) + +#define S_HMA_DIS_128B_PG_CNT_FDBK 20 +#define V_HMA_DIS_128B_PG_CNT_FDBK(x) ((x) << S_HMA_DIS_128B_PG_CNT_FDBK) +#define F_HMA_DIS_128B_PG_CNT_FDBK V_HMA_DIS_128B_PG_CNT_FDBK(1U) + +#define S_HMA_DIS_BG_ARB 19 +#define V_HMA_DIS_BG_ARB(x) ((x) << S_HMA_DIS_BG_ARB) +#define F_HMA_DIS_BG_ARB V_HMA_DIS_BG_ARB(1U) + +#define S_HMA_DIS_BANK_FAIR 18 +#define V_HMA_DIS_BANK_FAIR(x) ((x) << S_HMA_DIS_BANK_FAIR) +#define F_HMA_DIS_BANK_FAIR V_HMA_DIS_BANK_FAIR(1U) + +#define S_HMA_DIS_PAGE_HINT 17 +#define V_HMA_DIS_PAGE_HINT(x) ((x) << S_HMA_DIS_PAGE_HINT) +#define F_HMA_DIS_PAGE_HINT V_HMA_DIS_PAGE_HINT(1U) + +#define S_HMA_DIS_ADV_ARB 16 +#define V_HMA_DIS_ADV_ARB(x) ((x) << S_HMA_DIS_ADV_ARB) +#define F_HMA_DIS_ADV_ARB V_HMA_DIS_ADV_ARB(1U) + +#define S_NUM_PG_128B_FDBK 5 +#define M_NUM_PG_128B_FDBK 0x1fU +#define V_NUM_PG_128B_FDBK(x) ((x) << S_NUM_PG_128B_FDBK) +#define G_NUM_PG_128B_FDBK(x) (((x) >> S_NUM_PG_128B_FDBK) & M_NUM_PG_128B_FDBK) + +#define S_DIS_128B_PG_CNT_FDBK 4 +#define V_DIS_128B_PG_CNT_FDBK(x) ((x) << S_DIS_128B_PG_CNT_FDBK) +#define F_DIS_128B_PG_CNT_FDBK V_DIS_128B_PG_CNT_FDBK(1U) + +#define S_DIS_BG_ARB 3 +#define V_DIS_BG_ARB(x) ((x) << S_DIS_BG_ARB) +#define F_DIS_BG_ARB V_DIS_BG_ARB(1U) + #define A_MA_TARGET_MEM_ENABLE 0x77d8 #define S_HMA_ENABLE 3 @@ -11171,6 +16286,10 @@ #define V_EXT_MEM0_ENABLE(x) ((x) << S_EXT_MEM0_ENABLE) #define F_EXT_MEM0_ENABLE V_EXT_MEM0_ENABLE(1U) +#define S_MC_SPLIT 6 +#define V_MC_SPLIT(x) ((x) << S_MC_SPLIT) +#define F_MC_SPLIT V_MC_SPLIT(1U) + #define A_MA_INT_ENABLE 0x77dc #define S_MEM_PERR_INT_ENABLE 1 @@ -11519,6 +16638,11 @@ #define V_FUTURE_EXPANSION(x) ((x) << S_FUTURE_EXPANSION) #define G_FUTURE_EXPANSION(x) (((x) >> S_FUTURE_EXPANSION) & M_FUTURE_EXPANSION) +#define S_FUTURE_EXPANSION_EE 1 +#define M_FUTURE_EXPANSION_EE 0x7fffffffU +#define V_FUTURE_EXPANSION_EE(x) ((x) << S_FUTURE_EXPANSION_EE) +#define G_FUTURE_EXPANSION_EE(x) (((x) >> S_FUTURE_EXPANSION_EE) & M_FUTURE_EXPANSION_EE) + #define A_MA_PARITY_ERROR_ENABLE2 0x7800 #define S_ARB4_PAR_WRQUEUE_ERROR_EN 1 @@ -11590,7 +16714,24 @@ #define A_MA_PMRX_RDDATA_CNT 0x7874 #define A_MA_HMA_RDDATA_CNT 0x7878 #define A_MA_EDRAM0_WRDATA_CNT1 0x787c +#define A_MA_EXIT_ADDR_FAULT 0x787c + +#define S_EXIT_ADDR_FAULT 0 +#define V_EXIT_ADDR_FAULT(x) ((x) << S_EXIT_ADDR_FAULT) +#define F_EXIT_ADDR_FAULT V_EXIT_ADDR_FAULT(1U) + #define A_MA_EDRAM0_WRDATA_CNT0 0x7880 +#define A_MA_DDR_DEVICE_CFG 0x7880 + +#define S_MEM_WIDTH 1 +#define M_MEM_WIDTH 0x7U +#define V_MEM_WIDTH(x) ((x) << S_MEM_WIDTH) +#define G_MEM_WIDTH(x) (((x) >> S_MEM_WIDTH) & M_MEM_WIDTH) + +#define S_DDR_MODE 0 +#define V_DDR_MODE(x) ((x) << S_DDR_MODE) +#define F_DDR_MODE V_DDR_MODE(1U) + #define A_MA_EDRAM1_WRDATA_CNT1 0x7884 #define A_MA_EDRAM1_WRDATA_CNT0 0x7888 #define A_MA_EXT_MEMORY0_WRDATA_CNT1 0x788c @@ -11764,6 +16905,16 @@ #define V_CL0_WR_DATA_TO_EN(x) ((x) << S_CL0_WR_DATA_TO_EN) #define F_CL0_WR_DATA_TO_EN V_CL0_WR_DATA_TO_EN(1U) +#define S_FUTURE_CEXPANSION_WTE 29 +#define M_FUTURE_CEXPANSION_WTE 0x7U +#define V_FUTURE_CEXPANSION_WTE(x) ((x) << S_FUTURE_CEXPANSION_WTE) +#define G_FUTURE_CEXPANSION_WTE(x) (((x) >> S_FUTURE_CEXPANSION_WTE) & M_FUTURE_CEXPANSION_WTE) + +#define S_FUTURE_DEXPANSION_WTE 13 +#define M_FUTURE_DEXPANSION_WTE 0x7U +#define V_FUTURE_DEXPANSION_WTE(x) ((x) << S_FUTURE_DEXPANSION_WTE) +#define G_FUTURE_DEXPANSION_WTE(x) (((x) >> S_FUTURE_DEXPANSION_WTE) & M_FUTURE_DEXPANSION_WTE) + #define A_MA_WRITE_TIMEOUT_ERROR_STATUS 0x78d8 #define S_CL12_WR_CMD_TO_ERROR 28 @@ -11870,6 +17021,16 @@ #define V_CL0_WR_DATA_TO_ERROR(x) ((x) << S_CL0_WR_DATA_TO_ERROR) #define F_CL0_WR_DATA_TO_ERROR V_CL0_WR_DATA_TO_ERROR(1U) +#define S_FUTURE_CEXPANSION_WTS 29 +#define M_FUTURE_CEXPANSION_WTS 0x7U +#define V_FUTURE_CEXPANSION_WTS(x) ((x) << S_FUTURE_CEXPANSION_WTS) +#define G_FUTURE_CEXPANSION_WTS(x) (((x) >> S_FUTURE_CEXPANSION_WTS) & M_FUTURE_CEXPANSION_WTS) + +#define S_FUTURE_DEXPANSION_WTS 13 +#define M_FUTURE_DEXPANSION_WTS 0x7U +#define V_FUTURE_DEXPANSION_WTS(x) ((x) << S_FUTURE_DEXPANSION_WTS) +#define G_FUTURE_DEXPANSION_WTS(x) (((x) >> S_FUTURE_DEXPANSION_WTS) & M_FUTURE_DEXPANSION_WTS) + #define A_MA_READ_TIMEOUT_ERROR_ENABLE 0x78dc #define S_CL12_RD_CMD_TO_EN 28 @@ -11976,6 +17137,16 @@ #define V_CL0_RD_DATA_TO_EN(x) ((x) << S_CL0_RD_DATA_TO_EN) #define F_CL0_RD_DATA_TO_EN V_CL0_RD_DATA_TO_EN(1U) +#define S_FUTURE_CEXPANSION_RTE 29 +#define M_FUTURE_CEXPANSION_RTE 0x7U +#define V_FUTURE_CEXPANSION_RTE(x) ((x) << S_FUTURE_CEXPANSION_RTE) +#define G_FUTURE_CEXPANSION_RTE(x) (((x) >> S_FUTURE_CEXPANSION_RTE) & M_FUTURE_CEXPANSION_RTE) + +#define S_FUTURE_DEXPANSION_RTE 13 +#define M_FUTURE_DEXPANSION_RTE 0x7U +#define V_FUTURE_DEXPANSION_RTE(x) ((x) << S_FUTURE_DEXPANSION_RTE) +#define G_FUTURE_DEXPANSION_RTE(x) (((x) >> S_FUTURE_DEXPANSION_RTE) & M_FUTURE_DEXPANSION_RTE) + #define A_MA_READ_TIMEOUT_ERROR_STATUS 0x78e0 #define S_CL12_RD_CMD_TO_ERROR 28 @@ -12082,6 +17253,16 @@ #define V_CL0_RD_DATA_TO_ERROR(x) ((x) << S_CL0_RD_DATA_TO_ERROR) #define F_CL0_RD_DATA_TO_ERROR V_CL0_RD_DATA_TO_ERROR(1U) +#define S_FUTURE_CEXPANSION_RTS 29 +#define M_FUTURE_CEXPANSION_RTS 0x7U +#define V_FUTURE_CEXPANSION_RTS(x) ((x) << S_FUTURE_CEXPANSION_RTS) +#define G_FUTURE_CEXPANSION_RTS(x) (((x) >> S_FUTURE_CEXPANSION_RTS) & M_FUTURE_CEXPANSION_RTS) + +#define S_FUTURE_DEXPANSION_RTS 13 +#define M_FUTURE_DEXPANSION_RTS 0x7U +#define V_FUTURE_DEXPANSION_RTS(x) ((x) << S_FUTURE_DEXPANSION_RTS) +#define G_FUTURE_DEXPANSION_RTS(x) (((x) >> S_FUTURE_DEXPANSION_RTS) & M_FUTURE_DEXPANSION_RTS) + #define A_MA_BKP_CNT_SEL 0x78e4 #define S_BKP_CNT_TYPE 30 @@ -12118,6 +17299,11 @@ #define A_MA_IF_PARITY_ERROR_ENABLE 0x78f0 +#define S_T5_FUTURE_DEXPANSION 13 +#define M_T5_FUTURE_DEXPANSION 0x7ffffU +#define V_T5_FUTURE_DEXPANSION(x) ((x) << S_T5_FUTURE_DEXPANSION) +#define G_T5_FUTURE_DEXPANSION(x) (((x) >> S_T5_FUTURE_DEXPANSION) & M_T5_FUTURE_DEXPANSION) + #define S_CL12_IF_PAR_EN 12 #define V_CL12_IF_PAR_EN(x) ((x) << S_CL12_IF_PAR_EN) #define F_CL12_IF_PAR_EN V_CL12_IF_PAR_EN(1U) @@ -12170,8 +17356,18 @@ #define V_CL0_IF_PAR_EN(x) ((x) << S_CL0_IF_PAR_EN) #define F_CL0_IF_PAR_EN V_CL0_IF_PAR_EN(1U) +#define S_FUTURE_DEXPANSION_IPE 13 +#define M_FUTURE_DEXPANSION_IPE 0x7ffffU +#define V_FUTURE_DEXPANSION_IPE(x) ((x) << S_FUTURE_DEXPANSION_IPE) +#define G_FUTURE_DEXPANSION_IPE(x) (((x) >> S_FUTURE_DEXPANSION_IPE) & M_FUTURE_DEXPANSION_IPE) + #define A_MA_IF_PARITY_ERROR_STATUS 0x78f4 +#define S_T5_FUTURE_DEXPANSION 13 +#define M_T5_FUTURE_DEXPANSION 0x7ffffU +#define V_T5_FUTURE_DEXPANSION(x) ((x) << S_T5_FUTURE_DEXPANSION) +#define G_T5_FUTURE_DEXPANSION(x) (((x) >> S_T5_FUTURE_DEXPANSION) & M_T5_FUTURE_DEXPANSION) + #define S_CL12_IF_PAR_ERROR 12 #define V_CL12_IF_PAR_ERROR(x) ((x) << S_CL12_IF_PAR_ERROR) #define F_CL12_IF_PAR_ERROR V_CL12_IF_PAR_ERROR(1U) @@ -12224,6 +17420,11 @@ #define V_CL0_IF_PAR_ERROR(x) ((x) << S_CL0_IF_PAR_ERROR) #define F_CL0_IF_PAR_ERROR V_CL0_IF_PAR_ERROR(1U) +#define S_FUTURE_DEXPANSION_IPS 13 +#define M_FUTURE_DEXPANSION_IPS 0x7ffffU +#define V_FUTURE_DEXPANSION_IPS(x) ((x) << S_FUTURE_DEXPANSION_IPS) +#define G_FUTURE_DEXPANSION_IPS(x) (((x) >> S_FUTURE_DEXPANSION_IPS) & M_FUTURE_DEXPANSION_IPS) + #define A_MA_LOCAL_DEBUG_CFG 0x78f8 #define S_DEBUG_OR 15 @@ -12244,6 +17445,2823 @@ #define G_DEBUGPAGE(x) (((x) >> S_DEBUGPAGE) & M_DEBUGPAGE) #define A_MA_LOCAL_DEBUG_RPT 0x78fc +#define A_MA_SGE_THREAD_0_CLIENT_INTERFACE_EXTERNAL 0xa000 + +#define S_CMDVLD0 31 +#define V_CMDVLD0(x) ((x) << S_CMDVLD0) +#define F_CMDVLD0 V_CMDVLD0(1U) + +#define S_CMDRDY0 30 +#define V_CMDRDY0(x) ((x) << S_CMDRDY0) +#define F_CMDRDY0 V_CMDRDY0(1U) + +#define S_CMDTYPE0 29 +#define V_CMDTYPE0(x) ((x) << S_CMDTYPE0) +#define F_CMDTYPE0 V_CMDTYPE0(1U) + +#define S_CMDLEN0 21 +#define M_CMDLEN0 0xffU +#define V_CMDLEN0(x) ((x) << S_CMDLEN0) +#define G_CMDLEN0(x) (((x) >> S_CMDLEN0) & M_CMDLEN0) + +#define S_CMDADDR0 8 +#define M_CMDADDR0 0x1fffU +#define V_CMDADDR0(x) ((x) << S_CMDADDR0) +#define G_CMDADDR0(x) (((x) >> S_CMDADDR0) & M_CMDADDR0) + +#define S_WRDATAVLD0 7 +#define V_WRDATAVLD0(x) ((x) << S_WRDATAVLD0) +#define F_WRDATAVLD0 V_WRDATAVLD0(1U) + +#define S_WRDATARDY0 6 +#define V_WRDATARDY0(x) ((x) << S_WRDATARDY0) +#define F_WRDATARDY0 V_WRDATARDY0(1U) + +#define S_RDDATARDY0 5 +#define V_RDDATARDY0(x) ((x) << S_RDDATARDY0) +#define F_RDDATARDY0 V_RDDATARDY0(1U) + +#define S_RDDATAVLD0 4 +#define V_RDDATAVLD0(x) ((x) << S_RDDATAVLD0) +#define F_RDDATAVLD0 V_RDDATAVLD0(1U) + +#define S_RDDATA0 0 +#define M_RDDATA0 0xfU +#define V_RDDATA0(x) ((x) << S_RDDATA0) +#define G_RDDATA0(x) (((x) >> S_RDDATA0) & M_RDDATA0) + +#define A_MA_SGE_THREAD_1_CLIENT_INTERFACE_EXTERNAL 0xa001 + +#define S_CMDVLD1 31 +#define V_CMDVLD1(x) ((x) << S_CMDVLD1) +#define F_CMDVLD1 V_CMDVLD1(1U) + +#define S_CMDRDY1 30 +#define V_CMDRDY1(x) ((x) << S_CMDRDY1) +#define F_CMDRDY1 V_CMDRDY1(1U) + +#define S_CMDTYPE1 29 +#define V_CMDTYPE1(x) ((x) << S_CMDTYPE1) +#define F_CMDTYPE1 V_CMDTYPE1(1U) + +#define S_CMDLEN1 21 +#define M_CMDLEN1 0xffU +#define V_CMDLEN1(x) ((x) << S_CMDLEN1) +#define G_CMDLEN1(x) (((x) >> S_CMDLEN1) & M_CMDLEN1) + +#define S_CMDADDR1 8 +#define M_CMDADDR1 0x1fffU +#define V_CMDADDR1(x) ((x) << S_CMDADDR1) +#define G_CMDADDR1(x) (((x) >> S_CMDADDR1) & M_CMDADDR1) + +#define S_WRDATAVLD1 7 +#define V_WRDATAVLD1(x) ((x) << S_WRDATAVLD1) +#define F_WRDATAVLD1 V_WRDATAVLD1(1U) + +#define S_WRDATARDY1 6 +#define V_WRDATARDY1(x) ((x) << S_WRDATARDY1) +#define F_WRDATARDY1 V_WRDATARDY1(1U) + +#define S_RDDATARDY1 5 +#define V_RDDATARDY1(x) ((x) << S_RDDATARDY1) +#define F_RDDATARDY1 V_RDDATARDY1(1U) + +#define S_RDDATAVLD1 4 +#define V_RDDATAVLD1(x) ((x) << S_RDDATAVLD1) +#define F_RDDATAVLD1 V_RDDATAVLD1(1U) + +#define S_RDDATA1 0 +#define M_RDDATA1 0xfU +#define V_RDDATA1(x) ((x) << S_RDDATA1) +#define G_RDDATA1(x) (((x) >> S_RDDATA1) & M_RDDATA1) + +#define A_MA_ULP_TX_CLIENT_INTERFACE_EXTERNAL 0xa002 + +#define S_CMDVLD2 31 +#define V_CMDVLD2(x) ((x) << S_CMDVLD2) +#define F_CMDVLD2 V_CMDVLD2(1U) + +#define S_CMDRDY2 30 +#define V_CMDRDY2(x) ((x) << S_CMDRDY2) +#define F_CMDRDY2 V_CMDRDY2(1U) + +#define S_CMDTYPE2 29 +#define V_CMDTYPE2(x) ((x) << S_CMDTYPE2) +#define F_CMDTYPE2 V_CMDTYPE2(1U) + +#define S_CMDLEN2 21 +#define M_CMDLEN2 0xffU +#define V_CMDLEN2(x) ((x) << S_CMDLEN2) +#define G_CMDLEN2(x) (((x) >> S_CMDLEN2) & M_CMDLEN2) + +#define S_CMDADDR2 8 +#define M_CMDADDR2 0x1fffU +#define V_CMDADDR2(x) ((x) << S_CMDADDR2) +#define G_CMDADDR2(x) (((x) >> S_CMDADDR2) & M_CMDADDR2) + +#define S_WRDATAVLD2 7 +#define V_WRDATAVLD2(x) ((x) << S_WRDATAVLD2) +#define F_WRDATAVLD2 V_WRDATAVLD2(1U) + +#define S_WRDATARDY2 6 +#define V_WRDATARDY2(x) ((x) << S_WRDATARDY2) +#define F_WRDATARDY2 V_WRDATARDY2(1U) + +#define S_RDDATARDY2 5 +#define V_RDDATARDY2(x) ((x) << S_RDDATARDY2) +#define F_RDDATARDY2 V_RDDATARDY2(1U) + +#define S_RDDATAVLD2 4 +#define V_RDDATAVLD2(x) ((x) << S_RDDATAVLD2) +#define F_RDDATAVLD2 V_RDDATAVLD2(1U) + +#define S_RDDATA2 0 +#define M_RDDATA2 0xfU +#define V_RDDATA2(x) ((x) << S_RDDATA2) +#define G_RDDATA2(x) (((x) >> S_RDDATA2) & M_RDDATA2) + +#define A_MA_ULP_RX_CLIENT_INTERFACE_EXTERNAL 0xa003 + +#define S_CMDVLD3 31 +#define V_CMDVLD3(x) ((x) << S_CMDVLD3) +#define F_CMDVLD3 V_CMDVLD3(1U) + +#define S_CMDRDY3 30 +#define V_CMDRDY3(x) ((x) << S_CMDRDY3) +#define F_CMDRDY3 V_CMDRDY3(1U) + +#define S_CMDTYPE3 29 +#define V_CMDTYPE3(x) ((x) << S_CMDTYPE3) +#define F_CMDTYPE3 V_CMDTYPE3(1U) + +#define S_CMDLEN3 21 +#define M_CMDLEN3 0xffU +#define V_CMDLEN3(x) ((x) << S_CMDLEN3) +#define G_CMDLEN3(x) (((x) >> S_CMDLEN3) & M_CMDLEN3) + +#define S_CMDADDR3 8 +#define M_CMDADDR3 0x1fffU +#define V_CMDADDR3(x) ((x) << S_CMDADDR3) +#define G_CMDADDR3(x) (((x) >> S_CMDADDR3) & M_CMDADDR3) + +#define S_WRDATAVLD3 7 +#define V_WRDATAVLD3(x) ((x) << S_WRDATAVLD3) +#define F_WRDATAVLD3 V_WRDATAVLD3(1U) + +#define S_WRDATARDY3 6 +#define V_WRDATARDY3(x) ((x) << S_WRDATARDY3) +#define F_WRDATARDY3 V_WRDATARDY3(1U) + +#define S_RDDATARDY3 5 +#define V_RDDATARDY3(x) ((x) << S_RDDATARDY3) +#define F_RDDATARDY3 V_RDDATARDY3(1U) + +#define S_RDDATAVLD3 4 +#define V_RDDATAVLD3(x) ((x) << S_RDDATAVLD3) +#define F_RDDATAVLD3 V_RDDATAVLD3(1U) + +#define S_RDDATA3 0 +#define M_RDDATA3 0xfU +#define V_RDDATA3(x) ((x) << S_RDDATA3) +#define G_RDDATA3(x) (((x) >> S_RDDATA3) & M_RDDATA3) + +#define A_MA_ULP_TX_RX_CLIENT_INTERFACE_EXTERNAL 0xa004 + +#define S_CMDVLD4 31 +#define V_CMDVLD4(x) ((x) << S_CMDVLD4) +#define F_CMDVLD4 V_CMDVLD4(1U) + +#define S_CMDRDY4 30 +#define V_CMDRDY4(x) ((x) << S_CMDRDY4) +#define F_CMDRDY4 V_CMDRDY4(1U) + +#define S_CMDTYPE4 29 +#define V_CMDTYPE4(x) ((x) << S_CMDTYPE4) +#define F_CMDTYPE4 V_CMDTYPE4(1U) + +#define S_CMDLEN4 21 +#define M_CMDLEN4 0xffU +#define V_CMDLEN4(x) ((x) << S_CMDLEN4) +#define G_CMDLEN4(x) (((x) >> S_CMDLEN4) & M_CMDLEN4) + +#define S_CMDADDR4 8 +#define M_CMDADDR4 0x1fffU +#define V_CMDADDR4(x) ((x) << S_CMDADDR4) +#define G_CMDADDR4(x) (((x) >> S_CMDADDR4) & M_CMDADDR4) + +#define S_WRDATAVLD4 7 +#define V_WRDATAVLD4(x) ((x) << S_WRDATAVLD4) +#define F_WRDATAVLD4 V_WRDATAVLD4(1U) + +#define S_WRDATARDY4 6 +#define V_WRDATARDY4(x) ((x) << S_WRDATARDY4) +#define F_WRDATARDY4 V_WRDATARDY4(1U) + +#define S_RDDATARDY4 5 +#define V_RDDATARDY4(x) ((x) << S_RDDATARDY4) +#define F_RDDATARDY4 V_RDDATARDY4(1U) + +#define S_RDDATAVLD4 4 +#define V_RDDATAVLD4(x) ((x) << S_RDDATAVLD4) +#define F_RDDATAVLD4 V_RDDATAVLD4(1U) + +#define S_RDDATA4 0 +#define M_RDDATA4 0xfU +#define V_RDDATA4(x) ((x) << S_RDDATA4) +#define G_RDDATA4(x) (((x) >> S_RDDATA4) & M_RDDATA4) + +#define A_MA_TP_THREAD_0_CLIENT_INTERFACE_EXTERNAL 0xa005 + +#define S_CMDVLD5 31 +#define V_CMDVLD5(x) ((x) << S_CMDVLD5) +#define F_CMDVLD5 V_CMDVLD5(1U) + +#define S_CMDRDY5 30 +#define V_CMDRDY5(x) ((x) << S_CMDRDY5) +#define F_CMDRDY5 V_CMDRDY5(1U) + +#define S_CMDTYPE5 29 +#define V_CMDTYPE5(x) ((x) << S_CMDTYPE5) +#define F_CMDTYPE5 V_CMDTYPE5(1U) + +#define S_CMDLEN5 21 +#define M_CMDLEN5 0xffU +#define V_CMDLEN5(x) ((x) << S_CMDLEN5) +#define G_CMDLEN5(x) (((x) >> S_CMDLEN5) & M_CMDLEN5) + +#define S_CMDADDR5 8 +#define M_CMDADDR5 0x1fffU +#define V_CMDADDR5(x) ((x) << S_CMDADDR5) +#define G_CMDADDR5(x) (((x) >> S_CMDADDR5) & M_CMDADDR5) + +#define S_WRDATAVLD5 7 +#define V_WRDATAVLD5(x) ((x) << S_WRDATAVLD5) +#define F_WRDATAVLD5 V_WRDATAVLD5(1U) + +#define S_WRDATARDY5 6 +#define V_WRDATARDY5(x) ((x) << S_WRDATARDY5) +#define F_WRDATARDY5 V_WRDATARDY5(1U) + +#define S_RDDATARDY5 5 +#define V_RDDATARDY5(x) ((x) << S_RDDATARDY5) +#define F_RDDATARDY5 V_RDDATARDY5(1U) + +#define S_RDDATAVLD5 4 +#define V_RDDATAVLD5(x) ((x) << S_RDDATAVLD5) +#define F_RDDATAVLD5 V_RDDATAVLD5(1U) + +#define S_RDDATA5 0 +#define M_RDDATA5 0xfU +#define V_RDDATA5(x) ((x) << S_RDDATA5) +#define G_RDDATA5(x) (((x) >> S_RDDATA5) & M_RDDATA5) + +#define A_MA_TP_THREAD_1_CLIENT_INTERFACE_EXTERNAL 0xa006 + +#define S_CMDVLD6 31 +#define V_CMDVLD6(x) ((x) << S_CMDVLD6) +#define F_CMDVLD6 V_CMDVLD6(1U) + +#define S_CMDRDY6 30 +#define V_CMDRDY6(x) ((x) << S_CMDRDY6) +#define F_CMDRDY6 V_CMDRDY6(1U) + +#define S_CMDTYPE6 29 +#define V_CMDTYPE6(x) ((x) << S_CMDTYPE6) +#define F_CMDTYPE6 V_CMDTYPE6(1U) + +#define S_CMDLEN6 21 +#define M_CMDLEN6 0xffU +#define V_CMDLEN6(x) ((x) << S_CMDLEN6) +#define G_CMDLEN6(x) (((x) >> S_CMDLEN6) & M_CMDLEN6) + +#define S_CMDADDR6 8 +#define M_CMDADDR6 0x1fffU +#define V_CMDADDR6(x) ((x) << S_CMDADDR6) +#define G_CMDADDR6(x) (((x) >> S_CMDADDR6) & M_CMDADDR6) + +#define S_WRDATAVLD6 7 +#define V_WRDATAVLD6(x) ((x) << S_WRDATAVLD6) +#define F_WRDATAVLD6 V_WRDATAVLD6(1U) + +#define S_WRDATARDY6 6 +#define V_WRDATARDY6(x) ((x) << S_WRDATARDY6) +#define F_WRDATARDY6 V_WRDATARDY6(1U) + +#define S_RDDATARDY6 5 +#define V_RDDATARDY6(x) ((x) << S_RDDATARDY6) +#define F_RDDATARDY6 V_RDDATARDY6(1U) + +#define S_RDDATAVLD6 4 +#define V_RDDATAVLD6(x) ((x) << S_RDDATAVLD6) +#define F_RDDATAVLD6 V_RDDATAVLD6(1U) + +#define S_RDDATA6 0 +#define M_RDDATA6 0xfU +#define V_RDDATA6(x) ((x) << S_RDDATA6) +#define G_RDDATA6(x) (((x) >> S_RDDATA6) & M_RDDATA6) + +#define A_MA_LE_CLIENT_INTERFACE_EXTERNAL 0xa007 + +#define S_CMDVLD7 31 +#define V_CMDVLD7(x) ((x) << S_CMDVLD7) +#define F_CMDVLD7 V_CMDVLD7(1U) + +#define S_CMDRDY7 30 +#define V_CMDRDY7(x) ((x) << S_CMDRDY7) +#define F_CMDRDY7 V_CMDRDY7(1U) + +#define S_CMDTYPE7 29 +#define V_CMDTYPE7(x) ((x) << S_CMDTYPE7) +#define F_CMDTYPE7 V_CMDTYPE7(1U) + +#define S_CMDLEN7 21 +#define M_CMDLEN7 0xffU +#define V_CMDLEN7(x) ((x) << S_CMDLEN7) +#define G_CMDLEN7(x) (((x) >> S_CMDLEN7) & M_CMDLEN7) + +#define S_CMDADDR7 8 +#define M_CMDADDR7 0x1fffU +#define V_CMDADDR7(x) ((x) << S_CMDADDR7) +#define G_CMDADDR7(x) (((x) >> S_CMDADDR7) & M_CMDADDR7) + +#define S_WRDATAVLD7 7 +#define V_WRDATAVLD7(x) ((x) << S_WRDATAVLD7) +#define F_WRDATAVLD7 V_WRDATAVLD7(1U) + +#define S_WRDATARDY7 6 +#define V_WRDATARDY7(x) ((x) << S_WRDATARDY7) +#define F_WRDATARDY7 V_WRDATARDY7(1U) + +#define S_RDDATARDY7 5 +#define V_RDDATARDY7(x) ((x) << S_RDDATARDY7) +#define F_RDDATARDY7 V_RDDATARDY7(1U) + +#define S_RDDATAVLD7 4 +#define V_RDDATAVLD7(x) ((x) << S_RDDATAVLD7) +#define F_RDDATAVLD7 V_RDDATAVLD7(1U) + +#define S_RDDATA7 0 +#define M_RDDATA7 0xfU +#define V_RDDATA7(x) ((x) << S_RDDATA7) +#define G_RDDATA7(x) (((x) >> S_RDDATA7) & M_RDDATA7) + +#define A_MA_CIM_CLIENT_INTERFACE_EXTERNAL 0xa008 + +#define S_CMDVLD8 31 +#define V_CMDVLD8(x) ((x) << S_CMDVLD8) +#define F_CMDVLD8 V_CMDVLD8(1U) + +#define S_CMDRDY8 30 +#define V_CMDRDY8(x) ((x) << S_CMDRDY8) +#define F_CMDRDY8 V_CMDRDY8(1U) + +#define S_CMDTYPE8 29 +#define V_CMDTYPE8(x) ((x) << S_CMDTYPE8) +#define F_CMDTYPE8 V_CMDTYPE8(1U) + +#define S_CMDLEN8 21 +#define M_CMDLEN8 0xffU +#define V_CMDLEN8(x) ((x) << S_CMDLEN8) +#define G_CMDLEN8(x) (((x) >> S_CMDLEN8) & M_CMDLEN8) + +#define S_CMDADDR8 8 +#define M_CMDADDR8 0x1fffU +#define V_CMDADDR8(x) ((x) << S_CMDADDR8) +#define G_CMDADDR8(x) (((x) >> S_CMDADDR8) & M_CMDADDR8) + +#define S_WRDATAVLD8 7 +#define V_WRDATAVLD8(x) ((x) << S_WRDATAVLD8) +#define F_WRDATAVLD8 V_WRDATAVLD8(1U) + +#define S_WRDATARDY8 6 +#define V_WRDATARDY8(x) ((x) << S_WRDATARDY8) +#define F_WRDATARDY8 V_WRDATARDY8(1U) + +#define S_RDDATARDY8 5 +#define V_RDDATARDY8(x) ((x) << S_RDDATARDY8) +#define F_RDDATARDY8 V_RDDATARDY8(1U) + +#define S_RDDATAVLD8 4 +#define V_RDDATAVLD8(x) ((x) << S_RDDATAVLD8) +#define F_RDDATAVLD8 V_RDDATAVLD8(1U) + +#define S_RDDATA8 0 +#define M_RDDATA8 0xfU +#define V_RDDATA8(x) ((x) << S_RDDATA8) +#define G_RDDATA8(x) (((x) >> S_RDDATA8) & M_RDDATA8) + +#define A_MA_PCIE_CLIENT_INTERFACE_EXTERNAL 0xa009 + +#define S_CMDVLD9 31 +#define V_CMDVLD9(x) ((x) << S_CMDVLD9) +#define F_CMDVLD9 V_CMDVLD9(1U) + +#define S_CMDRDY9 30 +#define V_CMDRDY9(x) ((x) << S_CMDRDY9) +#define F_CMDRDY9 V_CMDRDY9(1U) + +#define S_CMDTYPE9 29 +#define V_CMDTYPE9(x) ((x) << S_CMDTYPE9) +#define F_CMDTYPE9 V_CMDTYPE9(1U) + +#define S_CMDLEN9 21 +#define M_CMDLEN9 0xffU +#define V_CMDLEN9(x) ((x) << S_CMDLEN9) +#define G_CMDLEN9(x) (((x) >> S_CMDLEN9) & M_CMDLEN9) + +#define S_CMDADDR9 8 +#define M_CMDADDR9 0x1fffU +#define V_CMDADDR9(x) ((x) << S_CMDADDR9) +#define G_CMDADDR9(x) (((x) >> S_CMDADDR9) & M_CMDADDR9) + +#define S_WRDATAVLD9 7 +#define V_WRDATAVLD9(x) ((x) << S_WRDATAVLD9) +#define F_WRDATAVLD9 V_WRDATAVLD9(1U) + +#define S_WRDATARDY9 6 +#define V_WRDATARDY9(x) ((x) << S_WRDATARDY9) +#define F_WRDATARDY9 V_WRDATARDY9(1U) + +#define S_RDDATARDY9 5 +#define V_RDDATARDY9(x) ((x) << S_RDDATARDY9) +#define F_RDDATARDY9 V_RDDATARDY9(1U) + +#define S_RDDATAVLD9 4 +#define V_RDDATAVLD9(x) ((x) << S_RDDATAVLD9) +#define F_RDDATAVLD9 V_RDDATAVLD9(1U) + +#define S_RDDATA9 0 +#define M_RDDATA9 0xfU +#define V_RDDATA9(x) ((x) << S_RDDATA9) +#define G_RDDATA9(x) (((x) >> S_RDDATA9) & M_RDDATA9) + +#define A_MA_PM_TX_CLIENT_INTERFACE_EXTERNAL 0xa00a + +#define S_CMDVLD10 31 +#define V_CMDVLD10(x) ((x) << S_CMDVLD10) +#define F_CMDVLD10 V_CMDVLD10(1U) + +#define S_CMDRDY10 30 +#define V_CMDRDY10(x) ((x) << S_CMDRDY10) +#define F_CMDRDY10 V_CMDRDY10(1U) + +#define S_CMDTYPE10 29 +#define V_CMDTYPE10(x) ((x) << S_CMDTYPE10) +#define F_CMDTYPE10 V_CMDTYPE10(1U) + +#define S_CMDLEN10 21 +#define M_CMDLEN10 0xffU +#define V_CMDLEN10(x) ((x) << S_CMDLEN10) +#define G_CMDLEN10(x) (((x) >> S_CMDLEN10) & M_CMDLEN10) + +#define S_CMDADDR10 8 +#define M_CMDADDR10 0x1fffU +#define V_CMDADDR10(x) ((x) << S_CMDADDR10) +#define G_CMDADDR10(x) (((x) >> S_CMDADDR10) & M_CMDADDR10) + +#define S_WRDATAVLD10 7 +#define V_WRDATAVLD10(x) ((x) << S_WRDATAVLD10) +#define F_WRDATAVLD10 V_WRDATAVLD10(1U) + +#define S_WRDATARDY10 6 +#define V_WRDATARDY10(x) ((x) << S_WRDATARDY10) +#define F_WRDATARDY10 V_WRDATARDY10(1U) + +#define S_RDDATARDY10 5 +#define V_RDDATARDY10(x) ((x) << S_RDDATARDY10) +#define F_RDDATARDY10 V_RDDATARDY10(1U) + +#define S_RDDATAVLD10 4 +#define V_RDDATAVLD10(x) ((x) << S_RDDATAVLD10) +#define F_RDDATAVLD10 V_RDDATAVLD10(1U) + +#define S_RDDATA10 0 +#define M_RDDATA10 0xfU +#define V_RDDATA10(x) ((x) << S_RDDATA10) +#define G_RDDATA10(x) (((x) >> S_RDDATA10) & M_RDDATA10) + +#define A_MA_PM_RX_CLIENT_INTERFACE_EXTERNAL 0xa00b + +#define S_CMDVLD11 31 +#define V_CMDVLD11(x) ((x) << S_CMDVLD11) +#define F_CMDVLD11 V_CMDVLD11(1U) + +#define S_CMDRDY11 30 +#define V_CMDRDY11(x) ((x) << S_CMDRDY11) +#define F_CMDRDY11 V_CMDRDY11(1U) + +#define S_CMDTYPE11 29 +#define V_CMDTYPE11(x) ((x) << S_CMDTYPE11) +#define F_CMDTYPE11 V_CMDTYPE11(1U) + +#define S_CMDLEN11 21 +#define M_CMDLEN11 0xffU +#define V_CMDLEN11(x) ((x) << S_CMDLEN11) +#define G_CMDLEN11(x) (((x) >> S_CMDLEN11) & M_CMDLEN11) + +#define S_CMDADDR11 8 +#define M_CMDADDR11 0x1fffU +#define V_CMDADDR11(x) ((x) << S_CMDADDR11) +#define G_CMDADDR11(x) (((x) >> S_CMDADDR11) & M_CMDADDR11) + +#define S_WRDATAVLD11 7 +#define V_WRDATAVLD11(x) ((x) << S_WRDATAVLD11) +#define F_WRDATAVLD11 V_WRDATAVLD11(1U) + +#define S_WRDATARDY11 6 +#define V_WRDATARDY11(x) ((x) << S_WRDATARDY11) +#define F_WRDATARDY11 V_WRDATARDY11(1U) + +#define S_RDDATARDY11 5 +#define V_RDDATARDY11(x) ((x) << S_RDDATARDY11) +#define F_RDDATARDY11 V_RDDATARDY11(1U) + +#define S_RDDATAVLD11 4 +#define V_RDDATAVLD11(x) ((x) << S_RDDATAVLD11) +#define F_RDDATAVLD11 V_RDDATAVLD11(1U) + +#define S_RDDATA11 0 +#define M_RDDATA11 0xfU +#define V_RDDATA11(x) ((x) << S_RDDATA11) +#define G_RDDATA11(x) (((x) >> S_RDDATA11) & M_RDDATA11) + +#define A_MA_HMA_CLIENT_INTERFACE_EXTERNAL 0xa00c + +#define S_CMDVLD12 31 +#define V_CMDVLD12(x) ((x) << S_CMDVLD12) +#define F_CMDVLD12 V_CMDVLD12(1U) + +#define S_CMDRDY12 30 +#define V_CMDRDY12(x) ((x) << S_CMDRDY12) +#define F_CMDRDY12 V_CMDRDY12(1U) + +#define S_CMDTYPE12 29 +#define V_CMDTYPE12(x) ((x) << S_CMDTYPE12) +#define F_CMDTYPE12 V_CMDTYPE12(1U) + +#define S_CMDLEN12 21 +#define M_CMDLEN12 0xffU +#define V_CMDLEN12(x) ((x) << S_CMDLEN12) +#define G_CMDLEN12(x) (((x) >> S_CMDLEN12) & M_CMDLEN12) + +#define S_CMDADDR12 8 +#define M_CMDADDR12 0x1fffU +#define V_CMDADDR12(x) ((x) << S_CMDADDR12) +#define G_CMDADDR12(x) (((x) >> S_CMDADDR12) & M_CMDADDR12) + +#define S_WRDATAVLD12 7 +#define V_WRDATAVLD12(x) ((x) << S_WRDATAVLD12) +#define F_WRDATAVLD12 V_WRDATAVLD12(1U) + +#define S_WRDATARDY12 6 +#define V_WRDATARDY12(x) ((x) << S_WRDATARDY12) +#define F_WRDATARDY12 V_WRDATARDY12(1U) + +#define S_RDDATARDY12 5 +#define V_RDDATARDY12(x) ((x) << S_RDDATARDY12) +#define F_RDDATARDY12 V_RDDATARDY12(1U) + +#define S_RDDATAVLD12 4 +#define V_RDDATAVLD12(x) ((x) << S_RDDATAVLD12) +#define F_RDDATAVLD12 V_RDDATAVLD12(1U) + +#define S_RDDATA12 0 +#define M_RDDATA12 0xfU +#define V_RDDATA12(x) ((x) << S_RDDATA12) +#define G_RDDATA12(x) (((x) >> S_RDDATA12) & M_RDDATA12) + +#define A_MA_TARGET_0_ARBITER_INTERFACE_EXTERNAL_REG0 0xa00d + +#define S_CI0_ARB0_REQ 31 +#define V_CI0_ARB0_REQ(x) ((x) << S_CI0_ARB0_REQ) +#define F_CI0_ARB0_REQ V_CI0_ARB0_REQ(1U) + +#define S_ARB0_CI0_GNT 30 +#define V_ARB0_CI0_GNT(x) ((x) << S_ARB0_CI0_GNT) +#define F_ARB0_CI0_GNT V_ARB0_CI0_GNT(1U) + +#define S_CI0_DM0_WDATA_VLD 29 +#define V_CI0_DM0_WDATA_VLD(x) ((x) << S_CI0_DM0_WDATA_VLD) +#define F_CI0_DM0_WDATA_VLD V_CI0_DM0_WDATA_VLD(1U) + +#define S_DM0_CI0_RDATA_VLD 28 +#define V_DM0_CI0_RDATA_VLD(x) ((x) << S_DM0_CI0_RDATA_VLD) +#define F_DM0_CI0_RDATA_VLD V_DM0_CI0_RDATA_VLD(1U) + +#define S_CI1_ARB0_REQ 27 +#define V_CI1_ARB0_REQ(x) ((x) << S_CI1_ARB0_REQ) +#define F_CI1_ARB0_REQ V_CI1_ARB0_REQ(1U) + +#define S_ARB0_CI1_GNT 26 +#define V_ARB0_CI1_GNT(x) ((x) << S_ARB0_CI1_GNT) +#define F_ARB0_CI1_GNT V_ARB0_CI1_GNT(1U) + +#define S_CI1_DM0_WDATA_VLD 25 +#define V_CI1_DM0_WDATA_VLD(x) ((x) << S_CI1_DM0_WDATA_VLD) +#define F_CI1_DM0_WDATA_VLD V_CI1_DM0_WDATA_VLD(1U) + +#define S_DM0_CI1_RDATA_VLD 24 +#define V_DM0_CI1_RDATA_VLD(x) ((x) << S_DM0_CI1_RDATA_VLD) +#define F_DM0_CI1_RDATA_VLD V_DM0_CI1_RDATA_VLD(1U) + +#define S_CI2_ARB0_REQ 23 +#define V_CI2_ARB0_REQ(x) ((x) << S_CI2_ARB0_REQ) +#define F_CI2_ARB0_REQ V_CI2_ARB0_REQ(1U) + +#define S_ARB0_CI2_GNT 22 +#define V_ARB0_CI2_GNT(x) ((x) << S_ARB0_CI2_GNT) +#define F_ARB0_CI2_GNT V_ARB0_CI2_GNT(1U) + +#define S_CI2_DM0_WDATA_VLD 21 +#define V_CI2_DM0_WDATA_VLD(x) ((x) << S_CI2_DM0_WDATA_VLD) +#define F_CI2_DM0_WDATA_VLD V_CI2_DM0_WDATA_VLD(1U) + +#define S_DM0_CI2_RDATA_VLD 20 +#define V_DM0_CI2_RDATA_VLD(x) ((x) << S_DM0_CI2_RDATA_VLD) +#define F_DM0_CI2_RDATA_VLD V_DM0_CI2_RDATA_VLD(1U) + +#define S_CI3_ARB0_REQ 19 +#define V_CI3_ARB0_REQ(x) ((x) << S_CI3_ARB0_REQ) +#define F_CI3_ARB0_REQ V_CI3_ARB0_REQ(1U) + +#define S_ARB0_CI3_GNT 18 +#define V_ARB0_CI3_GNT(x) ((x) << S_ARB0_CI3_GNT) +#define F_ARB0_CI3_GNT V_ARB0_CI3_GNT(1U) + +#define S_CI3_DM0_WDATA_VLD 17 +#define V_CI3_DM0_WDATA_VLD(x) ((x) << S_CI3_DM0_WDATA_VLD) +#define F_CI3_DM0_WDATA_VLD V_CI3_DM0_WDATA_VLD(1U) + +#define S_DM0_CI3_RDATA_VLD 16 +#define V_DM0_CI3_RDATA_VLD(x) ((x) << S_DM0_CI3_RDATA_VLD) +#define F_DM0_CI3_RDATA_VLD V_DM0_CI3_RDATA_VLD(1U) + +#define S_CI4_ARB0_REQ 15 +#define V_CI4_ARB0_REQ(x) ((x) << S_CI4_ARB0_REQ) +#define F_CI4_ARB0_REQ V_CI4_ARB0_REQ(1U) + +#define S_ARB0_CI4_GNT 14 +#define V_ARB0_CI4_GNT(x) ((x) << S_ARB0_CI4_GNT) +#define F_ARB0_CI4_GNT V_ARB0_CI4_GNT(1U) + +#define S_CI4_DM0_WDATA_VLD 13 +#define V_CI4_DM0_WDATA_VLD(x) ((x) << S_CI4_DM0_WDATA_VLD) +#define F_CI4_DM0_WDATA_VLD V_CI4_DM0_WDATA_VLD(1U) + +#define S_DM0_CI4_RDATA_VLD 12 +#define V_DM0_CI4_RDATA_VLD(x) ((x) << S_DM0_CI4_RDATA_VLD) +#define F_DM0_CI4_RDATA_VLD V_DM0_CI4_RDATA_VLD(1U) + +#define S_CI5_ARB0_REQ 11 +#define V_CI5_ARB0_REQ(x) ((x) << S_CI5_ARB0_REQ) +#define F_CI5_ARB0_REQ V_CI5_ARB0_REQ(1U) + +#define S_ARB0_CI5_GNT 10 +#define V_ARB0_CI5_GNT(x) ((x) << S_ARB0_CI5_GNT) +#define F_ARB0_CI5_GNT V_ARB0_CI5_GNT(1U) + +#define S_CI5_DM0_WDATA_VLD 9 +#define V_CI5_DM0_WDATA_VLD(x) ((x) << S_CI5_DM0_WDATA_VLD) +#define F_CI5_DM0_WDATA_VLD V_CI5_DM0_WDATA_VLD(1U) + +#define S_DM0_CI5_RDATA_VLD 8 +#define V_DM0_CI5_RDATA_VLD(x) ((x) << S_DM0_CI5_RDATA_VLD) +#define F_DM0_CI5_RDATA_VLD V_DM0_CI5_RDATA_VLD(1U) + +#define S_CI6_ARB0_REQ 7 +#define V_CI6_ARB0_REQ(x) ((x) << S_CI6_ARB0_REQ) +#define F_CI6_ARB0_REQ V_CI6_ARB0_REQ(1U) + +#define S_ARB0_CI6_GNT 6 +#define V_ARB0_CI6_GNT(x) ((x) << S_ARB0_CI6_GNT) +#define F_ARB0_CI6_GNT V_ARB0_CI6_GNT(1U) + +#define S_CI6_DM0_WDATA_VLD 5 +#define V_CI6_DM0_WDATA_VLD(x) ((x) << S_CI6_DM0_WDATA_VLD) +#define F_CI6_DM0_WDATA_VLD V_CI6_DM0_WDATA_VLD(1U) + +#define S_DM0_CI6_RDATA_VLD 4 +#define V_DM0_CI6_RDATA_VLD(x) ((x) << S_DM0_CI6_RDATA_VLD) +#define F_DM0_CI6_RDATA_VLD V_DM0_CI6_RDATA_VLD(1U) + +#define S_CI7_ARB0_REQ 3 +#define V_CI7_ARB0_REQ(x) ((x) << S_CI7_ARB0_REQ) +#define F_CI7_ARB0_REQ V_CI7_ARB0_REQ(1U) + +#define S_ARB0_CI7_GNT 2 +#define V_ARB0_CI7_GNT(x) ((x) << S_ARB0_CI7_GNT) +#define F_ARB0_CI7_GNT V_ARB0_CI7_GNT(1U) + +#define S_CI7_DM0_WDATA_VLD 1 +#define V_CI7_DM0_WDATA_VLD(x) ((x) << S_CI7_DM0_WDATA_VLD) +#define F_CI7_DM0_WDATA_VLD V_CI7_DM0_WDATA_VLD(1U) + +#define S_DM0_CI7_RDATA_VLD 0 +#define V_DM0_CI7_RDATA_VLD(x) ((x) << S_DM0_CI7_RDATA_VLD) +#define F_DM0_CI7_RDATA_VLD V_DM0_CI7_RDATA_VLD(1U) + +#define A_MA_TARGET_1_ARBITER_INTERFACE_EXTERNAL_REG0 0xa00e + +#define S_CI0_ARB1_REQ 31 +#define V_CI0_ARB1_REQ(x) ((x) << S_CI0_ARB1_REQ) +#define F_CI0_ARB1_REQ V_CI0_ARB1_REQ(1U) + +#define S_ARB1_CI0_GNT 30 +#define V_ARB1_CI0_GNT(x) ((x) << S_ARB1_CI0_GNT) +#define F_ARB1_CI0_GNT V_ARB1_CI0_GNT(1U) + +#define S_CI0_DM1_WDATA_VLD 29 +#define V_CI0_DM1_WDATA_VLD(x) ((x) << S_CI0_DM1_WDATA_VLD) +#define F_CI0_DM1_WDATA_VLD V_CI0_DM1_WDATA_VLD(1U) + +#define S_DM1_CI0_RDATA_VLD 28 +#define V_DM1_CI0_RDATA_VLD(x) ((x) << S_DM1_CI0_RDATA_VLD) +#define F_DM1_CI0_RDATA_VLD V_DM1_CI0_RDATA_VLD(1U) + +#define S_CI1_ARB1_REQ 27 +#define V_CI1_ARB1_REQ(x) ((x) << S_CI1_ARB1_REQ) +#define F_CI1_ARB1_REQ V_CI1_ARB1_REQ(1U) + +#define S_ARB1_CI1_GNT 26 +#define V_ARB1_CI1_GNT(x) ((x) << S_ARB1_CI1_GNT) +#define F_ARB1_CI1_GNT V_ARB1_CI1_GNT(1U) + +#define S_CI1_DM1_WDATA_VLD 25 +#define V_CI1_DM1_WDATA_VLD(x) ((x) << S_CI1_DM1_WDATA_VLD) +#define F_CI1_DM1_WDATA_VLD V_CI1_DM1_WDATA_VLD(1U) + +#define S_DM1_CI1_RDATA_VLD 24 +#define V_DM1_CI1_RDATA_VLD(x) ((x) << S_DM1_CI1_RDATA_VLD) +#define F_DM1_CI1_RDATA_VLD V_DM1_CI1_RDATA_VLD(1U) + +#define S_CI2_ARB1_REQ 23 +#define V_CI2_ARB1_REQ(x) ((x) << S_CI2_ARB1_REQ) +#define F_CI2_ARB1_REQ V_CI2_ARB1_REQ(1U) + +#define S_ARB1_CI2_GNT 22 +#define V_ARB1_CI2_GNT(x) ((x) << S_ARB1_CI2_GNT) +#define F_ARB1_CI2_GNT V_ARB1_CI2_GNT(1U) + +#define S_CI2_DM1_WDATA_VLD 21 +#define V_CI2_DM1_WDATA_VLD(x) ((x) << S_CI2_DM1_WDATA_VLD) +#define F_CI2_DM1_WDATA_VLD V_CI2_DM1_WDATA_VLD(1U) + +#define S_DM1_CI2_RDATA_VLD 20 +#define V_DM1_CI2_RDATA_VLD(x) ((x) << S_DM1_CI2_RDATA_VLD) +#define F_DM1_CI2_RDATA_VLD V_DM1_CI2_RDATA_VLD(1U) + +#define S_CI3_ARB1_REQ 19 +#define V_CI3_ARB1_REQ(x) ((x) << S_CI3_ARB1_REQ) +#define F_CI3_ARB1_REQ V_CI3_ARB1_REQ(1U) + +#define S_ARB1_CI3_GNT 18 +#define V_ARB1_CI3_GNT(x) ((x) << S_ARB1_CI3_GNT) +#define F_ARB1_CI3_GNT V_ARB1_CI3_GNT(1U) + +#define S_CI3_DM1_WDATA_VLD 17 +#define V_CI3_DM1_WDATA_VLD(x) ((x) << S_CI3_DM1_WDATA_VLD) +#define F_CI3_DM1_WDATA_VLD V_CI3_DM1_WDATA_VLD(1U) + +#define S_DM1_CI3_RDATA_VLD 16 +#define V_DM1_CI3_RDATA_VLD(x) ((x) << S_DM1_CI3_RDATA_VLD) +#define F_DM1_CI3_RDATA_VLD V_DM1_CI3_RDATA_VLD(1U) + +#define S_CI4_ARB1_REQ 15 +#define V_CI4_ARB1_REQ(x) ((x) << S_CI4_ARB1_REQ) +#define F_CI4_ARB1_REQ V_CI4_ARB1_REQ(1U) + +#define S_ARB1_CI4_GNT 14 +#define V_ARB1_CI4_GNT(x) ((x) << S_ARB1_CI4_GNT) +#define F_ARB1_CI4_GNT V_ARB1_CI4_GNT(1U) + +#define S_CI4_DM1_WDATA_VLD 13 +#define V_CI4_DM1_WDATA_VLD(x) ((x) << S_CI4_DM1_WDATA_VLD) +#define F_CI4_DM1_WDATA_VLD V_CI4_DM1_WDATA_VLD(1U) + +#define S_DM1_CI4_RDATA_VLD 12 +#define V_DM1_CI4_RDATA_VLD(x) ((x) << S_DM1_CI4_RDATA_VLD) +#define F_DM1_CI4_RDATA_VLD V_DM1_CI4_RDATA_VLD(1U) + +#define S_CI5_ARB1_REQ 11 +#define V_CI5_ARB1_REQ(x) ((x) << S_CI5_ARB1_REQ) +#define F_CI5_ARB1_REQ V_CI5_ARB1_REQ(1U) + +#define S_ARB1_CI5_GNT 10 +#define V_ARB1_CI5_GNT(x) ((x) << S_ARB1_CI5_GNT) +#define F_ARB1_CI5_GNT V_ARB1_CI5_GNT(1U) + +#define S_CI5_DM1_WDATA_VLD 9 +#define V_CI5_DM1_WDATA_VLD(x) ((x) << S_CI5_DM1_WDATA_VLD) +#define F_CI5_DM1_WDATA_VLD V_CI5_DM1_WDATA_VLD(1U) + +#define S_DM1_CI5_RDATA_VLD 8 +#define V_DM1_CI5_RDATA_VLD(x) ((x) << S_DM1_CI5_RDATA_VLD) +#define F_DM1_CI5_RDATA_VLD V_DM1_CI5_RDATA_VLD(1U) + +#define S_CI6_ARB1_REQ 7 +#define V_CI6_ARB1_REQ(x) ((x) << S_CI6_ARB1_REQ) +#define F_CI6_ARB1_REQ V_CI6_ARB1_REQ(1U) + +#define S_ARB1_CI6_GNT 6 +#define V_ARB1_CI6_GNT(x) ((x) << S_ARB1_CI6_GNT) +#define F_ARB1_CI6_GNT V_ARB1_CI6_GNT(1U) + +#define S_CI6_DM1_WDATA_VLD 5 +#define V_CI6_DM1_WDATA_VLD(x) ((x) << S_CI6_DM1_WDATA_VLD) +#define F_CI6_DM1_WDATA_VLD V_CI6_DM1_WDATA_VLD(1U) + +#define S_DM1_CI6_RDATA_VLD 4 +#define V_DM1_CI6_RDATA_VLD(x) ((x) << S_DM1_CI6_RDATA_VLD) +#define F_DM1_CI6_RDATA_VLD V_DM1_CI6_RDATA_VLD(1U) + +#define S_CI7_ARB1_REQ 3 +#define V_CI7_ARB1_REQ(x) ((x) << S_CI7_ARB1_REQ) +#define F_CI7_ARB1_REQ V_CI7_ARB1_REQ(1U) + +#define S_ARB1_CI7_GNT 2 +#define V_ARB1_CI7_GNT(x) ((x) << S_ARB1_CI7_GNT) +#define F_ARB1_CI7_GNT V_ARB1_CI7_GNT(1U) + +#define S_CI7_DM1_WDATA_VLD 1 +#define V_CI7_DM1_WDATA_VLD(x) ((x) << S_CI7_DM1_WDATA_VLD) +#define F_CI7_DM1_WDATA_VLD V_CI7_DM1_WDATA_VLD(1U) + +#define S_DM1_CI7_RDATA_VLD 0 +#define V_DM1_CI7_RDATA_VLD(x) ((x) << S_DM1_CI7_RDATA_VLD) +#define F_DM1_CI7_RDATA_VLD V_DM1_CI7_RDATA_VLD(1U) + +#define A_MA_TARGET_2_ARBITER_INTERFACE_EXTERNAL_REG0 0xa00f + +#define S_CI0_ARB2_REQ 31 +#define V_CI0_ARB2_REQ(x) ((x) << S_CI0_ARB2_REQ) +#define F_CI0_ARB2_REQ V_CI0_ARB2_REQ(1U) + +#define S_ARB2_CI0_GNT 30 +#define V_ARB2_CI0_GNT(x) ((x) << S_ARB2_CI0_GNT) +#define F_ARB2_CI0_GNT V_ARB2_CI0_GNT(1U) + +#define S_CI0_DM2_WDATA_VLD 29 +#define V_CI0_DM2_WDATA_VLD(x) ((x) << S_CI0_DM2_WDATA_VLD) +#define F_CI0_DM2_WDATA_VLD V_CI0_DM2_WDATA_VLD(1U) + +#define S_DM2_CI0_RDATA_VLD 28 +#define V_DM2_CI0_RDATA_VLD(x) ((x) << S_DM2_CI0_RDATA_VLD) +#define F_DM2_CI0_RDATA_VLD V_DM2_CI0_RDATA_VLD(1U) + +#define S_CI1_ARB2_REQ 27 +#define V_CI1_ARB2_REQ(x) ((x) << S_CI1_ARB2_REQ) +#define F_CI1_ARB2_REQ V_CI1_ARB2_REQ(1U) + +#define S_ARB2_CI1_GNT 26 +#define V_ARB2_CI1_GNT(x) ((x) << S_ARB2_CI1_GNT) +#define F_ARB2_CI1_GNT V_ARB2_CI1_GNT(1U) + +#define S_CI1_DM2_WDATA_VLD 25 +#define V_CI1_DM2_WDATA_VLD(x) ((x) << S_CI1_DM2_WDATA_VLD) +#define F_CI1_DM2_WDATA_VLD V_CI1_DM2_WDATA_VLD(1U) + +#define S_DM2_CI1_RDATA_VLD 24 +#define V_DM2_CI1_RDATA_VLD(x) ((x) << S_DM2_CI1_RDATA_VLD) +#define F_DM2_CI1_RDATA_VLD V_DM2_CI1_RDATA_VLD(1U) + +#define S_CI2_ARB2_REQ 23 +#define V_CI2_ARB2_REQ(x) ((x) << S_CI2_ARB2_REQ) +#define F_CI2_ARB2_REQ V_CI2_ARB2_REQ(1U) + +#define S_ARB2_CI2_GNT 22 +#define V_ARB2_CI2_GNT(x) ((x) << S_ARB2_CI2_GNT) +#define F_ARB2_CI2_GNT V_ARB2_CI2_GNT(1U) + +#define S_CI2_DM2_WDATA_VLD 21 +#define V_CI2_DM2_WDATA_VLD(x) ((x) << S_CI2_DM2_WDATA_VLD) +#define F_CI2_DM2_WDATA_VLD V_CI2_DM2_WDATA_VLD(1U) + +#define S_DM2_CI2_RDATA_VLD 20 +#define V_DM2_CI2_RDATA_VLD(x) ((x) << S_DM2_CI2_RDATA_VLD) +#define F_DM2_CI2_RDATA_VLD V_DM2_CI2_RDATA_VLD(1U) + +#define S_CI3_ARB2_REQ 19 +#define V_CI3_ARB2_REQ(x) ((x) << S_CI3_ARB2_REQ) +#define F_CI3_ARB2_REQ V_CI3_ARB2_REQ(1U) + +#define S_ARB2_CI3_GNT 18 +#define V_ARB2_CI3_GNT(x) ((x) << S_ARB2_CI3_GNT) +#define F_ARB2_CI3_GNT V_ARB2_CI3_GNT(1U) + +#define S_CI3_DM2_WDATA_VLD 17 +#define V_CI3_DM2_WDATA_VLD(x) ((x) << S_CI3_DM2_WDATA_VLD) +#define F_CI3_DM2_WDATA_VLD V_CI3_DM2_WDATA_VLD(1U) + +#define S_DM2_CI3_RDATA_VLD 16 +#define V_DM2_CI3_RDATA_VLD(x) ((x) << S_DM2_CI3_RDATA_VLD) +#define F_DM2_CI3_RDATA_VLD V_DM2_CI3_RDATA_VLD(1U) + +#define S_CI4_ARB2_REQ 15 +#define V_CI4_ARB2_REQ(x) ((x) << S_CI4_ARB2_REQ) +#define F_CI4_ARB2_REQ V_CI4_ARB2_REQ(1U) + +#define S_ARB2_CI4_GNT 14 +#define V_ARB2_CI4_GNT(x) ((x) << S_ARB2_CI4_GNT) +#define F_ARB2_CI4_GNT V_ARB2_CI4_GNT(1U) + +#define S_CI4_DM2_WDATA_VLD 13 +#define V_CI4_DM2_WDATA_VLD(x) ((x) << S_CI4_DM2_WDATA_VLD) +#define F_CI4_DM2_WDATA_VLD V_CI4_DM2_WDATA_VLD(1U) + +#define S_DM2_CI4_RDATA_VLD 12 +#define V_DM2_CI4_RDATA_VLD(x) ((x) << S_DM2_CI4_RDATA_VLD) +#define F_DM2_CI4_RDATA_VLD V_DM2_CI4_RDATA_VLD(1U) + +#define S_CI5_ARB2_REQ 11 +#define V_CI5_ARB2_REQ(x) ((x) << S_CI5_ARB2_REQ) +#define F_CI5_ARB2_REQ V_CI5_ARB2_REQ(1U) + +#define S_ARB2_CI5_GNT 10 +#define V_ARB2_CI5_GNT(x) ((x) << S_ARB2_CI5_GNT) +#define F_ARB2_CI5_GNT V_ARB2_CI5_GNT(1U) + +#define S_CI5_DM2_WDATA_VLD 9 +#define V_CI5_DM2_WDATA_VLD(x) ((x) << S_CI5_DM2_WDATA_VLD) +#define F_CI5_DM2_WDATA_VLD V_CI5_DM2_WDATA_VLD(1U) + +#define S_DM2_CI5_RDATA_VLD 8 +#define V_DM2_CI5_RDATA_VLD(x) ((x) << S_DM2_CI5_RDATA_VLD) +#define F_DM2_CI5_RDATA_VLD V_DM2_CI5_RDATA_VLD(1U) + +#define S_CI6_ARB2_REQ 7 +#define V_CI6_ARB2_REQ(x) ((x) << S_CI6_ARB2_REQ) +#define F_CI6_ARB2_REQ V_CI6_ARB2_REQ(1U) + +#define S_ARB2_CI6_GNT 6 +#define V_ARB2_CI6_GNT(x) ((x) << S_ARB2_CI6_GNT) +#define F_ARB2_CI6_GNT V_ARB2_CI6_GNT(1U) + +#define S_CI6_DM2_WDATA_VLD 5 +#define V_CI6_DM2_WDATA_VLD(x) ((x) << S_CI6_DM2_WDATA_VLD) +#define F_CI6_DM2_WDATA_VLD V_CI6_DM2_WDATA_VLD(1U) + +#define S_DM2_CI6_RDATA_VLD 4 +#define V_DM2_CI6_RDATA_VLD(x) ((x) << S_DM2_CI6_RDATA_VLD) +#define F_DM2_CI6_RDATA_VLD V_DM2_CI6_RDATA_VLD(1U) + +#define S_CI7_ARB2_REQ 3 +#define V_CI7_ARB2_REQ(x) ((x) << S_CI7_ARB2_REQ) +#define F_CI7_ARB2_REQ V_CI7_ARB2_REQ(1U) + +#define S_ARB2_CI7_GNT 2 +#define V_ARB2_CI7_GNT(x) ((x) << S_ARB2_CI7_GNT) +#define F_ARB2_CI7_GNT V_ARB2_CI7_GNT(1U) + +#define S_CI7_DM2_WDATA_VLD 1 +#define V_CI7_DM2_WDATA_VLD(x) ((x) << S_CI7_DM2_WDATA_VLD) +#define F_CI7_DM2_WDATA_VLD V_CI7_DM2_WDATA_VLD(1U) + +#define S_DM2_CI7_RDATA_VLD 0 +#define V_DM2_CI7_RDATA_VLD(x) ((x) << S_DM2_CI7_RDATA_VLD) +#define F_DM2_CI7_RDATA_VLD V_DM2_CI7_RDATA_VLD(1U) + +#define A_MA_TARGET_3_ARBITER_INTERFACE_EXTERNAL_REG0 0xa010 + +#define S_CI0_ARB3_REQ 31 +#define V_CI0_ARB3_REQ(x) ((x) << S_CI0_ARB3_REQ) +#define F_CI0_ARB3_REQ V_CI0_ARB3_REQ(1U) + +#define S_ARB3_CI0_GNT 30 +#define V_ARB3_CI0_GNT(x) ((x) << S_ARB3_CI0_GNT) +#define F_ARB3_CI0_GNT V_ARB3_CI0_GNT(1U) + +#define S_CI0_DM3_WDATA_VLD 29 +#define V_CI0_DM3_WDATA_VLD(x) ((x) << S_CI0_DM3_WDATA_VLD) +#define F_CI0_DM3_WDATA_VLD V_CI0_DM3_WDATA_VLD(1U) + +#define S_DM3_CI0_RDATA_VLD 28 +#define V_DM3_CI0_RDATA_VLD(x) ((x) << S_DM3_CI0_RDATA_VLD) +#define F_DM3_CI0_RDATA_VLD V_DM3_CI0_RDATA_VLD(1U) + +#define S_CI1_ARB3_REQ 27 +#define V_CI1_ARB3_REQ(x) ((x) << S_CI1_ARB3_REQ) +#define F_CI1_ARB3_REQ V_CI1_ARB3_REQ(1U) + +#define S_ARB3_CI1_GNT 26 +#define V_ARB3_CI1_GNT(x) ((x) << S_ARB3_CI1_GNT) +#define F_ARB3_CI1_GNT V_ARB3_CI1_GNT(1U) + +#define S_CI1_DM3_WDATA_VLD 25 +#define V_CI1_DM3_WDATA_VLD(x) ((x) << S_CI1_DM3_WDATA_VLD) +#define F_CI1_DM3_WDATA_VLD V_CI1_DM3_WDATA_VLD(1U) + +#define S_DM3_CI1_RDATA_VLD 24 +#define V_DM3_CI1_RDATA_VLD(x) ((x) << S_DM3_CI1_RDATA_VLD) +#define F_DM3_CI1_RDATA_VLD V_DM3_CI1_RDATA_VLD(1U) + +#define S_CI2_ARB3_REQ 23 +#define V_CI2_ARB3_REQ(x) ((x) << S_CI2_ARB3_REQ) +#define F_CI2_ARB3_REQ V_CI2_ARB3_REQ(1U) + +#define S_ARB3_CI2_GNT 22 +#define V_ARB3_CI2_GNT(x) ((x) << S_ARB3_CI2_GNT) +#define F_ARB3_CI2_GNT V_ARB3_CI2_GNT(1U) + +#define S_CI2_DM3_WDATA_VLD 21 +#define V_CI2_DM3_WDATA_VLD(x) ((x) << S_CI2_DM3_WDATA_VLD) +#define F_CI2_DM3_WDATA_VLD V_CI2_DM3_WDATA_VLD(1U) + +#define S_DM3_CI2_RDATA_VLD 20 +#define V_DM3_CI2_RDATA_VLD(x) ((x) << S_DM3_CI2_RDATA_VLD) +#define F_DM3_CI2_RDATA_VLD V_DM3_CI2_RDATA_VLD(1U) + +#define S_CI3_ARB3_REQ 19 +#define V_CI3_ARB3_REQ(x) ((x) << S_CI3_ARB3_REQ) +#define F_CI3_ARB3_REQ V_CI3_ARB3_REQ(1U) + +#define S_ARB3_CI3_GNT 18 +#define V_ARB3_CI3_GNT(x) ((x) << S_ARB3_CI3_GNT) +#define F_ARB3_CI3_GNT V_ARB3_CI3_GNT(1U) + +#define S_CI3_DM3_WDATA_VLD 17 +#define V_CI3_DM3_WDATA_VLD(x) ((x) << S_CI3_DM3_WDATA_VLD) +#define F_CI3_DM3_WDATA_VLD V_CI3_DM3_WDATA_VLD(1U) + +#define S_DM3_CI3_RDATA_VLD 16 +#define V_DM3_CI3_RDATA_VLD(x) ((x) << S_DM3_CI3_RDATA_VLD) +#define F_DM3_CI3_RDATA_VLD V_DM3_CI3_RDATA_VLD(1U) + +#define S_CI4_ARB3_REQ 15 +#define V_CI4_ARB3_REQ(x) ((x) << S_CI4_ARB3_REQ) +#define F_CI4_ARB3_REQ V_CI4_ARB3_REQ(1U) + +#define S_ARB3_CI4_GNT 14 +#define V_ARB3_CI4_GNT(x) ((x) << S_ARB3_CI4_GNT) +#define F_ARB3_CI4_GNT V_ARB3_CI4_GNT(1U) + +#define S_CI4_DM3_WDATA_VLD 13 +#define V_CI4_DM3_WDATA_VLD(x) ((x) << S_CI4_DM3_WDATA_VLD) +#define F_CI4_DM3_WDATA_VLD V_CI4_DM3_WDATA_VLD(1U) + +#define S_DM3_CI4_RDATA_VLD 12 +#define V_DM3_CI4_RDATA_VLD(x) ((x) << S_DM3_CI4_RDATA_VLD) +#define F_DM3_CI4_RDATA_VLD V_DM3_CI4_RDATA_VLD(1U) + +#define S_CI5_ARB3_REQ 11 +#define V_CI5_ARB3_REQ(x) ((x) << S_CI5_ARB3_REQ) +#define F_CI5_ARB3_REQ V_CI5_ARB3_REQ(1U) + +#define S_ARB3_CI5_GNT 10 +#define V_ARB3_CI5_GNT(x) ((x) << S_ARB3_CI5_GNT) +#define F_ARB3_CI5_GNT V_ARB3_CI5_GNT(1U) + +#define S_CI5_DM3_WDATA_VLD 9 +#define V_CI5_DM3_WDATA_VLD(x) ((x) << S_CI5_DM3_WDATA_VLD) +#define F_CI5_DM3_WDATA_VLD V_CI5_DM3_WDATA_VLD(1U) + +#define S_DM3_CI5_RDATA_VLD 8 +#define V_DM3_CI5_RDATA_VLD(x) ((x) << S_DM3_CI5_RDATA_VLD) +#define F_DM3_CI5_RDATA_VLD V_DM3_CI5_RDATA_VLD(1U) + +#define S_CI6_ARB3_REQ 7 +#define V_CI6_ARB3_REQ(x) ((x) << S_CI6_ARB3_REQ) +#define F_CI6_ARB3_REQ V_CI6_ARB3_REQ(1U) + +#define S_ARB3_CI6_GNT 6 +#define V_ARB3_CI6_GNT(x) ((x) << S_ARB3_CI6_GNT) +#define F_ARB3_CI6_GNT V_ARB3_CI6_GNT(1U) + +#define S_CI6_DM3_WDATA_VLD 5 +#define V_CI6_DM3_WDATA_VLD(x) ((x) << S_CI6_DM3_WDATA_VLD) +#define F_CI6_DM3_WDATA_VLD V_CI6_DM3_WDATA_VLD(1U) + +#define S_DM3_CI6_RDATA_VLD 4 +#define V_DM3_CI6_RDATA_VLD(x) ((x) << S_DM3_CI6_RDATA_VLD) +#define F_DM3_CI6_RDATA_VLD V_DM3_CI6_RDATA_VLD(1U) + +#define S_CI7_ARB3_REQ 3 +#define V_CI7_ARB3_REQ(x) ((x) << S_CI7_ARB3_REQ) +#define F_CI7_ARB3_REQ V_CI7_ARB3_REQ(1U) + +#define S_ARB3_CI7_GNT 2 +#define V_ARB3_CI7_GNT(x) ((x) << S_ARB3_CI7_GNT) +#define F_ARB3_CI7_GNT V_ARB3_CI7_GNT(1U) + +#define S_CI7_DM3_WDATA_VLD 1 +#define V_CI7_DM3_WDATA_VLD(x) ((x) << S_CI7_DM3_WDATA_VLD) +#define F_CI7_DM3_WDATA_VLD V_CI7_DM3_WDATA_VLD(1U) + +#define S_DM3_CI7_RDATA_VLD 0 +#define V_DM3_CI7_RDATA_VLD(x) ((x) << S_DM3_CI7_RDATA_VLD) +#define F_DM3_CI7_RDATA_VLD V_DM3_CI7_RDATA_VLD(1U) + +#define A_MA_MA_DEBUG_SIGNATURE_LTL_END 0xa011 +#define A_MA_MA_DEBUG_SIGNATURE_BIG_END_INVERSE 0xa012 +#define A_MA_TARGET_0_ARBITER_INTERFACE_EXTERNAL_REG1 0xa013 + +#define S_CI8_ARB0_REQ 31 +#define V_CI8_ARB0_REQ(x) ((x) << S_CI8_ARB0_REQ) +#define F_CI8_ARB0_REQ V_CI8_ARB0_REQ(1U) + +#define S_ARB0_CI8_GNT 30 +#define V_ARB0_CI8_GNT(x) ((x) << S_ARB0_CI8_GNT) +#define F_ARB0_CI8_GNT V_ARB0_CI8_GNT(1U) + +#define S_CI8_DM0_WDATA_VLD 29 +#define V_CI8_DM0_WDATA_VLD(x) ((x) << S_CI8_DM0_WDATA_VLD) +#define F_CI8_DM0_WDATA_VLD V_CI8_DM0_WDATA_VLD(1U) + +#define S_DM0_CI8_RDATA_VLD 28 +#define V_DM0_CI8_RDATA_VLD(x) ((x) << S_DM0_CI8_RDATA_VLD) +#define F_DM0_CI8_RDATA_VLD V_DM0_CI8_RDATA_VLD(1U) + +#define S_CI9_ARB0_REQ 27 +#define V_CI9_ARB0_REQ(x) ((x) << S_CI9_ARB0_REQ) +#define F_CI9_ARB0_REQ V_CI9_ARB0_REQ(1U) + +#define S_ARB0_CI9_GNT 26 +#define V_ARB0_CI9_GNT(x) ((x) << S_ARB0_CI9_GNT) +#define F_ARB0_CI9_GNT V_ARB0_CI9_GNT(1U) + +#define S_CI9_DM0_WDATA_VLD 25 +#define V_CI9_DM0_WDATA_VLD(x) ((x) << S_CI9_DM0_WDATA_VLD) +#define F_CI9_DM0_WDATA_VLD V_CI9_DM0_WDATA_VLD(1U) + +#define S_DM0_CI9_RDATA_VLD 24 +#define V_DM0_CI9_RDATA_VLD(x) ((x) << S_DM0_CI9_RDATA_VLD) +#define F_DM0_CI9_RDATA_VLD V_DM0_CI9_RDATA_VLD(1U) + +#define S_CI10_ARB0_REQ 23 +#define V_CI10_ARB0_REQ(x) ((x) << S_CI10_ARB0_REQ) +#define F_CI10_ARB0_REQ V_CI10_ARB0_REQ(1U) + +#define S_ARB0_CI10_GNT 22 +#define V_ARB0_CI10_GNT(x) ((x) << S_ARB0_CI10_GNT) +#define F_ARB0_CI10_GNT V_ARB0_CI10_GNT(1U) + +#define S_CI10_DM0_WDATA_VLD 21 +#define V_CI10_DM0_WDATA_VLD(x) ((x) << S_CI10_DM0_WDATA_VLD) +#define F_CI10_DM0_WDATA_VLD V_CI10_DM0_WDATA_VLD(1U) + +#define S_DM0_CI10_RDATA_VLD 20 +#define V_DM0_CI10_RDATA_VLD(x) ((x) << S_DM0_CI10_RDATA_VLD) +#define F_DM0_CI10_RDATA_VLD V_DM0_CI10_RDATA_VLD(1U) + +#define S_CI11_ARB0_REQ 19 +#define V_CI11_ARB0_REQ(x) ((x) << S_CI11_ARB0_REQ) +#define F_CI11_ARB0_REQ V_CI11_ARB0_REQ(1U) + +#define S_ARB0_CI11_GNT 18 +#define V_ARB0_CI11_GNT(x) ((x) << S_ARB0_CI11_GNT) +#define F_ARB0_CI11_GNT V_ARB0_CI11_GNT(1U) + +#define S_CI11_DM0_WDATA_VLD 17 +#define V_CI11_DM0_WDATA_VLD(x) ((x) << S_CI11_DM0_WDATA_VLD) +#define F_CI11_DM0_WDATA_VLD V_CI11_DM0_WDATA_VLD(1U) + +#define S_DM0_CI11_RDATA_VLD 16 +#define V_DM0_CI11_RDATA_VLD(x) ((x) << S_DM0_CI11_RDATA_VLD) +#define F_DM0_CI11_RDATA_VLD V_DM0_CI11_RDATA_VLD(1U) + +#define S_CI12_ARB0_REQ 15 +#define V_CI12_ARB0_REQ(x) ((x) << S_CI12_ARB0_REQ) +#define F_CI12_ARB0_REQ V_CI12_ARB0_REQ(1U) + +#define S_ARB0_CI12_GNT 14 +#define V_ARB0_CI12_GNT(x) ((x) << S_ARB0_CI12_GNT) +#define F_ARB0_CI12_GNT V_ARB0_CI12_GNT(1U) + +#define S_CI12_DM0_WDATA_VLD 13 +#define V_CI12_DM0_WDATA_VLD(x) ((x) << S_CI12_DM0_WDATA_VLD) +#define F_CI12_DM0_WDATA_VLD V_CI12_DM0_WDATA_VLD(1U) + +#define S_DM0_CI12_RDATA_VLD 12 +#define V_DM0_CI12_RDATA_VLD(x) ((x) << S_DM0_CI12_RDATA_VLD) +#define F_DM0_CI12_RDATA_VLD V_DM0_CI12_RDATA_VLD(1U) + +#define A_MA_TARGET_1_ARBITER_INTERFACE_EXTERNAL_REG1 0xa014 + +#define S_CI8_ARB1_REQ 31 +#define V_CI8_ARB1_REQ(x) ((x) << S_CI8_ARB1_REQ) +#define F_CI8_ARB1_REQ V_CI8_ARB1_REQ(1U) + +#define S_ARB1_CI8_GNT 30 +#define V_ARB1_CI8_GNT(x) ((x) << S_ARB1_CI8_GNT) +#define F_ARB1_CI8_GNT V_ARB1_CI8_GNT(1U) + +#define S_CI8_DM1_WDATA_VLD 29 +#define V_CI8_DM1_WDATA_VLD(x) ((x) << S_CI8_DM1_WDATA_VLD) +#define F_CI8_DM1_WDATA_VLD V_CI8_DM1_WDATA_VLD(1U) + +#define S_DM1_CI8_RDATA_VLD 28 +#define V_DM1_CI8_RDATA_VLD(x) ((x) << S_DM1_CI8_RDATA_VLD) +#define F_DM1_CI8_RDATA_VLD V_DM1_CI8_RDATA_VLD(1U) + +#define S_CI9_ARB1_REQ 27 +#define V_CI9_ARB1_REQ(x) ((x) << S_CI9_ARB1_REQ) +#define F_CI9_ARB1_REQ V_CI9_ARB1_REQ(1U) + +#define S_ARB1_CI9_GNT 26 +#define V_ARB1_CI9_GNT(x) ((x) << S_ARB1_CI9_GNT) +#define F_ARB1_CI9_GNT V_ARB1_CI9_GNT(1U) + +#define S_CI9_DM1_WDATA_VLD 25 +#define V_CI9_DM1_WDATA_VLD(x) ((x) << S_CI9_DM1_WDATA_VLD) +#define F_CI9_DM1_WDATA_VLD V_CI9_DM1_WDATA_VLD(1U) + +#define S_DM1_CI9_RDATA_VLD 24 +#define V_DM1_CI9_RDATA_VLD(x) ((x) << S_DM1_CI9_RDATA_VLD) +#define F_DM1_CI9_RDATA_VLD V_DM1_CI9_RDATA_VLD(1U) + +#define S_CI10_ARB1_REQ 23 +#define V_CI10_ARB1_REQ(x) ((x) << S_CI10_ARB1_REQ) +#define F_CI10_ARB1_REQ V_CI10_ARB1_REQ(1U) + +#define S_ARB1_CI10_GNT 22 +#define V_ARB1_CI10_GNT(x) ((x) << S_ARB1_CI10_GNT) +#define F_ARB1_CI10_GNT V_ARB1_CI10_GNT(1U) + +#define S_CI10_DM1_WDATA_VLD 21 +#define V_CI10_DM1_WDATA_VLD(x) ((x) << S_CI10_DM1_WDATA_VLD) +#define F_CI10_DM1_WDATA_VLD V_CI10_DM1_WDATA_VLD(1U) + +#define S_DM1_CI10_RDATA_VLD 20 +#define V_DM1_CI10_RDATA_VLD(x) ((x) << S_DM1_CI10_RDATA_VLD) +#define F_DM1_CI10_RDATA_VLD V_DM1_CI10_RDATA_VLD(1U) + +#define S_CI11_ARB1_REQ 19 +#define V_CI11_ARB1_REQ(x) ((x) << S_CI11_ARB1_REQ) +#define F_CI11_ARB1_REQ V_CI11_ARB1_REQ(1U) + +#define S_ARB1_CI11_GNT 18 +#define V_ARB1_CI11_GNT(x) ((x) << S_ARB1_CI11_GNT) +#define F_ARB1_CI11_GNT V_ARB1_CI11_GNT(1U) + +#define S_CI11_DM1_WDATA_VLD 17 +#define V_CI11_DM1_WDATA_VLD(x) ((x) << S_CI11_DM1_WDATA_VLD) +#define F_CI11_DM1_WDATA_VLD V_CI11_DM1_WDATA_VLD(1U) + +#define S_DM1_CI11_RDATA_VLD 16 +#define V_DM1_CI11_RDATA_VLD(x) ((x) << S_DM1_CI11_RDATA_VLD) +#define F_DM1_CI11_RDATA_VLD V_DM1_CI11_RDATA_VLD(1U) + +#define S_CI12_ARB1_REQ 15 +#define V_CI12_ARB1_REQ(x) ((x) << S_CI12_ARB1_REQ) +#define F_CI12_ARB1_REQ V_CI12_ARB1_REQ(1U) + +#define S_ARB1_CI12_GNT 14 +#define V_ARB1_CI12_GNT(x) ((x) << S_ARB1_CI12_GNT) +#define F_ARB1_CI12_GNT V_ARB1_CI12_GNT(1U) + +#define S_CI12_DM1_WDATA_VLD 13 +#define V_CI12_DM1_WDATA_VLD(x) ((x) << S_CI12_DM1_WDATA_VLD) +#define F_CI12_DM1_WDATA_VLD V_CI12_DM1_WDATA_VLD(1U) + +#define S_DM1_CI12_RDATA_VLD 12 +#define V_DM1_CI12_RDATA_VLD(x) ((x) << S_DM1_CI12_RDATA_VLD) +#define F_DM1_CI12_RDATA_VLD V_DM1_CI12_RDATA_VLD(1U) + +#define A_MA_TARGET_2_ARBITER_INTERFACE_EXTERNAL_REG1 0xa015 + +#define S_CI8_ARB2_REQ 31 +#define V_CI8_ARB2_REQ(x) ((x) << S_CI8_ARB2_REQ) +#define F_CI8_ARB2_REQ V_CI8_ARB2_REQ(1U) + +#define S_ARB2_CI8_GNT 30 +#define V_ARB2_CI8_GNT(x) ((x) << S_ARB2_CI8_GNT) +#define F_ARB2_CI8_GNT V_ARB2_CI8_GNT(1U) + +#define S_CI8_DM2_WDATA_VLD 29 +#define V_CI8_DM2_WDATA_VLD(x) ((x) << S_CI8_DM2_WDATA_VLD) +#define F_CI8_DM2_WDATA_VLD V_CI8_DM2_WDATA_VLD(1U) + +#define S_DM2_CI8_RDATA_VLD 28 +#define V_DM2_CI8_RDATA_VLD(x) ((x) << S_DM2_CI8_RDATA_VLD) +#define F_DM2_CI8_RDATA_VLD V_DM2_CI8_RDATA_VLD(1U) + +#define S_CI9_ARB2_REQ 27 +#define V_CI9_ARB2_REQ(x) ((x) << S_CI9_ARB2_REQ) +#define F_CI9_ARB2_REQ V_CI9_ARB2_REQ(1U) + +#define S_ARB2_CI9_GNT 26 +#define V_ARB2_CI9_GNT(x) ((x) << S_ARB2_CI9_GNT) +#define F_ARB2_CI9_GNT V_ARB2_CI9_GNT(1U) + +#define S_CI9_DM2_WDATA_VLD 25 +#define V_CI9_DM2_WDATA_VLD(x) ((x) << S_CI9_DM2_WDATA_VLD) +#define F_CI9_DM2_WDATA_VLD V_CI9_DM2_WDATA_VLD(1U) + +#define S_DM2_CI9_RDATA_VLD 24 +#define V_DM2_CI9_RDATA_VLD(x) ((x) << S_DM2_CI9_RDATA_VLD) +#define F_DM2_CI9_RDATA_VLD V_DM2_CI9_RDATA_VLD(1U) + +#define S_CI10_ARB2_REQ 23 +#define V_CI10_ARB2_REQ(x) ((x) << S_CI10_ARB2_REQ) +#define F_CI10_ARB2_REQ V_CI10_ARB2_REQ(1U) + +#define S_ARB2_CI10_GNT 22 +#define V_ARB2_CI10_GNT(x) ((x) << S_ARB2_CI10_GNT) +#define F_ARB2_CI10_GNT V_ARB2_CI10_GNT(1U) + +#define S_CI10_DM2_WDATA_VLD 21 +#define V_CI10_DM2_WDATA_VLD(x) ((x) << S_CI10_DM2_WDATA_VLD) +#define F_CI10_DM2_WDATA_VLD V_CI10_DM2_WDATA_VLD(1U) + +#define S_DM2_CI10_RDATA_VLD 20 +#define V_DM2_CI10_RDATA_VLD(x) ((x) << S_DM2_CI10_RDATA_VLD) +#define F_DM2_CI10_RDATA_VLD V_DM2_CI10_RDATA_VLD(1U) + +#define S_CI11_ARB2_REQ 19 +#define V_CI11_ARB2_REQ(x) ((x) << S_CI11_ARB2_REQ) +#define F_CI11_ARB2_REQ V_CI11_ARB2_REQ(1U) + +#define S_ARB2_CI11_GNT 18 +#define V_ARB2_CI11_GNT(x) ((x) << S_ARB2_CI11_GNT) +#define F_ARB2_CI11_GNT V_ARB2_CI11_GNT(1U) + +#define S_CI11_DM2_WDATA_VLD 17 +#define V_CI11_DM2_WDATA_VLD(x) ((x) << S_CI11_DM2_WDATA_VLD) +#define F_CI11_DM2_WDATA_VLD V_CI11_DM2_WDATA_VLD(1U) + +#define S_DM2_CI11_RDATA_VLD 16 +#define V_DM2_CI11_RDATA_VLD(x) ((x) << S_DM2_CI11_RDATA_VLD) +#define F_DM2_CI11_RDATA_VLD V_DM2_CI11_RDATA_VLD(1U) + +#define S_CI12_ARB2_REQ 15 +#define V_CI12_ARB2_REQ(x) ((x) << S_CI12_ARB2_REQ) +#define F_CI12_ARB2_REQ V_CI12_ARB2_REQ(1U) + +#define S_ARB2_CI12_GNT 14 +#define V_ARB2_CI12_GNT(x) ((x) << S_ARB2_CI12_GNT) +#define F_ARB2_CI12_GNT V_ARB2_CI12_GNT(1U) + +#define S_CI12_DM2_WDATA_VLD 13 +#define V_CI12_DM2_WDATA_VLD(x) ((x) << S_CI12_DM2_WDATA_VLD) +#define F_CI12_DM2_WDATA_VLD V_CI12_DM2_WDATA_VLD(1U) + +#define S_DM2_CI12_RDATA_VLD 12 +#define V_DM2_CI12_RDATA_VLD(x) ((x) << S_DM2_CI12_RDATA_VLD) +#define F_DM2_CI12_RDATA_VLD V_DM2_CI12_RDATA_VLD(1U) + +#define A_MA_TARGET_3_ARBITER_INTERFACE_EXTERNAL_REG1 0xa016 + +#define S_CI8_ARB3_REQ 31 +#define V_CI8_ARB3_REQ(x) ((x) << S_CI8_ARB3_REQ) +#define F_CI8_ARB3_REQ V_CI8_ARB3_REQ(1U) + +#define S_ARB3_CI8_GNT 30 +#define V_ARB3_CI8_GNT(x) ((x) << S_ARB3_CI8_GNT) +#define F_ARB3_CI8_GNT V_ARB3_CI8_GNT(1U) + +#define S_CI8_DM3_WDATA_VLD 29 +#define V_CI8_DM3_WDATA_VLD(x) ((x) << S_CI8_DM3_WDATA_VLD) +#define F_CI8_DM3_WDATA_VLD V_CI8_DM3_WDATA_VLD(1U) + +#define S_DM3_CI8_RDATA_VLD 28 +#define V_DM3_CI8_RDATA_VLD(x) ((x) << S_DM3_CI8_RDATA_VLD) +#define F_DM3_CI8_RDATA_VLD V_DM3_CI8_RDATA_VLD(1U) + +#define S_CI9_ARB3_REQ 27 +#define V_CI9_ARB3_REQ(x) ((x) << S_CI9_ARB3_REQ) +#define F_CI9_ARB3_REQ V_CI9_ARB3_REQ(1U) + +#define S_ARB3_CI9_GNT 26 +#define V_ARB3_CI9_GNT(x) ((x) << S_ARB3_CI9_GNT) +#define F_ARB3_CI9_GNT V_ARB3_CI9_GNT(1U) + +#define S_CI9_DM3_WDATA_VLD 25 +#define V_CI9_DM3_WDATA_VLD(x) ((x) << S_CI9_DM3_WDATA_VLD) +#define F_CI9_DM3_WDATA_VLD V_CI9_DM3_WDATA_VLD(1U) + +#define S_DM3_CI9_RDATA_VLD 24 +#define V_DM3_CI9_RDATA_VLD(x) ((x) << S_DM3_CI9_RDATA_VLD) +#define F_DM3_CI9_RDATA_VLD V_DM3_CI9_RDATA_VLD(1U) + +#define S_CI10_ARB3_REQ 23 +#define V_CI10_ARB3_REQ(x) ((x) << S_CI10_ARB3_REQ) +#define F_CI10_ARB3_REQ V_CI10_ARB3_REQ(1U) + +#define S_ARB3_CI10_GNT 22 +#define V_ARB3_CI10_GNT(x) ((x) << S_ARB3_CI10_GNT) +#define F_ARB3_CI10_GNT V_ARB3_CI10_GNT(1U) + +#define S_CI10_DM3_WDATA_VLD 21 +#define V_CI10_DM3_WDATA_VLD(x) ((x) << S_CI10_DM3_WDATA_VLD) +#define F_CI10_DM3_WDATA_VLD V_CI10_DM3_WDATA_VLD(1U) + +#define S_DM3_CI10_RDATA_VLD 20 +#define V_DM3_CI10_RDATA_VLD(x) ((x) << S_DM3_CI10_RDATA_VLD) +#define F_DM3_CI10_RDATA_VLD V_DM3_CI10_RDATA_VLD(1U) + +#define S_CI11_ARB3_REQ 19 +#define V_CI11_ARB3_REQ(x) ((x) << S_CI11_ARB3_REQ) +#define F_CI11_ARB3_REQ V_CI11_ARB3_REQ(1U) + +#define S_ARB3_CI11_GNT 18 +#define V_ARB3_CI11_GNT(x) ((x) << S_ARB3_CI11_GNT) +#define F_ARB3_CI11_GNT V_ARB3_CI11_GNT(1U) + +#define S_CI11_DM3_WDATA_VLD 17 +#define V_CI11_DM3_WDATA_VLD(x) ((x) << S_CI11_DM3_WDATA_VLD) +#define F_CI11_DM3_WDATA_VLD V_CI11_DM3_WDATA_VLD(1U) + +#define S_DM3_CI11_RDATA_VLD 16 +#define V_DM3_CI11_RDATA_VLD(x) ((x) << S_DM3_CI11_RDATA_VLD) +#define F_DM3_CI11_RDATA_VLD V_DM3_CI11_RDATA_VLD(1U) + +#define S_CI12_ARB3_REQ 15 +#define V_CI12_ARB3_REQ(x) ((x) << S_CI12_ARB3_REQ) +#define F_CI12_ARB3_REQ V_CI12_ARB3_REQ(1U) + +#define S_ARB3_CI12_GNT 14 +#define V_ARB3_CI12_GNT(x) ((x) << S_ARB3_CI12_GNT) +#define F_ARB3_CI12_GNT V_ARB3_CI12_GNT(1U) + +#define S_CI12_DM3_WDATA_VLD 13 +#define V_CI12_DM3_WDATA_VLD(x) ((x) << S_CI12_DM3_WDATA_VLD) +#define F_CI12_DM3_WDATA_VLD V_CI12_DM3_WDATA_VLD(1U) + +#define S_DM3_CI12_RDATA_VLD 12 +#define V_DM3_CI12_RDATA_VLD(x) ((x) << S_DM3_CI12_RDATA_VLD) +#define F_DM3_CI12_RDATA_VLD V_DM3_CI12_RDATA_VLD(1U) + +#define A_MA_SGE_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG0 0xa400 + +#define S_CMD_IN_FIFO_CNT0 30 +#define M_CMD_IN_FIFO_CNT0 0x3U +#define V_CMD_IN_FIFO_CNT0(x) ((x) << S_CMD_IN_FIFO_CNT0) +#define G_CMD_IN_FIFO_CNT0(x) (((x) >> S_CMD_IN_FIFO_CNT0) & M_CMD_IN_FIFO_CNT0) + +#define S_CMD_SPLIT_FIFO_CNT0 28 +#define M_CMD_SPLIT_FIFO_CNT0 0x3U +#define V_CMD_SPLIT_FIFO_CNT0(x) ((x) << S_CMD_SPLIT_FIFO_CNT0) +#define G_CMD_SPLIT_FIFO_CNT0(x) (((x) >> S_CMD_SPLIT_FIFO_CNT0) & M_CMD_SPLIT_FIFO_CNT0) + +#define S_CMD_THROTTLE_FIFO_CNT0 22 +#define M_CMD_THROTTLE_FIFO_CNT0 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT0(x) ((x) << S_CMD_THROTTLE_FIFO_CNT0) +#define G_CMD_THROTTLE_FIFO_CNT0(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT0) & M_CMD_THROTTLE_FIFO_CNT0) + +#define S_RD_CHNL_FIFO_CNT0 15 +#define M_RD_CHNL_FIFO_CNT0 0x7fU +#define V_RD_CHNL_FIFO_CNT0(x) ((x) << S_RD_CHNL_FIFO_CNT0) +#define G_RD_CHNL_FIFO_CNT0(x) (((x) >> S_RD_CHNL_FIFO_CNT0) & M_RD_CHNL_FIFO_CNT0) + +#define S_RD_DATA_EXT_FIFO_CNT0 13 +#define M_RD_DATA_EXT_FIFO_CNT0 0x3U +#define V_RD_DATA_EXT_FIFO_CNT0(x) ((x) << S_RD_DATA_EXT_FIFO_CNT0) +#define G_RD_DATA_EXT_FIFO_CNT0(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT0) & M_RD_DATA_EXT_FIFO_CNT0) + +#define S_RD_DATA_512B_FIFO_CNT0 5 +#define M_RD_DATA_512B_FIFO_CNT0 0xffU +#define V_RD_DATA_512B_FIFO_CNT0(x) ((x) << S_RD_DATA_512B_FIFO_CNT0) +#define G_RD_DATA_512B_FIFO_CNT0(x) (((x) >> S_RD_DATA_512B_FIFO_CNT0) & M_RD_DATA_512B_FIFO_CNT0) + +#define S_RD_REQ_TAG_FIFO_CNT0 1 +#define M_RD_REQ_TAG_FIFO_CNT0 0xfU +#define V_RD_REQ_TAG_FIFO_CNT0(x) ((x) << S_RD_REQ_TAG_FIFO_CNT0) +#define G_RD_REQ_TAG_FIFO_CNT0(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT0) & M_RD_REQ_TAG_FIFO_CNT0) + +#define A_MA_SGE_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG0 0xa401 + +#define S_CMD_IN_FIFO_CNT1 30 +#define M_CMD_IN_FIFO_CNT1 0x3U +#define V_CMD_IN_FIFO_CNT1(x) ((x) << S_CMD_IN_FIFO_CNT1) +#define G_CMD_IN_FIFO_CNT1(x) (((x) >> S_CMD_IN_FIFO_CNT1) & M_CMD_IN_FIFO_CNT1) + +#define S_CMD_SPLIT_FIFO_CNT1 28 +#define M_CMD_SPLIT_FIFO_CNT1 0x3U +#define V_CMD_SPLIT_FIFO_CNT1(x) ((x) << S_CMD_SPLIT_FIFO_CNT1) +#define G_CMD_SPLIT_FIFO_CNT1(x) (((x) >> S_CMD_SPLIT_FIFO_CNT1) & M_CMD_SPLIT_FIFO_CNT1) + +#define S_CMD_THROTTLE_FIFO_CNT1 22 +#define M_CMD_THROTTLE_FIFO_CNT1 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT1(x) ((x) << S_CMD_THROTTLE_FIFO_CNT1) +#define G_CMD_THROTTLE_FIFO_CNT1(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT1) & M_CMD_THROTTLE_FIFO_CNT1) + +#define S_RD_CHNL_FIFO_CNT1 15 +#define M_RD_CHNL_FIFO_CNT1 0x7fU +#define V_RD_CHNL_FIFO_CNT1(x) ((x) << S_RD_CHNL_FIFO_CNT1) +#define G_RD_CHNL_FIFO_CNT1(x) (((x) >> S_RD_CHNL_FIFO_CNT1) & M_RD_CHNL_FIFO_CNT1) + +#define S_RD_DATA_EXT_FIFO_CNT1 13 +#define M_RD_DATA_EXT_FIFO_CNT1 0x3U +#define V_RD_DATA_EXT_FIFO_CNT1(x) ((x) << S_RD_DATA_EXT_FIFO_CNT1) +#define G_RD_DATA_EXT_FIFO_CNT1(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT1) & M_RD_DATA_EXT_FIFO_CNT1) + +#define S_RD_DATA_512B_FIFO_CNT1 5 +#define M_RD_DATA_512B_FIFO_CNT1 0xffU +#define V_RD_DATA_512B_FIFO_CNT1(x) ((x) << S_RD_DATA_512B_FIFO_CNT1) +#define G_RD_DATA_512B_FIFO_CNT1(x) (((x) >> S_RD_DATA_512B_FIFO_CNT1) & M_RD_DATA_512B_FIFO_CNT1) + +#define S_RD_REQ_TAG_FIFO_CNT1 1 +#define M_RD_REQ_TAG_FIFO_CNT1 0xfU +#define V_RD_REQ_TAG_FIFO_CNT1(x) ((x) << S_RD_REQ_TAG_FIFO_CNT1) +#define G_RD_REQ_TAG_FIFO_CNT1(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT1) & M_RD_REQ_TAG_FIFO_CNT1) + +#define A_MA_ULP_TX_CLIENT_INTERFACE_INTERNAL_REG0 0xa402 + +#define S_CMD_IN_FIFO_CNT2 30 +#define M_CMD_IN_FIFO_CNT2 0x3U +#define V_CMD_IN_FIFO_CNT2(x) ((x) << S_CMD_IN_FIFO_CNT2) +#define G_CMD_IN_FIFO_CNT2(x) (((x) >> S_CMD_IN_FIFO_CNT2) & M_CMD_IN_FIFO_CNT2) + +#define S_CMD_SPLIT_FIFO_CNT2 28 +#define M_CMD_SPLIT_FIFO_CNT2 0x3U +#define V_CMD_SPLIT_FIFO_CNT2(x) ((x) << S_CMD_SPLIT_FIFO_CNT2) +#define G_CMD_SPLIT_FIFO_CNT2(x) (((x) >> S_CMD_SPLIT_FIFO_CNT2) & M_CMD_SPLIT_FIFO_CNT2) + +#define S_CMD_THROTTLE_FIFO_CNT2 22 +#define M_CMD_THROTTLE_FIFO_CNT2 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT2(x) ((x) << S_CMD_THROTTLE_FIFO_CNT2) +#define G_CMD_THROTTLE_FIFO_CNT2(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT2) & M_CMD_THROTTLE_FIFO_CNT2) + +#define S_RD_CHNL_FIFO_CNT2 15 +#define M_RD_CHNL_FIFO_CNT2 0x7fU +#define V_RD_CHNL_FIFO_CNT2(x) ((x) << S_RD_CHNL_FIFO_CNT2) +#define G_RD_CHNL_FIFO_CNT2(x) (((x) >> S_RD_CHNL_FIFO_CNT2) & M_RD_CHNL_FIFO_CNT2) + +#define S_RD_DATA_EXT_FIFO_CNT2 13 +#define M_RD_DATA_EXT_FIFO_CNT2 0x3U +#define V_RD_DATA_EXT_FIFO_CNT2(x) ((x) << S_RD_DATA_EXT_FIFO_CNT2) +#define G_RD_DATA_EXT_FIFO_CNT2(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT2) & M_RD_DATA_EXT_FIFO_CNT2) + +#define S_RD_DATA_512B_FIFO_CNT2 5 +#define M_RD_DATA_512B_FIFO_CNT2 0xffU +#define V_RD_DATA_512B_FIFO_CNT2(x) ((x) << S_RD_DATA_512B_FIFO_CNT2) +#define G_RD_DATA_512B_FIFO_CNT2(x) (((x) >> S_RD_DATA_512B_FIFO_CNT2) & M_RD_DATA_512B_FIFO_CNT2) + +#define S_RD_REQ_TAG_FIFO_CNT2 1 +#define M_RD_REQ_TAG_FIFO_CNT2 0xfU +#define V_RD_REQ_TAG_FIFO_CNT2(x) ((x) << S_RD_REQ_TAG_FIFO_CNT2) +#define G_RD_REQ_TAG_FIFO_CNT2(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT2) & M_RD_REQ_TAG_FIFO_CNT2) + +#define A_MA_ULP_RX_CLIENT_INTERFACE_INTERNAL_REG0 0xa403 + +#define S_CMD_IN_FIFO_CNT3 30 +#define M_CMD_IN_FIFO_CNT3 0x3U +#define V_CMD_IN_FIFO_CNT3(x) ((x) << S_CMD_IN_FIFO_CNT3) +#define G_CMD_IN_FIFO_CNT3(x) (((x) >> S_CMD_IN_FIFO_CNT3) & M_CMD_IN_FIFO_CNT3) + +#define S_CMD_SPLIT_FIFO_CNT3 28 +#define M_CMD_SPLIT_FIFO_CNT3 0x3U +#define V_CMD_SPLIT_FIFO_CNT3(x) ((x) << S_CMD_SPLIT_FIFO_CNT3) +#define G_CMD_SPLIT_FIFO_CNT3(x) (((x) >> S_CMD_SPLIT_FIFO_CNT3) & M_CMD_SPLIT_FIFO_CNT3) + +#define S_CMD_THROTTLE_FIFO_CNT3 22 +#define M_CMD_THROTTLE_FIFO_CNT3 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT3(x) ((x) << S_CMD_THROTTLE_FIFO_CNT3) +#define G_CMD_THROTTLE_FIFO_CNT3(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT3) & M_CMD_THROTTLE_FIFO_CNT3) + +#define S_RD_CHNL_FIFO_CNT3 15 +#define M_RD_CHNL_FIFO_CNT3 0x7fU +#define V_RD_CHNL_FIFO_CNT3(x) ((x) << S_RD_CHNL_FIFO_CNT3) +#define G_RD_CHNL_FIFO_CNT3(x) (((x) >> S_RD_CHNL_FIFO_CNT3) & M_RD_CHNL_FIFO_CNT3) + +#define S_RD_DATA_EXT_FIFO_CNT3 13 +#define M_RD_DATA_EXT_FIFO_CNT3 0x3U +#define V_RD_DATA_EXT_FIFO_CNT3(x) ((x) << S_RD_DATA_EXT_FIFO_CNT3) +#define G_RD_DATA_EXT_FIFO_CNT3(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT3) & M_RD_DATA_EXT_FIFO_CNT3) + +#define S_RD_DATA_512B_FIFO_CNT3 5 +#define M_RD_DATA_512B_FIFO_CNT3 0xffU +#define V_RD_DATA_512B_FIFO_CNT3(x) ((x) << S_RD_DATA_512B_FIFO_CNT3) +#define G_RD_DATA_512B_FIFO_CNT3(x) (((x) >> S_RD_DATA_512B_FIFO_CNT3) & M_RD_DATA_512B_FIFO_CNT3) + +#define S_RD_REQ_TAG_FIFO_CNT3 1 +#define M_RD_REQ_TAG_FIFO_CNT3 0xfU +#define V_RD_REQ_TAG_FIFO_CNT3(x) ((x) << S_RD_REQ_TAG_FIFO_CNT3) +#define G_RD_REQ_TAG_FIFO_CNT3(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT3) & M_RD_REQ_TAG_FIFO_CNT3) + +#define A_MA_ULP_TX_RX_CLIENT_INTERFACE_INTERNAL_REG0 0xa404 + +#define S_CMD_IN_FIFO_CNT4 30 +#define M_CMD_IN_FIFO_CNT4 0x3U +#define V_CMD_IN_FIFO_CNT4(x) ((x) << S_CMD_IN_FIFO_CNT4) +#define G_CMD_IN_FIFO_CNT4(x) (((x) >> S_CMD_IN_FIFO_CNT4) & M_CMD_IN_FIFO_CNT4) + +#define S_CMD_SPLIT_FIFO_CNT4 28 +#define M_CMD_SPLIT_FIFO_CNT4 0x3U +#define V_CMD_SPLIT_FIFO_CNT4(x) ((x) << S_CMD_SPLIT_FIFO_CNT4) +#define G_CMD_SPLIT_FIFO_CNT4(x) (((x) >> S_CMD_SPLIT_FIFO_CNT4) & M_CMD_SPLIT_FIFO_CNT4) + +#define S_CMD_THROTTLE_FIFO_CNT4 22 +#define M_CMD_THROTTLE_FIFO_CNT4 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT4(x) ((x) << S_CMD_THROTTLE_FIFO_CNT4) +#define G_CMD_THROTTLE_FIFO_CNT4(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT4) & M_CMD_THROTTLE_FIFO_CNT4) + +#define S_RD_CHNL_FIFO_CNT4 15 +#define M_RD_CHNL_FIFO_CNT4 0x7fU +#define V_RD_CHNL_FIFO_CNT4(x) ((x) << S_RD_CHNL_FIFO_CNT4) +#define G_RD_CHNL_FIFO_CNT4(x) (((x) >> S_RD_CHNL_FIFO_CNT4) & M_RD_CHNL_FIFO_CNT4) + +#define S_RD_DATA_EXT_FIFO_CNT4 13 +#define M_RD_DATA_EXT_FIFO_CNT4 0x3U +#define V_RD_DATA_EXT_FIFO_CNT4(x) ((x) << S_RD_DATA_EXT_FIFO_CNT4) +#define G_RD_DATA_EXT_FIFO_CNT4(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT4) & M_RD_DATA_EXT_FIFO_CNT4) + +#define S_RD_DATA_512B_FIFO_CNT4 5 +#define M_RD_DATA_512B_FIFO_CNT4 0xffU +#define V_RD_DATA_512B_FIFO_CNT4(x) ((x) << S_RD_DATA_512B_FIFO_CNT4) +#define G_RD_DATA_512B_FIFO_CNT4(x) (((x) >> S_RD_DATA_512B_FIFO_CNT4) & M_RD_DATA_512B_FIFO_CNT4) + +#define S_RD_REQ_TAG_FIFO_CNT4 1 +#define M_RD_REQ_TAG_FIFO_CNT4 0xfU +#define V_RD_REQ_TAG_FIFO_CNT4(x) ((x) << S_RD_REQ_TAG_FIFO_CNT4) +#define G_RD_REQ_TAG_FIFO_CNT4(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT4) & M_RD_REQ_TAG_FIFO_CNT4) + +#define A_MA_TP_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG0 0xa405 + +#define S_CMD_IN_FIFO_CNT5 30 +#define M_CMD_IN_FIFO_CNT5 0x3U +#define V_CMD_IN_FIFO_CNT5(x) ((x) << S_CMD_IN_FIFO_CNT5) +#define G_CMD_IN_FIFO_CNT5(x) (((x) >> S_CMD_IN_FIFO_CNT5) & M_CMD_IN_FIFO_CNT5) + +#define S_CMD_SPLIT_FIFO_CNT5 28 +#define M_CMD_SPLIT_FIFO_CNT5 0x3U +#define V_CMD_SPLIT_FIFO_CNT5(x) ((x) << S_CMD_SPLIT_FIFO_CNT5) +#define G_CMD_SPLIT_FIFO_CNT5(x) (((x) >> S_CMD_SPLIT_FIFO_CNT5) & M_CMD_SPLIT_FIFO_CNT5) + +#define S_CMD_THROTTLE_FIFO_CNT5 22 +#define M_CMD_THROTTLE_FIFO_CNT5 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT5(x) ((x) << S_CMD_THROTTLE_FIFO_CNT5) +#define G_CMD_THROTTLE_FIFO_CNT5(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT5) & M_CMD_THROTTLE_FIFO_CNT5) + +#define S_RD_CHNL_FIFO_CNT5 15 +#define M_RD_CHNL_FIFO_CNT5 0x7fU +#define V_RD_CHNL_FIFO_CNT5(x) ((x) << S_RD_CHNL_FIFO_CNT5) +#define G_RD_CHNL_FIFO_CNT5(x) (((x) >> S_RD_CHNL_FIFO_CNT5) & M_RD_CHNL_FIFO_CNT5) + +#define S_RD_DATA_EXT_FIFO_CNT5 13 +#define M_RD_DATA_EXT_FIFO_CNT5 0x3U +#define V_RD_DATA_EXT_FIFO_CNT5(x) ((x) << S_RD_DATA_EXT_FIFO_CNT5) +#define G_RD_DATA_EXT_FIFO_CNT5(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT5) & M_RD_DATA_EXT_FIFO_CNT5) + +#define S_RD_DATA_512B_FIFO_CNT5 5 +#define M_RD_DATA_512B_FIFO_CNT5 0xffU +#define V_RD_DATA_512B_FIFO_CNT5(x) ((x) << S_RD_DATA_512B_FIFO_CNT5) +#define G_RD_DATA_512B_FIFO_CNT5(x) (((x) >> S_RD_DATA_512B_FIFO_CNT5) & M_RD_DATA_512B_FIFO_CNT5) + +#define S_RD_REQ_TAG_FIFO_CNT5 1 +#define M_RD_REQ_TAG_FIFO_CNT5 0xfU +#define V_RD_REQ_TAG_FIFO_CNT5(x) ((x) << S_RD_REQ_TAG_FIFO_CNT5) +#define G_RD_REQ_TAG_FIFO_CNT5(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT5) & M_RD_REQ_TAG_FIFO_CNT5) + +#define A_MA_TP_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG0 0xa406 + +#define S_CMD_IN_FIFO_CNT6 30 +#define M_CMD_IN_FIFO_CNT6 0x3U +#define V_CMD_IN_FIFO_CNT6(x) ((x) << S_CMD_IN_FIFO_CNT6) +#define G_CMD_IN_FIFO_CNT6(x) (((x) >> S_CMD_IN_FIFO_CNT6) & M_CMD_IN_FIFO_CNT6) + +#define S_CMD_SPLIT_FIFO_CNT6 28 +#define M_CMD_SPLIT_FIFO_CNT6 0x3U +#define V_CMD_SPLIT_FIFO_CNT6(x) ((x) << S_CMD_SPLIT_FIFO_CNT6) +#define G_CMD_SPLIT_FIFO_CNT6(x) (((x) >> S_CMD_SPLIT_FIFO_CNT6) & M_CMD_SPLIT_FIFO_CNT6) + +#define S_CMD_THROTTLE_FIFO_CNT6 22 +#define M_CMD_THROTTLE_FIFO_CNT6 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT6(x) ((x) << S_CMD_THROTTLE_FIFO_CNT6) +#define G_CMD_THROTTLE_FIFO_CNT6(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT6) & M_CMD_THROTTLE_FIFO_CNT6) + +#define S_RD_CHNL_FIFO_CNT6 15 +#define M_RD_CHNL_FIFO_CNT6 0x7fU +#define V_RD_CHNL_FIFO_CNT6(x) ((x) << S_RD_CHNL_FIFO_CNT6) +#define G_RD_CHNL_FIFO_CNT6(x) (((x) >> S_RD_CHNL_FIFO_CNT6) & M_RD_CHNL_FIFO_CNT6) + +#define S_RD_DATA_EXT_FIFO_CNT6 13 +#define M_RD_DATA_EXT_FIFO_CNT6 0x3U +#define V_RD_DATA_EXT_FIFO_CNT6(x) ((x) << S_RD_DATA_EXT_FIFO_CNT6) +#define G_RD_DATA_EXT_FIFO_CNT6(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT6) & M_RD_DATA_EXT_FIFO_CNT6) + +#define S_RD_DATA_512B_FIFO_CNT6 5 +#define M_RD_DATA_512B_FIFO_CNT6 0xffU +#define V_RD_DATA_512B_FIFO_CNT6(x) ((x) << S_RD_DATA_512B_FIFO_CNT6) +#define G_RD_DATA_512B_FIFO_CNT6(x) (((x) >> S_RD_DATA_512B_FIFO_CNT6) & M_RD_DATA_512B_FIFO_CNT6) + +#define S_RD_REQ_TAG_FIFO_CNT6 1 +#define M_RD_REQ_TAG_FIFO_CNT6 0xfU +#define V_RD_REQ_TAG_FIFO_CNT6(x) ((x) << S_RD_REQ_TAG_FIFO_CNT6) +#define G_RD_REQ_TAG_FIFO_CNT6(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT6) & M_RD_REQ_TAG_FIFO_CNT6) + +#define A_MA_LE_CLIENT_INTERFACE_INTERNAL_REG0 0xa407 + +#define S_CMD_IN_FIFO_CNT7 30 +#define M_CMD_IN_FIFO_CNT7 0x3U +#define V_CMD_IN_FIFO_CNT7(x) ((x) << S_CMD_IN_FIFO_CNT7) +#define G_CMD_IN_FIFO_CNT7(x) (((x) >> S_CMD_IN_FIFO_CNT7) & M_CMD_IN_FIFO_CNT7) + +#define S_CMD_SPLIT_FIFO_CNT7 28 +#define M_CMD_SPLIT_FIFO_CNT7 0x3U +#define V_CMD_SPLIT_FIFO_CNT7(x) ((x) << S_CMD_SPLIT_FIFO_CNT7) +#define G_CMD_SPLIT_FIFO_CNT7(x) (((x) >> S_CMD_SPLIT_FIFO_CNT7) & M_CMD_SPLIT_FIFO_CNT7) + +#define S_CMD_THROTTLE_FIFO_CNT7 22 +#define M_CMD_THROTTLE_FIFO_CNT7 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT7(x) ((x) << S_CMD_THROTTLE_FIFO_CNT7) +#define G_CMD_THROTTLE_FIFO_CNT7(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT7) & M_CMD_THROTTLE_FIFO_CNT7) + +#define S_RD_CHNL_FIFO_CNT7 15 +#define M_RD_CHNL_FIFO_CNT7 0x7fU +#define V_RD_CHNL_FIFO_CNT7(x) ((x) << S_RD_CHNL_FIFO_CNT7) +#define G_RD_CHNL_FIFO_CNT7(x) (((x) >> S_RD_CHNL_FIFO_CNT7) & M_RD_CHNL_FIFO_CNT7) + +#define S_RD_DATA_EXT_FIFO_CNT7 13 +#define M_RD_DATA_EXT_FIFO_CNT7 0x3U +#define V_RD_DATA_EXT_FIFO_CNT7(x) ((x) << S_RD_DATA_EXT_FIFO_CNT7) +#define G_RD_DATA_EXT_FIFO_CNT7(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT7) & M_RD_DATA_EXT_FIFO_CNT7) + +#define S_RD_DATA_512B_FIFO_CNT7 5 +#define M_RD_DATA_512B_FIFO_CNT7 0xffU +#define V_RD_DATA_512B_FIFO_CNT7(x) ((x) << S_RD_DATA_512B_FIFO_CNT7) +#define G_RD_DATA_512B_FIFO_CNT7(x) (((x) >> S_RD_DATA_512B_FIFO_CNT7) & M_RD_DATA_512B_FIFO_CNT7) + +#define S_RD_REQ_TAG_FIFO_CNT7 1 +#define M_RD_REQ_TAG_FIFO_CNT7 0xfU +#define V_RD_REQ_TAG_FIFO_CNT7(x) ((x) << S_RD_REQ_TAG_FIFO_CNT7) +#define G_RD_REQ_TAG_FIFO_CNT7(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT7) & M_RD_REQ_TAG_FIFO_CNT7) + +#define A_MA_CIM_CLIENT_INTERFACE_INTERNAL_REG0 0xa408 + +#define S_CMD_IN_FIFO_CNT8 30 +#define M_CMD_IN_FIFO_CNT8 0x3U +#define V_CMD_IN_FIFO_CNT8(x) ((x) << S_CMD_IN_FIFO_CNT8) +#define G_CMD_IN_FIFO_CNT8(x) (((x) >> S_CMD_IN_FIFO_CNT8) & M_CMD_IN_FIFO_CNT8) + +#define S_CMD_SPLIT_FIFO_CNT8 28 +#define M_CMD_SPLIT_FIFO_CNT8 0x3U +#define V_CMD_SPLIT_FIFO_CNT8(x) ((x) << S_CMD_SPLIT_FIFO_CNT8) +#define G_CMD_SPLIT_FIFO_CNT8(x) (((x) >> S_CMD_SPLIT_FIFO_CNT8) & M_CMD_SPLIT_FIFO_CNT8) + +#define S_CMD_THROTTLE_FIFO_CNT8 22 +#define M_CMD_THROTTLE_FIFO_CNT8 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT8(x) ((x) << S_CMD_THROTTLE_FIFO_CNT8) +#define G_CMD_THROTTLE_FIFO_CNT8(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT8) & M_CMD_THROTTLE_FIFO_CNT8) + +#define S_RD_CHNL_FIFO_CNT8 15 +#define M_RD_CHNL_FIFO_CNT8 0x7fU +#define V_RD_CHNL_FIFO_CNT8(x) ((x) << S_RD_CHNL_FIFO_CNT8) +#define G_RD_CHNL_FIFO_CNT8(x) (((x) >> S_RD_CHNL_FIFO_CNT8) & M_RD_CHNL_FIFO_CNT8) + +#define S_RD_DATA_EXT_FIFO_CNT8 13 +#define M_RD_DATA_EXT_FIFO_CNT8 0x3U +#define V_RD_DATA_EXT_FIFO_CNT8(x) ((x) << S_RD_DATA_EXT_FIFO_CNT8) +#define G_RD_DATA_EXT_FIFO_CNT8(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT8) & M_RD_DATA_EXT_FIFO_CNT8) + +#define S_RD_DATA_512B_FIFO_CNT8 5 +#define M_RD_DATA_512B_FIFO_CNT8 0xffU +#define V_RD_DATA_512B_FIFO_CNT8(x) ((x) << S_RD_DATA_512B_FIFO_CNT8) +#define G_RD_DATA_512B_FIFO_CNT8(x) (((x) >> S_RD_DATA_512B_FIFO_CNT8) & M_RD_DATA_512B_FIFO_CNT8) + +#define S_RD_REQ_TAG_FIFO_CNT8 1 +#define M_RD_REQ_TAG_FIFO_CNT8 0xfU +#define V_RD_REQ_TAG_FIFO_CNT8(x) ((x) << S_RD_REQ_TAG_FIFO_CNT8) +#define G_RD_REQ_TAG_FIFO_CNT8(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT8) & M_RD_REQ_TAG_FIFO_CNT8) + +#define A_MA_PCIE_CLIENT_INTERFACE_INTERNAL_REG0 0xa409 + +#define S_CMD_IN_FIFO_CNT9 30 +#define M_CMD_IN_FIFO_CNT9 0x3U +#define V_CMD_IN_FIFO_CNT9(x) ((x) << S_CMD_IN_FIFO_CNT9) +#define G_CMD_IN_FIFO_CNT9(x) (((x) >> S_CMD_IN_FIFO_CNT9) & M_CMD_IN_FIFO_CNT9) + +#define S_CMD_SPLIT_FIFO_CNT9 28 +#define M_CMD_SPLIT_FIFO_CNT9 0x3U +#define V_CMD_SPLIT_FIFO_CNT9(x) ((x) << S_CMD_SPLIT_FIFO_CNT9) +#define G_CMD_SPLIT_FIFO_CNT9(x) (((x) >> S_CMD_SPLIT_FIFO_CNT9) & M_CMD_SPLIT_FIFO_CNT9) + +#define S_CMD_THROTTLE_FIFO_CNT9 22 +#define M_CMD_THROTTLE_FIFO_CNT9 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT9(x) ((x) << S_CMD_THROTTLE_FIFO_CNT9) +#define G_CMD_THROTTLE_FIFO_CNT9(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT9) & M_CMD_THROTTLE_FIFO_CNT9) + +#define S_RD_CHNL_FIFO_CNT9 15 +#define M_RD_CHNL_FIFO_CNT9 0x7fU +#define V_RD_CHNL_FIFO_CNT9(x) ((x) << S_RD_CHNL_FIFO_CNT9) +#define G_RD_CHNL_FIFO_CNT9(x) (((x) >> S_RD_CHNL_FIFO_CNT9) & M_RD_CHNL_FIFO_CNT9) + +#define S_RD_DATA_EXT_FIFO_CNT9 13 +#define M_RD_DATA_EXT_FIFO_CNT9 0x3U +#define V_RD_DATA_EXT_FIFO_CNT9(x) ((x) << S_RD_DATA_EXT_FIFO_CNT9) +#define G_RD_DATA_EXT_FIFO_CNT9(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT9) & M_RD_DATA_EXT_FIFO_CNT9) + +#define S_RD_DATA_512B_FIFO_CNT9 5 +#define M_RD_DATA_512B_FIFO_CNT9 0xffU +#define V_RD_DATA_512B_FIFO_CNT9(x) ((x) << S_RD_DATA_512B_FIFO_CNT9) +#define G_RD_DATA_512B_FIFO_CNT9(x) (((x) >> S_RD_DATA_512B_FIFO_CNT9) & M_RD_DATA_512B_FIFO_CNT9) + +#define S_RD_REQ_TAG_FIFO_CNT9 1 +#define M_RD_REQ_TAG_FIFO_CNT9 0xfU +#define V_RD_REQ_TAG_FIFO_CNT9(x) ((x) << S_RD_REQ_TAG_FIFO_CNT9) +#define G_RD_REQ_TAG_FIFO_CNT9(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT9) & M_RD_REQ_TAG_FIFO_CNT9) + +#define A_MA_PM_TX_CLIENT_INTERFACE_INTERNAL_REG0 0xa40a + +#define S_CMD_IN_FIFO_CNT10 30 +#define M_CMD_IN_FIFO_CNT10 0x3U +#define V_CMD_IN_FIFO_CNT10(x) ((x) << S_CMD_IN_FIFO_CNT10) +#define G_CMD_IN_FIFO_CNT10(x) (((x) >> S_CMD_IN_FIFO_CNT10) & M_CMD_IN_FIFO_CNT10) + +#define S_CMD_SPLIT_FIFO_CNT10 28 +#define M_CMD_SPLIT_FIFO_CNT10 0x3U +#define V_CMD_SPLIT_FIFO_CNT10(x) ((x) << S_CMD_SPLIT_FIFO_CNT10) +#define G_CMD_SPLIT_FIFO_CNT10(x) (((x) >> S_CMD_SPLIT_FIFO_CNT10) & M_CMD_SPLIT_FIFO_CNT10) + +#define S_CMD_THROTTLE_FIFO_CNT10 22 +#define M_CMD_THROTTLE_FIFO_CNT10 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT10(x) ((x) << S_CMD_THROTTLE_FIFO_CNT10) +#define G_CMD_THROTTLE_FIFO_CNT10(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT10) & M_CMD_THROTTLE_FIFO_CNT10) + +#define S_RD_CHNL_FIFO_CNT10 15 +#define M_RD_CHNL_FIFO_CNT10 0x7fU +#define V_RD_CHNL_FIFO_CNT10(x) ((x) << S_RD_CHNL_FIFO_CNT10) +#define G_RD_CHNL_FIFO_CNT10(x) (((x) >> S_RD_CHNL_FIFO_CNT10) & M_RD_CHNL_FIFO_CNT10) + +#define S_RD_DATA_EXT_FIFO_CNT10 13 +#define M_RD_DATA_EXT_FIFO_CNT10 0x3U +#define V_RD_DATA_EXT_FIFO_CNT10(x) ((x) << S_RD_DATA_EXT_FIFO_CNT10) +#define G_RD_DATA_EXT_FIFO_CNT10(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT10) & M_RD_DATA_EXT_FIFO_CNT10) + +#define S_RD_DATA_512B_FIFO_CNT10 5 +#define M_RD_DATA_512B_FIFO_CNT10 0xffU +#define V_RD_DATA_512B_FIFO_CNT10(x) ((x) << S_RD_DATA_512B_FIFO_CNT10) +#define G_RD_DATA_512B_FIFO_CNT10(x) (((x) >> S_RD_DATA_512B_FIFO_CNT10) & M_RD_DATA_512B_FIFO_CNT10) + +#define S_RD_REQ_TAG_FIFO_CNT10 1 +#define M_RD_REQ_TAG_FIFO_CNT10 0xfU +#define V_RD_REQ_TAG_FIFO_CNT10(x) ((x) << S_RD_REQ_TAG_FIFO_CNT10) +#define G_RD_REQ_TAG_FIFO_CNT10(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT10) & M_RD_REQ_TAG_FIFO_CNT10) + +#define A_MA_PM_RX_CLIENT_INTERFACE_INTERNAL_REG0 0xa40b + +#define S_CMD_IN_FIFO_CNT11 30 +#define M_CMD_IN_FIFO_CNT11 0x3U +#define V_CMD_IN_FIFO_CNT11(x) ((x) << S_CMD_IN_FIFO_CNT11) +#define G_CMD_IN_FIFO_CNT11(x) (((x) >> S_CMD_IN_FIFO_CNT11) & M_CMD_IN_FIFO_CNT11) + +#define S_CMD_SPLIT_FIFO_CNT11 28 +#define M_CMD_SPLIT_FIFO_CNT11 0x3U +#define V_CMD_SPLIT_FIFO_CNT11(x) ((x) << S_CMD_SPLIT_FIFO_CNT11) +#define G_CMD_SPLIT_FIFO_CNT11(x) (((x) >> S_CMD_SPLIT_FIFO_CNT11) & M_CMD_SPLIT_FIFO_CNT11) + +#define S_CMD_THROTTLE_FIFO_CNT11 22 +#define M_CMD_THROTTLE_FIFO_CNT11 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT11(x) ((x) << S_CMD_THROTTLE_FIFO_CNT11) +#define G_CMD_THROTTLE_FIFO_CNT11(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT11) & M_CMD_THROTTLE_FIFO_CNT11) + +#define S_RD_CHNL_FIFO_CNT11 15 +#define M_RD_CHNL_FIFO_CNT11 0x7fU +#define V_RD_CHNL_FIFO_CNT11(x) ((x) << S_RD_CHNL_FIFO_CNT11) +#define G_RD_CHNL_FIFO_CNT11(x) (((x) >> S_RD_CHNL_FIFO_CNT11) & M_RD_CHNL_FIFO_CNT11) + +#define S_RD_DATA_EXT_FIFO_CNT11 13 +#define M_RD_DATA_EXT_FIFO_CNT11 0x3U +#define V_RD_DATA_EXT_FIFO_CNT11(x) ((x) << S_RD_DATA_EXT_FIFO_CNT11) +#define G_RD_DATA_EXT_FIFO_CNT11(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT11) & M_RD_DATA_EXT_FIFO_CNT11) + +#define S_RD_DATA_512B_FIFO_CNT11 5 +#define M_RD_DATA_512B_FIFO_CNT11 0xffU +#define V_RD_DATA_512B_FIFO_CNT11(x) ((x) << S_RD_DATA_512B_FIFO_CNT11) +#define G_RD_DATA_512B_FIFO_CNT11(x) (((x) >> S_RD_DATA_512B_FIFO_CNT11) & M_RD_DATA_512B_FIFO_CNT11) + +#define S_RD_REQ_TAG_FIFO_CNT11 1 +#define M_RD_REQ_TAG_FIFO_CNT11 0xfU +#define V_RD_REQ_TAG_FIFO_CNT11(x) ((x) << S_RD_REQ_TAG_FIFO_CNT11) +#define G_RD_REQ_TAG_FIFO_CNT11(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT11) & M_RD_REQ_TAG_FIFO_CNT11) + +#define A_MA_HMA_CLIENT_INTERFACE_INTERNAL_REG0 0xa40c + +#define S_CMD_IN_FIFO_CNT12 30 +#define M_CMD_IN_FIFO_CNT12 0x3U +#define V_CMD_IN_FIFO_CNT12(x) ((x) << S_CMD_IN_FIFO_CNT12) +#define G_CMD_IN_FIFO_CNT12(x) (((x) >> S_CMD_IN_FIFO_CNT12) & M_CMD_IN_FIFO_CNT12) + +#define S_CMD_SPLIT_FIFO_CNT12 28 +#define M_CMD_SPLIT_FIFO_CNT12 0x3U +#define V_CMD_SPLIT_FIFO_CNT12(x) ((x) << S_CMD_SPLIT_FIFO_CNT12) +#define G_CMD_SPLIT_FIFO_CNT12(x) (((x) >> S_CMD_SPLIT_FIFO_CNT12) & M_CMD_SPLIT_FIFO_CNT12) + +#define S_CMD_THROTTLE_FIFO_CNT12 22 +#define M_CMD_THROTTLE_FIFO_CNT12 0x3fU +#define V_CMD_THROTTLE_FIFO_CNT12(x) ((x) << S_CMD_THROTTLE_FIFO_CNT12) +#define G_CMD_THROTTLE_FIFO_CNT12(x) (((x) >> S_CMD_THROTTLE_FIFO_CNT12) & M_CMD_THROTTLE_FIFO_CNT12) + +#define S_RD_CHNL_FIFO_CNT12 15 +#define M_RD_CHNL_FIFO_CNT12 0x7fU +#define V_RD_CHNL_FIFO_CNT12(x) ((x) << S_RD_CHNL_FIFO_CNT12) +#define G_RD_CHNL_FIFO_CNT12(x) (((x) >> S_RD_CHNL_FIFO_CNT12) & M_RD_CHNL_FIFO_CNT12) + +#define S_RD_DATA_EXT_FIFO_CNT12 13 +#define M_RD_DATA_EXT_FIFO_CNT12 0x3U +#define V_RD_DATA_EXT_FIFO_CNT12(x) ((x) << S_RD_DATA_EXT_FIFO_CNT12) +#define G_RD_DATA_EXT_FIFO_CNT12(x) (((x) >> S_RD_DATA_EXT_FIFO_CNT12) & M_RD_DATA_EXT_FIFO_CNT12) + +#define S_RD_DATA_512B_FIFO_CNT12 5 +#define M_RD_DATA_512B_FIFO_CNT12 0xffU +#define V_RD_DATA_512B_FIFO_CNT12(x) ((x) << S_RD_DATA_512B_FIFO_CNT12) +#define G_RD_DATA_512B_FIFO_CNT12(x) (((x) >> S_RD_DATA_512B_FIFO_CNT12) & M_RD_DATA_512B_FIFO_CNT12) + +#define S_RD_REQ_TAG_FIFO_CNT12 1 +#define M_RD_REQ_TAG_FIFO_CNT12 0xfU +#define V_RD_REQ_TAG_FIFO_CNT12(x) ((x) << S_RD_REQ_TAG_FIFO_CNT12) +#define G_RD_REQ_TAG_FIFO_CNT12(x) (((x) >> S_RD_REQ_TAG_FIFO_CNT12) & M_RD_REQ_TAG_FIFO_CNT12) + +#define A_MA_TARGET_0_ARBITER_INTERFACE_INTERNAL_REG0 0xa40d + +#define S_WR_DATA_FSM0 23 +#define V_WR_DATA_FSM0(x) ((x) << S_WR_DATA_FSM0) +#define F_WR_DATA_FSM0 V_WR_DATA_FSM0(1U) + +#define S_RD_DATA_FSM0 22 +#define V_RD_DATA_FSM0(x) ((x) << S_RD_DATA_FSM0) +#define F_RD_DATA_FSM0 V_RD_DATA_FSM0(1U) + +#define S_TGT_CMD_FIFO_CNT0 19 +#define M_TGT_CMD_FIFO_CNT0 0x7U +#define V_TGT_CMD_FIFO_CNT0(x) ((x) << S_TGT_CMD_FIFO_CNT0) +#define G_TGT_CMD_FIFO_CNT0(x) (((x) >> S_TGT_CMD_FIFO_CNT0) & M_TGT_CMD_FIFO_CNT0) + +#define S_CLNT_NUM_FIFO_CNT0 16 +#define M_CLNT_NUM_FIFO_CNT0 0x7U +#define V_CLNT_NUM_FIFO_CNT0(x) ((x) << S_CLNT_NUM_FIFO_CNT0) +#define G_CLNT_NUM_FIFO_CNT0(x) (((x) >> S_CLNT_NUM_FIFO_CNT0) & M_CLNT_NUM_FIFO_CNT0) + +#define S_WR_CMD_TAG_FIFO_CNT_TGT0 8 +#define M_WR_CMD_TAG_FIFO_CNT_TGT0 0xffU +#define V_WR_CMD_TAG_FIFO_CNT_TGT0(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT0) +#define G_WR_CMD_TAG_FIFO_CNT_TGT0(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT0) & M_WR_CMD_TAG_FIFO_CNT_TGT0) + +#define S_WR_DATA_512B_FIFO_CNT_TGT0 0 +#define M_WR_DATA_512B_FIFO_CNT_TGT0 0xffU +#define V_WR_DATA_512B_FIFO_CNT_TGT0(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT0) +#define G_WR_DATA_512B_FIFO_CNT_TGT0(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT0) & M_WR_DATA_512B_FIFO_CNT_TGT0) + +#define A_MA_TARGET_1_ARBITER_INTERFACE_INTERNAL_REG0 0xa40e + +#define S_WR_DATA_FSM1 23 +#define V_WR_DATA_FSM1(x) ((x) << S_WR_DATA_FSM1) +#define F_WR_DATA_FSM1 V_WR_DATA_FSM1(1U) + +#define S_RD_DATA_FSM1 22 +#define V_RD_DATA_FSM1(x) ((x) << S_RD_DATA_FSM1) +#define F_RD_DATA_FSM1 V_RD_DATA_FSM1(1U) + +#define S_TGT_CMD_FIFO_CNT1 19 +#define M_TGT_CMD_FIFO_CNT1 0x7U +#define V_TGT_CMD_FIFO_CNT1(x) ((x) << S_TGT_CMD_FIFO_CNT1) +#define G_TGT_CMD_FIFO_CNT1(x) (((x) >> S_TGT_CMD_FIFO_CNT1) & M_TGT_CMD_FIFO_CNT1) + +#define S_CLNT_NUM_FIFO_CNT1 16 +#define M_CLNT_NUM_FIFO_CNT1 0x7U +#define V_CLNT_NUM_FIFO_CNT1(x) ((x) << S_CLNT_NUM_FIFO_CNT1) +#define G_CLNT_NUM_FIFO_CNT1(x) (((x) >> S_CLNT_NUM_FIFO_CNT1) & M_CLNT_NUM_FIFO_CNT1) + +#define S_WR_CMD_TAG_FIFO_CNT_TGT1 8 +#define M_WR_CMD_TAG_FIFO_CNT_TGT1 0xffU +#define V_WR_CMD_TAG_FIFO_CNT_TGT1(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT1) +#define G_WR_CMD_TAG_FIFO_CNT_TGT1(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT1) & M_WR_CMD_TAG_FIFO_CNT_TGT1) + +#define S_WR_DATA_512B_FIFO_CNT_TGT1 0 +#define M_WR_DATA_512B_FIFO_CNT_TGT1 0xffU +#define V_WR_DATA_512B_FIFO_CNT_TGT1(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT1) +#define G_WR_DATA_512B_FIFO_CNT_TGT1(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT1) & M_WR_DATA_512B_FIFO_CNT_TGT1) + +#define A_MA_TARGET_2_ARBITER_INTERFACE_INTERNAL_REG0 0xa40f + +#define S_WR_DATA_FSM2 23 +#define V_WR_DATA_FSM2(x) ((x) << S_WR_DATA_FSM2) +#define F_WR_DATA_FSM2 V_WR_DATA_FSM2(1U) + +#define S_RD_DATA_FSM2 22 +#define V_RD_DATA_FSM2(x) ((x) << S_RD_DATA_FSM2) +#define F_RD_DATA_FSM2 V_RD_DATA_FSM2(1U) + +#define S_TGT_CMD_FIFO_CNT2 19 +#define M_TGT_CMD_FIFO_CNT2 0x7U +#define V_TGT_CMD_FIFO_CNT2(x) ((x) << S_TGT_CMD_FIFO_CNT2) +#define G_TGT_CMD_FIFO_CNT2(x) (((x) >> S_TGT_CMD_FIFO_CNT2) & M_TGT_CMD_FIFO_CNT2) + +#define S_CLNT_NUM_FIFO_CNT2 16 +#define M_CLNT_NUM_FIFO_CNT2 0x7U +#define V_CLNT_NUM_FIFO_CNT2(x) ((x) << S_CLNT_NUM_FIFO_CNT2) +#define G_CLNT_NUM_FIFO_CNT2(x) (((x) >> S_CLNT_NUM_FIFO_CNT2) & M_CLNT_NUM_FIFO_CNT2) + +#define S_WR_CMD_TAG_FIFO_CNT_TGT2 8 +#define M_WR_CMD_TAG_FIFO_CNT_TGT2 0xffU +#define V_WR_CMD_TAG_FIFO_CNT_TGT2(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT2) +#define G_WR_CMD_TAG_FIFO_CNT_TGT2(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT2) & M_WR_CMD_TAG_FIFO_CNT_TGT2) + +#define S_WR_DATA_512B_FIFO_CNT_TGT2 0 +#define M_WR_DATA_512B_FIFO_CNT_TGT2 0xffU +#define V_WR_DATA_512B_FIFO_CNT_TGT2(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT2) +#define G_WR_DATA_512B_FIFO_CNT_TGT2(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT2) & M_WR_DATA_512B_FIFO_CNT_TGT2) + +#define A_MA_TARGET_3_ARBITER_INTERFACE_INTERNAL_REG0 0xa410 + +#define S_WR_DATA_FSM3 23 +#define V_WR_DATA_FSM3(x) ((x) << S_WR_DATA_FSM3) +#define F_WR_DATA_FSM3 V_WR_DATA_FSM3(1U) + +#define S_RD_DATA_FSM3 22 +#define V_RD_DATA_FSM3(x) ((x) << S_RD_DATA_FSM3) +#define F_RD_DATA_FSM3 V_RD_DATA_FSM3(1U) + +#define S_TGT_CMD_FIFO_CNT3 19 +#define M_TGT_CMD_FIFO_CNT3 0x7U +#define V_TGT_CMD_FIFO_CNT3(x) ((x) << S_TGT_CMD_FIFO_CNT3) +#define G_TGT_CMD_FIFO_CNT3(x) (((x) >> S_TGT_CMD_FIFO_CNT3) & M_TGT_CMD_FIFO_CNT3) + +#define S_CLNT_NUM_FIFO_CNT3 16 +#define M_CLNT_NUM_FIFO_CNT3 0x7U +#define V_CLNT_NUM_FIFO_CNT3(x) ((x) << S_CLNT_NUM_FIFO_CNT3) +#define G_CLNT_NUM_FIFO_CNT3(x) (((x) >> S_CLNT_NUM_FIFO_CNT3) & M_CLNT_NUM_FIFO_CNT3) + +#define S_WR_CMD_TAG_FIFO_CNT_TGT3 8 +#define M_WR_CMD_TAG_FIFO_CNT_TGT3 0xffU +#define V_WR_CMD_TAG_FIFO_CNT_TGT3(x) ((x) << S_WR_CMD_TAG_FIFO_CNT_TGT3) +#define G_WR_CMD_TAG_FIFO_CNT_TGT3(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT_TGT3) & M_WR_CMD_TAG_FIFO_CNT_TGT3) + +#define S_WR_DATA_512B_FIFO_CNT_TGT 0 +#define M_WR_DATA_512B_FIFO_CNT_TGT 0xffU +#define V_WR_DATA_512B_FIFO_CNT_TGT(x) ((x) << S_WR_DATA_512B_FIFO_CNT_TGT) +#define G_WR_DATA_512B_FIFO_CNT_TGT(x) (((x) >> S_WR_DATA_512B_FIFO_CNT_TGT) & M_WR_DATA_512B_FIFO_CNT_TGT) + +#define A_MA_SGE_THREAD_0_CLNT_EXP_RD_CYC_CNT_LO 0xa412 +#define A_MA_SGE_THREAD_1_CLNT_EXP_RD_CYC_CNT_LO 0xa413 +#define A_MA_ULP_TX_CLNT_EXP_RD_CYC_CNT_LO 0xa414 +#define A_MA_ULP_RX_CLNT_EXP_RD_CYC_CNT_LO 0xa415 +#define A_MA_ULP_TX_RX_CLNT_EXP_RD_CYC_CNT_LO 0xa416 +#define A_MA_TP_THREAD_0_CLNT_EXP_RD_CYC_CNT_LO 0xa417 +#define A_MA_TP_THREAD_1_CLNT_EXP_RD_CYC_CNT_LO 0xa418 +#define A_MA_LE_CLNT_EXP_RD_CYC_CNT_LO 0xa419 +#define A_MA_CIM_CLNT_EXP_RD_CYC_CNT_LO 0xa41a +#define A_MA_PCIE_CLNT_EXP_RD_CYC_CNT_LO 0xa41b +#define A_MA_PM_TX_CLNT_EXP_RD_CYC_CNT_LO 0xa41c +#define A_MA_PM_RX_CLNT_EXP_RD_CYC_CNT_LO 0xa41d +#define A_MA_HMA_CLNT_EXP_RD_CYC_CNT_LO 0xa41e +#define A_T6_MA_EDRAM0_WRDATA_CNT1 0xa800 +#define A_T6_MA_EDRAM0_WRDATA_CNT0 0xa801 +#define A_T6_MA_EDRAM1_WRDATA_CNT1 0xa802 +#define A_T6_MA_EDRAM1_WRDATA_CNT0 0xa803 +#define A_T6_MA_EXT_MEMORY0_WRDATA_CNT1 0xa804 +#define A_T6_MA_EXT_MEMORY0_WRDATA_CNT0 0xa805 +#define A_T6_MA_HOST_MEMORY_WRDATA_CNT1 0xa806 +#define A_T6_MA_HOST_MEMORY_WRDATA_CNT0 0xa807 +#define A_T6_MA_EXT_MEMORY1_WRDATA_CNT1 0xa808 +#define A_T6_MA_EXT_MEMORY1_WRDATA_CNT0 0xa809 +#define A_T6_MA_EDRAM0_RDDATA_CNT1 0xa80a +#define A_T6_MA_EDRAM0_RDDATA_CNT0 0xa80b +#define A_T6_MA_EDRAM1_RDDATA_CNT1 0xa80c +#define A_T6_MA_EDRAM1_RDDATA_CNT0 0xa80d +#define A_T6_MA_EXT_MEMORY0_RDDATA_CNT1 0xa80e +#define A_T6_MA_EXT_MEMORY0_RDDATA_CNT0 0xa80f +#define A_T6_MA_HOST_MEMORY_RDDATA_CNT1 0xa810 +#define A_T6_MA_HOST_MEMORY_RDDATA_CNT0 0xa811 +#define A_T6_MA_EXT_MEMORY1_RDDATA_CNT1 0xa812 +#define A_T6_MA_EXT_MEMORY1_RDDATA_CNT0 0xa813 +#define A_MA_SGE_THREAD_0_CLNT_ACT_WR_CYC_CNT_HI 0xac00 +#define A_MA_SGE_THREAD_0_CLNT_ACT_WR_CYC_CNT_LO 0xac01 +#define A_MA_SGE_THREAD_1_CLNT_ACT_WR_CYC_CNT_HI 0xac02 +#define A_MA_SGE_THREAD_1_CLNT_ACT_WR_CYC_CNT_LO 0xac03 +#define A_MA_ULP_TX_CLNT_ACT_WR_CYC_CNT_HI 0xac04 +#define A_MA_ULP_TX_CLNT_ACT_WR_CYC_CNT_LO 0xac05 +#define A_MA_ULP_RX_CLNT_ACT_WR_CYC_CNT_HI 0xac06 +#define A_MA_ULP_RX_CLNT_ACT_WR_CYC_CNT_LO 0xac07 +#define A_MA_ULP_TX_RX_CLNT_ACT_WR_CYC_CNT_HI 0xac08 +#define A_MA_ULP_TX_RX_CLNT_ACT_WR_CYC_CNT_LO 0xac09 +#define A_MA_TP_THREAD_0_CLNT_ACT_WR_CYC_CNT_HI 0xac0a +#define A_MA_TP_THREAD_0_CLNT_ACT_WR_CYC_CNT_LO 0xac0b +#define A_MA_TP_THREAD_1_CLNT_ACT_WR_CYC_CNT_HI 0xac0c +#define A_MA_TP_THREAD_1_CLNT_ACT_WR_CYC_CNT_LO 0xac0d +#define A_MA_LE_CLNT_ACT_WR_CYC_CNT_HI 0xac0e +#define A_MA_LE_CLNT_ACT_WR_CYC_CNT_LO 0xac0f +#define A_MA_CIM_CLNT_ACT_WR_CYC_CNT_HI 0xac10 +#define A_MA_CIM_CLNT_ACT_WR_CYC_CNT_LO 0xac11 +#define A_MA_PCIE_CLNT_ACT_WR_CYC_CNT_HI 0xac12 +#define A_MA_PCIE_CLNT_ACT_WR_CYC_CNT_LO 0xac13 +#define A_MA_PM_TX_CLNT_ACT_WR_CYC_CNT_HI 0xac14 +#define A_MA_PM_TX_CLNT_ACT_WR_CYC_CNT_LO 0xac15 +#define A_MA_PM_RX_CLNT_ACT_WR_CYC_CNT_HI 0xac16 +#define A_MA_PM_RX_CLNT_ACT_WR_CYC_CNT_LO 0xac17 +#define A_MA_HMA_CLNT_ACT_WR_CYC_CNT_HI 0xac18 +#define A_MA_HMA_CLNT_ACT_WR_CYC_CNT_LO 0xac19 +#define A_MA_SGE_THREAD_0_CLNT_WR_REQ_CNT 0xb000 +#define A_MA_SGE_THREAD_1_CLNT_WR_REQ_CNT 0xb001 +#define A_MA_ULP_TX_CLNT_WR_REQ_CNT 0xb002 +#define A_MA_ULP_RX_CLNT_WR_REQ_CNT 0xb003 +#define A_MA_ULP_TX_RX_CLNT_WR_REQ_CNT 0xb004 +#define A_MA_TP_THREAD_0_CLNT_WR_REQ_CNT 0xb005 +#define A_MA_TP_THREAD_1_CLNT_WR_REQ_CNT 0xb006 +#define A_MA_LE_CLNT_WR_REQ_CNT 0xb007 +#define A_MA_CIM_CLNT_WR_REQ_CNT 0xb008 +#define A_MA_PCIE_CLNT_WR_REQ_CNT 0xb009 +#define A_MA_PM_TX_CLNT_WR_REQ_CNT 0xb00a +#define A_MA_PM_RX_CLNT_WR_REQ_CNT 0xb00b +#define A_MA_HMA_CLNT_WR_REQ_CNT 0xb00c +#define A_MA_SGE_THREAD_0_CLNT_RD_REQ_CNT 0xb00d +#define A_MA_SGE_THREAD_1_CLNT_RD_REQ_CNT 0xb00e +#define A_MA_ULP_TX_CLNT_RD_REQ_CNT 0xb00f +#define A_MA_ULP_RX_CLNT_RD_REQ_CNT 0xb010 +#define A_MA_ULP_TX_RX_CLNT_RD_REQ_CNT 0xb011 +#define A_MA_TP_THREAD_0_CLNT_RD_REQ_CNT 0xb012 +#define A_MA_TP_THREAD_1_CLNT_RD_REQ_CNT 0xb013 +#define A_MA_LE_CLNT_RD_REQ_CNT 0xb014 +#define A_MA_CIM_CLNT_RD_REQ_CNT 0xb015 +#define A_MA_PCIE_CLNT_RD_REQ_CNT 0xb016 +#define A_MA_PM_TX_CLNT_RD_REQ_CNT 0xb017 +#define A_MA_PM_RX_CLNT_RD_REQ_CNT 0xb018 +#define A_MA_HMA_CLNT_RD_REQ_CNT 0xb019 +#define A_MA_SGE_THREAD_0_CLNT_EXP_RD_CYC_CNT_HI 0xb400 +#define A_MA_SGE_THREAD_1_CLNT_EXP_RD_CYC_CNT_HI 0xb401 +#define A_MA_ULP_TX_CLNT_EXP_RD_CYC_CNT_HI 0xb402 +#define A_MA_ULP_RX_CLNT_EXP_RD_CYC_CNT_HI 0xb403 +#define A_MA_ULP_TX_RX_CLNT_EXP_RD_CYC_CNT_HI 0xb404 +#define A_MA_TP_THREAD_0_CLNT_EXP_RD_CYC_CNT_HI 0xb405 +#define A_MA_TP_THREAD_1_CLNT_EXP_RD_CYC_CNT_HI 0xb406 +#define A_MA_LE_CLNT_EXP_RD_CYC_CNT_HI 0xb407 +#define A_MA_CIM_CLNT_EXP_RD_CYC_CNT_HI 0xb408 +#define A_MA_PCIE_CLNT_EXP_RD_CYC_CNT_HI 0xb409 +#define A_MA_PM_TX_CLNT_EXP_RD_CYC_CNT_HI 0xb40a +#define A_MA_PM_RX_CLNT_EXP_RD_CYC_CNT_HI 0xb40b +#define A_MA_HMA_CLNT_EXP_RD_CYC_CNT_HI 0xb40c +#define A_MA_SGE_THREAD_0_CLNT_EXP_WR_CYC_CNT_HI 0xb40d +#define A_MA_SGE_THREAD_1_CLNT_EXP_WR_CYC_CNT_HI 0xb40e +#define A_MA_ULP_TX_CLNT_EXP_WR_CYC_CNT_HI 0xb40f +#define A_MA_ULP_RX_CLNT_EXP_WR_CYC_CNT_HI 0xb410 +#define A_MA_ULP_TX_RX_CLNT_EXP_WR_CYC_CNT_HI 0xb411 +#define A_MA_TP_THREAD_0_CLNT_EXP_WR_CYC_CNT_HI 0xb412 +#define A_MA_TP_THREAD_1_CLNT_EXP_WR_CYC_CNT_HI 0xb413 +#define A_MA_LE_CLNT_EXP_WR_CYC_CNT_HI 0xb414 +#define A_MA_CIM_CLNT_EXP_WR_CYC_CNT_HI 0xb415 +#define A_MA_PCIE_CLNT_EXP_WR_CYC_CNT_HI 0xb416 +#define A_MA_PM_TX_CLNT_EXP_WR_CYC_CNT_HI 0xb417 +#define A_MA_PM_RX_CLNT_EXP_WR_CYC_CNT_HI 0xb418 +#define A_MA_HMA_CLNT_EXP_WR_CYC_CNT_HI 0xb419 +#define A_MA_SGE_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG1 0xe400 + +#define S_WR_DATA_EXT_FIFO_CNT0 30 +#define M_WR_DATA_EXT_FIFO_CNT0 0x3U +#define V_WR_DATA_EXT_FIFO_CNT0(x) ((x) << S_WR_DATA_EXT_FIFO_CNT0) +#define G_WR_DATA_EXT_FIFO_CNT0(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT0) & M_WR_DATA_EXT_FIFO_CNT0) + +#define S_WR_CMD_TAG_FIFO_CNT0 26 +#define M_WR_CMD_TAG_FIFO_CNT0 0xfU +#define V_WR_CMD_TAG_FIFO_CNT0(x) ((x) << S_WR_CMD_TAG_FIFO_CNT0) +#define G_WR_CMD_TAG_FIFO_CNT0(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT0) & M_WR_CMD_TAG_FIFO_CNT0) + +#define S_WR_DATA_512B_FIFO_CNT0 18 +#define M_WR_DATA_512B_FIFO_CNT0 0xffU +#define V_WR_DATA_512B_FIFO_CNT0(x) ((x) << S_WR_DATA_512B_FIFO_CNT0) +#define G_WR_DATA_512B_FIFO_CNT0(x) (((x) >> S_WR_DATA_512B_FIFO_CNT0) & M_WR_DATA_512B_FIFO_CNT0) + +#define S_RD_DATA_ALIGN_FSM0 17 +#define V_RD_DATA_ALIGN_FSM0(x) ((x) << S_RD_DATA_ALIGN_FSM0) +#define F_RD_DATA_ALIGN_FSM0 V_RD_DATA_ALIGN_FSM0(1U) + +#define S_RD_DATA_FETCH_FSM0 16 +#define V_RD_DATA_FETCH_FSM0(x) ((x) << S_RD_DATA_FETCH_FSM0) +#define F_RD_DATA_FETCH_FSM0 V_RD_DATA_FETCH_FSM0(1U) + +#define S_COHERENCY_TX_FSM0 15 +#define V_COHERENCY_TX_FSM0(x) ((x) << S_COHERENCY_TX_FSM0) +#define F_COHERENCY_TX_FSM0 V_COHERENCY_TX_FSM0(1U) + +#define S_COHERENCY_RX_FSM0 14 +#define V_COHERENCY_RX_FSM0(x) ((x) << S_COHERENCY_RX_FSM0) +#define F_COHERENCY_RX_FSM0 V_COHERENCY_RX_FSM0(1U) + +#define S_ARB_REQ_FSM0 13 +#define V_ARB_REQ_FSM0(x) ((x) << S_ARB_REQ_FSM0) +#define F_ARB_REQ_FSM0 V_ARB_REQ_FSM0(1U) + +#define S_CMD_SPLIT_FSM0 10 +#define M_CMD_SPLIT_FSM0 0x7U +#define V_CMD_SPLIT_FSM0(x) ((x) << S_CMD_SPLIT_FSM0) +#define G_CMD_SPLIT_FSM0(x) (((x) >> S_CMD_SPLIT_FSM0) & M_CMD_SPLIT_FSM0) + +#define A_MA_SGE_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG1 0xe420 + +#define S_WR_DATA_EXT_FIFO_CNT1 30 +#define M_WR_DATA_EXT_FIFO_CNT1 0x3U +#define V_WR_DATA_EXT_FIFO_CNT1(x) ((x) << S_WR_DATA_EXT_FIFO_CNT1) +#define G_WR_DATA_EXT_FIFO_CNT1(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT1) & M_WR_DATA_EXT_FIFO_CNT1) + +#define S_WR_CMD_TAG_FIFO_CNT1 26 +#define M_WR_CMD_TAG_FIFO_CNT1 0xfU +#define V_WR_CMD_TAG_FIFO_CNT1(x) ((x) << S_WR_CMD_TAG_FIFO_CNT1) +#define G_WR_CMD_TAG_FIFO_CNT1(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT1) & M_WR_CMD_TAG_FIFO_CNT1) + +#define S_WR_DATA_512B_FIFO_CNT1 18 +#define M_WR_DATA_512B_FIFO_CNT1 0xffU +#define V_WR_DATA_512B_FIFO_CNT1(x) ((x) << S_WR_DATA_512B_FIFO_CNT1) +#define G_WR_DATA_512B_FIFO_CNT1(x) (((x) >> S_WR_DATA_512B_FIFO_CNT1) & M_WR_DATA_512B_FIFO_CNT1) + +#define S_RD_DATA_ALIGN_FSM1 17 +#define V_RD_DATA_ALIGN_FSM1(x) ((x) << S_RD_DATA_ALIGN_FSM1) +#define F_RD_DATA_ALIGN_FSM1 V_RD_DATA_ALIGN_FSM1(1U) + +#define S_RD_DATA_FETCH_FSM1 16 +#define V_RD_DATA_FETCH_FSM1(x) ((x) << S_RD_DATA_FETCH_FSM1) +#define F_RD_DATA_FETCH_FSM1 V_RD_DATA_FETCH_FSM1(1U) + +#define S_COHERENCY_TX_FSM1 15 +#define V_COHERENCY_TX_FSM1(x) ((x) << S_COHERENCY_TX_FSM1) +#define F_COHERENCY_TX_FSM1 V_COHERENCY_TX_FSM1(1U) + +#define S_COHERENCY_RX_FSM1 14 +#define V_COHERENCY_RX_FSM1(x) ((x) << S_COHERENCY_RX_FSM1) +#define F_COHERENCY_RX_FSM1 V_COHERENCY_RX_FSM1(1U) + +#define S_ARB_REQ_FSM1 13 +#define V_ARB_REQ_FSM1(x) ((x) << S_ARB_REQ_FSM1) +#define F_ARB_REQ_FSM1 V_ARB_REQ_FSM1(1U) + +#define S_CMD_SPLIT_FSM1 10 +#define M_CMD_SPLIT_FSM1 0x7U +#define V_CMD_SPLIT_FSM1(x) ((x) << S_CMD_SPLIT_FSM1) +#define G_CMD_SPLIT_FSM1(x) (((x) >> S_CMD_SPLIT_FSM1) & M_CMD_SPLIT_FSM1) + +#define A_MA_ULP_TX_CLIENT_INTERFACE_INTERNAL_REG1 0xe440 + +#define S_WR_DATA_EXT_FIFO_CNT2 30 +#define M_WR_DATA_EXT_FIFO_CNT2 0x3U +#define V_WR_DATA_EXT_FIFO_CNT2(x) ((x) << S_WR_DATA_EXT_FIFO_CNT2) +#define G_WR_DATA_EXT_FIFO_CNT2(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT2) & M_WR_DATA_EXT_FIFO_CNT2) + +#define S_WR_CMD_TAG_FIFO_CNT2 26 +#define M_WR_CMD_TAG_FIFO_CNT2 0xfU +#define V_WR_CMD_TAG_FIFO_CNT2(x) ((x) << S_WR_CMD_TAG_FIFO_CNT2) +#define G_WR_CMD_TAG_FIFO_CNT2(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT2) & M_WR_CMD_TAG_FIFO_CNT2) + +#define S_WR_DATA_512B_FIFO_CNT2 18 +#define M_WR_DATA_512B_FIFO_CNT2 0xffU +#define V_WR_DATA_512B_FIFO_CNT2(x) ((x) << S_WR_DATA_512B_FIFO_CNT2) +#define G_WR_DATA_512B_FIFO_CNT2(x) (((x) >> S_WR_DATA_512B_FIFO_CNT2) & M_WR_DATA_512B_FIFO_CNT2) + +#define S_RD_DATA_ALIGN_FSM2 17 +#define V_RD_DATA_ALIGN_FSM2(x) ((x) << S_RD_DATA_ALIGN_FSM2) +#define F_RD_DATA_ALIGN_FSM2 V_RD_DATA_ALIGN_FSM2(1U) + +#define S_RD_DATA_FETCH_FSM2 16 +#define V_RD_DATA_FETCH_FSM2(x) ((x) << S_RD_DATA_FETCH_FSM2) +#define F_RD_DATA_FETCH_FSM2 V_RD_DATA_FETCH_FSM2(1U) + +#define S_COHERENCY_TX_FSM2 15 +#define V_COHERENCY_TX_FSM2(x) ((x) << S_COHERENCY_TX_FSM2) +#define F_COHERENCY_TX_FSM2 V_COHERENCY_TX_FSM2(1U) + +#define S_COHERENCY_RX_FSM2 14 +#define V_COHERENCY_RX_FSM2(x) ((x) << S_COHERENCY_RX_FSM2) +#define F_COHERENCY_RX_FSM2 V_COHERENCY_RX_FSM2(1U) + +#define S_ARB_REQ_FSM2 13 +#define V_ARB_REQ_FSM2(x) ((x) << S_ARB_REQ_FSM2) +#define F_ARB_REQ_FSM2 V_ARB_REQ_FSM2(1U) + +#define S_CMD_SPLIT_FSM2 10 +#define M_CMD_SPLIT_FSM2 0x7U +#define V_CMD_SPLIT_FSM2(x) ((x) << S_CMD_SPLIT_FSM2) +#define G_CMD_SPLIT_FSM2(x) (((x) >> S_CMD_SPLIT_FSM2) & M_CMD_SPLIT_FSM2) + +#define A_MA_ULP_RX_CLIENT_INTERFACE_INTERNAL_REG1 0xe460 + +#define S_WR_DATA_EXT_FIFO_CNT3 30 +#define M_WR_DATA_EXT_FIFO_CNT3 0x3U +#define V_WR_DATA_EXT_FIFO_CNT3(x) ((x) << S_WR_DATA_EXT_FIFO_CNT3) +#define G_WR_DATA_EXT_FIFO_CNT3(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT3) & M_WR_DATA_EXT_FIFO_CNT3) + +#define S_WR_CMD_TAG_FIFO_CNT3 26 +#define M_WR_CMD_TAG_FIFO_CNT3 0xfU +#define V_WR_CMD_TAG_FIFO_CNT3(x) ((x) << S_WR_CMD_TAG_FIFO_CNT3) +#define G_WR_CMD_TAG_FIFO_CNT3(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT3) & M_WR_CMD_TAG_FIFO_CNT3) + +#define S_WR_DATA_512B_FIFO_CNT3 18 +#define M_WR_DATA_512B_FIFO_CNT3 0xffU +#define V_WR_DATA_512B_FIFO_CNT3(x) ((x) << S_WR_DATA_512B_FIFO_CNT3) +#define G_WR_DATA_512B_FIFO_CNT3(x) (((x) >> S_WR_DATA_512B_FIFO_CNT3) & M_WR_DATA_512B_FIFO_CNT3) + +#define S_RD_DATA_ALIGN_FSM3 17 +#define V_RD_DATA_ALIGN_FSM3(x) ((x) << S_RD_DATA_ALIGN_FSM3) +#define F_RD_DATA_ALIGN_FSM3 V_RD_DATA_ALIGN_FSM3(1U) + +#define S_RD_DATA_FETCH_FSM3 16 +#define V_RD_DATA_FETCH_FSM3(x) ((x) << S_RD_DATA_FETCH_FSM3) +#define F_RD_DATA_FETCH_FSM3 V_RD_DATA_FETCH_FSM3(1U) + +#define S_COHERENCY_TX_FSM3 15 +#define V_COHERENCY_TX_FSM3(x) ((x) << S_COHERENCY_TX_FSM3) +#define F_COHERENCY_TX_FSM3 V_COHERENCY_TX_FSM3(1U) + +#define S_COHERENCY_RX_FSM3 14 +#define V_COHERENCY_RX_FSM3(x) ((x) << S_COHERENCY_RX_FSM3) +#define F_COHERENCY_RX_FSM3 V_COHERENCY_RX_FSM3(1U) + +#define S_ARB_REQ_FSM3 13 +#define V_ARB_REQ_FSM3(x) ((x) << S_ARB_REQ_FSM3) +#define F_ARB_REQ_FSM3 V_ARB_REQ_FSM3(1U) + +#define S_CMD_SPLIT_FSM3 10 +#define M_CMD_SPLIT_FSM3 0x7U +#define V_CMD_SPLIT_FSM3(x) ((x) << S_CMD_SPLIT_FSM3) +#define G_CMD_SPLIT_FSM3(x) (((x) >> S_CMD_SPLIT_FSM3) & M_CMD_SPLIT_FSM3) + +#define A_MA_ULP_TX_RX_CLIENT_INTERFACE_INTERNAL_REG1 0xe480 + +#define S_WR_DATA_EXT_FIFO_CNT4 30 +#define M_WR_DATA_EXT_FIFO_CNT4 0x3U +#define V_WR_DATA_EXT_FIFO_CNT4(x) ((x) << S_WR_DATA_EXT_FIFO_CNT4) +#define G_WR_DATA_EXT_FIFO_CNT4(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT4) & M_WR_DATA_EXT_FIFO_CNT4) + +#define S_WR_CMD_TAG_FIFO_CNT4 26 +#define M_WR_CMD_TAG_FIFO_CNT4 0xfU +#define V_WR_CMD_TAG_FIFO_CNT4(x) ((x) << S_WR_CMD_TAG_FIFO_CNT4) +#define G_WR_CMD_TAG_FIFO_CNT4(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT4) & M_WR_CMD_TAG_FIFO_CNT4) + +#define S_WR_DATA_512B_FIFO_CNT4 18 +#define M_WR_DATA_512B_FIFO_CNT4 0xffU +#define V_WR_DATA_512B_FIFO_CNT4(x) ((x) << S_WR_DATA_512B_FIFO_CNT4) +#define G_WR_DATA_512B_FIFO_CNT4(x) (((x) >> S_WR_DATA_512B_FIFO_CNT4) & M_WR_DATA_512B_FIFO_CNT4) + +#define S_RD_DATA_ALIGN_FSM4 17 +#define V_RD_DATA_ALIGN_FSM4(x) ((x) << S_RD_DATA_ALIGN_FSM4) +#define F_RD_DATA_ALIGN_FSM4 V_RD_DATA_ALIGN_FSM4(1U) + +#define S_RD_DATA_FETCH_FSM4 16 +#define V_RD_DATA_FETCH_FSM4(x) ((x) << S_RD_DATA_FETCH_FSM4) +#define F_RD_DATA_FETCH_FSM4 V_RD_DATA_FETCH_FSM4(1U) + +#define S_COHERENCY_TX_FSM4 15 +#define V_COHERENCY_TX_FSM4(x) ((x) << S_COHERENCY_TX_FSM4) +#define F_COHERENCY_TX_FSM4 V_COHERENCY_TX_FSM4(1U) + +#define S_COHERENCY_RX_FSM4 14 +#define V_COHERENCY_RX_FSM4(x) ((x) << S_COHERENCY_RX_FSM4) +#define F_COHERENCY_RX_FSM4 V_COHERENCY_RX_FSM4(1U) + +#define S_ARB_REQ_FSM4 13 +#define V_ARB_REQ_FSM4(x) ((x) << S_ARB_REQ_FSM4) +#define F_ARB_REQ_FSM4 V_ARB_REQ_FSM4(1U) + +#define S_CMD_SPLIT_FSM4 10 +#define M_CMD_SPLIT_FSM4 0x7U +#define V_CMD_SPLIT_FSM4(x) ((x) << S_CMD_SPLIT_FSM4) +#define G_CMD_SPLIT_FSM4(x) (((x) >> S_CMD_SPLIT_FSM4) & M_CMD_SPLIT_FSM4) + +#define A_MA_TP_THREAD_0_CLIENT_INTERFACE_INTERNAL_REG1 0xe4a0 + +#define S_WR_DATA_EXT_FIFO_CNT5 30 +#define M_WR_DATA_EXT_FIFO_CNT5 0x3U +#define V_WR_DATA_EXT_FIFO_CNT5(x) ((x) << S_WR_DATA_EXT_FIFO_CNT5) +#define G_WR_DATA_EXT_FIFO_CNT5(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT5) & M_WR_DATA_EXT_FIFO_CNT5) + +#define S_WR_CMD_TAG_FIFO_CNT5 26 +#define M_WR_CMD_TAG_FIFO_CNT5 0xfU +#define V_WR_CMD_TAG_FIFO_CNT5(x) ((x) << S_WR_CMD_TAG_FIFO_CNT5) +#define G_WR_CMD_TAG_FIFO_CNT5(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT5) & M_WR_CMD_TAG_FIFO_CNT5) + +#define S_WR_DATA_512B_FIFO_CNT5 18 +#define M_WR_DATA_512B_FIFO_CNT5 0xffU +#define V_WR_DATA_512B_FIFO_CNT5(x) ((x) << S_WR_DATA_512B_FIFO_CNT5) +#define G_WR_DATA_512B_FIFO_CNT5(x) (((x) >> S_WR_DATA_512B_FIFO_CNT5) & M_WR_DATA_512B_FIFO_CNT5) + +#define S_RD_DATA_ALIGN_FSM5 17 +#define V_RD_DATA_ALIGN_FSM5(x) ((x) << S_RD_DATA_ALIGN_FSM5) +#define F_RD_DATA_ALIGN_FSM5 V_RD_DATA_ALIGN_FSM5(1U) + +#define S_RD_DATA_FETCH_FSM5 16 +#define V_RD_DATA_FETCH_FSM5(x) ((x) << S_RD_DATA_FETCH_FSM5) +#define F_RD_DATA_FETCH_FSM5 V_RD_DATA_FETCH_FSM5(1U) + +#define S_COHERENCY_TX_FSM5 15 +#define V_COHERENCY_TX_FSM5(x) ((x) << S_COHERENCY_TX_FSM5) +#define F_COHERENCY_TX_FSM5 V_COHERENCY_TX_FSM5(1U) + +#define S_COHERENCY_RX_FSM5 14 +#define V_COHERENCY_RX_FSM5(x) ((x) << S_COHERENCY_RX_FSM5) +#define F_COHERENCY_RX_FSM5 V_COHERENCY_RX_FSM5(1U) + +#define S_ARB_REQ_FSM5 13 +#define V_ARB_REQ_FSM5(x) ((x) << S_ARB_REQ_FSM5) +#define F_ARB_REQ_FSM5 V_ARB_REQ_FSM5(1U) + +#define S_CMD_SPLIT_FSM5 10 +#define M_CMD_SPLIT_FSM5 0x7U +#define V_CMD_SPLIT_FSM5(x) ((x) << S_CMD_SPLIT_FSM5) +#define G_CMD_SPLIT_FSM5(x) (((x) >> S_CMD_SPLIT_FSM5) & M_CMD_SPLIT_FSM5) + +#define A_MA_TP_THREAD_1_CLIENT_INTERFACE_INTERNAL_REG1 0xe4c0 + +#define S_WR_DATA_EXT_FIFO_CNT6 30 +#define M_WR_DATA_EXT_FIFO_CNT6 0x3U +#define V_WR_DATA_EXT_FIFO_CNT6(x) ((x) << S_WR_DATA_EXT_FIFO_CNT6) +#define G_WR_DATA_EXT_FIFO_CNT6(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT6) & M_WR_DATA_EXT_FIFO_CNT6) + +#define S_WR_CMD_TAG_FIFO_CNT6 26 +#define M_WR_CMD_TAG_FIFO_CNT6 0xfU +#define V_WR_CMD_TAG_FIFO_CNT6(x) ((x) << S_WR_CMD_TAG_FIFO_CNT6) +#define G_WR_CMD_TAG_FIFO_CNT6(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT6) & M_WR_CMD_TAG_FIFO_CNT6) + +#define S_WR_DATA_512B_FIFO_CNT6 18 +#define M_WR_DATA_512B_FIFO_CNT6 0xffU +#define V_WR_DATA_512B_FIFO_CNT6(x) ((x) << S_WR_DATA_512B_FIFO_CNT6) +#define G_WR_DATA_512B_FIFO_CNT6(x) (((x) >> S_WR_DATA_512B_FIFO_CNT6) & M_WR_DATA_512B_FIFO_CNT6) + +#define S_RD_DATA_ALIGN_FSM6 17 +#define V_RD_DATA_ALIGN_FSM6(x) ((x) << S_RD_DATA_ALIGN_FSM6) +#define F_RD_DATA_ALIGN_FSM6 V_RD_DATA_ALIGN_FSM6(1U) + +#define S_RD_DATA_FETCH_FSM6 16 +#define V_RD_DATA_FETCH_FSM6(x) ((x) << S_RD_DATA_FETCH_FSM6) +#define F_RD_DATA_FETCH_FSM6 V_RD_DATA_FETCH_FSM6(1U) + +#define S_COHERENCY_TX_FSM6 15 +#define V_COHERENCY_TX_FSM6(x) ((x) << S_COHERENCY_TX_FSM6) +#define F_COHERENCY_TX_FSM6 V_COHERENCY_TX_FSM6(1U) + +#define S_COHERENCY_RX_FSM6 14 +#define V_COHERENCY_RX_FSM6(x) ((x) << S_COHERENCY_RX_FSM6) +#define F_COHERENCY_RX_FSM6 V_COHERENCY_RX_FSM6(1U) + +#define S_ARB_REQ_FSM6 13 +#define V_ARB_REQ_FSM6(x) ((x) << S_ARB_REQ_FSM6) +#define F_ARB_REQ_FSM6 V_ARB_REQ_FSM6(1U) + +#define S_CMD_SPLIT_FSM6 10 +#define M_CMD_SPLIT_FSM6 0x7U +#define V_CMD_SPLIT_FSM6(x) ((x) << S_CMD_SPLIT_FSM6) +#define G_CMD_SPLIT_FSM6(x) (((x) >> S_CMD_SPLIT_FSM6) & M_CMD_SPLIT_FSM6) + +#define A_MA_LE_CLIENT_INTERFACE_INTERNAL_REG1 0xe4e0 + +#define S_WR_DATA_EXT_FIFO_CNT7 30 +#define M_WR_DATA_EXT_FIFO_CNT7 0x3U +#define V_WR_DATA_EXT_FIFO_CNT7(x) ((x) << S_WR_DATA_EXT_FIFO_CNT7) +#define G_WR_DATA_EXT_FIFO_CNT7(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT7) & M_WR_DATA_EXT_FIFO_CNT7) + +#define S_WR_CMD_TAG_FIFO_CNT7 26 +#define M_WR_CMD_TAG_FIFO_CNT7 0xfU +#define V_WR_CMD_TAG_FIFO_CNT7(x) ((x) << S_WR_CMD_TAG_FIFO_CNT7) +#define G_WR_CMD_TAG_FIFO_CNT7(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT7) & M_WR_CMD_TAG_FIFO_CNT7) + +#define S_WR_DATA_512B_FIFO_CNT7 18 +#define M_WR_DATA_512B_FIFO_CNT7 0xffU +#define V_WR_DATA_512B_FIFO_CNT7(x) ((x) << S_WR_DATA_512B_FIFO_CNT7) +#define G_WR_DATA_512B_FIFO_CNT7(x) (((x) >> S_WR_DATA_512B_FIFO_CNT7) & M_WR_DATA_512B_FIFO_CNT7) + +#define S_RD_DATA_ALIGN_FSM7 17 +#define V_RD_DATA_ALIGN_FSM7(x) ((x) << S_RD_DATA_ALIGN_FSM7) +#define F_RD_DATA_ALIGN_FSM7 V_RD_DATA_ALIGN_FSM7(1U) + +#define S_RD_DATA_FETCH_FSM7 16 +#define V_RD_DATA_FETCH_FSM7(x) ((x) << S_RD_DATA_FETCH_FSM7) +#define F_RD_DATA_FETCH_FSM7 V_RD_DATA_FETCH_FSM7(1U) + +#define S_COHERENCY_TX_FSM7 15 +#define V_COHERENCY_TX_FSM7(x) ((x) << S_COHERENCY_TX_FSM7) +#define F_COHERENCY_TX_FSM7 V_COHERENCY_TX_FSM7(1U) + +#define S_COHERENCY_RX_FSM7 14 +#define V_COHERENCY_RX_FSM7(x) ((x) << S_COHERENCY_RX_FSM7) +#define F_COHERENCY_RX_FSM7 V_COHERENCY_RX_FSM7(1U) + +#define S_ARB_REQ_FSM7 13 +#define V_ARB_REQ_FSM7(x) ((x) << S_ARB_REQ_FSM7) +#define F_ARB_REQ_FSM7 V_ARB_REQ_FSM7(1U) + +#define S_CMD_SPLIT_FSM7 10 +#define M_CMD_SPLIT_FSM7 0x7U +#define V_CMD_SPLIT_FSM7(x) ((x) << S_CMD_SPLIT_FSM7) +#define G_CMD_SPLIT_FSM7(x) (((x) >> S_CMD_SPLIT_FSM7) & M_CMD_SPLIT_FSM7) + +#define A_MA_CIM_CLIENT_INTERFACE_INTERNAL_REG1 0xe500 + +#define S_WR_DATA_EXT_FIFO_CNT8 30 +#define M_WR_DATA_EXT_FIFO_CNT8 0x3U +#define V_WR_DATA_EXT_FIFO_CNT8(x) ((x) << S_WR_DATA_EXT_FIFO_CNT8) +#define G_WR_DATA_EXT_FIFO_CNT8(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT8) & M_WR_DATA_EXT_FIFO_CNT8) + +#define S_WR_CMD_TAG_FIFO_CNT8 26 +#define M_WR_CMD_TAG_FIFO_CNT8 0xfU +#define V_WR_CMD_TAG_FIFO_CNT8(x) ((x) << S_WR_CMD_TAG_FIFO_CNT8) +#define G_WR_CMD_TAG_FIFO_CNT8(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT8) & M_WR_CMD_TAG_FIFO_CNT8) + +#define S_WR_DATA_512B_FIFO_CNT8 18 +#define M_WR_DATA_512B_FIFO_CNT8 0xffU +#define V_WR_DATA_512B_FIFO_CNT8(x) ((x) << S_WR_DATA_512B_FIFO_CNT8) +#define G_WR_DATA_512B_FIFO_CNT8(x) (((x) >> S_WR_DATA_512B_FIFO_CNT8) & M_WR_DATA_512B_FIFO_CNT8) + +#define S_RD_DATA_ALIGN_FSM8 17 +#define V_RD_DATA_ALIGN_FSM8(x) ((x) << S_RD_DATA_ALIGN_FSM8) +#define F_RD_DATA_ALIGN_FSM8 V_RD_DATA_ALIGN_FSM8(1U) + +#define S_RD_DATA_FETCH_FSM8 16 +#define V_RD_DATA_FETCH_FSM8(x) ((x) << S_RD_DATA_FETCH_FSM8) +#define F_RD_DATA_FETCH_FSM8 V_RD_DATA_FETCH_FSM8(1U) + +#define S_COHERENCY_TX_FSM8 15 +#define V_COHERENCY_TX_FSM8(x) ((x) << S_COHERENCY_TX_FSM8) +#define F_COHERENCY_TX_FSM8 V_COHERENCY_TX_FSM8(1U) + +#define S_COHERENCY_RX_FSM8 14 +#define V_COHERENCY_RX_FSM8(x) ((x) << S_COHERENCY_RX_FSM8) +#define F_COHERENCY_RX_FSM8 V_COHERENCY_RX_FSM8(1U) + +#define S_ARB_REQ_FSM8 13 +#define V_ARB_REQ_FSM8(x) ((x) << S_ARB_REQ_FSM8) +#define F_ARB_REQ_FSM8 V_ARB_REQ_FSM8(1U) + +#define S_CMD_SPLIT_FSM8 10 +#define M_CMD_SPLIT_FSM8 0x7U +#define V_CMD_SPLIT_FSM8(x) ((x) << S_CMD_SPLIT_FSM8) +#define G_CMD_SPLIT_FSM8(x) (((x) >> S_CMD_SPLIT_FSM8) & M_CMD_SPLIT_FSM8) + +#define A_MA_PCIE_CLIENT_INTERFACE_INTERNAL_REG1 0xe520 + +#define S_WR_DATA_EXT_FIFO_CNT9 30 +#define M_WR_DATA_EXT_FIFO_CNT9 0x3U +#define V_WR_DATA_EXT_FIFO_CNT9(x) ((x) << S_WR_DATA_EXT_FIFO_CNT9) +#define G_WR_DATA_EXT_FIFO_CNT9(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT9) & M_WR_DATA_EXT_FIFO_CNT9) + +#define S_WR_CMD_TAG_FIFO_CNT9 26 +#define M_WR_CMD_TAG_FIFO_CNT9 0xfU +#define V_WR_CMD_TAG_FIFO_CNT9(x) ((x) << S_WR_CMD_TAG_FIFO_CNT9) +#define G_WR_CMD_TAG_FIFO_CNT9(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT9) & M_WR_CMD_TAG_FIFO_CNT9) + +#define S_WR_DATA_512B_FIFO_CNT9 18 +#define M_WR_DATA_512B_FIFO_CNT9 0xffU +#define V_WR_DATA_512B_FIFO_CNT9(x) ((x) << S_WR_DATA_512B_FIFO_CNT9) +#define G_WR_DATA_512B_FIFO_CNT9(x) (((x) >> S_WR_DATA_512B_FIFO_CNT9) & M_WR_DATA_512B_FIFO_CNT9) + +#define S_RD_DATA_ALIGN_FSM9 17 +#define V_RD_DATA_ALIGN_FSM9(x) ((x) << S_RD_DATA_ALIGN_FSM9) +#define F_RD_DATA_ALIGN_FSM9 V_RD_DATA_ALIGN_FSM9(1U) + +#define S_RD_DATA_FETCH_FSM9 16 +#define V_RD_DATA_FETCH_FSM9(x) ((x) << S_RD_DATA_FETCH_FSM9) +#define F_RD_DATA_FETCH_FSM9 V_RD_DATA_FETCH_FSM9(1U) + +#define S_COHERENCY_TX_FSM9 15 +#define V_COHERENCY_TX_FSM9(x) ((x) << S_COHERENCY_TX_FSM9) +#define F_COHERENCY_TX_FSM9 V_COHERENCY_TX_FSM9(1U) + +#define S_COHERENCY_RX_FSM9 14 +#define V_COHERENCY_RX_FSM9(x) ((x) << S_COHERENCY_RX_FSM9) +#define F_COHERENCY_RX_FSM9 V_COHERENCY_RX_FSM9(1U) + +#define S_ARB_REQ_FSM9 13 +#define V_ARB_REQ_FSM9(x) ((x) << S_ARB_REQ_FSM9) +#define F_ARB_REQ_FSM9 V_ARB_REQ_FSM9(1U) + +#define S_CMD_SPLIT_FSM9 10 +#define M_CMD_SPLIT_FSM9 0x7U +#define V_CMD_SPLIT_FSM9(x) ((x) << S_CMD_SPLIT_FSM9) +#define G_CMD_SPLIT_FSM9(x) (((x) >> S_CMD_SPLIT_FSM9) & M_CMD_SPLIT_FSM9) + +#define A_MA_PM_TX_CLIENT_INTERFACE_INTERNAL_REG1 0xe540 + +#define S_WR_DATA_EXT_FIFO_CNT10 30 +#define M_WR_DATA_EXT_FIFO_CNT10 0x3U +#define V_WR_DATA_EXT_FIFO_CNT10(x) ((x) << S_WR_DATA_EXT_FIFO_CNT10) +#define G_WR_DATA_EXT_FIFO_CNT10(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT10) & M_WR_DATA_EXT_FIFO_CNT10) + +#define S_WR_CMD_TAG_FIFO_CNT10 26 +#define M_WR_CMD_TAG_FIFO_CNT10 0xfU +#define V_WR_CMD_TAG_FIFO_CNT10(x) ((x) << S_WR_CMD_TAG_FIFO_CNT10) +#define G_WR_CMD_TAG_FIFO_CNT10(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT10) & M_WR_CMD_TAG_FIFO_CNT10) + +#define S_WR_DATA_512B_FIFO_CNT10 18 +#define M_WR_DATA_512B_FIFO_CNT10 0xffU +#define V_WR_DATA_512B_FIFO_CNT10(x) ((x) << S_WR_DATA_512B_FIFO_CNT10) +#define G_WR_DATA_512B_FIFO_CNT10(x) (((x) >> S_WR_DATA_512B_FIFO_CNT10) & M_WR_DATA_512B_FIFO_CNT10) + +#define S_RD_DATA_ALIGN_FSM10 17 +#define V_RD_DATA_ALIGN_FSM10(x) ((x) << S_RD_DATA_ALIGN_FSM10) +#define F_RD_DATA_ALIGN_FSM10 V_RD_DATA_ALIGN_FSM10(1U) + +#define S_RD_DATA_FETCH_FSM10 16 +#define V_RD_DATA_FETCH_FSM10(x) ((x) << S_RD_DATA_FETCH_FSM10) +#define F_RD_DATA_FETCH_FSM10 V_RD_DATA_FETCH_FSM10(1U) + +#define S_COHERENCY_TX_FSM10 15 +#define V_COHERENCY_TX_FSM10(x) ((x) << S_COHERENCY_TX_FSM10) +#define F_COHERENCY_TX_FSM10 V_COHERENCY_TX_FSM10(1U) + +#define S_COHERENCY_RX_FSM10 14 +#define V_COHERENCY_RX_FSM10(x) ((x) << S_COHERENCY_RX_FSM10) +#define F_COHERENCY_RX_FSM10 V_COHERENCY_RX_FSM10(1U) + +#define S_ARB_REQ_FSM10 13 +#define V_ARB_REQ_FSM10(x) ((x) << S_ARB_REQ_FSM10) +#define F_ARB_REQ_FSM10 V_ARB_REQ_FSM10(1U) + +#define S_CMD_SPLIT_FSM10 10 +#define M_CMD_SPLIT_FSM10 0x7U +#define V_CMD_SPLIT_FSM10(x) ((x) << S_CMD_SPLIT_FSM10) +#define G_CMD_SPLIT_FSM10(x) (((x) >> S_CMD_SPLIT_FSM10) & M_CMD_SPLIT_FSM10) + +#define A_MA_PM_RX_CLIENT_INTERFACE_INTERNAL_REG1 0xe560 + +#define S_WR_DATA_EXT_FIFO_CNT11 30 +#define M_WR_DATA_EXT_FIFO_CNT11 0x3U +#define V_WR_DATA_EXT_FIFO_CNT11(x) ((x) << S_WR_DATA_EXT_FIFO_CNT11) +#define G_WR_DATA_EXT_FIFO_CNT11(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT11) & M_WR_DATA_EXT_FIFO_CNT11) + +#define S_WR_CMD_TAG_FIFO_CNT11 26 +#define M_WR_CMD_TAG_FIFO_CNT11 0xfU +#define V_WR_CMD_TAG_FIFO_CNT11(x) ((x) << S_WR_CMD_TAG_FIFO_CNT11) +#define G_WR_CMD_TAG_FIFO_CNT11(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT11) & M_WR_CMD_TAG_FIFO_CNT11) + +#define S_WR_DATA_512B_FIFO_CNT11 18 +#define M_WR_DATA_512B_FIFO_CNT11 0xffU +#define V_WR_DATA_512B_FIFO_CNT11(x) ((x) << S_WR_DATA_512B_FIFO_CNT11) +#define G_WR_DATA_512B_FIFO_CNT11(x) (((x) >> S_WR_DATA_512B_FIFO_CNT11) & M_WR_DATA_512B_FIFO_CNT11) + +#define S_RD_DATA_ALIGN_FSM11 17 +#define V_RD_DATA_ALIGN_FSM11(x) ((x) << S_RD_DATA_ALIGN_FSM11) +#define F_RD_DATA_ALIGN_FSM11 V_RD_DATA_ALIGN_FSM11(1U) + +#define S_RD_DATA_FETCH_FSM11 16 +#define V_RD_DATA_FETCH_FSM11(x) ((x) << S_RD_DATA_FETCH_FSM11) +#define F_RD_DATA_FETCH_FSM11 V_RD_DATA_FETCH_FSM11(1U) + +#define S_COHERENCY_TX_FSM11 15 +#define V_COHERENCY_TX_FSM11(x) ((x) << S_COHERENCY_TX_FSM11) +#define F_COHERENCY_TX_FSM11 V_COHERENCY_TX_FSM11(1U) + +#define S_COHERENCY_RX_FSM11 14 +#define V_COHERENCY_RX_FSM11(x) ((x) << S_COHERENCY_RX_FSM11) +#define F_COHERENCY_RX_FSM11 V_COHERENCY_RX_FSM11(1U) + +#define S_ARB_REQ_FSM11 13 +#define V_ARB_REQ_FSM11(x) ((x) << S_ARB_REQ_FSM11) +#define F_ARB_REQ_FSM11 V_ARB_REQ_FSM11(1U) + +#define S_CMD_SPLIT_FSM11 10 +#define M_CMD_SPLIT_FSM11 0x7U +#define V_CMD_SPLIT_FSM11(x) ((x) << S_CMD_SPLIT_FSM11) +#define G_CMD_SPLIT_FSM11(x) (((x) >> S_CMD_SPLIT_FSM11) & M_CMD_SPLIT_FSM11) + +#define A_MA_HMA_CLIENT_INTERFACE_INTERNAL_REG1 0xe580 + +#define S_WR_DATA_EXT_FIFO_CNT12 30 +#define M_WR_DATA_EXT_FIFO_CNT12 0x3U +#define V_WR_DATA_EXT_FIFO_CNT12(x) ((x) << S_WR_DATA_EXT_FIFO_CNT12) +#define G_WR_DATA_EXT_FIFO_CNT12(x) (((x) >> S_WR_DATA_EXT_FIFO_CNT12) & M_WR_DATA_EXT_FIFO_CNT12) + +#define S_WR_CMD_TAG_FIFO_CNT12 26 +#define M_WR_CMD_TAG_FIFO_CNT12 0xfU +#define V_WR_CMD_TAG_FIFO_CNT12(x) ((x) << S_WR_CMD_TAG_FIFO_CNT12) +#define G_WR_CMD_TAG_FIFO_CNT12(x) (((x) >> S_WR_CMD_TAG_FIFO_CNT12) & M_WR_CMD_TAG_FIFO_CNT12) + +#define S_WR_DATA_512B_FIFO_CNT12 18 +#define M_WR_DATA_512B_FIFO_CNT12 0xffU +#define V_WR_DATA_512B_FIFO_CNT12(x) ((x) << S_WR_DATA_512B_FIFO_CNT12) +#define G_WR_DATA_512B_FIFO_CNT12(x) (((x) >> S_WR_DATA_512B_FIFO_CNT12) & M_WR_DATA_512B_FIFO_CNT12) + +#define S_RD_DATA_ALIGN_FSM12 17 +#define V_RD_DATA_ALIGN_FSM12(x) ((x) << S_RD_DATA_ALIGN_FSM12) +#define F_RD_DATA_ALIGN_FSM12 V_RD_DATA_ALIGN_FSM12(1U) + +#define S_RD_DATA_FETCH_FSM12 16 +#define V_RD_DATA_FETCH_FSM12(x) ((x) << S_RD_DATA_FETCH_FSM12) +#define F_RD_DATA_FETCH_FSM12 V_RD_DATA_FETCH_FSM12(1U) + +#define S_COHERENCY_TX_FSM12 15 +#define V_COHERENCY_TX_FSM12(x) ((x) << S_COHERENCY_TX_FSM12) +#define F_COHERENCY_TX_FSM12 V_COHERENCY_TX_FSM12(1U) + +#define S_COHERENCY_RX_FSM12 14 +#define V_COHERENCY_RX_FSM12(x) ((x) << S_COHERENCY_RX_FSM12) +#define F_COHERENCY_RX_FSM12 V_COHERENCY_RX_FSM12(1U) + +#define S_ARB_REQ_FSM12 13 +#define V_ARB_REQ_FSM12(x) ((x) << S_ARB_REQ_FSM12) +#define F_ARB_REQ_FSM12 V_ARB_REQ_FSM12(1U) + +#define S_CMD_SPLIT_FSM12 10 +#define M_CMD_SPLIT_FSM12 0x7U +#define V_CMD_SPLIT_FSM12(x) ((x) << S_CMD_SPLIT_FSM12) +#define G_CMD_SPLIT_FSM12(x) (((x) >> S_CMD_SPLIT_FSM12) & M_CMD_SPLIT_FSM12) + +#define A_MA_TARGET_0_ARBITER_INTERFACE_INTERNAL_REG1 0xe5a0 + +#define S_RD_CMD_TAG_FIFO_CNT0 8 +#define M_RD_CMD_TAG_FIFO_CNT0 0xffU +#define V_RD_CMD_TAG_FIFO_CNT0(x) ((x) << S_RD_CMD_TAG_FIFO_CNT0) +#define G_RD_CMD_TAG_FIFO_CNT0(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT0) & M_RD_CMD_TAG_FIFO_CNT0) + +#define S_RD_DATA_FIFO_CNT0 0 +#define M_RD_DATA_FIFO_CNT0 0xffU +#define V_RD_DATA_FIFO_CNT0(x) ((x) << S_RD_DATA_FIFO_CNT0) +#define G_RD_DATA_FIFO_CNT0(x) (((x) >> S_RD_DATA_FIFO_CNT0) & M_RD_DATA_FIFO_CNT0) + +#define A_MA_TARGET_1_ARBITER_INTERFACE_INTERNAL_REG1 0xe5c0 + +#define S_RD_CMD_TAG_FIFO_CNT1 8 +#define M_RD_CMD_TAG_FIFO_CNT1 0xffU +#define V_RD_CMD_TAG_FIFO_CNT1(x) ((x) << S_RD_CMD_TAG_FIFO_CNT1) +#define G_RD_CMD_TAG_FIFO_CNT1(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT1) & M_RD_CMD_TAG_FIFO_CNT1) + +#define S_RD_DATA_FIFO_CNT1 0 +#define M_RD_DATA_FIFO_CNT1 0xffU +#define V_RD_DATA_FIFO_CNT1(x) ((x) << S_RD_DATA_FIFO_CNT1) +#define G_RD_DATA_FIFO_CNT1(x) (((x) >> S_RD_DATA_FIFO_CNT1) & M_RD_DATA_FIFO_CNT1) + +#define A_MA_TARGET_2_ARBITER_INTERFACE_INTERNAL_REG1 0xe5e0 + +#define S_RD_CMD_TAG_FIFO_CNT2 8 +#define M_RD_CMD_TAG_FIFO_CNT2 0xffU +#define V_RD_CMD_TAG_FIFO_CNT2(x) ((x) << S_RD_CMD_TAG_FIFO_CNT2) +#define G_RD_CMD_TAG_FIFO_CNT2(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT2) & M_RD_CMD_TAG_FIFO_CNT2) + +#define S_RD_DATA_FIFO_CNT2 0 +#define M_RD_DATA_FIFO_CNT2 0xffU +#define V_RD_DATA_FIFO_CNT2(x) ((x) << S_RD_DATA_FIFO_CNT2) +#define G_RD_DATA_FIFO_CNT2(x) (((x) >> S_RD_DATA_FIFO_CNT2) & M_RD_DATA_FIFO_CNT2) + +#define A_MA_TARGET_3_ARBITER_INTERFACE_INTERNAL_REG1 0xe600 + +#define S_RD_CMD_TAG_FIFO_CNT3 8 +#define M_RD_CMD_TAG_FIFO_CNT3 0xffU +#define V_RD_CMD_TAG_FIFO_CNT3(x) ((x) << S_RD_CMD_TAG_FIFO_CNT3) +#define G_RD_CMD_TAG_FIFO_CNT3(x) (((x) >> S_RD_CMD_TAG_FIFO_CNT3) & M_RD_CMD_TAG_FIFO_CNT3) + +#define S_RD_DATA_FIFO_CNT3 0 +#define M_RD_DATA_FIFO_CNT3 0xffU +#define V_RD_DATA_FIFO_CNT3(x) ((x) << S_RD_DATA_FIFO_CNT3) +#define G_RD_DATA_FIFO_CNT3(x) (((x) >> S_RD_DATA_FIFO_CNT3) & M_RD_DATA_FIFO_CNT3) + +#define A_MA_SGE_THREAD_0_CLNT_EXP_WR_CYC_CNT_LO 0xe640 +#define A_MA_SGE_THREAD_1_CLNT_EXP_WR_CYC_CNT_LO 0xe660 +#define A_MA_ULP_TX_CLNT_EXP_WR_CYC_CNT_LO 0xe680 +#define A_MA_ULP_RX_CLNT_EXP_WR_CYC_CNT_LO 0xe6a0 +#define A_MA_ULP_TX_RX_CLNT_EXP_WR_CYC_CNT_LO 0xe6c0 +#define A_MA_TP_THREAD_0_CLNT_EXP_WR_CYC_CNT_LO 0xe6e0 +#define A_MA_TP_THREAD_1_CLNT_EXP_WR_CYC_CNT_LO 0xe700 +#define A_MA_LE_CLNT_EXP_WR_CYC_CNT_LO 0xe720 +#define A_MA_CIM_CLNT_EXP_WR_CYC_CNT_LO 0xe740 +#define A_MA_PCIE_CLNT_EXP_WR_CYC_CNT_LO 0xe760 +#define A_MA_PM_TX_CLNT_EXP_WR_CYC_CNT_LO 0xe780 +#define A_MA_PM_RX_CLNT_EXP_WR_CYC_CNT_LO 0xe7a0 +#define A_MA_HMA_CLNT_EXP_WR_CYC_CNT_LO 0xe7c0 +#define A_MA_EDRAM0_WR_REQ_CNT_HI 0xe800 +#define A_MA_EDRAM0_WR_REQ_CNT_LO 0xe820 +#define A_MA_EDRAM1_WR_REQ_CNT_HI 0xe840 +#define A_MA_EDRAM1_WR_REQ_CNT_LO 0xe860 +#define A_MA_EXT_MEMORY0_WR_REQ_CNT_HI 0xe880 +#define A_MA_EXT_MEMORY0_WR_REQ_CNT_LO 0xe8a0 +#define A_MA_EXT_MEMORY1_WR_REQ_CNT_HI 0xe8c0 +#define A_MA_EXT_MEMORY1_WR_REQ_CNT_LO 0xe8e0 +#define A_MA_EDRAM0_RD_REQ_CNT_HI 0xe900 +#define A_MA_EDRAM0_RD_REQ_CNT_LO 0xe920 +#define A_MA_EDRAM1_RD_REQ_CNT_HI 0xe940 +#define A_MA_EDRAM1_RD_REQ_CNT_LO 0xe960 +#define A_MA_EXT_MEMORY0_RD_REQ_CNT_HI 0xe980 +#define A_MA_EXT_MEMORY0_RD_REQ_CNT_LO 0xe9a0 +#define A_MA_EXT_MEMORY1_RD_REQ_CNT_HI 0xe9c0 +#define A_MA_EXT_MEMORY1_RD_REQ_CNT_LO 0xe9e0 +#define A_MA_SGE_THREAD_0_CLNT_ACT_RD_CYC_CNT_HI 0xec00 +#define A_MA_SGE_THREAD_0_CLNT_ACT_RD_CYC_CNT_LO 0xec20 +#define A_MA_SGE_THREAD_1_CLNT_ACT_RD_CYC_CNT_HI 0xec40 +#define A_MA_SGE_THREAD_1_CLNT_ACT_RD_CYC_CNT_LO 0xec60 +#define A_MA_ULP_TX_CLNT_ACT_RD_CYC_CNT_HI 0xec80 +#define A_MA_ULP_TX_CLNT_ACT_RD_CYC_CNT_LO 0xeca0 +#define A_MA_ULP_RX_CLNT_ACT_RD_CYC_CNT_HI 0xecc0 +#define A_MA_ULP_RX_CLNT_ACT_RD_CYC_CNT_LO 0xece0 +#define A_MA_ULP_TX_RX_CLNT_ACT_RD_CYC_CNT_HI 0xed00 +#define A_MA_ULP_TX_RX_CLNT_ACT_RD_CYC_CNT_LO 0xed20 +#define A_MA_TP_THREAD_0_CLNT_ACT_RD_CYC_CNT_HI 0xed40 +#define A_MA_TP_THREAD_0_CLNT_ACT_RD_CYC_CNT_LO 0xed60 +#define A_MA_TP_THREAD_1_CLNT_ACT_RD_CYC_CNT_HI 0xed80 +#define A_MA_TP_THREAD_1_CLNT_ACT_RD_CYC_CNT_LO 0xeda0 +#define A_MA_LE_CLNT_ACT_RD_CYC_CNT_HI 0xedc0 +#define A_MA_LE_CLNT_ACT_RD_CYC_CNT_LO 0xede0 +#define A_MA_CIM_CLNT_ACT_RD_CYC_CNT_HI 0xee00 +#define A_MA_CIM_CLNT_ACT_RD_CYC_CNT_LO 0xee20 +#define A_MA_PCIE_CLNT_ACT_RD_CYC_CNT_HI 0xee40 +#define A_MA_PCIE_CLNT_ACT_RD_CYC_CNT_LO 0xee60 +#define A_MA_PM_TX_CLNT_ACT_RD_CYC_CNT_HI 0xee80 +#define A_MA_PM_TX_CLNT_ACT_RD_CYC_CNT_LO 0xeea0 +#define A_MA_PM_RX_CLNT_ACT_RD_CYC_CNT_HI 0xeec0 +#define A_MA_PM_RX_CLNT_ACT_RD_CYC_CNT_LO 0xeee0 +#define A_MA_HMA_CLNT_ACT_RD_CYC_CNT_HI 0xef00 +#define A_MA_HMA_CLNT_ACT_RD_CYC_CNT_LO 0xef20 +#define A_MA_PM_TX_RD_THROTTLE_STATUS 0xf000 + +#define S_PTMAXTRANS 16 +#define V_PTMAXTRANS(x) ((x) << S_PTMAXTRANS) +#define F_PTMAXTRANS V_PTMAXTRANS(1U) + +#define S_PTFLITCNT 0 +#define M_PTFLITCNT 0xffU +#define V_PTFLITCNT(x) ((x) << S_PTFLITCNT) +#define G_PTFLITCNT(x) (((x) >> S_PTFLITCNT) & M_PTFLITCNT) + +#define A_MA_PM_RX_RD_THROTTLE_STATUS 0xf020 + +#define S_PRMAXTRANS 16 +#define V_PRMAXTRANS(x) ((x) << S_PRMAXTRANS) +#define F_PRMAXTRANS V_PRMAXTRANS(1U) + +#define S_PRFLITCNT 0 +#define M_PRFLITCNT 0xffU +#define V_PRFLITCNT(x) ((x) << S_PRFLITCNT) +#define G_PRFLITCNT(x) (((x) >> S_PRFLITCNT) & M_PRFLITCNT) /* registers for module EDC_0 */ #define EDC_0_BASE_ADDR 0x7900 @@ -12567,6 +20585,14 @@ #define V_OBQSGERX0PARERR(x) ((x) << S_OBQSGERX0PARERR) #define F_OBQSGERX0PARERR V_OBQSGERX0PARERR(1U) +#define S_PCIE2CIMINTFPARERR 29 +#define V_PCIE2CIMINTFPARERR(x) ((x) << S_PCIE2CIMINTFPARERR) +#define F_PCIE2CIMINTFPARERR V_PCIE2CIMINTFPARERR(1U) + +#define S_IBQPCIEPARERR 12 +#define V_IBQPCIEPARERR(x) ((x) << S_IBQPCIEPARERR) +#define F_IBQPCIEPARERR V_IBQPCIEPARERR(1U) + #define A_CIM_HOST_INT_CAUSE 0x7b2c #define S_TIEQOUTPARERRINT 20 @@ -12895,6 +20921,10 @@ #define V_QUEFULLTHRSH(x) ((x) << S_QUEFULLTHRSH) #define G_QUEFULLTHRSH(x) (((x) >> S_QUEFULLTHRSH) & M_QUEFULLTHRSH) +#define S_CIMQ1KEN 30 +#define V_CIMQ1KEN(x) ((x) << S_CIMQ1KEN) +#define F_CIMQ1KEN V_CIMQ1KEN(1U) + #define A_CIM_HOST_ACC_CTRL 0x7b50 #define S_HOSTBUSY 17 @@ -13111,6 +21141,11 @@ #define V_DADDRTIMEOUT(x) ((x) << S_DADDRTIMEOUT) #define G_DADDRTIMEOUT(x) (((x) >> S_DADDRTIMEOUT) & M_DADDRTIMEOUT) +#define S_DADDRTIMEOUTTYPE 0 +#define M_DADDRTIMEOUTTYPE 0x3U +#define V_DADDRTIMEOUTTYPE(x) ((x) << S_DADDRTIMEOUTTYPE) +#define G_DADDRTIMEOUTTYPE(x) (((x) >> S_DADDRTIMEOUTTYPE) & M_DADDRTIMEOUTTYPE) + #define A_CIM_DEBUG_ADDR_ILLEGAL 0x7c0c #define S_DADDRILLEGAL 2 @@ -13118,6 +21153,11 @@ #define V_DADDRILLEGAL(x) ((x) << S_DADDRILLEGAL) #define G_DADDRILLEGAL(x) (((x) >> S_DADDRILLEGAL) & M_DADDRILLEGAL) +#define S_DADDRILLEGALTYPE 0 +#define M_DADDRILLEGALTYPE 0x3U +#define V_DADDRILLEGALTYPE(x) ((x) << S_DADDRILLEGALTYPE) +#define G_DADDRILLEGALTYPE(x) (((x) >> S_DADDRILLEGALTYPE) & M_DADDRILLEGALTYPE) + #define A_CIM_DEBUG_PIF_CAUSE_MASK 0x7c10 #define S_DPIFHOSTMASK 0 @@ -13130,6 +21170,11 @@ #define V_T5_DPIFHOSTMASK(x) ((x) << S_T5_DPIFHOSTMASK) #define G_T5_DPIFHOSTMASK(x) (((x) >> S_T5_DPIFHOSTMASK) & M_T5_DPIFHOSTMASK) +#define S_T6_T5_DPIFHOSTMASK 0 +#define M_T6_T5_DPIFHOSTMASK 0x3fffffffU +#define V_T6_T5_DPIFHOSTMASK(x) ((x) << S_T6_T5_DPIFHOSTMASK) +#define G_T6_T5_DPIFHOSTMASK(x) (((x) >> S_T6_T5_DPIFHOSTMASK) & M_T6_T5_DPIFHOSTMASK) + #define A_CIM_DEBUG_PIF_UPACC_CAUSE_MASK 0x7c14 #define S_DPIFHUPAMASK 0 @@ -13149,6 +21194,11 @@ #define V_T5_DUPMASK(x) ((x) << S_T5_DUPMASK) #define G_T5_DUPMASK(x) (((x) >> S_T5_DUPMASK) & M_T5_DUPMASK) +#define S_T6_T5_DUPMASK 0 +#define M_T6_T5_DUPMASK 0x3fffffffU +#define V_T6_T5_DUPMASK(x) ((x) << S_T6_T5_DUPMASK) +#define G_T6_T5_DUPMASK(x) (((x) >> S_T6_T5_DUPMASK) & M_T6_T5_DUPMASK) + #define A_CIM_DEBUG_UP_UPACC_CAUSE_MASK 0x7c1c #define S_DUPUACCMASK 0 @@ -13169,6 +21219,11 @@ #define V_T5_PERREN(x) ((x) << S_T5_PERREN) #define G_T5_PERREN(x) (((x) >> S_T5_PERREN) & M_T5_PERREN) +#define S_T6_T5_PERREN 0 +#define M_T6_T5_PERREN 0x3fffffffU +#define V_T6_T5_PERREN(x) ((x) << S_T6_T5_PERREN) +#define G_T6_T5_PERREN(x) (((x) >> S_T6_T5_PERREN) & M_T6_T5_PERREN) + #define A_CIM_EEPROM_BUSY_BIT 0x7c28 #define S_EEPROMBUSY 0 @@ -13181,6 +21236,10 @@ #define V_MA_TIMER_ENABLE(x) ((x) << S_MA_TIMER_ENABLE) #define F_MA_TIMER_ENABLE V_MA_TIMER_ENABLE(1U) +#define S_SLOW_TIMER_ENABLE 1 +#define V_SLOW_TIMER_ENABLE(x) ((x) << S_SLOW_TIMER_ENABLE) +#define F_SLOW_TIMER_ENABLE V_SLOW_TIMER_ENABLE(1U) + #define A_CIM_UP_PO_SINGLE_OUTSTANDING 0x7c30 #define S_UP_PO_SINGLE_OUTSTANDING 0 @@ -13206,6 +21265,11 @@ #define V_CIM_SGE0_PKT_ERR_CODE(x) ((x) << S_CIM_SGE0_PKT_ERR_CODE) #define G_CIM_SGE0_PKT_ERR_CODE(x) (((x) >> S_CIM_SGE0_PKT_ERR_CODE) & M_CIM_SGE0_PKT_ERR_CODE) +#define S_CIM_PCIE_PKT_ERR_CODE 8 +#define M_CIM_PCIE_PKT_ERR_CODE 0xffU +#define V_CIM_PCIE_PKT_ERR_CODE(x) ((x) << S_CIM_PCIE_PKT_ERR_CODE) +#define G_CIM_PCIE_PKT_ERR_CODE(x) (((x) >> S_CIM_PCIE_PKT_ERR_CODE) & M_CIM_PCIE_PKT_ERR_CODE) + #define A_CIM_IBQ_DBG_WAIT_COUNTER 0x7c40 #define A_CIM_PIO_UP_MST_CFG_SEL 0x7c44 @@ -13241,6 +21305,10 @@ #define V_IBQ_SKID_FIFO_EOP_FLSH_DSBL(x) ((x) << S_IBQ_SKID_FIFO_EOP_FLSH_DSBL) #define F_IBQ_SKID_FIFO_EOP_FLSH_DSBL V_IBQ_SKID_FIFO_EOP_FLSH_DSBL(1U) +#define S_PCIE_OBQ_IF_DISABLE 5 +#define V_PCIE_OBQ_IF_DISABLE(x) ((x) << S_PCIE_OBQ_IF_DISABLE) +#define F_PCIE_OBQ_IF_DISABLE V_PCIE_OBQ_IF_DISABLE(1U) + #define A_CIM_CGEN_GLOBAL 0x7c50 #define S_CGEN_GLOBAL 0 @@ -13414,6 +21482,18 @@ #define V_ETHUPEN(x) ((x) << S_ETHUPEN) #define F_ETHUPEN V_ETHUPEN(1U) +#define S_CXOFFOVERRIDE 3 +#define V_CXOFFOVERRIDE(x) ((x) << S_CXOFFOVERRIDE) +#define F_CXOFFOVERRIDE V_CXOFFOVERRIDE(1U) + +#define S_EGREDROPEN 1 +#define V_EGREDROPEN(x) ((x) << S_EGREDROPEN) +#define F_EGREDROPEN V_EGREDROPEN(1U) + +#define S_CFASTDEMUXEN 0 +#define V_CFASTDEMUXEN(x) ((x) << S_CFASTDEMUXEN) +#define F_CFASTDEMUXEN V_CFASTDEMUXEN(1U) + #define A_TP_OUT_CONFIG 0x7d04 #define S_PORTQFCEN 28 @@ -13509,6 +21589,30 @@ #define V_EVNTAGEN(x) ((x) << S_EVNTAGEN) #define F_EVNTAGEN V_EVNTAGEN(1U) +#define S_CCPLACKMODE 13 +#define V_CCPLACKMODE(x) ((x) << S_CCPLACKMODE) +#define F_CCPLACKMODE V_CCPLACKMODE(1U) + +#define S_RMWHINTENABLE 12 +#define V_RMWHINTENABLE(x) ((x) << S_RMWHINTENABLE) +#define F_RMWHINTENABLE V_RMWHINTENABLE(1U) + +#define S_EV6FLWEN 8 +#define V_EV6FLWEN(x) ((x) << S_EV6FLWEN) +#define F_EV6FLWEN V_EV6FLWEN(1U) + +#define S_EVLANPRIO 6 +#define V_EVLANPRIO(x) ((x) << S_EVLANPRIO) +#define F_EVLANPRIO V_EVLANPRIO(1U) + +#define S_CRXPKTENC 3 +#define V_CRXPKTENC(x) ((x) << S_CRXPKTENC) +#define F_CRXPKTENC V_CRXPKTENC(1U) + +#define S_CRXPKTXT 1 +#define V_CRXPKTXT(x) ((x) << S_CRXPKTXT) +#define F_CRXPKTXT V_CRXPKTXT(1U) + #define A_TP_GLOBAL_CONFIG 0x7d08 #define S_SYNCOOKIEPARAMS 26 @@ -13595,6 +21699,10 @@ #define V_ISSFROMCPLENABLE(x) ((x) << S_ISSFROMCPLENABLE) #define F_ISSFROMCPLENABLE V_ISSFROMCPLENABLE(1U) +#define S_ACTIVEFILTERCOUNTS 22 +#define V_ACTIVEFILTERCOUNTS(x) ((x) << S_ACTIVEFILTERCOUNTS) +#define F_ACTIVEFILTERCOUNTS V_ACTIVEFILTERCOUNTS(1U) + #define A_TP_DB_CONFIG 0x7d0c #define S_DBMAXOPCNT 24 @@ -13879,6 +21987,18 @@ #define V_ENABLEFILTERNAT(x) ((x) << S_ENABLEFILTERNAT) #define F_ENABLEFILTERNAT V_ENABLEFILTERNAT(1U) +#define S_ENABLEFINCHECK 31 +#define V_ENABLEFINCHECK(x) ((x) << S_ENABLEFINCHECK) +#define F_ENABLEFINCHECK V_ENABLEFINCHECK(1U) + +#define S_ENABLEMIBVFPLD 21 +#define V_ENABLEMIBVFPLD(x) ((x) << S_ENABLEMIBVFPLD) +#define F_ENABLEMIBVFPLD V_ENABLEMIBVFPLD(1U) + +#define S_DISABLESEPPSHFLAG 4 +#define V_DISABLESEPPSHFLAG(x) ((x) << S_DISABLESEPPSHFLAG) +#define F_DISABLESEPPSHFLAG V_DISABLESEPPSHFLAG(1U) + #define A_TP_PC_CONFIG2 0x7d4c #define S_ENABLEMTUVFMODE 31 @@ -14176,6 +22296,31 @@ #define V_SETTIMEENABLE(x) ((x) << S_SETTIMEENABLE) #define F_SETTIMEENABLE V_SETTIMEENABLE(1U) +#define S_ECNCNGFIFO 19 +#define V_ECNCNGFIFO(x) ((x) << S_ECNCNGFIFO) +#define F_ECNCNGFIFO V_ECNCNGFIFO(1U) + +#define S_ECNSYNACK 18 +#define V_ECNSYNACK(x) ((x) << S_ECNSYNACK) +#define F_ECNSYNACK V_ECNSYNACK(1U) + +#define S_ECNTHRESH 16 +#define M_ECNTHRESH 0x3U +#define V_ECNTHRESH(x) ((x) << S_ECNTHRESH) +#define G_ECNTHRESH(x) (((x) >> S_ECNTHRESH) & M_ECNTHRESH) + +#define S_ECNMODE 15 +#define V_ECNMODE(x) ((x) << S_ECNMODE) +#define F_ECNMODE V_ECNMODE(1U) + +#define S_ECNMODECWR 14 +#define V_ECNMODECWR(x) ((x) << S_ECNMODECWR) +#define F_ECNMODECWR V_ECNMODECWR(1U) + +#define S_FORCESHOVE 10 +#define V_FORCESHOVE(x) ((x) << S_FORCESHOVE) +#define F_FORCESHOVE V_FORCESHOVE(1U) + #define A_TP_PARA_REG1 0x7d64 #define S_INITRWND 16 @@ -14455,6 +22600,14 @@ #define V_ENABLEFRAGCHECK(x) ((x) << S_ENABLEFRAGCHECK) #define F_ENABLEFRAGCHECK V_ENABLEFRAGCHECK(1U) +#define S_ENABLEFCOECHECK 6 +#define V_ENABLEFCOECHECK(x) ((x) << S_ENABLEFCOECHECK) +#define F_ENABLEFCOECHECK V_ENABLEFCOECHECK(1U) + +#define S_ENABLERDMAFIX 1 +#define V_ENABLERDMAFIX(x) ((x) << S_ENABLERDMAFIX) +#define F_ENABLERDMAFIX V_ENABLERDMAFIX(1U) + #define A_TP_PARA_REG6 0x7d78 #define S_TXPDUSIZEADJ 24 @@ -14551,6 +22704,14 @@ #define V_DISABLEPDUACK(x) ((x) << S_DISABLEPDUACK) #define F_DISABLEPDUACK V_DISABLEPDUACK(1U) +#define S_TXTCAMKEY 22 +#define V_TXTCAMKEY(x) ((x) << S_TXTCAMKEY) +#define F_TXTCAMKEY V_TXTCAMKEY(1U) + +#define S_ENABLECBYP 21 +#define V_ENABLECBYP(x) ((x) << S_ENABLECBYP) +#define F_ENABLECBYP V_ENABLECBYP(1U) + #define A_TP_PARA_REG7 0x7d7c #define S_PMMAXXFERLEN1 16 @@ -14600,6 +22761,20 @@ #define V_ENGINELATENCYBASE(x) ((x) << S_ENGINELATENCYBASE) #define G_ENGINELATENCYBASE(x) (((x) >> S_ENGINELATENCYBASE) & M_ENGINELATENCYBASE) +#define A_TP_PARA_REG8 0x7d84 + +#define S_ECNACKECT 2 +#define V_ECNACKECT(x) ((x) << S_ECNACKECT) +#define F_ECNACKECT V_ECNACKECT(1U) + +#define S_ECNFINECT 1 +#define V_ECNFINECT(x) ((x) << S_ECNFINECT) +#define F_ECNFINECT V_ECNFINECT(1U) + +#define S_ECNSYNECT 0 +#define V_ECNSYNECT(x) ((x) << S_ECNSYNECT) +#define F_ECNSYNECT V_ECNSYNECT(1U) + #define A_TP_ERR_CONFIG 0x7d8c #define S_TNLERRORPING 30 @@ -14714,6 +22889,22 @@ #define V_DROPERRORFPMA(x) ((x) << S_DROPERRORFPMA) #define F_DROPERRORFPMA V_DROPERRORFPMA(1U) +#define S_TNLERROROPAQUE 27 +#define V_TNLERROROPAQUE(x) ((x) << S_TNLERROROPAQUE) +#define F_TNLERROROPAQUE V_TNLERROROPAQUE(1U) + +#define S_TNLERRORIP6OPT 26 +#define V_TNLERRORIP6OPT(x) ((x) << S_TNLERRORIP6OPT) +#define F_TNLERRORIP6OPT V_TNLERRORIP6OPT(1U) + +#define S_DROPERROROPAQUE 11 +#define V_DROPERROROPAQUE(x) ((x) << S_DROPERROROPAQUE) +#define F_DROPERROROPAQUE V_DROPERROROPAQUE(1U) + +#define S_DROPERRORIP6OPT 10 +#define V_DROPERRORIP6OPT(x) ((x) << S_DROPERRORIP6OPT) +#define F_DROPERRORIP6OPT V_DROPERRORIP6OPT(1U) + #define A_TP_TIMER_RESOLUTION 0x7d90 #define S_TIMERRESOLUTION 16 @@ -14850,6 +23041,11 @@ #define V_KEEPALIVEMAXR2(x) ((x) << S_KEEPALIVEMAXR2) #define G_KEEPALIVEMAXR2(x) (((x) >> S_KEEPALIVEMAXR2) & M_KEEPALIVEMAXR2) +#define S_T6_SYNSHIFTMAX 24 +#define M_T6_SYNSHIFTMAX 0xfU +#define V_T6_SYNSHIFTMAX(x) ((x) << S_T6_SYNSHIFTMAX) +#define G_T6_SYNSHIFTMAX(x) (((x) >> S_T6_SYNSHIFTMAX) & M_T6_SYNSHIFTMAX) + #define A_TP_TM_CONFIG 0x7dc4 #define S_CMTIMERMAXNUM 0 @@ -14955,6 +23151,78 @@ #define V_ULPTYPE0FIELD(x) ((x) << S_ULPTYPE0FIELD) #define G_ULPTYPE0FIELD(x) (((x) >> S_ULPTYPE0FIELD) & M_ULPTYPE0FIELD) +#define S_ULPTYPE7LENGTH 31 +#define V_ULPTYPE7LENGTH(x) ((x) << S_ULPTYPE7LENGTH) +#define F_ULPTYPE7LENGTH V_ULPTYPE7LENGTH(1U) + +#define S_ULPTYPE7OFFSET 28 +#define M_ULPTYPE7OFFSET 0x7U +#define V_ULPTYPE7OFFSET(x) ((x) << S_ULPTYPE7OFFSET) +#define G_ULPTYPE7OFFSET(x) (((x) >> S_ULPTYPE7OFFSET) & M_ULPTYPE7OFFSET) + +#define S_ULPTYPE6LENGTH 27 +#define V_ULPTYPE6LENGTH(x) ((x) << S_ULPTYPE6LENGTH) +#define F_ULPTYPE6LENGTH V_ULPTYPE6LENGTH(1U) + +#define S_ULPTYPE6OFFSET 24 +#define M_ULPTYPE6OFFSET 0x7U +#define V_ULPTYPE6OFFSET(x) ((x) << S_ULPTYPE6OFFSET) +#define G_ULPTYPE6OFFSET(x) (((x) >> S_ULPTYPE6OFFSET) & M_ULPTYPE6OFFSET) + +#define S_ULPTYPE5LENGTH 23 +#define V_ULPTYPE5LENGTH(x) ((x) << S_ULPTYPE5LENGTH) +#define F_ULPTYPE5LENGTH V_ULPTYPE5LENGTH(1U) + +#define S_ULPTYPE5OFFSET 20 +#define M_ULPTYPE5OFFSET 0x7U +#define V_ULPTYPE5OFFSET(x) ((x) << S_ULPTYPE5OFFSET) +#define G_ULPTYPE5OFFSET(x) (((x) >> S_ULPTYPE5OFFSET) & M_ULPTYPE5OFFSET) + +#define S_ULPTYPE4LENGTH 19 +#define V_ULPTYPE4LENGTH(x) ((x) << S_ULPTYPE4LENGTH) +#define F_ULPTYPE4LENGTH V_ULPTYPE4LENGTH(1U) + +#define S_ULPTYPE4OFFSET 16 +#define M_ULPTYPE4OFFSET 0x7U +#define V_ULPTYPE4OFFSET(x) ((x) << S_ULPTYPE4OFFSET) +#define G_ULPTYPE4OFFSET(x) (((x) >> S_ULPTYPE4OFFSET) & M_ULPTYPE4OFFSET) + +#define S_ULPTYPE3LENGTH 15 +#define V_ULPTYPE3LENGTH(x) ((x) << S_ULPTYPE3LENGTH) +#define F_ULPTYPE3LENGTH V_ULPTYPE3LENGTH(1U) + +#define S_ULPTYPE3OFFSET 12 +#define M_ULPTYPE3OFFSET 0x7U +#define V_ULPTYPE3OFFSET(x) ((x) << S_ULPTYPE3OFFSET) +#define G_ULPTYPE3OFFSET(x) (((x) >> S_ULPTYPE3OFFSET) & M_ULPTYPE3OFFSET) + +#define S_ULPTYPE2LENGTH 11 +#define V_ULPTYPE2LENGTH(x) ((x) << S_ULPTYPE2LENGTH) +#define F_ULPTYPE2LENGTH V_ULPTYPE2LENGTH(1U) + +#define S_ULPTYPE2OFFSET 8 +#define M_ULPTYPE2OFFSET 0x7U +#define V_ULPTYPE2OFFSET(x) ((x) << S_ULPTYPE2OFFSET) +#define G_ULPTYPE2OFFSET(x) (((x) >> S_ULPTYPE2OFFSET) & M_ULPTYPE2OFFSET) + +#define S_ULPTYPE1LENGTH 7 +#define V_ULPTYPE1LENGTH(x) ((x) << S_ULPTYPE1LENGTH) +#define F_ULPTYPE1LENGTH V_ULPTYPE1LENGTH(1U) + +#define S_ULPTYPE1OFFSET 4 +#define M_ULPTYPE1OFFSET 0x7U +#define V_ULPTYPE1OFFSET(x) ((x) << S_ULPTYPE1OFFSET) +#define G_ULPTYPE1OFFSET(x) (((x) >> S_ULPTYPE1OFFSET) & M_ULPTYPE1OFFSET) + +#define S_ULPTYPE0LENGTH 3 +#define V_ULPTYPE0LENGTH(x) ((x) << S_ULPTYPE0LENGTH) +#define F_ULPTYPE0LENGTH V_ULPTYPE0LENGTH(1U) + +#define S_ULPTYPE0OFFSET 0 +#define M_ULPTYPE0OFFSET 0x7U +#define V_ULPTYPE0OFFSET(x) ((x) << S_ULPTYPE0OFFSET) +#define G_ULPTYPE0OFFSET(x) (((x) >> S_ULPTYPE0OFFSET) & M_ULPTYPE0OFFSET) + #define A_TP_RSS_LKP_TABLE 0x7dec #define S_LKPTBLROWVLD 31 @@ -14976,6 +23244,11 @@ #define V_LKPTBLQUEUE0(x) ((x) << S_LKPTBLQUEUE0) #define G_LKPTBLQUEUE0(x) (((x) >> S_LKPTBLQUEUE0) & M_LKPTBLQUEUE0) +#define S_T6_LKPTBLROWIDX 20 +#define M_T6_LKPTBLROWIDX 0x7ffU +#define V_T6_LKPTBLROWIDX(x) ((x) << S_T6_LKPTBLROWIDX) +#define G_T6_LKPTBLROWIDX(x) (((x) >> S_T6_LKPTBLROWIDX) & M_T6_LKPTBLROWIDX) + #define A_TP_RSS_CONFIG 0x7df0 #define S_TNL4TUPENIPV6 31 @@ -15102,6 +23375,10 @@ #define V_HASHXOR(x) ((x) << S_HASHXOR) #define F_HASHXOR V_HASHXOR(1U) +#define S_TNLFCOESID 22 +#define V_TNLFCOESID(x) ((x) << S_TNLFCOESID) +#define F_TNLFCOESID V_TNLFCOESID(1U) + #define A_TP_RSS_CONFIG_TNL 0x7df4 #define S_MASKSIZE 28 @@ -15118,6 +23395,14 @@ #define V_USEWIRECH(x) ((x) << S_USEWIRECH) #define F_USEWIRECH V_USEWIRECH(1U) +#define S_HASHALL 2 +#define V_HASHALL(x) ((x) << S_HASHALL) +#define F_HASHALL V_HASHALL(1U) + +#define S_HASHETH 1 +#define V_HASHETH(x) ((x) << S_HASHETH) +#define F_HASHETH V_HASHETH(1U) + #define A_TP_RSS_CONFIG_OFD 0x7df8 #define S_RRCPLMAPEN 20 @@ -15197,6 +23482,20 @@ #define V_VFFWEN(x) ((x) << S_VFFWEN) #define F_VFFWEN V_VFFWEN(1U) +#define S_KEYWRADDRX 30 +#define M_KEYWRADDRX 0x3U +#define V_KEYWRADDRX(x) ((x) << S_KEYWRADDRX) +#define G_KEYWRADDRX(x) (((x) >> S_KEYWRADDRX) & M_KEYWRADDRX) + +#define S_KEYEXTEND 26 +#define V_KEYEXTEND(x) ((x) << S_KEYEXTEND) +#define F_KEYEXTEND V_KEYEXTEND(1U) + +#define S_T6_VFWRADDR 8 +#define M_T6_VFWRADDR 0xffU +#define V_T6_VFWRADDR(x) ((x) << S_T6_VFWRADDR) +#define G_T6_VFWRADDR(x) (((x) >> S_T6_VFWRADDR) & M_T6_VFWRADDR) + #define A_TP_RSS_CONFIG_CNG 0x7e04 #define S_CHNCOUNT3 31 @@ -15580,6 +23879,10 @@ #define V_CTPOUTPLDFIFOPERR(x) ((x) << S_CTPOUTPLDFIFOPERR) #define F_CTPOUTPLDFIFOPERR V_CTPOUTPLDFIFOPERR(1U) +#define S_SRQTABLEPERR 1 +#define V_SRQTABLEPERR(x) ((x) << S_SRQTABLEPERR) +#define F_SRQTABLEPERR V_SRQTABLEPERR(1U) + #define A_TP_INT_CAUSE 0x7e74 #define A_TP_PER_ENABLE 0x7e78 #define A_TP_FLM_FREE_PS_CNT 0x7e80 @@ -16285,6 +24588,14 @@ #define V_TXMAPCHANNEL0(x) ((x) << S_TXMAPCHANNEL0) #define G_TXMAPCHANNEL0(x) (((x) >> S_TXMAPCHANNEL0) & M_TXMAPCHANNEL0) +#define S_TXLPKCHANNEL1 17 +#define V_TXLPKCHANNEL1(x) ((x) << S_TXLPKCHANNEL1) +#define F_TXLPKCHANNEL1 V_TXLPKCHANNEL1(1U) + +#define S_TXLPKCHANNEL0 16 +#define V_TXLPKCHANNEL0(x) ((x) << S_TXLPKCHANNEL0) +#define F_TXLPKCHANNEL0 V_TXLPKCHANNEL0(1U) + #define A_TP_TX_SCHED_HDR 0x23 #define S_TXMAPHDRCHANNEL7 28 @@ -16642,13 +24953,56 @@ #define V_CH0DEFAULTQUEUE(x) ((x) << S_CH0DEFAULTQUEUE) #define G_CH0DEFAULTQUEUE(x) (((x) >> S_CH0DEFAULTQUEUE) & M_CH0DEFAULTQUEUE) +#define S_PRIENABLE 30 +#define V_PRIENABLE(x) ((x) << S_PRIENABLE) +#define F_PRIENABLE V_PRIENABLE(1U) + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF1_CONFIG 0x31 + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF2_CONFIG 0x32 + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF3_CONFIG 0x33 + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF4_CONFIG 0x34 + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF5_CONFIG 0x35 + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF6_CONFIG 0x36 + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF7_CONFIG 0x37 + +#define S_T6_CHNENABLE 29 +#define V_T6_CHNENABLE(x) ((x) << S_T6_CHNENABLE) +#define F_T6_CHNENABLE V_T6_CHNENABLE(1U) + #define A_TP_RSS_PF_MAP 0x38 #define S_LKPIDXSIZE 24 @@ -16838,6 +25192,13 @@ #define V_ETHTYPE0(x) ((x) << S_ETHTYPE0) #define G_ETHTYPE0(x) (((x) >> S_ETHTYPE0) & M_ETHTYPE0) +#define A_TP_VXLAN_HEADER 0x53 + +#define S_VXLANPORT 0 +#define M_VXLANPORT 0xffffU +#define V_VXLANPORT(x) ((x) << S_VXLANPORT) +#define G_VXLANPORT(x) (((x) >> S_VXLANPORT) & M_VXLANPORT) + #define A_TP_CORE_POWER 0x54 #define S_SLEEPRDYVNT 12 @@ -16910,6 +25271,114 @@ #define V_IMMEDIATEEN(x) ((x) << S_IMMEDIATEEN) #define F_IMMEDIATEEN V_IMMEDIATEEN(1U) +#define S_SHAREDRQEN 31 +#define V_SHAREDRQEN(x) ((x) << S_SHAREDRQEN) +#define F_SHAREDRQEN V_SHAREDRQEN(1U) + +#define S_SHAREDXRC 30 +#define V_SHAREDXRC(x) ((x) << S_SHAREDXRC) +#define F_SHAREDXRC V_SHAREDXRC(1U) + +#define A_TP_FRAG_CONFIG 0x56 + +#define S_TLSMODE 16 +#define M_TLSMODE 0x3U +#define V_TLSMODE(x) ((x) << S_TLSMODE) +#define G_TLSMODE(x) (((x) >> S_TLSMODE) & M_TLSMODE) + +#define S_USERMODE 14 +#define M_USERMODE 0x3U +#define V_USERMODE(x) ((x) << S_USERMODE) +#define G_USERMODE(x) (((x) >> S_USERMODE) & M_USERMODE) + +#define S_FCOEMODE 12 +#define M_FCOEMODE 0x3U +#define V_FCOEMODE(x) ((x) << S_FCOEMODE) +#define G_FCOEMODE(x) (((x) >> S_FCOEMODE) & M_FCOEMODE) + +#define S_IANDPMODE 10 +#define M_IANDPMODE 0x3U +#define V_IANDPMODE(x) ((x) << S_IANDPMODE) +#define G_IANDPMODE(x) (((x) >> S_IANDPMODE) & M_IANDPMODE) + +#define S_RDDPMODE 8 +#define M_RDDPMODE 0x3U +#define V_RDDPMODE(x) ((x) << S_RDDPMODE) +#define G_RDDPMODE(x) (((x) >> S_RDDPMODE) & M_RDDPMODE) + +#define S_IWARPMODE 6 +#define M_IWARPMODE 0x3U +#define V_IWARPMODE(x) ((x) << S_IWARPMODE) +#define G_IWARPMODE(x) (((x) >> S_IWARPMODE) & M_IWARPMODE) + +#define S_ISCSIMODE 4 +#define M_ISCSIMODE 0x3U +#define V_ISCSIMODE(x) ((x) << S_ISCSIMODE) +#define G_ISCSIMODE(x) (((x) >> S_ISCSIMODE) & M_ISCSIMODE) + +#define S_DDPMODE 2 +#define M_DDPMODE 0x3U +#define V_DDPMODE(x) ((x) << S_DDPMODE) +#define G_DDPMODE(x) (((x) >> S_DDPMODE) & M_DDPMODE) + +#define S_PASSMODE 0 +#define M_PASSMODE 0x3U +#define V_PASSMODE(x) ((x) << S_PASSMODE) +#define G_PASSMODE(x) (((x) >> S_PASSMODE) & M_PASSMODE) + +#define A_TP_CMM_CONFIG 0x57 + +#define S_WRCNTIDLE 16 +#define M_WRCNTIDLE 0xffffU +#define V_WRCNTIDLE(x) ((x) << S_WRCNTIDLE) +#define G_WRCNTIDLE(x) (((x) >> S_WRCNTIDLE) & M_WRCNTIDLE) + +#define S_RDTHRESHOLD 8 +#define M_RDTHRESHOLD 0x3fU +#define V_RDTHRESHOLD(x) ((x) << S_RDTHRESHOLD) +#define G_RDTHRESHOLD(x) (((x) >> S_RDTHRESHOLD) & M_RDTHRESHOLD) + +#define S_WRTHRLEVEL2 7 +#define V_WRTHRLEVEL2(x) ((x) << S_WRTHRLEVEL2) +#define F_WRTHRLEVEL2 V_WRTHRLEVEL2(1U) + +#define S_WRTHRLEVEL1 6 +#define V_WRTHRLEVEL1(x) ((x) << S_WRTHRLEVEL1) +#define F_WRTHRLEVEL1 V_WRTHRLEVEL1(1U) + +#define S_WRTHRTHRESHEN 5 +#define V_WRTHRTHRESHEN(x) ((x) << S_WRTHRTHRESHEN) +#define F_WRTHRTHRESHEN V_WRTHRTHRESHEN(1U) + +#define S_WRTHRTHRESH 0 +#define M_WRTHRTHRESH 0x1fU +#define V_WRTHRTHRESH(x) ((x) << S_WRTHRTHRESH) +#define G_WRTHRTHRESH(x) (((x) >> S_WRTHRTHRESH) & M_WRTHRTHRESH) + +#define A_TP_VXLAN_CONFIG 0x58 + +#define S_VXLANFLAGS 16 +#define M_VXLANFLAGS 0xffffU +#define V_VXLANFLAGS(x) ((x) << S_VXLANFLAGS) +#define G_VXLANFLAGS(x) (((x) >> S_VXLANFLAGS) & M_VXLANFLAGS) + +#define S_VXLANTYPE 0 +#define M_VXLANTYPE 0xffffU +#define V_VXLANTYPE(x) ((x) << S_VXLANTYPE) +#define G_VXLANTYPE(x) (((x) >> S_VXLANTYPE) & M_VXLANTYPE) + +#define A_TP_NVGRE_CONFIG 0x59 + +#define S_GREFLAGS 16 +#define M_GREFLAGS 0xffffU +#define V_GREFLAGS(x) ((x) << S_GREFLAGS) +#define G_GREFLAGS(x) (((x) >> S_GREFLAGS) & M_GREFLAGS) + +#define S_GRETYPE 0 +#define M_GRETYPE 0xffffU +#define V_GRETYPE(x) ((x) << S_GRETYPE) +#define G_GRETYPE(x) (((x) >> S_GRETYPE) & M_GRETYPE) + #define A_TP_DBG_CLEAR 0x60 #define A_TP_DBG_CORE_HDR0 0x61 @@ -17362,6 +25831,22 @@ #define V_DELDRDY(x) ((x) << S_DELDRDY) #define F_DELDRDY V_DELDRDY(1U) +#define S_T5_ETXBUSY 1 +#define V_T5_ETXBUSY(x) ((x) << S_T5_ETXBUSY) +#define F_T5_ETXBUSY V_T5_ETXBUSY(1U) + +#define S_T5_EPCMDBUSY 0 +#define V_T5_EPCMDBUSY(x) ((x) << S_T5_EPCMDBUSY) +#define F_T5_EPCMDBUSY V_T5_EPCMDBUSY(1U) + +#define S_T6_ETXBUSY 1 +#define V_T6_ETXBUSY(x) ((x) << S_T6_ETXBUSY) +#define F_T6_ETXBUSY V_T6_ETXBUSY(1U) + +#define S_T6_EPCMDBUSY 0 +#define V_T6_EPCMDBUSY(x) ((x) << S_T6_EPCMDBUSY) +#define F_T6_EPCMDBUSY V_T6_EPCMDBUSY(1U) + #define A_TP_DBG_ENG_RES1 0x67 #define S_RXCPLSRDY 31 @@ -17451,6 +25936,10 @@ #define V_RCFDATACMRDY(x) ((x) << S_RCFDATACMRDY) #define F_RCFDATACMRDY V_RCFDATACMRDY(1U) +#define S_RXISSSRDY 28 +#define V_RXISSSRDY(x) ((x) << S_RXISSSRDY) +#define F_RXISSSRDY V_RXISSSRDY(1U) + #define A_TP_DBG_ENG_RES2 0x68 #define S_CPLCMDRAW 24 @@ -17611,7 +26100,49 @@ #define V_RXMODXOFF(x) ((x) << S_RXMODXOFF) #define G_RXMODXOFF(x) (((x) >> S_RXMODXOFF) & M_RXMODXOFF) +#define S_T5_RXFIFOCNG 20 +#define M_T5_RXFIFOCNG 0xfU +#define V_T5_RXFIFOCNG(x) ((x) << S_T5_RXFIFOCNG) +#define G_T5_RXFIFOCNG(x) (((x) >> S_T5_RXFIFOCNG) & M_T5_RXFIFOCNG) + +#define S_T5_RXPCMDCNG 14 +#define M_T5_RXPCMDCNG 0x3U +#define V_T5_RXPCMDCNG(x) ((x) << S_T5_RXPCMDCNG) +#define G_T5_RXPCMDCNG(x) (((x) >> S_T5_RXPCMDCNG) & M_T5_RXPCMDCNG) + +#define S_T6_RXFIFOCNG 20 +#define M_T6_RXFIFOCNG 0xfU +#define V_T6_RXFIFOCNG(x) ((x) << S_T6_RXFIFOCNG) +#define G_T6_RXFIFOCNG(x) (((x) >> S_T6_RXFIFOCNG) & M_T6_RXFIFOCNG) + +#define S_T6_RXPCMDCNG 14 +#define M_T6_RXPCMDCNG 0x3U +#define V_T6_RXPCMDCNG(x) ((x) << S_T6_RXPCMDCNG) +#define G_T6_RXPCMDCNG(x) (((x) >> S_T6_RXPCMDCNG) & M_T6_RXPCMDCNG) + #define A_TP_DBG_ERROR_CNT 0x6c +#define A_TP_DBG_CORE_CPL 0x6d + +#define S_CPLCMDOUT3 24 +#define M_CPLCMDOUT3 0xffU +#define V_CPLCMDOUT3(x) ((x) << S_CPLCMDOUT3) +#define G_CPLCMDOUT3(x) (((x) >> S_CPLCMDOUT3) & M_CPLCMDOUT3) + +#define S_CPLCMDOUT2 16 +#define M_CPLCMDOUT2 0xffU +#define V_CPLCMDOUT2(x) ((x) << S_CPLCMDOUT2) +#define G_CPLCMDOUT2(x) (((x) >> S_CPLCMDOUT2) & M_CPLCMDOUT2) + +#define S_CPLCMDOUT1 8 +#define M_CPLCMDOUT1 0xffU +#define V_CPLCMDOUT1(x) ((x) << S_CPLCMDOUT1) +#define G_CPLCMDOUT1(x) (((x) >> S_CPLCMDOUT1) & M_CPLCMDOUT1) + +#define S_CPLCMDOUT0 0 +#define M_CPLCMDOUT0 0xffU +#define V_CPLCMDOUT0(x) ((x) << S_CPLCMDOUT0) +#define G_CPLCMDOUT0(x) (((x) >> S_CPLCMDOUT0) & M_CPLCMDOUT0) + #define A_TP_MIB_DEBUG 0x6f #define S_SRC3 31 @@ -17650,6 +26181,12 @@ #define V_LINENUM0(x) ((x) << S_LINENUM0) #define G_LINENUM0(x) (((x) >> S_LINENUM0) & M_LINENUM0) +#define A_TP_DBG_CACHE_WR_ALL 0x70 +#define A_TP_DBG_CACHE_WR_HIT 0x71 +#define A_TP_DBG_CACHE_RD_ALL 0x72 +#define A_TP_DBG_CACHE_RD_HIT 0x73 +#define A_TP_DBG_CACHE_MC_REQ 0x74 +#define A_TP_DBG_CACHE_MC_RSP 0x75 #define A_TP_T5_TX_DROP_CNT_CH0 0x120 #define A_TP_T5_TX_DROP_CNT_CH1 0x121 #define A_TP_TX_DROP_CNT_CH2 0x122 @@ -18119,7 +26656,36 @@ #define V_TXFULL(x) ((x) << S_TXFULL) #define F_TXFULL V_TXFULL(1U) +#define S_FIFOGRERXVALID 15 +#define V_FIFOGRERXVALID(x) ((x) << S_FIFOGRERXVALID) +#define F_FIFOGRERXVALID V_FIFOGRERXVALID(1U) + +#define S_FIFOGRERXREADY 14 +#define V_FIFOGRERXREADY(x) ((x) << S_FIFOGRERXREADY) +#define F_FIFOGRERXREADY V_FIFOGRERXREADY(1U) + +#define S_FIFOGRERXSOCP 13 +#define V_FIFOGRERXSOCP(x) ((x) << S_FIFOGRERXSOCP) +#define F_FIFOGRERXSOCP V_FIFOGRERXSOCP(1U) + +#define S_T6_ESTATIC4 12 +#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4) +#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U) + +#define S_TXFULL_ESIDE0 0 +#define V_TXFULL_ESIDE0(x) ((x) << S_TXFULL_ESIDE0) +#define F_TXFULL_ESIDE0 V_TXFULL_ESIDE0(1U) + #define A_TP_DBG_ESIDE_DISP1 0x137 + +#define S_T6_ESTATIC4 12 +#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4) +#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U) + +#define S_TXFULL_ESIDE1 0 +#define V_TXFULL_ESIDE1(x) ((x) << S_TXFULL_ESIDE1) +#define F_TXFULL_ESIDE1 V_TXFULL_ESIDE1(1U) + #define A_TP_MAC_MATCH_MAP0 0x138 #define S_MAPVALUEWR 16 @@ -18148,7 +26714,25 @@ #define G_MAPVALUERD(x) (((x) >> S_MAPVALUERD) & M_MAPVALUERD) #define A_TP_DBG_ESIDE_DISP2 0x13a + +#define S_T6_ESTATIC4 12 +#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4) +#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U) + +#define S_TXFULL_ESIDE2 0 +#define V_TXFULL_ESIDE2(x) ((x) << S_TXFULL_ESIDE2) +#define F_TXFULL_ESIDE2 V_TXFULL_ESIDE2(1U) + #define A_TP_DBG_ESIDE_DISP3 0x13b + +#define S_T6_ESTATIC4 12 +#define V_T6_ESTATIC4(x) ((x) << S_T6_ESTATIC4) +#define F_T6_ESTATIC4 V_T6_ESTATIC4(1U) + +#define S_TXFULL_ESIDE3 0 +#define V_TXFULL_ESIDE3(x) ((x) << S_TXFULL_ESIDE3) +#define F_TXFULL_ESIDE3 V_TXFULL_ESIDE3(1U) + #define A_TP_DBG_ESIDE_HDR0 0x13c #define S_TCPSOPCNT 28 @@ -18296,6 +26880,10 @@ #define V_FRAG_LEN_MOD8_COMPAT(x) ((x) << S_FRAG_LEN_MOD8_COMPAT) #define F_FRAG_LEN_MOD8_COMPAT V_FRAG_LEN_MOD8_COMPAT(1U) +#define S_USE_ENC_IDX 13 +#define V_USE_ENC_IDX(x) ((x) << S_USE_ENC_IDX) +#define F_USE_ENC_IDX V_USE_ENC_IDX(1U) + #define A_TP_TX_DROP_CFG_CH2 0x142 #define A_TP_TX_DROP_CFG_CH3 0x143 #define A_TP_EGRESS_CONFIG 0x145 @@ -18416,6 +27004,14 @@ #define V_TCPOPTTXFULL(x) ((x) << S_TCPOPTTXFULL) #define F_TCPOPTTXFULL V_TCPOPTTXFULL(1U) +#define S_PKTATTRSRDY 3 +#define V_PKTATTRSRDY(x) ((x) << S_PKTATTRSRDY) +#define F_PKTATTRSRDY V_PKTATTRSRDY(1U) + +#define S_PKTATTRDRDY 2 +#define V_PKTATTRDRDY(x) ((x) << S_PKTATTRDRDY) +#define F_PKTATTRDRDY V_PKTATTRDRDY(1U) + #define A_TP_DBG_ESIDE_DEMUX 0x149 #define S_EALLDONE 28 @@ -18683,6 +27279,11 @@ #define V_ETXFULL(x) ((x) << S_ETXFULL) #define G_ETXFULL(x) (((x) >> S_ETXFULL) & M_ETXFULL) +#define S_TXERRORCNT 8 +#define M_TXERRORCNT 0xffffffU +#define V_TXERRORCNT(x) ((x) << S_TXERRORCNT) +#define G_TXERRORCNT(x) (((x) >> S_TXERRORCNT) & M_TXERRORCNT) + #define A_TP_ESIDE_SVID_MASK 0x151 #define A_TP_ESIDE_DVID_MASK 0x152 #define A_TP_ESIDE_ALIGN_MASK 0x153 @@ -18862,6 +27463,29 @@ #define V_OPT_PARSER_COOKIE_CHANNEL0(x) ((x) << S_OPT_PARSER_COOKIE_CHANNEL0) #define G_OPT_PARSER_COOKIE_CHANNEL0(x) (((x) >> S_OPT_PARSER_COOKIE_CHANNEL0) & M_OPT_PARSER_COOKIE_CHANNEL0) +#define A_TP_DBG_ESIDE_DEMUX_WAIT0 0x158 +#define A_TP_DBG_ESIDE_DEMUX_WAIT1 0x159 +#define A_TP_DBG_ESIDE_DEMUX_CNT0 0x15a +#define A_TP_DBG_ESIDE_DEMUX_CNT1 0x15b +#define A_TP_ESIDE_CONFIG 0x160 + +#define S_VNI_EN 26 +#define V_VNI_EN(x) ((x) << S_VNI_EN) +#define F_VNI_EN V_VNI_EN(1U) + +#define S_ENC_RX_EN 25 +#define V_ENC_RX_EN(x) ((x) << S_ENC_RX_EN) +#define F_ENC_RX_EN V_ENC_RX_EN(1U) + +#define S_TNL_LKP_INNER_SEL 24 +#define V_TNL_LKP_INNER_SEL(x) ((x) << S_TNL_LKP_INNER_SEL) +#define F_TNL_LKP_INNER_SEL V_TNL_LKP_INNER_SEL(1U) + +#define S_ROCEV2UDPPORT 0 +#define M_ROCEV2UDPPORT 0xffffU +#define V_ROCEV2UDPPORT(x) ((x) << S_ROCEV2UDPPORT) +#define G_ROCEV2UDPPORT(x) (((x) >> S_ROCEV2UDPPORT) & M_ROCEV2UDPPORT) + #define A_TP_DBG_CSIDE_RX0 0x230 #define S_CRXSOPCNT 28 @@ -19274,14 +27898,30 @@ #define V_CMD_SEL(x) ((x) << S_CMD_SEL) #define F_CMD_SEL V_CMD_SEL(1U) +#define S_T5_TXFULL 31 +#define V_T5_TXFULL(x) ((x) << S_T5_TXFULL) +#define F_T5_TXFULL V_T5_TXFULL(1U) + #define S_CPL5RXFULL 26 #define V_CPL5RXFULL(x) ((x) << S_CPL5RXFULL) #define F_CPL5RXFULL V_CPL5RXFULL(1U) +#define S_T5_PLD_RXZEROP_SRDY 25 +#define V_T5_PLD_RXZEROP_SRDY(x) ((x) << S_T5_PLD_RXZEROP_SRDY) +#define F_T5_PLD_RXZEROP_SRDY V_T5_PLD_RXZEROP_SRDY(1U) + #define S_PLD2XRXVALID 23 #define V_PLD2XRXVALID(x) ((x) << S_PLD2XRXVALID) #define F_PLD2XRXVALID V_PLD2XRXVALID(1U) +#define S_T5_DDP_SRDY 22 +#define V_T5_DDP_SRDY(x) ((x) << S_T5_DDP_SRDY) +#define F_T5_DDP_SRDY V_T5_DDP_SRDY(1U) + +#define S_T5_DDP_DRDY 21 +#define V_T5_DDP_DRDY(x) ((x) << S_T5_DDP_DRDY) +#define F_T5_DDP_DRDY V_T5_DDP_DRDY(1U) + #define S_DDPSTATE 16 #define M_DDPSTATE 0x1fU #define V_DDPSTATE(x) ((x) << S_DDPSTATE) @@ -19318,7 +27958,56 @@ #define V_TXFULL2X(x) ((x) << S_TXFULL2X) #define F_TXFULL2X V_TXFULL2X(1U) +#define S_T6_TXFULL 31 +#define V_T6_TXFULL(x) ((x) << S_T6_TXFULL) +#define F_T6_TXFULL V_T6_TXFULL(1U) + +#define S_T6_PLD_RXZEROP_SRDY 25 +#define V_T6_PLD_RXZEROP_SRDY(x) ((x) << S_T6_PLD_RXZEROP_SRDY) +#define F_T6_PLD_RXZEROP_SRDY V_T6_PLD_RXZEROP_SRDY(1U) + +#define S_T6_DDP_SRDY 22 +#define V_T6_DDP_SRDY(x) ((x) << S_T6_DDP_SRDY) +#define F_T6_DDP_SRDY V_T6_DDP_SRDY(1U) + +#define S_T6_DDP_DRDY 21 +#define V_T6_DDP_DRDY(x) ((x) << S_T6_DDP_DRDY) +#define F_T6_DDP_DRDY V_T6_DDP_DRDY(1U) + #define A_TP_DBG_CSIDE_DISP1 0x23b + +#define S_T5_TXFULL 31 +#define V_T5_TXFULL(x) ((x) << S_T5_TXFULL) +#define F_T5_TXFULL V_T5_TXFULL(1U) + +#define S_T5_PLD_RXZEROP_SRDY 25 +#define V_T5_PLD_RXZEROP_SRDY(x) ((x) << S_T5_PLD_RXZEROP_SRDY) +#define F_T5_PLD_RXZEROP_SRDY V_T5_PLD_RXZEROP_SRDY(1U) + +#define S_T5_DDP_SRDY 22 +#define V_T5_DDP_SRDY(x) ((x) << S_T5_DDP_SRDY) +#define F_T5_DDP_SRDY V_T5_DDP_SRDY(1U) + +#define S_T5_DDP_DRDY 21 +#define V_T5_DDP_DRDY(x) ((x) << S_T5_DDP_DRDY) +#define F_T5_DDP_DRDY V_T5_DDP_DRDY(1U) + +#define S_T6_TXFULL 31 +#define V_T6_TXFULL(x) ((x) << S_T6_TXFULL) +#define F_T6_TXFULL V_T6_TXFULL(1U) + +#define S_T6_PLD_RXZEROP_SRDY 25 +#define V_T6_PLD_RXZEROP_SRDY(x) ((x) << S_T6_PLD_RXZEROP_SRDY) +#define F_T6_PLD_RXZEROP_SRDY V_T6_PLD_RXZEROP_SRDY(1U) + +#define S_T6_DDP_SRDY 22 +#define V_T6_DDP_SRDY(x) ((x) << S_T6_DDP_SRDY) +#define F_T6_DDP_SRDY V_T6_DDP_SRDY(1U) + +#define S_T6_DDP_DRDY 21 +#define V_T6_DDP_DRDY(x) ((x) << S_T6_DDP_DRDY) +#define F_T6_DDP_DRDY V_T6_DDP_DRDY(1U) + #define A_TP_DBG_CSIDE_DDP0 0x23c #define S_DDPMSGLATEST7 28 @@ -19525,6 +28214,10 @@ #define V_ATOMICCMDEN(x) ((x) << S_ATOMICCMDEN) #define F_ATOMICCMDEN V_ATOMICCMDEN(1U) +#define S_ISCSICMDMODE 28 +#define V_ISCSICMDMODE(x) ((x) << S_ISCSICMDMODE) +#define F_ISCSICMDMODE V_ISCSICMDMODE(1U) + #define A_TP_CSPI_POWER 0x243 #define S_GATECHNTX3 11 @@ -19614,6 +28307,11 @@ #define V_CRXFULL3(x) ((x) << S_CRXFULL3) #define F_CRXFULL3 V_CRXFULL3(1U) +#define S_T5_CPRSSTATE3 24 +#define M_T5_CPRSSTATE3 0xfU +#define V_T5_CPRSSTATE3(x) ((x) << S_T5_CPRSSTATE3) +#define G_T5_CPRSSTATE3(x) (((x) >> S_T5_CPRSSTATE3) & M_T5_CPRSSTATE3) + #define S_C4TUPBUSY2 23 #define V_C4TUPBUSY2(x) ((x) << S_C4TUPBUSY2) #define F_C4TUPBUSY2 V_C4TUPBUSY2(1U) @@ -19630,6 +28328,11 @@ #define V_CRXFULL2(x) ((x) << S_CRXFULL2) #define F_CRXFULL2 V_CRXFULL2(1U) +#define S_T5_CPRSSTATE2 16 +#define M_T5_CPRSSTATE2 0xfU +#define V_T5_CPRSSTATE2(x) ((x) << S_T5_CPRSSTATE2) +#define G_T5_CPRSSTATE2(x) (((x) >> S_T5_CPRSSTATE2) & M_T5_CPRSSTATE2) + #define S_C4TUPBUSY1 15 #define V_C4TUPBUSY1(x) ((x) << S_C4TUPBUSY1) #define F_C4TUPBUSY1 V_C4TUPBUSY1(1U) @@ -19646,6 +28349,11 @@ #define V_CRXFULL1(x) ((x) << S_CRXFULL1) #define F_CRXFULL1 V_CRXFULL1(1U) +#define S_T5_CPRSSTATE1 8 +#define M_T5_CPRSSTATE1 0xfU +#define V_T5_CPRSSTATE1(x) ((x) << S_T5_CPRSSTATE1) +#define G_T5_CPRSSTATE1(x) (((x) >> S_T5_CPRSSTATE1) & M_T5_CPRSSTATE1) + #define S_C4TUPBUSY0 7 #define V_C4TUPBUSY0(x) ((x) << S_C4TUPBUSY0) #define F_C4TUPBUSY0 V_C4TUPBUSY0(1U) @@ -19662,6 +28370,31 @@ #define V_CRXFULL0(x) ((x) << S_CRXFULL0) #define F_CRXFULL0 V_CRXFULL0(1U) +#define S_T5_CPRSSTATE0 0 +#define M_T5_CPRSSTATE0 0xfU +#define V_T5_CPRSSTATE0(x) ((x) << S_T5_CPRSSTATE0) +#define G_T5_CPRSSTATE0(x) (((x) >> S_T5_CPRSSTATE0) & M_T5_CPRSSTATE0) + +#define S_T6_CPRSSTATE3 24 +#define M_T6_CPRSSTATE3 0xfU +#define V_T6_CPRSSTATE3(x) ((x) << S_T6_CPRSSTATE3) +#define G_T6_CPRSSTATE3(x) (((x) >> S_T6_CPRSSTATE3) & M_T6_CPRSSTATE3) + +#define S_T6_CPRSSTATE2 16 +#define M_T6_CPRSSTATE2 0xfU +#define V_T6_CPRSSTATE2(x) ((x) << S_T6_CPRSSTATE2) +#define G_T6_CPRSSTATE2(x) (((x) >> S_T6_CPRSSTATE2) & M_T6_CPRSSTATE2) + +#define S_T6_CPRSSTATE1 8 +#define M_T6_CPRSSTATE1 0xfU +#define V_T6_CPRSSTATE1(x) ((x) << S_T6_CPRSSTATE1) +#define G_T6_CPRSSTATE1(x) (((x) >> S_T6_CPRSSTATE1) & M_T6_CPRSSTATE1) + +#define S_T6_CPRSSTATE0 0 +#define M_T6_CPRSSTATE0 0xfU +#define V_T6_CPRSSTATE0(x) ((x) << S_T6_CPRSSTATE0) +#define G_T6_CPRSSTATE0(x) (((x) >> S_T6_CPRSSTATE0) & M_T6_CPRSSTATE0) + #define A_TP_DBG_CSIDE_DEMUX 0x247 #define S_CALLDONE 28 @@ -19849,6 +28582,50 @@ #define V_ERRVALID0(x) ((x) << S_ERRVALID0) #define F_ERRVALID0 V_ERRVALID0(1U) +#define A_TP_DBG_CSIDE_TRACE_CNT 0x24a + +#define S_TRCSOPCNT 24 +#define M_TRCSOPCNT 0xffU +#define V_TRCSOPCNT(x) ((x) << S_TRCSOPCNT) +#define G_TRCSOPCNT(x) (((x) >> S_TRCSOPCNT) & M_TRCSOPCNT) + +#define S_TRCEOPCNT 16 +#define M_TRCEOPCNT 0xffU +#define V_TRCEOPCNT(x) ((x) << S_TRCEOPCNT) +#define G_TRCEOPCNT(x) (((x) >> S_TRCEOPCNT) & M_TRCEOPCNT) + +#define S_TRCFLTHIT 12 +#define M_TRCFLTHIT 0xfU +#define V_TRCFLTHIT(x) ((x) << S_TRCFLTHIT) +#define G_TRCFLTHIT(x) (((x) >> S_TRCFLTHIT) & M_TRCFLTHIT) + +#define S_TRCRNTPKT 8 +#define M_TRCRNTPKT 0xfU +#define V_TRCRNTPKT(x) ((x) << S_TRCRNTPKT) +#define G_TRCRNTPKT(x) (((x) >> S_TRCRNTPKT) & M_TRCRNTPKT) + +#define S_TRCPKTLEN 0 +#define M_TRCPKTLEN 0xffU +#define V_TRCPKTLEN(x) ((x) << S_TRCPKTLEN) +#define G_TRCPKTLEN(x) (((x) >> S_TRCPKTLEN) & M_TRCPKTLEN) + +#define A_TP_DBG_CSIDE_TRACE_RSS 0x24b +#define A_TP_VLN_CONFIG 0x24c + +#define S_ETHTYPEQINQ 16 +#define M_ETHTYPEQINQ 0xffffU +#define V_ETHTYPEQINQ(x) ((x) << S_ETHTYPEQINQ) +#define G_ETHTYPEQINQ(x) (((x) >> S_ETHTYPEQINQ) & M_ETHTYPEQINQ) + +#define S_ETHTYPEVLAN 0 +#define M_ETHTYPEVLAN 0xffffU +#define V_ETHTYPEVLAN(x) ((x) << S_ETHTYPEVLAN) +#define G_ETHTYPEVLAN(x) (((x) >> S_ETHTYPEVLAN) & M_ETHTYPEVLAN) + +#define A_TP_DBG_CSIDE_ARBIT_WAIT0 0x24d +#define A_TP_DBG_CSIDE_ARBIT_WAIT1 0x24e +#define A_TP_DBG_CSIDE_ARBIT_CNT0 0x24f +#define A_TP_DBG_CSIDE_ARBIT_CNT1 0x250 #define A_TP_FIFO_CONFIG 0x8c0 #define S_CH1_OUTPUT 27 @@ -19986,6 +28763,10 @@ #define A_TP_MIB_ENG_LINE_1 0x6d #define A_TP_MIB_ENG_LINE_2 0x6e #define A_TP_MIB_ENG_LINE_3 0x6f +#define A_TP_MIB_TNL_ERR_0 0x70 +#define A_TP_MIB_TNL_ERR_1 0x71 +#define A_TP_MIB_TNL_ERR_2 0x72 +#define A_TP_MIB_TNL_ERR_3 0x73 /* registers for module ULP_TX */ #define ULP_TX_BASE_ADDR 0x8dc0 @@ -20028,6 +28809,46 @@ #define V_LOSDR(x) ((x) << S_LOSDR) #define F_LOSDR V_LOSDR(1U) +#define S_ULIMIT_EXCLUSIVE_FIX 16 +#define V_ULIMIT_EXCLUSIVE_FIX(x) ((x) << S_ULIMIT_EXCLUSIVE_FIX) +#define F_ULIMIT_EXCLUSIVE_FIX V_ULIMIT_EXCLUSIVE_FIX(1U) + +#define S_ISO_A_FLAG_EN 15 +#define V_ISO_A_FLAG_EN(x) ((x) << S_ISO_A_FLAG_EN) +#define F_ISO_A_FLAG_EN V_ISO_A_FLAG_EN(1U) + +#define S_IWARP_SEQ_FLIT_DIS 14 +#define V_IWARP_SEQ_FLIT_DIS(x) ((x) << S_IWARP_SEQ_FLIT_DIS) +#define F_IWARP_SEQ_FLIT_DIS V_IWARP_SEQ_FLIT_DIS(1U) + +#define S_MR_SIZE_FIX_EN 13 +#define V_MR_SIZE_FIX_EN(x) ((x) << S_MR_SIZE_FIX_EN) +#define F_MR_SIZE_FIX_EN V_MR_SIZE_FIX_EN(1U) + +#define S_T10_ISO_FIX_EN 12 +#define V_T10_ISO_FIX_EN(x) ((x) << S_T10_ISO_FIX_EN) +#define F_T10_ISO_FIX_EN V_T10_ISO_FIX_EN(1U) + +#define S_CPL_FLAGS_UPDATE_EN 11 +#define V_CPL_FLAGS_UPDATE_EN(x) ((x) << S_CPL_FLAGS_UPDATE_EN) +#define F_CPL_FLAGS_UPDATE_EN V_CPL_FLAGS_UPDATE_EN(1U) + +#define S_IWARP_SEQ_UPDATE_EN 10 +#define V_IWARP_SEQ_UPDATE_EN(x) ((x) << S_IWARP_SEQ_UPDATE_EN) +#define F_IWARP_SEQ_UPDATE_EN V_IWARP_SEQ_UPDATE_EN(1U) + +#define S_SEQ_UPDATE_EN 9 +#define V_SEQ_UPDATE_EN(x) ((x) << S_SEQ_UPDATE_EN) +#define F_SEQ_UPDATE_EN V_SEQ_UPDATE_EN(1U) + +#define S_ERR_ITT_EN 8 +#define V_ERR_ITT_EN(x) ((x) << S_ERR_ITT_EN) +#define F_ERR_ITT_EN V_ERR_ITT_EN(1U) + +#define S_ATOMIC_FIX_DIS 7 +#define V_ATOMIC_FIX_DIS(x) ((x) << S_ATOMIC_FIX_DIS) +#define F_ATOMIC_FIX_DIS V_ATOMIC_FIX_DIS(1U) + #define A_ULP_TX_PERR_INJECT 0x8dc4 #define A_ULP_TX_INT_ENABLE 0x8dc8 @@ -20166,6 +28987,28 @@ #define A_ULP_TX_PBL_LLIMIT 0x8ddc #define A_ULP_TX_PBL_ULIMIT 0x8de0 #define A_ULP_TX_CPL_ERR_OFFSET 0x8de4 +#define A_ULP_TX_TLS_CTL 0x8de4 + +#define S_TLSPERREN 4 +#define V_TLSPERREN(x) ((x) << S_TLSPERREN) +#define F_TLSPERREN V_TLSPERREN(1U) + +#define S_TLSPATHCTL 3 +#define V_TLSPATHCTL(x) ((x) << S_TLSPATHCTL) +#define F_TLSPATHCTL V_TLSPATHCTL(1U) + +#define S_TLSDISABLEIFUSE 2 +#define V_TLSDISABLEIFUSE(x) ((x) << S_TLSDISABLEIFUSE) +#define F_TLSDISABLEIFUSE V_TLSDISABLEIFUSE(1U) + +#define S_TLSDISABLECFUSE 1 +#define V_TLSDISABLECFUSE(x) ((x) << S_TLSDISABLECFUSE) +#define F_TLSDISABLECFUSE V_TLSDISABLECFUSE(1U) + +#define S_TLSDISABLE 0 +#define V_TLSDISABLE(x) ((x) << S_TLSDISABLE) +#define F_TLSDISABLE V_TLSDISABLE(1U) + #define A_ULP_TX_CPL_ERR_MASK_L 0x8de8 #define A_ULP_TX_CPL_ERR_MASK_H 0x8dec #define A_ULP_TX_CPL_ERR_VALUE_L 0x8df0 @@ -20321,6 +29164,17 @@ #define A_ULP_TX_ULP2TP_BIST_ERROR_CNT 0x8e34 #define A_ULP_TX_PERR_INJECT_2 0x8e34 + +#define S_T5_MEMSEL 1 +#define M_T5_MEMSEL 0x7U +#define V_T5_MEMSEL(x) ((x) << S_T5_MEMSEL) +#define G_T5_MEMSEL(x) (((x) >> S_T5_MEMSEL) & M_T5_MEMSEL) + +#define S_MEMSEL_ULPTX 1 +#define M_MEMSEL_ULPTX 0x1fU +#define V_MEMSEL_ULPTX(x) ((x) << S_MEMSEL_ULPTX) +#define G_MEMSEL_ULPTX(x) (((x) >> S_MEMSEL_ULPTX) & M_MEMSEL_ULPTX) + #define A_ULP_TX_FPGA_CMD_CTRL 0x8e38 #define A_ULP_TX_T5_FPGA_CMD_CTRL 0x8e38 @@ -20429,6 +29283,102 @@ #define V_T10_PI_SRAM_PERR_SET0(x) ((x) << S_T10_PI_SRAM_PERR_SET0) #define F_T10_PI_SRAM_PERR_SET0 V_T10_PI_SRAM_PERR_SET0(1U) +#define S_EDMA_IN_FIFO_PERR_SET3 31 +#define V_EDMA_IN_FIFO_PERR_SET3(x) ((x) << S_EDMA_IN_FIFO_PERR_SET3) +#define F_EDMA_IN_FIFO_PERR_SET3 V_EDMA_IN_FIFO_PERR_SET3(1U) + +#define S_EDMA_IN_FIFO_PERR_SET2 30 +#define V_EDMA_IN_FIFO_PERR_SET2(x) ((x) << S_EDMA_IN_FIFO_PERR_SET2) +#define F_EDMA_IN_FIFO_PERR_SET2 V_EDMA_IN_FIFO_PERR_SET2(1U) + +#define S_EDMA_IN_FIFO_PERR_SET1 29 +#define V_EDMA_IN_FIFO_PERR_SET1(x) ((x) << S_EDMA_IN_FIFO_PERR_SET1) +#define F_EDMA_IN_FIFO_PERR_SET1 V_EDMA_IN_FIFO_PERR_SET1(1U) + +#define S_EDMA_IN_FIFO_PERR_SET0 28 +#define V_EDMA_IN_FIFO_PERR_SET0(x) ((x) << S_EDMA_IN_FIFO_PERR_SET0) +#define F_EDMA_IN_FIFO_PERR_SET0 V_EDMA_IN_FIFO_PERR_SET0(1U) + +#define S_ALIGN_CTL_FIFO_PERR_SET3 27 +#define V_ALIGN_CTL_FIFO_PERR_SET3(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET3) +#define F_ALIGN_CTL_FIFO_PERR_SET3 V_ALIGN_CTL_FIFO_PERR_SET3(1U) + +#define S_ALIGN_CTL_FIFO_PERR_SET2 26 +#define V_ALIGN_CTL_FIFO_PERR_SET2(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET2) +#define F_ALIGN_CTL_FIFO_PERR_SET2 V_ALIGN_CTL_FIFO_PERR_SET2(1U) + +#define S_ALIGN_CTL_FIFO_PERR_SET1 25 +#define V_ALIGN_CTL_FIFO_PERR_SET1(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET1) +#define F_ALIGN_CTL_FIFO_PERR_SET1 V_ALIGN_CTL_FIFO_PERR_SET1(1U) + +#define S_ALIGN_CTL_FIFO_PERR_SET0 24 +#define V_ALIGN_CTL_FIFO_PERR_SET0(x) ((x) << S_ALIGN_CTL_FIFO_PERR_SET0) +#define F_ALIGN_CTL_FIFO_PERR_SET0 V_ALIGN_CTL_FIFO_PERR_SET0(1U) + +#define S_SGE_FIFO_PERR_SET3 23 +#define V_SGE_FIFO_PERR_SET3(x) ((x) << S_SGE_FIFO_PERR_SET3) +#define F_SGE_FIFO_PERR_SET3 V_SGE_FIFO_PERR_SET3(1U) + +#define S_SGE_FIFO_PERR_SET2 22 +#define V_SGE_FIFO_PERR_SET2(x) ((x) << S_SGE_FIFO_PERR_SET2) +#define F_SGE_FIFO_PERR_SET2 V_SGE_FIFO_PERR_SET2(1U) + +#define S_SGE_FIFO_PERR_SET1 21 +#define V_SGE_FIFO_PERR_SET1(x) ((x) << S_SGE_FIFO_PERR_SET1) +#define F_SGE_FIFO_PERR_SET1 V_SGE_FIFO_PERR_SET1(1U) + +#define S_SGE_FIFO_PERR_SET0 20 +#define V_SGE_FIFO_PERR_SET0(x) ((x) << S_SGE_FIFO_PERR_SET0) +#define F_SGE_FIFO_PERR_SET0 V_SGE_FIFO_PERR_SET0(1U) + +#define S_STAG_FIFO_PERR_SET3 19 +#define V_STAG_FIFO_PERR_SET3(x) ((x) << S_STAG_FIFO_PERR_SET3) +#define F_STAG_FIFO_PERR_SET3 V_STAG_FIFO_PERR_SET3(1U) + +#define S_STAG_FIFO_PERR_SET2 18 +#define V_STAG_FIFO_PERR_SET2(x) ((x) << S_STAG_FIFO_PERR_SET2) +#define F_STAG_FIFO_PERR_SET2 V_STAG_FIFO_PERR_SET2(1U) + +#define S_STAG_FIFO_PERR_SET1 17 +#define V_STAG_FIFO_PERR_SET1(x) ((x) << S_STAG_FIFO_PERR_SET1) +#define F_STAG_FIFO_PERR_SET1 V_STAG_FIFO_PERR_SET1(1U) + +#define S_STAG_FIFO_PERR_SET0 16 +#define V_STAG_FIFO_PERR_SET0(x) ((x) << S_STAG_FIFO_PERR_SET0) +#define F_STAG_FIFO_PERR_SET0 V_STAG_FIFO_PERR_SET0(1U) + +#define S_MAP_FIFO_PERR_SET3 15 +#define V_MAP_FIFO_PERR_SET3(x) ((x) << S_MAP_FIFO_PERR_SET3) +#define F_MAP_FIFO_PERR_SET3 V_MAP_FIFO_PERR_SET3(1U) + +#define S_MAP_FIFO_PERR_SET2 14 +#define V_MAP_FIFO_PERR_SET2(x) ((x) << S_MAP_FIFO_PERR_SET2) +#define F_MAP_FIFO_PERR_SET2 V_MAP_FIFO_PERR_SET2(1U) + +#define S_MAP_FIFO_PERR_SET1 13 +#define V_MAP_FIFO_PERR_SET1(x) ((x) << S_MAP_FIFO_PERR_SET1) +#define F_MAP_FIFO_PERR_SET1 V_MAP_FIFO_PERR_SET1(1U) + +#define S_MAP_FIFO_PERR_SET0 12 +#define V_MAP_FIFO_PERR_SET0(x) ((x) << S_MAP_FIFO_PERR_SET0) +#define F_MAP_FIFO_PERR_SET0 V_MAP_FIFO_PERR_SET0(1U) + +#define S_DMA_FIFO_PERR_SET3 11 +#define V_DMA_FIFO_PERR_SET3(x) ((x) << S_DMA_FIFO_PERR_SET3) +#define F_DMA_FIFO_PERR_SET3 V_DMA_FIFO_PERR_SET3(1U) + +#define S_DMA_FIFO_PERR_SET2 10 +#define V_DMA_FIFO_PERR_SET2(x) ((x) << S_DMA_FIFO_PERR_SET2) +#define F_DMA_FIFO_PERR_SET2 V_DMA_FIFO_PERR_SET2(1U) + +#define S_DMA_FIFO_PERR_SET1 9 +#define V_DMA_FIFO_PERR_SET1(x) ((x) << S_DMA_FIFO_PERR_SET1) +#define F_DMA_FIFO_PERR_SET1 V_DMA_FIFO_PERR_SET1(1U) + +#define S_DMA_FIFO_PERR_SET0 8 +#define V_DMA_FIFO_PERR_SET0(x) ((x) << S_DMA_FIFO_PERR_SET0) +#define F_DMA_FIFO_PERR_SET0 V_DMA_FIFO_PERR_SET0(1U) + #define A_ULP_TX_INT_CAUSE_2 0x8e80 #define A_ULP_TX_PERR_ENABLE_2 0x8e84 #define A_ULP_TX_SE_CNT_ERR 0x8ea0 @@ -20554,6 +29504,27 @@ #define G_DROP_CH0(x) (((x) >> S_DROP_CH0) & M_DROP_CH0) #define A_ULP_TX_T5_DROP_CNT 0x8eb8 + +#define S_DROP_INVLD_MC_CH3 28 +#define M_DROP_INVLD_MC_CH3 0xfU +#define V_DROP_INVLD_MC_CH3(x) ((x) << S_DROP_INVLD_MC_CH3) +#define G_DROP_INVLD_MC_CH3(x) (((x) >> S_DROP_INVLD_MC_CH3) & M_DROP_INVLD_MC_CH3) + +#define S_DROP_INVLD_MC_CH2 24 +#define M_DROP_INVLD_MC_CH2 0xfU +#define V_DROP_INVLD_MC_CH2(x) ((x) << S_DROP_INVLD_MC_CH2) +#define G_DROP_INVLD_MC_CH2(x) (((x) >> S_DROP_INVLD_MC_CH2) & M_DROP_INVLD_MC_CH2) + +#define S_DROP_INVLD_MC_CH1 20 +#define M_DROP_INVLD_MC_CH1 0xfU +#define V_DROP_INVLD_MC_CH1(x) ((x) << S_DROP_INVLD_MC_CH1) +#define G_DROP_INVLD_MC_CH1(x) (((x) >> S_DROP_INVLD_MC_CH1) & M_DROP_INVLD_MC_CH1) + +#define S_DROP_INVLD_MC_CH0 16 +#define M_DROP_INVLD_MC_CH0 0xfU +#define V_DROP_INVLD_MC_CH0(x) ((x) << S_DROP_INVLD_MC_CH0) +#define G_DROP_INVLD_MC_CH0(x) (((x) >> S_DROP_INVLD_MC_CH0) & M_DROP_INVLD_MC_CH0) + #define A_ULP_TX_CSU_REVISION 0x8ebc #define A_ULP_TX_LA_RDPTR_0 0x8ec0 #define A_ULP_TX_LA_RDDATA_0 0x8ec4 @@ -20610,6 +29581,100 @@ #define A_ULP_TX_ASIC_DEBUG_2 0x8f7c #define A_ULP_TX_ASIC_DEBUG_3 0x8f80 #define A_ULP_TX_ASIC_DEBUG_4 0x8f84 +#define A_ULP_TX_CPL_TX_DATA_FLAGS_MASK 0x8f88 + +#define S_BYPASS_FIRST 26 +#define V_BYPASS_FIRST(x) ((x) << S_BYPASS_FIRST) +#define F_BYPASS_FIRST V_BYPASS_FIRST(1U) + +#define S_BYPASS_MIDDLE 25 +#define V_BYPASS_MIDDLE(x) ((x) << S_BYPASS_MIDDLE) +#define F_BYPASS_MIDDLE V_BYPASS_MIDDLE(1U) + +#define S_BYPASS_LAST 24 +#define V_BYPASS_LAST(x) ((x) << S_BYPASS_LAST) +#define F_BYPASS_LAST V_BYPASS_LAST(1U) + +#define S_PUSH_FIRST 22 +#define V_PUSH_FIRST(x) ((x) << S_PUSH_FIRST) +#define F_PUSH_FIRST V_PUSH_FIRST(1U) + +#define S_PUSH_MIDDLE 21 +#define V_PUSH_MIDDLE(x) ((x) << S_PUSH_MIDDLE) +#define F_PUSH_MIDDLE V_PUSH_MIDDLE(1U) + +#define S_PUSH_LAST 20 +#define V_PUSH_LAST(x) ((x) << S_PUSH_LAST) +#define F_PUSH_LAST V_PUSH_LAST(1U) + +#define S_SAVE_FIRST 18 +#define V_SAVE_FIRST(x) ((x) << S_SAVE_FIRST) +#define F_SAVE_FIRST V_SAVE_FIRST(1U) + +#define S_SAVE_MIDDLE 17 +#define V_SAVE_MIDDLE(x) ((x) << S_SAVE_MIDDLE) +#define F_SAVE_MIDDLE V_SAVE_MIDDLE(1U) + +#define S_SAVE_LAST 16 +#define V_SAVE_LAST(x) ((x) << S_SAVE_LAST) +#define F_SAVE_LAST V_SAVE_LAST(1U) + +#define S_FLUSH_FIRST 14 +#define V_FLUSH_FIRST(x) ((x) << S_FLUSH_FIRST) +#define F_FLUSH_FIRST V_FLUSH_FIRST(1U) + +#define S_FLUSH_MIDDLE 13 +#define V_FLUSH_MIDDLE(x) ((x) << S_FLUSH_MIDDLE) +#define F_FLUSH_MIDDLE V_FLUSH_MIDDLE(1U) + +#define S_FLUSH_LAST 12 +#define V_FLUSH_LAST(x) ((x) << S_FLUSH_LAST) +#define F_FLUSH_LAST V_FLUSH_LAST(1U) + +#define S_URGENT_FIRST 10 +#define V_URGENT_FIRST(x) ((x) << S_URGENT_FIRST) +#define F_URGENT_FIRST V_URGENT_FIRST(1U) + +#define S_URGENT_MIDDLE 9 +#define V_URGENT_MIDDLE(x) ((x) << S_URGENT_MIDDLE) +#define F_URGENT_MIDDLE V_URGENT_MIDDLE(1U) + +#define S_URGENT_LAST 8 +#define V_URGENT_LAST(x) ((x) << S_URGENT_LAST) +#define F_URGENT_LAST V_URGENT_LAST(1U) + +#define S_MORE_FIRST 6 +#define V_MORE_FIRST(x) ((x) << S_MORE_FIRST) +#define F_MORE_FIRST V_MORE_FIRST(1U) + +#define S_MORE_MIDDLE 5 +#define V_MORE_MIDDLE(x) ((x) << S_MORE_MIDDLE) +#define F_MORE_MIDDLE V_MORE_MIDDLE(1U) + +#define S_MORE_LAST 4 +#define V_MORE_LAST(x) ((x) << S_MORE_LAST) +#define F_MORE_LAST V_MORE_LAST(1U) + +#define S_SHOVE_FIRST 2 +#define V_SHOVE_FIRST(x) ((x) << S_SHOVE_FIRST) +#define F_SHOVE_FIRST V_SHOVE_FIRST(1U) + +#define S_SHOVE_MIDDLE 1 +#define V_SHOVE_MIDDLE(x) ((x) << S_SHOVE_MIDDLE) +#define F_SHOVE_MIDDLE V_SHOVE_MIDDLE(1U) + +#define S_SHOVE_LAST 0 +#define V_SHOVE_LAST(x) ((x) << S_SHOVE_LAST) +#define F_SHOVE_LAST V_SHOVE_LAST(1U) + +#define A_ULP_TX_TLS_IND_CMD 0x8fb8 + +#define S_TLS_TX_REG_OFF_ADDR 0 +#define M_TLS_TX_REG_OFF_ADDR 0x3ffU +#define V_TLS_TX_REG_OFF_ADDR(x) ((x) << S_TLS_TX_REG_OFF_ADDR) +#define G_TLS_TX_REG_OFF_ADDR(x) (((x) >> S_TLS_TX_REG_OFF_ADDR) & M_TLS_TX_REG_OFF_ADDR) + +#define A_ULP_TX_TLS_IND_DATA 0x8fbc /* registers for module PM_RX */ #define PM_RX_BASE_ADDR 0x8fc0 @@ -21106,6 +30171,16 @@ #define V_DUPLICATE(x) ((x) << S_DUPLICATE) #define G_DUPLICATE(x) (((x) >> S_DUPLICATE) & M_DUPLICATE) +#define S_RX_PCMD_SRDY_STAT4 8 +#define M_RX_PCMD_SRDY_STAT4 0x3U +#define V_RX_PCMD_SRDY_STAT4(x) ((x) << S_RX_PCMD_SRDY_STAT4) +#define G_RX_PCMD_SRDY_STAT4(x) (((x) >> S_RX_PCMD_SRDY_STAT4) & M_RX_PCMD_SRDY_STAT4) + +#define S_RX_PCMD_DRDY_STAT4 6 +#define M_RX_PCMD_DRDY_STAT4 0x3U +#define V_RX_PCMD_DRDY_STAT4(x) ((x) << S_RX_PCMD_DRDY_STAT4) +#define G_RX_PCMD_DRDY_STAT4(x) (((x) >> S_RX_PCMD_DRDY_STAT4) & M_RX_PCMD_DRDY_STAT4) + #define A_PM_RX_DBG_STAT5 0x10026 #define S_RX_ATLST_1_PCMD_CH1 29 @@ -21116,6 +30191,16 @@ #define V_RX_ATLST_1_PCMD_CH0(x) ((x) << S_RX_ATLST_1_PCMD_CH0) #define F_RX_ATLST_1_PCMD_CH0 V_RX_ATLST_1_PCMD_CH0(1U) +#define S_T5_RX_PCMD_DRDY 26 +#define M_T5_RX_PCMD_DRDY 0x3U +#define V_T5_RX_PCMD_DRDY(x) ((x) << S_T5_RX_PCMD_DRDY) +#define G_T5_RX_PCMD_DRDY(x) (((x) >> S_T5_RX_PCMD_DRDY) & M_T5_RX_PCMD_DRDY) + +#define S_T5_RX_PCMD_SRDY 24 +#define M_T5_RX_PCMD_SRDY 0x3U +#define V_T5_RX_PCMD_SRDY(x) ((x) << S_T5_RX_PCMD_SRDY) +#define G_T5_RX_PCMD_SRDY(x) (((x) >> S_T5_RX_PCMD_SRDY) & M_T5_RX_PCMD_SRDY) + #define S_RX_ISPI_TXVALID 20 #define M_RX_ISPI_TXVALID 0xfU #define V_RX_ISPI_TXVALID(x) ((x) << S_RX_ISPI_TXVALID) @@ -21156,6 +30241,16 @@ #define V_RX_C_TXAFULL(x) ((x) << S_RX_C_TXAFULL) #define G_RX_C_TXAFULL(x) (((x) >> S_RX_C_TXAFULL) & M_RX_C_TXAFULL) +#define S_T6_RX_PCMD_DRDY 26 +#define M_T6_RX_PCMD_DRDY 0x3U +#define V_T6_RX_PCMD_DRDY(x) ((x) << S_T6_RX_PCMD_DRDY) +#define G_T6_RX_PCMD_DRDY(x) (((x) >> S_T6_RX_PCMD_DRDY) & M_T6_RX_PCMD_DRDY) + +#define S_T6_RX_PCMD_SRDY 24 +#define M_T6_RX_PCMD_SRDY 0x3U +#define V_T6_RX_PCMD_SRDY(x) ((x) << S_T6_RX_PCMD_SRDY) +#define G_T6_RX_PCMD_SRDY(x) (((x) >> S_T6_RX_PCMD_SRDY) & M_T6_RX_PCMD_SRDY) + #define A_PM_RX_DBG_STAT6 0x10027 #define S_RX_M_INTRNL_FIFO_CNT 4 @@ -21179,6 +30274,23 @@ #define V_RX_M_REQDATARDY(x) ((x) << S_RX_M_REQDATARDY) #define F_RX_M_REQDATARDY V_RX_M_REQDATARDY(1U) +#define S_T6_RX_M_INTRNL_FIFO_CNT 7 +#define M_T6_RX_M_INTRNL_FIFO_CNT 0x3U +#define V_T6_RX_M_INTRNL_FIFO_CNT(x) ((x) << S_T6_RX_M_INTRNL_FIFO_CNT) +#define G_T6_RX_M_INTRNL_FIFO_CNT(x) (((x) >> S_T6_RX_M_INTRNL_FIFO_CNT) & M_T6_RX_M_INTRNL_FIFO_CNT) + +#define S_RX_M_RSPVLD 6 +#define V_RX_M_RSPVLD(x) ((x) << S_RX_M_RSPVLD) +#define F_RX_M_RSPVLD V_RX_M_RSPVLD(1U) + +#define S_RX_M_RSPRDY 5 +#define V_RX_M_RSPRDY(x) ((x) << S_RX_M_RSPRDY) +#define F_RX_M_RSPRDY V_RX_M_RSPRDY(1U) + +#define S_RX_M_REQADDRVLD 4 +#define V_RX_M_REQADDRVLD(x) ((x) << S_RX_M_REQADDRVLD) +#define F_RX_M_REQADDRVLD V_RX_M_REQADDRVLD(1U) + #define A_PM_RX_DBG_STAT7 0x10028 #define S_RX_PCMD1_FREE_CNT 7 @@ -21560,6 +30672,14 @@ #define V_OSPI_OVERFLOW2(x) ((x) << S_OSPI_OVERFLOW2) #define F_OSPI_OVERFLOW2 V_OSPI_OVERFLOW2(1U) +#define S_T5_OSPI_OVERFLOW1 5 +#define V_T5_OSPI_OVERFLOW1(x) ((x) << S_T5_OSPI_OVERFLOW1) +#define F_T5_OSPI_OVERFLOW1 V_T5_OSPI_OVERFLOW1(1U) + +#define S_T5_OSPI_OVERFLOW0 4 +#define V_T5_OSPI_OVERFLOW0(x) ((x) << S_T5_OSPI_OVERFLOW0) +#define F_T5_OSPI_OVERFLOW0 V_T5_OSPI_OVERFLOW0(1U) + #define S_M_INTFPERREN 3 #define V_M_INTFPERREN(x) ((x) << S_M_INTFPERREN) #define F_M_INTFPERREN V_M_INTFPERREN(1U) @@ -21576,6 +30696,22 @@ #define V_SDC_ERR_EN(x) ((x) << S_SDC_ERR_EN) #define F_SDC_ERR_EN V_SDC_ERR_EN(1U) +#define S_OSPI_OVERFLOW3_T5 7 +#define V_OSPI_OVERFLOW3_T5(x) ((x) << S_OSPI_OVERFLOW3_T5) +#define F_OSPI_OVERFLOW3_T5 V_OSPI_OVERFLOW3_T5(1U) + +#define S_OSPI_OVERFLOW2_T5 6 +#define V_OSPI_OVERFLOW2_T5(x) ((x) << S_OSPI_OVERFLOW2_T5) +#define F_OSPI_OVERFLOW2_T5 V_OSPI_OVERFLOW2_T5(1U) + +#define S_OSPI_OVERFLOW1_T5 5 +#define V_OSPI_OVERFLOW1_T5(x) ((x) << S_OSPI_OVERFLOW1_T5) +#define F_OSPI_OVERFLOW1_T5 V_OSPI_OVERFLOW1_T5(1U) + +#define S_OSPI_OVERFLOW0_T5 4 +#define V_OSPI_OVERFLOW0_T5(x) ((x) << S_OSPI_OVERFLOW0_T5) +#define F_OSPI_OVERFLOW0_T5 V_OSPI_OVERFLOW0_T5(1U) + #define A_PM_TX_PRFTCH_WRR_WAIT_CNT_THRSHLD0 0x10023 #define A_PM_TX_PRFTCH_WRR_WAIT_CNT_THRSHLD1 0x10024 #define A_PM_TX_PRFTCH_WRR_WAIT_CNT_THRSHLD2 0x10025 @@ -21599,34 +30735,38 @@ #define A_PM_TX_INT_CAUSE_MASK_HALT 0x1002b #define A_PM_TX_DBG_STAT0 0x1002c -#define S_RD_I_BUSY 28 +#define S_RD_I_BUSY 29 #define V_RD_I_BUSY(x) ((x) << S_RD_I_BUSY) #define F_RD_I_BUSY V_RD_I_BUSY(1U) -#define S_WR_O_ONLY 27 -#define V_WR_O_ONLY(x) ((x) << S_WR_O_ONLY) -#define F_WR_O_ONLY V_WR_O_ONLY(1U) +#define S_WR_O_BUSY 28 +#define V_WR_O_BUSY(x) ((x) << S_WR_O_BUSY) +#define F_WR_O_BUSY V_WR_O_BUSY(1U) -#define S_M_TO_BUSY 26 -#define V_M_TO_BUSY(x) ((x) << S_M_TO_BUSY) -#define F_M_TO_BUSY V_M_TO_BUSY(1U) +#define S_M_TO_O_BUSY 27 +#define V_M_TO_O_BUSY(x) ((x) << S_M_TO_O_BUSY) +#define F_M_TO_O_BUSY V_M_TO_O_BUSY(1U) -#define S_I_TO_M_BUSY 25 +#define S_I_TO_M_BUSY 26 #define V_I_TO_M_BUSY(x) ((x) << S_I_TO_M_BUSY) #define F_I_TO_M_BUSY V_I_TO_M_BUSY(1U) -#define S_PCMD_FB_ONLY 24 +#define S_PCMD_FB_ONLY 25 #define V_PCMD_FB_ONLY(x) ((x) << S_PCMD_FB_ONLY) #define F_PCMD_FB_ONLY V_PCMD_FB_ONLY(1U) -#define S_PCMD_MEM 23 +#define S_PCMD_MEM 24 #define V_PCMD_MEM(x) ((x) << S_PCMD_MEM) #define F_PCMD_MEM V_PCMD_MEM(1U) -#define S_PCMD_BYPASS 22 +#define S_PCMD_BYPASS 23 #define V_PCMD_BYPASS(x) ((x) << S_PCMD_BYPASS) #define F_PCMD_BYPASS V_PCMD_BYPASS(1U) +#define S_PCMD_EOP2 22 +#define V_PCMD_EOP2(x) ((x) << S_PCMD_EOP2) +#define F_PCMD_EOP2 V_PCMD_EOP2(1U) + #define S_PCMD_EOP 21 #define V_PCMD_EOP(x) ((x) << S_PCMD_EOP) #define F_PCMD_EOP V_PCMD_EOP(1U) @@ -21645,6 +30785,34 @@ #define V_CUR_PCMD_LEN(x) ((x) << S_CUR_PCMD_LEN) #define G_CUR_PCMD_LEN(x) (((x) >> S_CUR_PCMD_LEN) & M_CUR_PCMD_LEN) +#define S_T6_RD_I_BUSY 28 +#define V_T6_RD_I_BUSY(x) ((x) << S_T6_RD_I_BUSY) +#define F_T6_RD_I_BUSY V_T6_RD_I_BUSY(1U) + +#define S_T6_WR_O_BUSY 27 +#define V_T6_WR_O_BUSY(x) ((x) << S_T6_WR_O_BUSY) +#define F_T6_WR_O_BUSY V_T6_WR_O_BUSY(1U) + +#define S_T6_M_TO_O_BUSY 26 +#define V_T6_M_TO_O_BUSY(x) ((x) << S_T6_M_TO_O_BUSY) +#define F_T6_M_TO_O_BUSY V_T6_M_TO_O_BUSY(1U) + +#define S_T6_I_TO_M_BUSY 25 +#define V_T6_I_TO_M_BUSY(x) ((x) << S_T6_I_TO_M_BUSY) +#define F_T6_I_TO_M_BUSY V_T6_I_TO_M_BUSY(1U) + +#define S_T6_PCMD_FB_ONLY 24 +#define V_T6_PCMD_FB_ONLY(x) ((x) << S_T6_PCMD_FB_ONLY) +#define F_T6_PCMD_FB_ONLY V_T6_PCMD_FB_ONLY(1U) + +#define S_T6_PCMD_MEM 23 +#define V_T6_PCMD_MEM(x) ((x) << S_T6_PCMD_MEM) +#define F_T6_PCMD_MEM V_T6_PCMD_MEM(1U) + +#define S_T6_PCMD_BYPASS 22 +#define V_T6_PCMD_BYPASS(x) ((x) << S_T6_PCMD_BYPASS) +#define F_T6_PCMD_BYPASS V_T6_PCMD_BYPASS(1U) + #define A_PM_TX_DBG_STAT1 0x1002d #define S_PCMD_MEM0 31 @@ -21979,6 +31147,33 @@ #define V_M_REQDATARDY(x) ((x) << S_M_REQDATARDY) #define F_M_REQDATARDY V_M_REQDATARDY(1U) +#define S_T6_MC_RSP_FIFO_CNT 27 +#define M_T6_MC_RSP_FIFO_CNT 0x3U +#define V_T6_MC_RSP_FIFO_CNT(x) ((x) << S_T6_MC_RSP_FIFO_CNT) +#define G_T6_MC_RSP_FIFO_CNT(x) (((x) >> S_T6_MC_RSP_FIFO_CNT) & M_T6_MC_RSP_FIFO_CNT) + +#define S_T6_PCMD_FREE_CNT0 17 +#define M_T6_PCMD_FREE_CNT0 0x3ffU +#define V_T6_PCMD_FREE_CNT0(x) ((x) << S_T6_PCMD_FREE_CNT0) +#define G_T6_PCMD_FREE_CNT0(x) (((x) >> S_T6_PCMD_FREE_CNT0) & M_T6_PCMD_FREE_CNT0) + +#define S_T6_PCMD_FREE_CNT1 7 +#define M_T6_PCMD_FREE_CNT1 0x3ffU +#define V_T6_PCMD_FREE_CNT1(x) ((x) << S_T6_PCMD_FREE_CNT1) +#define G_T6_PCMD_FREE_CNT1(x) (((x) >> S_T6_PCMD_FREE_CNT1) & M_T6_PCMD_FREE_CNT1) + +#define S_M_RSPVLD 6 +#define V_M_RSPVLD(x) ((x) << S_M_RSPVLD) +#define F_M_RSPVLD V_M_RSPVLD(1U) + +#define S_M_RSPRDY 5 +#define V_M_RSPRDY(x) ((x) << S_M_RSPRDY) +#define F_M_RSPRDY V_M_RSPRDY(1U) + +#define S_M_REQADDRVLD 4 +#define V_M_REQADDRVLD(x) ((x) << S_M_REQADDRVLD) +#define F_M_REQADDRVLD V_M_REQADDRVLD(1U) + #define A_PM_TX_DBG_STAT9 0x10035 #define S_PCMD_FREE_CNT2 10 @@ -22121,6 +31316,16 @@ #define V_BUNDLE_LEN0(x) ((x) << S_BUNDLE_LEN0) #define G_BUNDLE_LEN0(x) (((x) >> S_BUNDLE_LEN0) & M_BUNDLE_LEN0) +#define S_T6_BUNDLE_LEN_SRDY 24 +#define M_T6_BUNDLE_LEN_SRDY 0x3U +#define V_T6_BUNDLE_LEN_SRDY(x) ((x) << S_T6_BUNDLE_LEN_SRDY) +#define G_T6_BUNDLE_LEN_SRDY(x) (((x) >> S_T6_BUNDLE_LEN_SRDY) & M_T6_BUNDLE_LEN_SRDY) + +#define S_T6_BUNDLE_LEN1 12 +#define M_T6_BUNDLE_LEN1 0xfffU +#define V_T6_BUNDLE_LEN1(x) ((x) << S_T6_BUNDLE_LEN1) +#define G_T6_BUNDLE_LEN1(x) (((x) >> S_T6_BUNDLE_LEN1) & M_T6_BUNDLE_LEN1) + #define A_PM_TX_DBG_STAT16 0x1003c #define S_BUNDLE_LEN3 16 @@ -22457,6 +31662,22 @@ #define V_PTP_FWD_UP(x) ((x) << S_PTP_FWD_UP) #define F_PTP_FWD_UP V_PTP_FWD_UP(1U) +#define S_HASH_PRIO_SEL_LPBK 25 +#define V_HASH_PRIO_SEL_LPBK(x) ((x) << S_HASH_PRIO_SEL_LPBK) +#define F_HASH_PRIO_SEL_LPBK V_HASH_PRIO_SEL_LPBK(1U) + +#define S_HASH_PRIO_SEL_MAC 24 +#define V_HASH_PRIO_SEL_MAC(x) ((x) << S_HASH_PRIO_SEL_MAC) +#define F_HASH_PRIO_SEL_MAC V_HASH_PRIO_SEL_MAC(1U) + +#define S_HASH_EN_LPBK 23 +#define V_HASH_EN_LPBK(x) ((x) << S_HASH_EN_LPBK) +#define F_HASH_EN_LPBK V_HASH_EN_LPBK(1U) + +#define S_HASH_EN_MAC 22 +#define V_HASH_EN_MAC(x) ((x) << S_HASH_EN_MAC) +#define F_HASH_EN_MAC V_HASH_EN_MAC(1U) + #define A_MPS_PORT_RX_MTU 0x104 #define A_MPS_PORT_RX_PF_MAP 0x108 #define A_MPS_PORT_RX_VF_MAP0 0x10c @@ -22526,9 +31747,179 @@ #define V_FIXED_VF(x) ((x) << S_FIXED_VF) #define G_FIXED_VF(x) (((x) >> S_FIXED_VF) & M_FIXED_VF) +#define S_T6_FIXED_PFVF_MAC 14 +#define V_T6_FIXED_PFVF_MAC(x) ((x) << S_T6_FIXED_PFVF_MAC) +#define F_T6_FIXED_PFVF_MAC V_T6_FIXED_PFVF_MAC(1U) + +#define S_T6_FIXED_PFVF_LPBK 13 +#define V_T6_FIXED_PFVF_LPBK(x) ((x) << S_T6_FIXED_PFVF_LPBK) +#define F_T6_FIXED_PFVF_LPBK V_T6_FIXED_PFVF_LPBK(1U) + +#define S_T6_FIXED_PFVF_LPBK_OV 12 +#define V_T6_FIXED_PFVF_LPBK_OV(x) ((x) << S_T6_FIXED_PFVF_LPBK_OV) +#define F_T6_FIXED_PFVF_LPBK_OV V_T6_FIXED_PFVF_LPBK_OV(1U) + +#define S_T6_FIXED_PF 9 +#define M_T6_FIXED_PF 0x7U +#define V_T6_FIXED_PF(x) ((x) << S_T6_FIXED_PF) +#define G_T6_FIXED_PF(x) (((x) >> S_T6_FIXED_PF) & M_T6_FIXED_PF) + +#define S_T6_FIXED_VF_VLD 8 +#define V_T6_FIXED_VF_VLD(x) ((x) << S_T6_FIXED_VF_VLD) +#define F_T6_FIXED_VF_VLD V_T6_FIXED_VF_VLD(1U) + +#define S_T6_FIXED_VF 0 +#define M_T6_FIXED_VF 0xffU +#define V_T6_FIXED_VF(x) ((x) << S_T6_FIXED_VF) +#define G_T6_FIXED_VF(x) (((x) >> S_T6_FIXED_VF) & M_T6_FIXED_VF) + #define A_MPS_PORT_RX_SPARE 0x13c #define A_MPS_PORT_RX_PTP_RSS_HASH 0x140 #define A_MPS_PORT_RX_PTP_RSS_CONTROL 0x144 +#define A_MPS_PORT_RX_TS_VLD 0x148 + +#define S_TS_VLD 0 +#define M_TS_VLD 0x3U +#define V_TS_VLD(x) ((x) << S_TS_VLD) +#define G_TS_VLD(x) (((x) >> S_TS_VLD) & M_TS_VLD) + +#define A_MPS_PORT_RX_TNL_LKP_INNER_SEL 0x14c + +#define S_LKP_SEL 0 +#define V_LKP_SEL(x) ((x) << S_LKP_SEL) +#define F_LKP_SEL V_LKP_SEL(1U) + +#define A_MPS_PORT_RX_VF_MAP4 0x150 +#define A_MPS_PORT_RX_VF_MAP5 0x154 +#define A_MPS_PORT_RX_VF_MAP6 0x158 +#define A_MPS_PORT_RX_VF_MAP7 0x15c +#define A_MPS_PORT_RX_PRS_DEBUG_FLAG_MAC 0x160 + +#define S_OUTER_IPV4_N_INNER_IPV4 31 +#define V_OUTER_IPV4_N_INNER_IPV4(x) ((x) << S_OUTER_IPV4_N_INNER_IPV4) +#define F_OUTER_IPV4_N_INNER_IPV4 V_OUTER_IPV4_N_INNER_IPV4(1U) + +#define S_OUTER_IPV4_N_INNER_IPV6 30 +#define V_OUTER_IPV4_N_INNER_IPV6(x) ((x) << S_OUTER_IPV4_N_INNER_IPV6) +#define F_OUTER_IPV4_N_INNER_IPV6 V_OUTER_IPV4_N_INNER_IPV6(1U) + +#define S_OUTER_IPV6_N_INNER_IPV4 29 +#define V_OUTER_IPV6_N_INNER_IPV4(x) ((x) << S_OUTER_IPV6_N_INNER_IPV4) +#define F_OUTER_IPV6_N_INNER_IPV4 V_OUTER_IPV6_N_INNER_IPV4(1U) + +#define S_OUTER_IPV6_N_INNER_IPV6 28 +#define V_OUTER_IPV6_N_INNER_IPV6(x) ((x) << S_OUTER_IPV6_N_INNER_IPV6) +#define F_OUTER_IPV6_N_INNER_IPV6 V_OUTER_IPV6_N_INNER_IPV6(1U) + +#define S_OUTER_IPV4_N_VLAN_NVGRE 27 +#define V_OUTER_IPV4_N_VLAN_NVGRE(x) ((x) << S_OUTER_IPV4_N_VLAN_NVGRE) +#define F_OUTER_IPV4_N_VLAN_NVGRE V_OUTER_IPV4_N_VLAN_NVGRE(1U) + +#define S_OUTER_IPV6_N_VLAN_NVGRE 26 +#define V_OUTER_IPV6_N_VLAN_NVGRE(x) ((x) << S_OUTER_IPV6_N_VLAN_NVGRE) +#define F_OUTER_IPV6_N_VLAN_NVGRE V_OUTER_IPV6_N_VLAN_NVGRE(1U) + +#define S_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE 25 +#define V_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE) +#define F_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE V_OUTER_IPV4_N_DOUBLE_VLAN_NVGRE(1U) + +#define S_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE 24 +#define V_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE) +#define F_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE V_OUTER_IPV6_N_DOUBLE_VLAN_NVGRE(1U) + +#define S_OUTER_IPV4_N_VLAN_GRE 23 +#define V_OUTER_IPV4_N_VLAN_GRE(x) ((x) << S_OUTER_IPV4_N_VLAN_GRE) +#define F_OUTER_IPV4_N_VLAN_GRE V_OUTER_IPV4_N_VLAN_GRE(1U) + +#define S_OUTER_IPV6_N_VLAN_GRE 22 +#define V_OUTER_IPV6_N_VLAN_GRE(x) ((x) << S_OUTER_IPV6_N_VLAN_GRE) +#define F_OUTER_IPV6_N_VLAN_GRE V_OUTER_IPV6_N_VLAN_GRE(1U) + +#define S_OUTER_IPV4_N_DOUBLE_VLAN_GRE 21 +#define V_OUTER_IPV4_N_DOUBLE_VLAN_GRE(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_GRE) +#define F_OUTER_IPV4_N_DOUBLE_VLAN_GRE V_OUTER_IPV4_N_DOUBLE_VLAN_GRE(1U) + +#define S_OUTER_IPV6_N_DOUBLE_VLAN_GRE 20 +#define V_OUTER_IPV6_N_DOUBLE_VLAN_GRE(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_GRE) +#define F_OUTER_IPV6_N_DOUBLE_VLAN_GRE V_OUTER_IPV6_N_DOUBLE_VLAN_GRE(1U) + +#define S_OUTER_IPV4_N_VLAN_VXLAN 19 +#define V_OUTER_IPV4_N_VLAN_VXLAN(x) ((x) << S_OUTER_IPV4_N_VLAN_VXLAN) +#define F_OUTER_IPV4_N_VLAN_VXLAN V_OUTER_IPV4_N_VLAN_VXLAN(1U) + +#define S_OUTER_IPV6_N_VLAN_VXLAN 18 +#define V_OUTER_IPV6_N_VLAN_VXLAN(x) ((x) << S_OUTER_IPV6_N_VLAN_VXLAN) +#define F_OUTER_IPV6_N_VLAN_VXLAN V_OUTER_IPV6_N_VLAN_VXLAN(1U) + +#define S_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN 17 +#define V_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN) +#define F_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN V_OUTER_IPV4_N_DOUBLE_VLAN_VXLAN(1U) + +#define S_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN 16 +#define V_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN) +#define F_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN V_OUTER_IPV6_N_DOUBLE_VLAN_VXLAN(1U) + +#define S_OUTER_IPV4_N_VLAN_GENEVE 15 +#define V_OUTER_IPV4_N_VLAN_GENEVE(x) ((x) << S_OUTER_IPV4_N_VLAN_GENEVE) +#define F_OUTER_IPV4_N_VLAN_GENEVE V_OUTER_IPV4_N_VLAN_GENEVE(1U) + +#define S_OUTER_IPV6_N_VLAN_GENEVE 14 +#define V_OUTER_IPV6_N_VLAN_GENEVE(x) ((x) << S_OUTER_IPV6_N_VLAN_GENEVE) +#define F_OUTER_IPV6_N_VLAN_GENEVE V_OUTER_IPV6_N_VLAN_GENEVE(1U) + +#define S_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE 13 +#define V_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE(x) ((x) << S_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE) +#define F_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE V_OUTER_IPV4_N_DOUBLE_VLAN_GENEVE(1U) + +#define S_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE 12 +#define V_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE(x) ((x) << S_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE) +#define F_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE V_OUTER_IPV6_N_DOUBLE_VLAN_GENEVE(1U) + +#define S_ERR_TNL_HDR_LEN 11 +#define V_ERR_TNL_HDR_LEN(x) ((x) << S_ERR_TNL_HDR_LEN) +#define F_ERR_TNL_HDR_LEN V_ERR_TNL_HDR_LEN(1U) + +#define S_NON_RUNT_FRAME 10 +#define V_NON_RUNT_FRAME(x) ((x) << S_NON_RUNT_FRAME) +#define F_NON_RUNT_FRAME V_NON_RUNT_FRAME(1U) + +#define S_INNER_VLAN_VLD 9 +#define V_INNER_VLAN_VLD(x) ((x) << S_INNER_VLAN_VLD) +#define F_INNER_VLAN_VLD V_INNER_VLAN_VLD(1U) + +#define S_ERR_IP_PAYLOAD_LEN 8 +#define V_ERR_IP_PAYLOAD_LEN(x) ((x) << S_ERR_IP_PAYLOAD_LEN) +#define F_ERR_IP_PAYLOAD_LEN V_ERR_IP_PAYLOAD_LEN(1U) + +#define S_ERR_UDP_PAYLOAD_LEN 7 +#define V_ERR_UDP_PAYLOAD_LEN(x) ((x) << S_ERR_UDP_PAYLOAD_LEN) +#define F_ERR_UDP_PAYLOAD_LEN V_ERR_UDP_PAYLOAD_LEN(1U) + +#define A_MPS_PORT_RX_PRS_DEBUG_FLAG_LPBK 0x164 + +#define S_T6_INNER_VLAN_VLD 10 +#define V_T6_INNER_VLAN_VLD(x) ((x) << S_T6_INNER_VLAN_VLD) +#define F_T6_INNER_VLAN_VLD V_T6_INNER_VLAN_VLD(1U) + +#define S_T6_ERR_IP_PAYLOAD_LEN 9 +#define V_T6_ERR_IP_PAYLOAD_LEN(x) ((x) << S_T6_ERR_IP_PAYLOAD_LEN) +#define F_T6_ERR_IP_PAYLOAD_LEN V_T6_ERR_IP_PAYLOAD_LEN(1U) + +#define S_T6_ERR_UDP_PAYLOAD_LEN 8 +#define V_T6_ERR_UDP_PAYLOAD_LEN(x) ((x) << S_T6_ERR_UDP_PAYLOAD_LEN) +#define F_T6_ERR_UDP_PAYLOAD_LEN V_T6_ERR_UDP_PAYLOAD_LEN(1U) + +#define A_MPS_PORT_RX_REPL_VECT_SEL 0x168 + +#define S_DIS_REPL_VECT_SEL 4 +#define V_DIS_REPL_VECT_SEL(x) ((x) << S_DIS_REPL_VECT_SEL) +#define F_DIS_REPL_VECT_SEL V_DIS_REPL_VECT_SEL(1U) + +#define S_REPL_VECT_SEL 0 +#define M_REPL_VECT_SEL 0xfU +#define V_REPL_VECT_SEL(x) ((x) << S_REPL_VECT_SEL) +#define G_REPL_VECT_SEL(x) (((x) >> S_REPL_VECT_SEL) & M_REPL_VECT_SEL) + #define A_MPS_PORT_TX_MAC_RELOAD_CH0 0x190 #define S_CREDIT 0 @@ -22561,6 +31952,16 @@ #define V_MAXPKTCNT(x) ((x) << S_MAXPKTCNT) #define G_MAXPKTCNT(x) (((x) >> S_MAXPKTCNT) & M_MAXPKTCNT) +#define S_OUT_TH 22 +#define M_OUT_TH 0xffU +#define V_OUT_TH(x) ((x) << S_OUT_TH) +#define G_OUT_TH(x) (((x) >> S_OUT_TH) & M_OUT_TH) + +#define S_IN_TH 14 +#define M_IN_TH 0xffU +#define V_IN_TH(x) ((x) << S_IN_TH) +#define G_IN_TH(x) (((x) >> S_IN_TH) & M_IN_TH) + #define A_MPS_PORT_FPGA_PAUSE_CTL 0x1c8 #define S_FPGAPAUSEEN 0 @@ -22617,6 +32018,50 @@ #define V_VF(x) ((x) << S_VF) #define G_VF(x) (((x) >> S_VF) & M_VF) +#define S_DISENCAPOUTERRPLCT 23 +#define V_DISENCAPOUTERRPLCT(x) ((x) << S_DISENCAPOUTERRPLCT) +#define F_DISENCAPOUTERRPLCT V_DISENCAPOUTERRPLCT(1U) + +#define S_DISENCAP 22 +#define V_DISENCAP(x) ((x) << S_DISENCAP) +#define F_DISENCAP V_DISENCAP(1U) + +#define S_T6_VALID 21 +#define V_T6_VALID(x) ((x) << S_T6_VALID) +#define F_T6_VALID V_T6_VALID(1U) + +#define S_T6_HASHPORTMAP 17 +#define M_T6_HASHPORTMAP 0xfU +#define V_T6_HASHPORTMAP(x) ((x) << S_T6_HASHPORTMAP) +#define G_T6_HASHPORTMAP(x) (((x) >> S_T6_HASHPORTMAP) & M_T6_HASHPORTMAP) + +#define S_T6_MULTILISTEN 16 +#define V_T6_MULTILISTEN(x) ((x) << S_T6_MULTILISTEN) +#define F_T6_MULTILISTEN V_T6_MULTILISTEN(1U) + +#define S_T6_PRIORITY 13 +#define M_T6_PRIORITY 0x7U +#define V_T6_PRIORITY(x) ((x) << S_T6_PRIORITY) +#define G_T6_PRIORITY(x) (((x) >> S_T6_PRIORITY) & M_T6_PRIORITY) + +#define S_T6_REPLICATE 12 +#define V_T6_REPLICATE(x) ((x) << S_T6_REPLICATE) +#define F_T6_REPLICATE V_T6_REPLICATE(1U) + +#define S_T6_PF 9 +#define M_T6_PF 0x7U +#define V_T6_PF(x) ((x) << S_T6_PF) +#define G_T6_PF(x) (((x) >> S_T6_PF) & M_T6_PF) + +#define S_T6_VF_VALID 8 +#define V_T6_VF_VALID(x) ((x) << S_T6_VF_VALID) +#define F_T6_VF_VALID V_T6_VF_VALID(1U) + +#define S_T6_VF 0 +#define M_T6_VF 0xffU +#define V_T6_VF(x) ((x) << S_T6_VF) +#define G_T6_VF(x) (((x) >> S_T6_VF) & M_T6_VF) + #define A_MPS_PF_CTL 0x2c0 #define S_TXEN 1 @@ -22663,6 +32108,33 @@ #define V_PROMISCEN(x) ((x) << S_PROMISCEN) #define F_PROMISCEN V_PROMISCEN(1U) +#define S_T6_MULTILISTEN 16 +#define V_T6_MULTILISTEN(x) ((x) << S_T6_MULTILISTEN) +#define F_T6_MULTILISTEN V_T6_MULTILISTEN(1U) + +#define S_T6_PRIORITY 13 +#define M_T6_PRIORITY 0x7U +#define V_T6_PRIORITY(x) ((x) << S_T6_PRIORITY) +#define G_T6_PRIORITY(x) (((x) >> S_T6_PRIORITY) & M_T6_PRIORITY) + +#define S_T6_REPLICATE 12 +#define V_T6_REPLICATE(x) ((x) << S_T6_REPLICATE) +#define F_T6_REPLICATE V_T6_REPLICATE(1U) + +#define S_T6_PF 9 +#define M_T6_PF 0x7U +#define V_T6_PF(x) ((x) << S_T6_PF) +#define G_T6_PF(x) (((x) >> S_T6_PF) & M_T6_PF) + +#define S_T6_VF_VALID 8 +#define V_T6_VF_VALID(x) ((x) << S_T6_VF_VALID) +#define F_T6_VF_VALID V_T6_VF_VALID(1U) + +#define S_T6_VF 0 +#define M_T6_VF 0xffU +#define V_T6_VF(x) ((x) << S_T6_VF) +#define G_T6_VF(x) (((x) >> S_T6_VF) & M_T6_VF) + #define A_MPS_PF_STAT_TX_PF_BCAST_FRAMES_H 0x30c #define A_MPS_PORT_CLS_BMC_MAC_ADDR_L 0x30c #define A_MPS_PF_STAT_TX_PF_MCAST_BYTES_L 0x310 @@ -22676,6 +32148,10 @@ #define V_BMC_VLD(x) ((x) << S_BMC_VLD) #define F_BMC_VLD V_BMC_VLD(1U) +#define S_MATCHALL 18 +#define V_MATCHALL(x) ((x) << S_MATCHALL) +#define F_MATCHALL V_MATCHALL(1U) + #define A_MPS_PF_STAT_TX_PF_MCAST_BYTES_H 0x314 #define A_MPS_PORT_CLS_BMC_VLAN 0x314 @@ -22739,7 +32215,24 @@ #define G_DMAC_TCAM_SEL(x) (((x) >> S_DMAC_TCAM_SEL) & M_DMAC_TCAM_SEL) #define A_MPS_PF_STAT_TX_PF_MCAST_FRAMES_H 0x31c +#define A_MPS_PORT_CLS_NCSI_ETH_TYPE 0x31c + +#define S_ETHTYPE2 0 +#define M_ETHTYPE2 0xffffU +#define V_ETHTYPE2(x) ((x) << S_ETHTYPE2) +#define G_ETHTYPE2(x) (((x) >> S_ETHTYPE2) & M_ETHTYPE2) + #define A_MPS_PF_STAT_TX_PF_UCAST_BYTES_L 0x320 +#define A_MPS_PORT_CLS_NCSI_ETH_TYPE_EN 0x320 + +#define S_EN1 1 +#define V_EN1(x) ((x) << S_EN1) +#define F_EN1 V_EN1(1U) + +#define S_EN2 0 +#define V_EN2(x) ((x) << S_EN2) +#define F_EN2 V_EN2(1U) + #define A_MPS_PF_STAT_TX_PF_UCAST_BYTES_H 0x324 #define A_MPS_PF_STAT_TX_PF_UCAST_FRAMES_L 0x328 #define A_MPS_PF_STAT_TX_PF_UCAST_FRAMES_H 0x32c @@ -22915,6 +32408,19 @@ #define V_LPBKCRDTCTRL(x) ((x) << S_LPBKCRDTCTRL) #define F_LPBKCRDTCTRL V_LPBKCRDTCTRL(1U) +#define S_TX_PORT_STATS_MODE 8 +#define V_TX_PORT_STATS_MODE(x) ((x) << S_TX_PORT_STATS_MODE) +#define F_TX_PORT_STATS_MODE V_TX_PORT_STATS_MODE(1U) + +#define S_T5MODE 7 +#define V_T5MODE(x) ((x) << S_T5MODE) +#define F_T5MODE V_T5MODE(1U) + +#define S_SPEEDMODE 5 +#define M_SPEEDMODE 0x3U +#define V_SPEEDMODE(x) ((x) << S_SPEEDMODE) +#define G_SPEEDMODE(x) (((x) >> S_SPEEDMODE) & M_SPEEDMODE) + #define A_MPS_INT_ENABLE 0x9004 #define S_STATINTENB 5 @@ -23140,6 +32646,33 @@ #define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH14 0x90f4 #define A_MPS_TX_PAUSE_RETRANS_BUF_GRP_TH15 0x90f8 #define A_MPS_BUILD_REVISION 0x90fc +#define A_MPS_VF_TX_CTL_159_128 0x9100 +#define A_MPS_VF_TX_CTL_191_160 0x9104 +#define A_MPS_VF_TX_CTL_223_192 0x9108 +#define A_MPS_VF_TX_CTL_255_224 0x910c +#define A_MPS_VF_RX_CTL_159_128 0x9110 +#define A_MPS_VF_RX_CTL_191_160 0x9114 +#define A_MPS_VF_RX_CTL_223_192 0x9118 +#define A_MPS_VF_RX_CTL_255_224 0x911c +#define A_MPS_FPGA_BIST_CFG_P0 0x9120 + +#define S_ADDRMASK 16 +#define M_ADDRMASK 0xffffU +#define V_ADDRMASK(x) ((x) << S_ADDRMASK) +#define G_ADDRMASK(x) (((x) >> S_ADDRMASK) & M_ADDRMASK) + +#define S_T6_BASEADDR 0 +#define M_T6_BASEADDR 0xffffU +#define V_T6_BASEADDR(x) ((x) << S_T6_BASEADDR) +#define G_T6_BASEADDR(x) (((x) >> S_T6_BASEADDR) & M_T6_BASEADDR) + +#define A_MPS_FPGA_BIST_CFG_P1 0x9124 + +#define S_T6_BASEADDR 0 +#define M_T6_BASEADDR 0xffffU +#define V_T6_BASEADDR(x) ((x) << S_T6_BASEADDR) +#define G_T6_BASEADDR(x) (((x) >> S_T6_BASEADDR) & M_T6_BASEADDR) + #define A_MPS_TX_PRTY_SEL 0x9400 #define S_CH4_PRTY 20 @@ -23215,6 +32748,7 @@ #define G_TPFIFO(x) (((x) >> S_TPFIFO) & M_TPFIFO) #define A_MPS_TX_INT_CAUSE 0x9408 +#define A_MPS_TX_NCSI2MPS_CNT 0x940c #define A_MPS_TX_PERR_ENABLE 0x9410 #define A_MPS_TX_PERR_INJECT 0x9414 @@ -23369,6 +32903,50 @@ #define V_DATACH0(x) ((x) << S_DATACH0) #define G_DATACH0(x) (((x) >> S_DATACH0) & M_DATACH0) +#define S_T5_SIZECH1 26 +#define M_T5_SIZECH1 0xfU +#define V_T5_SIZECH1(x) ((x) << S_T5_SIZECH1) +#define G_T5_SIZECH1(x) (((x) >> S_T5_SIZECH1) & M_T5_SIZECH1) + +#define S_T5_ERRCH1 25 +#define V_T5_ERRCH1(x) ((x) << S_T5_ERRCH1) +#define F_T5_ERRCH1 V_T5_ERRCH1(1U) + +#define S_T5_FULLCH1 24 +#define V_T5_FULLCH1(x) ((x) << S_T5_FULLCH1) +#define F_T5_FULLCH1 V_T5_FULLCH1(1U) + +#define S_T5_VALIDCH1 23 +#define V_T5_VALIDCH1(x) ((x) << S_T5_VALIDCH1) +#define F_T5_VALIDCH1 V_T5_VALIDCH1(1U) + +#define S_T5_DATACH1 16 +#define M_T5_DATACH1 0x7fU +#define V_T5_DATACH1(x) ((x) << S_T5_DATACH1) +#define G_T5_DATACH1(x) (((x) >> S_T5_DATACH1) & M_T5_DATACH1) + +#define S_T5_SIZECH0 10 +#define M_T5_SIZECH0 0xfU +#define V_T5_SIZECH0(x) ((x) << S_T5_SIZECH0) +#define G_T5_SIZECH0(x) (((x) >> S_T5_SIZECH0) & M_T5_SIZECH0) + +#define S_T5_ERRCH0 9 +#define V_T5_ERRCH0(x) ((x) << S_T5_ERRCH0) +#define F_T5_ERRCH0 V_T5_ERRCH0(1U) + +#define S_T5_FULLCH0 8 +#define V_T5_FULLCH0(x) ((x) << S_T5_FULLCH0) +#define F_T5_FULLCH0 V_T5_FULLCH0(1U) + +#define S_T5_VALIDCH0 7 +#define V_T5_VALIDCH0(x) ((x) << S_T5_VALIDCH0) +#define F_T5_VALIDCH0 V_T5_VALIDCH0(1U) + +#define S_T5_DATACH0 0 +#define M_T5_DATACH0 0x7fU +#define V_T5_DATACH0(x) ((x) << S_T5_DATACH0) +#define G_T5_DATACH0(x) (((x) >> S_T5_DATACH0) & M_T5_DATACH0) + #define A_MPS_TX_DEBUG_REG_TP2TX_32 0x9448 #define S_SOPCH3 31 @@ -23431,6 +33009,50 @@ #define V_DATACH2(x) ((x) << S_DATACH2) #define G_DATACH2(x) (((x) >> S_DATACH2) & M_DATACH2) +#define S_T5_SIZECH3 26 +#define M_T5_SIZECH3 0xfU +#define V_T5_SIZECH3(x) ((x) << S_T5_SIZECH3) +#define G_T5_SIZECH3(x) (((x) >> S_T5_SIZECH3) & M_T5_SIZECH3) + +#define S_T5_ERRCH3 25 +#define V_T5_ERRCH3(x) ((x) << S_T5_ERRCH3) +#define F_T5_ERRCH3 V_T5_ERRCH3(1U) + +#define S_T5_FULLCH3 24 +#define V_T5_FULLCH3(x) ((x) << S_T5_FULLCH3) +#define F_T5_FULLCH3 V_T5_FULLCH3(1U) + +#define S_T5_VALIDCH3 23 +#define V_T5_VALIDCH3(x) ((x) << S_T5_VALIDCH3) +#define F_T5_VALIDCH3 V_T5_VALIDCH3(1U) + +#define S_T5_DATACH3 16 +#define M_T5_DATACH3 0x7fU +#define V_T5_DATACH3(x) ((x) << S_T5_DATACH3) +#define G_T5_DATACH3(x) (((x) >> S_T5_DATACH3) & M_T5_DATACH3) + +#define S_T5_SIZECH2 10 +#define M_T5_SIZECH2 0xfU +#define V_T5_SIZECH2(x) ((x) << S_T5_SIZECH2) +#define G_T5_SIZECH2(x) (((x) >> S_T5_SIZECH2) & M_T5_SIZECH2) + +#define S_T5_ERRCH2 9 +#define V_T5_ERRCH2(x) ((x) << S_T5_ERRCH2) +#define F_T5_ERRCH2 V_T5_ERRCH2(1U) + +#define S_T5_FULLCH2 8 +#define V_T5_FULLCH2(x) ((x) << S_T5_FULLCH2) +#define F_T5_FULLCH2 V_T5_FULLCH2(1U) + +#define S_T5_VALIDCH2 7 +#define V_T5_VALIDCH2(x) ((x) << S_T5_VALIDCH2) +#define F_T5_VALIDCH2 V_T5_VALIDCH2(1U) + +#define S_T5_DATACH2 0 +#define M_T5_DATACH2 0x7fU +#define V_T5_DATACH2(x) ((x) << S_T5_DATACH2) +#define G_T5_DATACH2(x) (((x) >> S_T5_DATACH2) & M_T5_DATACH2) + #define A_MPS_TX_DEBUG_REG_TX2MAC_10 0x944c #define S_SOPPT1 31 @@ -23493,6 +33115,50 @@ #define V_DATAPT0(x) ((x) << S_DATAPT0) #define G_DATAPT0(x) (((x) >> S_DATAPT0) & M_DATAPT0) +#define S_T5_SIZEPT1 26 +#define M_T5_SIZEPT1 0xfU +#define V_T5_SIZEPT1(x) ((x) << S_T5_SIZEPT1) +#define G_T5_SIZEPT1(x) (((x) >> S_T5_SIZEPT1) & M_T5_SIZEPT1) + +#define S_T5_ERRPT1 25 +#define V_T5_ERRPT1(x) ((x) << S_T5_ERRPT1) +#define F_T5_ERRPT1 V_T5_ERRPT1(1U) + +#define S_T5_FULLPT1 24 +#define V_T5_FULLPT1(x) ((x) << S_T5_FULLPT1) +#define F_T5_FULLPT1 V_T5_FULLPT1(1U) + +#define S_T5_VALIDPT1 23 +#define V_T5_VALIDPT1(x) ((x) << S_T5_VALIDPT1) +#define F_T5_VALIDPT1 V_T5_VALIDPT1(1U) + +#define S_T5_DATAPT1 16 +#define M_T5_DATAPT1 0x7fU +#define V_T5_DATAPT1(x) ((x) << S_T5_DATAPT1) +#define G_T5_DATAPT1(x) (((x) >> S_T5_DATAPT1) & M_T5_DATAPT1) + +#define S_T5_SIZEPT0 10 +#define M_T5_SIZEPT0 0xfU +#define V_T5_SIZEPT0(x) ((x) << S_T5_SIZEPT0) +#define G_T5_SIZEPT0(x) (((x) >> S_T5_SIZEPT0) & M_T5_SIZEPT0) + +#define S_T5_ERRPT0 9 +#define V_T5_ERRPT0(x) ((x) << S_T5_ERRPT0) +#define F_T5_ERRPT0 V_T5_ERRPT0(1U) + +#define S_T5_FULLPT0 8 +#define V_T5_FULLPT0(x) ((x) << S_T5_FULLPT0) +#define F_T5_FULLPT0 V_T5_FULLPT0(1U) + +#define S_T5_VALIDPT0 7 +#define V_T5_VALIDPT0(x) ((x) << S_T5_VALIDPT0) +#define F_T5_VALIDPT0 V_T5_VALIDPT0(1U) + +#define S_T5_DATAPT0 0 +#define M_T5_DATAPT0 0x7fU +#define V_T5_DATAPT0(x) ((x) << S_T5_DATAPT0) +#define G_T5_DATAPT0(x) (((x) >> S_T5_DATAPT0) & M_T5_DATAPT0) + #define A_MPS_TX_DEBUG_REG_TX2MAC_32 0x9450 #define S_SOPPT3 31 @@ -23555,6 +33221,50 @@ #define V_DATAPT2(x) ((x) << S_DATAPT2) #define G_DATAPT2(x) (((x) >> S_DATAPT2) & M_DATAPT2) +#define S_T5_SIZEPT3 26 +#define M_T5_SIZEPT3 0xfU +#define V_T5_SIZEPT3(x) ((x) << S_T5_SIZEPT3) +#define G_T5_SIZEPT3(x) (((x) >> S_T5_SIZEPT3) & M_T5_SIZEPT3) + +#define S_T5_ERRPT3 25 +#define V_T5_ERRPT3(x) ((x) << S_T5_ERRPT3) +#define F_T5_ERRPT3 V_T5_ERRPT3(1U) + +#define S_T5_FULLPT3 24 +#define V_T5_FULLPT3(x) ((x) << S_T5_FULLPT3) +#define F_T5_FULLPT3 V_T5_FULLPT3(1U) + +#define S_T5_VALIDPT3 23 +#define V_T5_VALIDPT3(x) ((x) << S_T5_VALIDPT3) +#define F_T5_VALIDPT3 V_T5_VALIDPT3(1U) + +#define S_T5_DATAPT3 16 +#define M_T5_DATAPT3 0x7fU +#define V_T5_DATAPT3(x) ((x) << S_T5_DATAPT3) +#define G_T5_DATAPT3(x) (((x) >> S_T5_DATAPT3) & M_T5_DATAPT3) + +#define S_T5_SIZEPT2 10 +#define M_T5_SIZEPT2 0xfU +#define V_T5_SIZEPT2(x) ((x) << S_T5_SIZEPT2) +#define G_T5_SIZEPT2(x) (((x) >> S_T5_SIZEPT2) & M_T5_SIZEPT2) + +#define S_T5_ERRPT2 9 +#define V_T5_ERRPT2(x) ((x) << S_T5_ERRPT2) +#define F_T5_ERRPT2 V_T5_ERRPT2(1U) + +#define S_T5_FULLPT2 8 +#define V_T5_FULLPT2(x) ((x) << S_T5_FULLPT2) +#define F_T5_FULLPT2 V_T5_FULLPT2(1U) + +#define S_T5_VALIDPT2 7 +#define V_T5_VALIDPT2(x) ((x) << S_T5_VALIDPT2) +#define F_T5_VALIDPT2 V_T5_VALIDPT2(1U) + +#define S_T5_DATAPT2 0 +#define M_T5_DATAPT2 0x7fU +#define V_T5_DATAPT2(x) ((x) << S_T5_DATAPT2) +#define G_T5_DATAPT2(x) (((x) >> S_T5_DATAPT2) & M_T5_DATAPT2) + #define A_MPS_TX_SGE_CH_PAUSE_IGNR 0x9454 #define S_SGEPAUSEIGNR 0 @@ -24060,6 +33770,14 @@ #define A_MPS_STAT_STOP_UPD_RX_VF_32_63 0x96ec #define A_MPS_STAT_STOP_UPD_RX_VF_64_95 0x96f0 #define A_MPS_STAT_STOP_UPD_RX_VF_96_127 0x96f4 +#define A_MPS_STAT_STOP_UPD_RX_VF_128_159 0x96f8 +#define A_MPS_STAT_STOP_UPD_RX_VF_160_191 0x96fc +#define A_MPS_STAT_STOP_UPD_RX_VF_192_223 0x9700 +#define A_MPS_STAT_STOP_UPD_RX_VF_224_255 0x9704 +#define A_MPS_STAT_STOP_UPD_TX_VF_128_159 0x9710 +#define A_MPS_STAT_STOP_UPD_TX_VF_160_191 0x9714 +#define A_MPS_STAT_STOP_UPD_TX_VF_192_223 0x9718 +#define A_MPS_STAT_STOP_UPD_TX_VF_224_255 0x971c #define A_MPS_TRC_CFG 0x9800 #define S_TRCFIFOEMPTY 4 @@ -24282,9 +34000,164 @@ #define V_VFFILTDATA(x) ((x) << S_VFFILTDATA) #define G_VFFILTDATA(x) (((x) >> S_VFFILTDATA) & M_VFFILTDATA) +#define S_T6_TRCMPS2TP_MACONLY 22 +#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY) +#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U) + +#define S_T6_TRCALLMPS2TP 21 +#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP) +#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U) + +#define S_T6_TRCALLTP2MPS 20 +#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS) +#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U) + +#define S_T6_TRCALLVF 19 +#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF) +#define F_T6_TRCALLVF V_T6_TRCALLVF(1U) + +#define S_T6_TRC_OFLD_EN 18 +#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN) +#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U) + +#define S_T6_VFFILTEN 17 +#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN) +#define F_T6_VFFILTEN V_T6_VFFILTEN(1U) + +#define S_T6_VFFILTMASK 9 +#define M_T6_VFFILTMASK 0xffU +#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK) +#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK) + +#define S_T6_VFFILTVALID 8 +#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID) +#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U) + +#define S_T6_VFFILTDATA 0 +#define M_T6_VFFILTDATA 0xffU +#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA) +#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA) + #define A_MPS_TRC_VF_OFF_FILTER_1 0xa014 + +#define S_T6_TRCMPS2TP_MACONLY 22 +#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY) +#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U) + +#define S_T6_TRCALLMPS2TP 21 +#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP) +#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U) + +#define S_T6_TRCALLTP2MPS 20 +#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS) +#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U) + +#define S_T6_TRCALLVF 19 +#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF) +#define F_T6_TRCALLVF V_T6_TRCALLVF(1U) + +#define S_T6_TRC_OFLD_EN 18 +#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN) +#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U) + +#define S_T6_VFFILTEN 17 +#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN) +#define F_T6_VFFILTEN V_T6_VFFILTEN(1U) + +#define S_T6_VFFILTMASK 9 +#define M_T6_VFFILTMASK 0xffU +#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK) +#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK) + +#define S_T6_VFFILTVALID 8 +#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID) +#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U) + +#define S_T6_VFFILTDATA 0 +#define M_T6_VFFILTDATA 0xffU +#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA) +#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA) + #define A_MPS_TRC_VF_OFF_FILTER_2 0xa018 + +#define S_T6_TRCMPS2TP_MACONLY 22 +#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY) +#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U) + +#define S_T6_TRCALLMPS2TP 21 +#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP) +#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U) + +#define S_T6_TRCALLTP2MPS 20 +#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS) +#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U) + +#define S_T6_TRCALLVF 19 +#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF) +#define F_T6_TRCALLVF V_T6_TRCALLVF(1U) + +#define S_T6_TRC_OFLD_EN 18 +#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN) +#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U) + +#define S_T6_VFFILTEN 17 +#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN) +#define F_T6_VFFILTEN V_T6_VFFILTEN(1U) + +#define S_T6_VFFILTMASK 9 +#define M_T6_VFFILTMASK 0xffU +#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK) +#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK) + +#define S_T6_VFFILTVALID 8 +#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID) +#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U) + +#define S_T6_VFFILTDATA 0 +#define M_T6_VFFILTDATA 0xffU +#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA) +#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA) + #define A_MPS_TRC_VF_OFF_FILTER_3 0xa01c + +#define S_T6_TRCMPS2TP_MACONLY 22 +#define V_T6_TRCMPS2TP_MACONLY(x) ((x) << S_T6_TRCMPS2TP_MACONLY) +#define F_T6_TRCMPS2TP_MACONLY V_T6_TRCMPS2TP_MACONLY(1U) + +#define S_T6_TRCALLMPS2TP 21 +#define V_T6_TRCALLMPS2TP(x) ((x) << S_T6_TRCALLMPS2TP) +#define F_T6_TRCALLMPS2TP V_T6_TRCALLMPS2TP(1U) + +#define S_T6_TRCALLTP2MPS 20 +#define V_T6_TRCALLTP2MPS(x) ((x) << S_T6_TRCALLTP2MPS) +#define F_T6_TRCALLTP2MPS V_T6_TRCALLTP2MPS(1U) + +#define S_T6_TRCALLVF 19 +#define V_T6_TRCALLVF(x) ((x) << S_T6_TRCALLVF) +#define F_T6_TRCALLVF V_T6_TRCALLVF(1U) + +#define S_T6_TRC_OFLD_EN 18 +#define V_T6_TRC_OFLD_EN(x) ((x) << S_T6_TRC_OFLD_EN) +#define F_T6_TRC_OFLD_EN V_T6_TRC_OFLD_EN(1U) + +#define S_T6_VFFILTEN 17 +#define V_T6_VFFILTEN(x) ((x) << S_T6_VFFILTEN) +#define F_T6_VFFILTEN V_T6_VFFILTEN(1U) + +#define S_T6_VFFILTMASK 9 +#define M_T6_VFFILTMASK 0xffU +#define V_T6_VFFILTMASK(x) ((x) << S_T6_VFFILTMASK) +#define G_T6_VFFILTMASK(x) (((x) >> S_T6_VFFILTMASK) & M_T6_VFFILTMASK) + +#define S_T6_VFFILTVALID 8 +#define V_T6_VFFILTVALID(x) ((x) << S_T6_VFFILTVALID) +#define F_T6_VFFILTVALID V_T6_VFFILTVALID(1U) + +#define S_T6_VFFILTDATA 0 +#define M_T6_VFFILTDATA 0xffU +#define V_T6_VFFILTDATA(x) ((x) << S_T6_VFFILTDATA) +#define G_T6_VFFILTDATA(x) (((x) >> S_T6_VFFILTDATA) & M_T6_VFFILTDATA) + #define A_MPS_TRC_CGEN 0xa020 #define S_MPSTRCCGEN 0 @@ -24314,6 +34187,18 @@ #define V_VLANCLSEN(x) ((x) << S_VLANCLSEN) #define F_VLANCLSEN V_VLANCLSEN(1U) +#define S_VLANCLSEN_IN 7 +#define V_VLANCLSEN_IN(x) ((x) << S_VLANCLSEN_IN) +#define F_VLANCLSEN_IN V_VLANCLSEN_IN(1U) + +#define S_DISTCAMPARCHK 6 +#define V_DISTCAMPARCHK(x) ((x) << S_DISTCAMPARCHK) +#define F_DISTCAMPARCHK V_DISTCAMPARCHK(1U) + +#define S_VLANLKPEN 5 +#define V_VLANLKPEN(x) ((x) << S_VLANLKPEN) +#define F_VLANLKPEN V_VLANLKPEN(1U) + #define A_MPS_CLS_ARB_WEIGHT 0xd004 #define S_PLWEIGHT 16 @@ -24331,6 +34216,8 @@ #define V_LPBKWEIGHT(x) ((x) << S_LPBKWEIGHT) #define G_LPBKWEIGHT(x) (((x) >> S_LPBKWEIGHT) & M_LPBKWEIGHT) +#define A_MPS_CLS_NCSI_ETH_TYPE 0xd008 +#define A_MPS_CLS_NCSI_ETH_TYPE_EN 0xd00c #define A_MPS_CLS_BMC_MAC_ADDR_L 0xd010 #define A_MPS_CLS_BMC_MAC_ADDR_H 0xd014 #define A_MPS_CLS_BMC_VLAN 0xd018 @@ -24399,6 +34286,30 @@ #define V_CLS_MATCH(x) ((x) << S_CLS_MATCH) #define G_CLS_MATCH(x) (((x) >> S_CLS_MATCH) & M_CLS_MATCH) +#define S_CLS_SPARE 28 +#define M_CLS_SPARE 0xfU +#define V_CLS_SPARE(x) ((x) << S_CLS_SPARE) +#define G_CLS_SPARE(x) (((x) >> S_CLS_SPARE) & M_CLS_SPARE) + +#define S_T6_CLS_PRIORITY 25 +#define M_T6_CLS_PRIORITY 0x7U +#define V_T6_CLS_PRIORITY(x) ((x) << S_T6_CLS_PRIORITY) +#define G_T6_CLS_PRIORITY(x) (((x) >> S_T6_CLS_PRIORITY) & M_T6_CLS_PRIORITY) + +#define S_T6_CLS_REPLICATE 24 +#define V_T6_CLS_REPLICATE(x) ((x) << S_T6_CLS_REPLICATE) +#define F_T6_CLS_REPLICATE V_T6_CLS_REPLICATE(1U) + +#define S_T6_CLS_INDEX 15 +#define M_T6_CLS_INDEX 0x1ffU +#define V_T6_CLS_INDEX(x) ((x) << S_T6_CLS_INDEX) +#define G_T6_CLS_INDEX(x) (((x) >> S_T6_CLS_INDEX) & M_T6_CLS_INDEX) + +#define S_T6_CLS_VF 7 +#define M_T6_CLS_VF 0xffU +#define V_T6_CLS_VF(x) ((x) << S_T6_CLS_VF) +#define G_T6_CLS_VF(x) (((x) >> S_T6_CLS_VF) & M_T6_CLS_VF) + #define A_MPS_CLS_PL_TEST_CTL 0xd038 #define S_PLTESTCTL 0 @@ -24411,6 +34322,108 @@ #define V_PRTBMCCTL(x) ((x) << S_PRTBMCCTL) #define F_PRTBMCCTL V_PRTBMCCTL(1U) +#define A_MPS_CLS_MATCH_CNT_TCAM 0xd100 +#define A_MPS_CLS_MATCH_CNT_HASH 0xd104 +#define A_MPS_CLS_MATCH_CNT_BCAST 0xd108 +#define A_MPS_CLS_MATCH_CNT_BMC 0xd10c +#define A_MPS_CLS_MATCH_CNT_PROM 0xd110 +#define A_MPS_CLS_MATCH_CNT_HPROM 0xd114 +#define A_MPS_CLS_MISS_CNT 0xd118 +#define A_MPS_CLS_REQUEST_TRACE_MAC_DA_L 0xd200 +#define A_MPS_CLS_REQUEST_TRACE_MAC_DA_H 0xd204 + +#define S_CLSTRCMACDAHI 0 +#define M_CLSTRCMACDAHI 0xffffU +#define V_CLSTRCMACDAHI(x) ((x) << S_CLSTRCMACDAHI) +#define G_CLSTRCMACDAHI(x) (((x) >> S_CLSTRCMACDAHI) & M_CLSTRCMACDAHI) + +#define A_MPS_CLS_REQUEST_TRACE_MAC_SA_L 0xd208 +#define A_MPS_CLS_REQUEST_TRACE_MAC_SA_H 0xd20c + +#define S_CLSTRCMACSAHI 0 +#define M_CLSTRCMACSAHI 0xffffU +#define V_CLSTRCMACSAHI(x) ((x) << S_CLSTRCMACSAHI) +#define G_CLSTRCMACSAHI(x) (((x) >> S_CLSTRCMACSAHI) & M_CLSTRCMACSAHI) + +#define A_MPS_CLS_REQUEST_TRACE_PORT_VLAN 0xd210 + +#define S_CLSTRCVLANVLD 31 +#define V_CLSTRCVLANVLD(x) ((x) << S_CLSTRCVLANVLD) +#define F_CLSTRCVLANVLD V_CLSTRCVLANVLD(1U) + +#define S_CLSTRCVLANID 16 +#define M_CLSTRCVLANID 0xfffU +#define V_CLSTRCVLANID(x) ((x) << S_CLSTRCVLANID) +#define G_CLSTRCVLANID(x) (((x) >> S_CLSTRCVLANID) & M_CLSTRCVLANID) + +#define S_CLSTRCREQPORT 0 +#define M_CLSTRCREQPORT 0xfU +#define V_CLSTRCREQPORT(x) ((x) << S_CLSTRCREQPORT) +#define G_CLSTRCREQPORT(x) (((x) >> S_CLSTRCREQPORT) & M_CLSTRCREQPORT) + +#define A_MPS_CLS_REQUEST_TRACE_ENCAP 0xd214 + +#define S_CLSTRCLKPTYPE 31 +#define V_CLSTRCLKPTYPE(x) ((x) << S_CLSTRCLKPTYPE) +#define F_CLSTRCLKPTYPE V_CLSTRCLKPTYPE(1U) + +#define S_CLSTRCDIPHIT 30 +#define V_CLSTRCDIPHIT(x) ((x) << S_CLSTRCDIPHIT) +#define F_CLSTRCDIPHIT V_CLSTRCDIPHIT(1U) + +#define S_CLSTRCVNI 0 +#define M_CLSTRCVNI 0xffffffU +#define V_CLSTRCVNI(x) ((x) << S_CLSTRCVNI) +#define G_CLSTRCVNI(x) (((x) >> S_CLSTRCVNI) & M_CLSTRCVNI) + +#define A_MPS_CLS_RESULT_TRACE 0xd300 + +#define S_CLSTRCPORTNUM 31 +#define V_CLSTRCPORTNUM(x) ((x) << S_CLSTRCPORTNUM) +#define F_CLSTRCPORTNUM V_CLSTRCPORTNUM(1U) + +#define S_CLSTRCPRIORITY 28 +#define M_CLSTRCPRIORITY 0x7U +#define V_CLSTRCPRIORITY(x) ((x) << S_CLSTRCPRIORITY) +#define G_CLSTRCPRIORITY(x) (((x) >> S_CLSTRCPRIORITY) & M_CLSTRCPRIORITY) + +#define S_CLSTRCMULTILISTEN 27 +#define V_CLSTRCMULTILISTEN(x) ((x) << S_CLSTRCMULTILISTEN) +#define F_CLSTRCMULTILISTEN V_CLSTRCMULTILISTEN(1U) + +#define S_CLSTRCREPLICATE 26 +#define V_CLSTRCREPLICATE(x) ((x) << S_CLSTRCREPLICATE) +#define F_CLSTRCREPLICATE V_CLSTRCREPLICATE(1U) + +#define S_CLSTRCPORTMAP 24 +#define M_CLSTRCPORTMAP 0x3U +#define V_CLSTRCPORTMAP(x) ((x) << S_CLSTRCPORTMAP) +#define G_CLSTRCPORTMAP(x) (((x) >> S_CLSTRCPORTMAP) & M_CLSTRCPORTMAP) + +#define S_CLSTRCMATCH 21 +#define M_CLSTRCMATCH 0x7U +#define V_CLSTRCMATCH(x) ((x) << S_CLSTRCMATCH) +#define G_CLSTRCMATCH(x) (((x) >> S_CLSTRCMATCH) & M_CLSTRCMATCH) + +#define S_CLSTRCINDEX 12 +#define M_CLSTRCINDEX 0x1ffU +#define V_CLSTRCINDEX(x) ((x) << S_CLSTRCINDEX) +#define G_CLSTRCINDEX(x) (((x) >> S_CLSTRCINDEX) & M_CLSTRCINDEX) + +#define S_CLSTRCVF_VLD 11 +#define V_CLSTRCVF_VLD(x) ((x) << S_CLSTRCVF_VLD) +#define F_CLSTRCVF_VLD V_CLSTRCVF_VLD(1U) + +#define S_CLSTRCPF 3 +#define M_CLSTRCPF 0xffU +#define V_CLSTRCPF(x) ((x) << S_CLSTRCPF) +#define G_CLSTRCPF(x) (((x) >> S_CLSTRCPF) & M_CLSTRCPF) + +#define S_CLSTRCVF 0 +#define M_CLSTRCVF 0x7U +#define V_CLSTRCVF(x) ((x) << S_CLSTRCVF) +#define G_CLSTRCVF(x) (((x) >> S_CLSTRCVF) & M_CLSTRCVF) + #define A_MPS_CLS_VLAN_TABLE 0xdfc0 #define S_VLAN_MASK 16 @@ -24470,6 +34483,73 @@ #define F_SRAM_VLD V_SRAM_VLD(1U) #define A_MPS_T5_CLS_SRAM_L 0xe000 + +#define S_T6_DISENCAPOUTERRPLCT 31 +#define V_T6_DISENCAPOUTERRPLCT(x) ((x) << S_T6_DISENCAPOUTERRPLCT) +#define F_T6_DISENCAPOUTERRPLCT V_T6_DISENCAPOUTERRPLCT(1U) + +#define S_T6_DISENCAP 30 +#define V_T6_DISENCAP(x) ((x) << S_T6_DISENCAP) +#define F_T6_DISENCAP V_T6_DISENCAP(1U) + +#define S_T6_MULTILISTEN3 29 +#define V_T6_MULTILISTEN3(x) ((x) << S_T6_MULTILISTEN3) +#define F_T6_MULTILISTEN3 V_T6_MULTILISTEN3(1U) + +#define S_T6_MULTILISTEN2 28 +#define V_T6_MULTILISTEN2(x) ((x) << S_T6_MULTILISTEN2) +#define F_T6_MULTILISTEN2 V_T6_MULTILISTEN2(1U) + +#define S_T6_MULTILISTEN1 27 +#define V_T6_MULTILISTEN1(x) ((x) << S_T6_MULTILISTEN1) +#define F_T6_MULTILISTEN1 V_T6_MULTILISTEN1(1U) + +#define S_T6_MULTILISTEN0 26 +#define V_T6_MULTILISTEN0(x) ((x) << S_T6_MULTILISTEN0) +#define F_T6_MULTILISTEN0 V_T6_MULTILISTEN0(1U) + +#define S_T6_SRAM_PRIO3 23 +#define M_T6_SRAM_PRIO3 0x7U +#define V_T6_SRAM_PRIO3(x) ((x) << S_T6_SRAM_PRIO3) +#define G_T6_SRAM_PRIO3(x) (((x) >> S_T6_SRAM_PRIO3) & M_T6_SRAM_PRIO3) + +#define S_T6_SRAM_PRIO2 20 +#define M_T6_SRAM_PRIO2 0x7U +#define V_T6_SRAM_PRIO2(x) ((x) << S_T6_SRAM_PRIO2) +#define G_T6_SRAM_PRIO2(x) (((x) >> S_T6_SRAM_PRIO2) & M_T6_SRAM_PRIO2) + +#define S_T6_SRAM_PRIO1 17 +#define M_T6_SRAM_PRIO1 0x7U +#define V_T6_SRAM_PRIO1(x) ((x) << S_T6_SRAM_PRIO1) +#define G_T6_SRAM_PRIO1(x) (((x) >> S_T6_SRAM_PRIO1) & M_T6_SRAM_PRIO1) + +#define S_T6_SRAM_PRIO0 14 +#define M_T6_SRAM_PRIO0 0x7U +#define V_T6_SRAM_PRIO0(x) ((x) << S_T6_SRAM_PRIO0) +#define G_T6_SRAM_PRIO0(x) (((x) >> S_T6_SRAM_PRIO0) & M_T6_SRAM_PRIO0) + +#define S_T6_SRAM_VLD 13 +#define V_T6_SRAM_VLD(x) ((x) << S_T6_SRAM_VLD) +#define F_T6_SRAM_VLD V_T6_SRAM_VLD(1U) + +#define S_T6_REPLICATE 12 +#define V_T6_REPLICATE(x) ((x) << S_T6_REPLICATE) +#define F_T6_REPLICATE V_T6_REPLICATE(1U) + +#define S_T6_PF 9 +#define M_T6_PF 0x7U +#define V_T6_PF(x) ((x) << S_T6_PF) +#define G_T6_PF(x) (((x) >> S_T6_PF) & M_T6_PF) + +#define S_T6_VF_VALID 8 +#define V_T6_VF_VALID(x) ((x) << S_T6_VF_VALID) +#define F_T6_VF_VALID V_T6_VF_VALID(1U) + +#define S_T6_VF 0 +#define M_T6_VF 0xffU +#define V_T6_VF(x) ((x) << S_T6_VF) +#define G_T6_VF(x) (((x) >> S_T6_VF) & M_T6_VF) + #define A_MPS_CLS_SRAM_H 0xe004 #define S_MACPARITY1 9 @@ -24491,7 +34571,13 @@ #define G_PORTMAP(x) (((x) >> S_PORTMAP) & M_PORTMAP) #define A_MPS_T5_CLS_SRAM_H 0xe004 + +#define S_MACPARITY2 10 +#define V_MACPARITY2(x) ((x) << S_MACPARITY2) +#define F_MACPARITY2 V_MACPARITY2(1U) + #define A_MPS_CLS_TCAM_Y_L 0xf000 +#define A_MPS_CLS_TCAM_DATA0 0xf000 #define A_MPS_CLS_TCAM_Y_H 0xf004 #define S_TCAMYH 0 @@ -24499,7 +34585,65 @@ #define V_TCAMYH(x) ((x) << S_TCAMYH) #define G_TCAMYH(x) (((x) >> S_TCAMYH) & M_TCAMYH) +#define A_MPS_CLS_TCAM_DATA1 0xf004 + +#define S_VIDL 16 +#define M_VIDL 0xffffU +#define V_VIDL(x) ((x) << S_VIDL) +#define G_VIDL(x) (((x) >> S_VIDL) & M_VIDL) + +#define S_DMACH 0 +#define M_DMACH 0xffffU +#define V_DMACH(x) ((x) << S_DMACH) +#define G_DMACH(x) (((x) >> S_DMACH) & M_DMACH) + #define A_MPS_CLS_TCAM_X_L 0xf008 +#define A_MPS_CLS_TCAM_DATA2_CTL 0xf008 + +#define S_CTLCMDTYPE 31 +#define V_CTLCMDTYPE(x) ((x) << S_CTLCMDTYPE) +#define F_CTLCMDTYPE V_CTLCMDTYPE(1U) + +#define S_CTLREQID 30 +#define V_CTLREQID(x) ((x) << S_CTLREQID) +#define F_CTLREQID V_CTLREQID(1U) + +#define S_CTLTCAMSEL 25 +#define V_CTLTCAMSEL(x) ((x) << S_CTLTCAMSEL) +#define F_CTLTCAMSEL V_CTLTCAMSEL(1U) + +#define S_CTLTCAMINDEX 17 +#define M_CTLTCAMINDEX 0xffU +#define V_CTLTCAMINDEX(x) ((x) << S_CTLTCAMINDEX) +#define G_CTLTCAMINDEX(x) (((x) >> S_CTLTCAMINDEX) & M_CTLTCAMINDEX) + +#define S_CTLXYBITSEL 16 +#define V_CTLXYBITSEL(x) ((x) << S_CTLXYBITSEL) +#define F_CTLXYBITSEL V_CTLXYBITSEL(1U) + +#define S_DATAPORTNUM 12 +#define M_DATAPORTNUM 0xfU +#define V_DATAPORTNUM(x) ((x) << S_DATAPORTNUM) +#define G_DATAPORTNUM(x) (((x) >> S_DATAPORTNUM) & M_DATAPORTNUM) + +#define S_DATALKPTYPE 10 +#define M_DATALKPTYPE 0x3U +#define V_DATALKPTYPE(x) ((x) << S_DATALKPTYPE) +#define G_DATALKPTYPE(x) (((x) >> S_DATALKPTYPE) & M_DATALKPTYPE) + +#define S_DATADIPHIT 8 +#define V_DATADIPHIT(x) ((x) << S_DATADIPHIT) +#define F_DATADIPHIT V_DATADIPHIT(1U) + +#define S_DATAVIDH2 7 +#define V_DATAVIDH2(x) ((x) << S_DATAVIDH2) +#define F_DATAVIDH2 V_DATAVIDH2(1U) + +#define S_DATAVIDH1 0 +#define M_DATAVIDH1 0x7fU +#define V_DATAVIDH1(x) ((x) << S_DATAVIDH1) +#define G_DATAVIDH1(x) (((x) >> S_DATAVIDH1) & M_DATAVIDH1) + #define A_MPS_CLS_TCAM_X_H 0xf00c #define S_TCAMXH 0 @@ -24507,6 +34651,12 @@ #define V_TCAMXH(x) ((x) << S_TCAMXH) #define G_TCAMXH(x) (((x) >> S_TCAMXH) & M_TCAMXH) +#define A_MPS_CLS_TCAM_RDATA0_REQ_ID0 0xf010 +#define A_MPS_CLS_TCAM_RDATA1_REQ_ID0 0xf014 +#define A_MPS_CLS_TCAM_RDATA2_REQ_ID0 0xf018 +#define A_MPS_CLS_TCAM_RDATA0_REQ_ID1 0xf020 +#define A_MPS_CLS_TCAM_RDATA1_REQ_ID1 0xf024 +#define A_MPS_CLS_TCAM_RDATA2_REQ_ID1 0xf028 #define A_MPS_RX_CTL 0x11000 #define S_FILT_VLAN_SEL 17 @@ -24565,7 +34715,15 @@ #define V_CNT(x) ((x) << S_CNT) #define G_CNT(x) (((x) >> S_CNT) & M_CNT) +#define A_MPS_RX_FIFO_0_CTL 0x11008 + +#define S_DEST_SELECT 0 +#define M_DEST_SELECT 0xfU +#define V_DEST_SELECT(x) ((x) << S_DEST_SELECT) +#define G_DEST_SELECT(x) (((x) >> S_DEST_SELECT) & M_DEST_SELECT) + #define A_MPS_RX_PKT_FL 0x1100c +#define A_MPS_RX_FIFO_1_CTL 0x1100c #define A_MPS_RX_PG_RSV0 0x11010 #define S_CLR_INTR 31 @@ -24596,7 +34754,9 @@ #define V_T5_ALLOC(x) ((x) << S_T5_ALLOC) #define G_T5_ALLOC(x) (((x) >> S_T5_ALLOC) & M_T5_ALLOC) +#define A_MPS_RX_FIFO_2_CTL 0x11010 #define A_MPS_RX_PG_RSV1 0x11014 +#define A_MPS_RX_FIFO_3_CTL 0x11014 #define A_MPS_RX_PG_RSV2 0x11018 #define A_MPS_RX_PG_RSV3 0x1101c #define A_MPS_RX_PG_RSV4 0x11020 @@ -24671,6 +34831,11 @@ #define V_T5_TH(x) ((x) << S_T5_TH) #define G_T5_TH(x) (((x) >> S_T5_TH) & M_T5_TH) +#define S_T6_TH 0 +#define M_T6_TH 0x7ffU +#define V_T6_TH(x) ((x) << S_T6_TH) +#define G_T6_TH(x) (((x) >> S_T6_TH) & M_T6_TH) + #define A_MPS_RX_PG_HYST_BG1 0x1104c #define A_MPS_RX_PG_HYST_BG2 0x11050 #define A_MPS_RX_PG_HYST_BG3 0x11054 @@ -24817,8 +34982,22 @@ #define V_CDM0(x) ((x) << S_CDM0) #define F_CDM0 V_CDM0(1U) +#define S_T6_INT_ERR_INT 24 +#define V_T6_INT_ERR_INT(x) ((x) << S_T6_INT_ERR_INT) +#define F_T6_INT_ERR_INT V_T6_INT_ERR_INT(1U) + #define A_MPS_RX_PERR_INT_ENABLE 0x11078 + +#define S_T6_INT_ERR_INT 24 +#define V_T6_INT_ERR_INT(x) ((x) << S_T6_INT_ERR_INT) +#define F_T6_INT_ERR_INT V_T6_INT_ERR_INT(1U) + #define A_MPS_RX_PERR_ENABLE 0x1107c + +#define S_T6_INT_ERR_INT 24 +#define V_T6_INT_ERR_INT(x) ((x) << S_T6_INT_ERR_INT) +#define F_T6_INT_ERR_INT V_T6_INT_ERR_INT(1U) + #define A_MPS_RX_PERR_INJECT 0x11080 #define A_MPS_RX_FUNC_INT_CAUSE 0x11084 @@ -24903,6 +35082,12 @@ #define A_MPS_RX_PAUSE_GEN_TH_1 0x11090 #define A_MPS_RX_PAUSE_GEN_TH_2 0x11094 #define A_MPS_RX_PAUSE_GEN_TH_3 0x11098 +#define A_MPS_RX_REPL_CTL 0x11098 + +#define S_INDEX_SEL 0 +#define V_INDEX_SEL(x) ((x) << S_INDEX_SEL) +#define F_INDEX_SEL V_INDEX_SEL(1U) + #define A_MPS_RX_PPP_ATRB 0x1109c #define S_ETYPE 16 @@ -24938,7 +35123,9 @@ #define A_MPS_RX_PT_ARB1 0x110ac #define A_MPS_RX_PT_ARB2 0x110b0 #define A_MPS_RX_PT_ARB3 0x110b4 +#define A_T6_MPS_PF_OUT_EN 0x110b4 #define A_MPS_RX_PT_ARB4 0x110b8 +#define A_T6_MPS_BMC_MTU 0x110b8 #define A_MPS_PF_OUT_EN 0x110bc #define S_OUTEN 0 @@ -24946,6 +35133,7 @@ #define V_OUTEN(x) ((x) << S_OUTEN) #define G_OUTEN(x) (((x) >> S_OUTEN) & M_OUTEN) +#define A_T6_MPS_BMC_PKT_CNT 0x110bc #define A_MPS_BMC_MTU 0x110c0 #define S_MTU 0 @@ -24953,8 +35141,22 @@ #define V_MTU(x) ((x) << S_MTU) #define G_MTU(x) (((x) >> S_MTU) & M_MTU) +#define A_T6_MPS_BMC_BYTE_CNT 0x110c0 #define A_MPS_BMC_PKT_CNT 0x110c4 +#define A_T6_MPS_PFVF_ATRB_CTL 0x110c4 + +#define S_T6_PFVF 0 +#define M_T6_PFVF 0x1ffU +#define V_T6_PFVF(x) ((x) << S_T6_PFVF) +#define G_T6_PFVF(x) (((x) >> S_T6_PFVF) & M_T6_PFVF) + #define A_MPS_BMC_BYTE_CNT 0x110c8 +#define A_T6_MPS_PFVF_ATRB 0x110c8 + +#define S_FULL_FRAME_MODE 14 +#define V_FULL_FRAME_MODE(x) ((x) << S_FULL_FRAME_MODE) +#define F_FULL_FRAME_MODE V_FULL_FRAME_MODE(1U) + #define A_MPS_PFVF_ATRB_CTL 0x110cc #define S_RD_WRN 31 @@ -24966,6 +35168,7 @@ #define V_PFVF(x) ((x) << S_PFVF) #define G_PFVF(x) (((x) >> S_PFVF) & M_PFVF) +#define A_T6_MPS_PFVF_ATRB_FLTR0 0x110cc #define A_MPS_PFVF_ATRB 0x110d0 #define S_ATTR_PF 28 @@ -24985,6 +35188,7 @@ #define V_ATTR_MODE(x) ((x) << S_ATTR_MODE) #define F_ATTR_MODE V_ATTR_MODE(1U) +#define A_T6_MPS_PFVF_ATRB_FLTR1 0x110d0 #define A_MPS_PFVF_ATRB_FLTR0 0x110d4 #define S_VLAN_EN 16 @@ -24996,21 +35200,37 @@ #define V_VLAN_ID(x) ((x) << S_VLAN_ID) #define G_VLAN_ID(x) (((x) >> S_VLAN_ID) & M_VLAN_ID) +#define A_T6_MPS_PFVF_ATRB_FLTR2 0x110d4 #define A_MPS_PFVF_ATRB_FLTR1 0x110d8 +#define A_T6_MPS_PFVF_ATRB_FLTR3 0x110d8 #define A_MPS_PFVF_ATRB_FLTR2 0x110dc +#define A_T6_MPS_PFVF_ATRB_FLTR4 0x110dc #define A_MPS_PFVF_ATRB_FLTR3 0x110e0 +#define A_T6_MPS_PFVF_ATRB_FLTR5 0x110e0 #define A_MPS_PFVF_ATRB_FLTR4 0x110e4 +#define A_T6_MPS_PFVF_ATRB_FLTR6 0x110e4 #define A_MPS_PFVF_ATRB_FLTR5 0x110e8 +#define A_T6_MPS_PFVF_ATRB_FLTR7 0x110e8 #define A_MPS_PFVF_ATRB_FLTR6 0x110ec +#define A_T6_MPS_PFVF_ATRB_FLTR8 0x110ec #define A_MPS_PFVF_ATRB_FLTR7 0x110f0 +#define A_T6_MPS_PFVF_ATRB_FLTR9 0x110f0 #define A_MPS_PFVF_ATRB_FLTR8 0x110f4 +#define A_T6_MPS_PFVF_ATRB_FLTR10 0x110f4 #define A_MPS_PFVF_ATRB_FLTR9 0x110f8 +#define A_T6_MPS_PFVF_ATRB_FLTR11 0x110f8 #define A_MPS_PFVF_ATRB_FLTR10 0x110fc +#define A_T6_MPS_PFVF_ATRB_FLTR12 0x110fc #define A_MPS_PFVF_ATRB_FLTR11 0x11100 +#define A_T6_MPS_PFVF_ATRB_FLTR13 0x11100 #define A_MPS_PFVF_ATRB_FLTR12 0x11104 +#define A_T6_MPS_PFVF_ATRB_FLTR14 0x11104 #define A_MPS_PFVF_ATRB_FLTR13 0x11108 +#define A_T6_MPS_PFVF_ATRB_FLTR15 0x11108 #define A_MPS_PFVF_ATRB_FLTR14 0x1110c +#define A_T6_MPS_RPLC_MAP_CTL 0x1110c #define A_MPS_PFVF_ATRB_FLTR15 0x11110 +#define A_T6_MPS_PF_RPLCT_MAP 0x11110 #define A_MPS_RPLC_MAP_CTL 0x11114 #define S_RPLC_MAP_ADDR 0 @@ -25018,6 +35238,7 @@ #define V_RPLC_MAP_ADDR(x) ((x) << S_RPLC_MAP_ADDR) #define G_RPLC_MAP_ADDR(x) (((x) >> S_RPLC_MAP_ADDR) & M_RPLC_MAP_ADDR) +#define A_T6_MPS_VF_RPLCT_MAP0 0x11114 #define A_MPS_PF_RPLCT_MAP 0x11118 #define S_PF_EN 0 @@ -25025,8 +35246,11 @@ #define V_PF_EN(x) ((x) << S_PF_EN) #define G_PF_EN(x) (((x) >> S_PF_EN) & M_PF_EN) +#define A_T6_MPS_VF_RPLCT_MAP1 0x11118 #define A_MPS_VF_RPLCT_MAP0 0x1111c +#define A_T6_MPS_VF_RPLCT_MAP2 0x1111c #define A_MPS_VF_RPLCT_MAP1 0x11120 +#define A_T6_MPS_VF_RPLCT_MAP3 0x11120 #define A_MPS_VF_RPLCT_MAP2 0x11124 #define A_MPS_VF_RPLCT_MAP3 0x11128 #define A_MPS_MEM_DBG_CTL 0x1112c @@ -25362,6 +35586,309 @@ #define V_MPS_RX_CGEN_MAC_IN(x) ((x) << S_MPS_RX_CGEN_MAC_IN) #define G_MPS_RX_CGEN_MAC_IN(x) (((x) >> S_MPS_RX_CGEN_MAC_IN) & M_MPS_RX_CGEN_MAC_IN) +#define A_MPS_RX_MAC_BG_PG_CNT0 0x11208 + +#define S_MAC_USED 16 +#define M_MAC_USED 0x7ffU +#define V_MAC_USED(x) ((x) << S_MAC_USED) +#define G_MAC_USED(x) (((x) >> S_MAC_USED) & M_MAC_USED) + +#define S_MAC_ALLOC 0 +#define M_MAC_ALLOC 0x7ffU +#define V_MAC_ALLOC(x) ((x) << S_MAC_ALLOC) +#define G_MAC_ALLOC(x) (((x) >> S_MAC_ALLOC) & M_MAC_ALLOC) + +#define A_MPS_RX_MAC_BG_PG_CNT1 0x1120c +#define A_MPS_RX_MAC_BG_PG_CNT2 0x11210 +#define A_MPS_RX_MAC_BG_PG_CNT3 0x11214 +#define A_MPS_RX_LPBK_BG_PG_CNT0 0x11218 + +#define S_LPBK_USED 16 +#define M_LPBK_USED 0x7ffU +#define V_LPBK_USED(x) ((x) << S_LPBK_USED) +#define G_LPBK_USED(x) (((x) >> S_LPBK_USED) & M_LPBK_USED) + +#define S_LPBK_ALLOC 0 +#define M_LPBK_ALLOC 0x7ffU +#define V_LPBK_ALLOC(x) ((x) << S_LPBK_ALLOC) +#define G_LPBK_ALLOC(x) (((x) >> S_LPBK_ALLOC) & M_LPBK_ALLOC) + +#define A_MPS_RX_LPBK_BG_PG_CNT1 0x1121c +#define A_MPS_RX_CONGESTION_THRESHOLD_BG0 0x11220 + +#define S_CONG_EN 31 +#define V_CONG_EN(x) ((x) << S_CONG_EN) +#define F_CONG_EN V_CONG_EN(1U) + +#define S_CONG_TH 0 +#define M_CONG_TH 0xfffffU +#define V_CONG_TH(x) ((x) << S_CONG_TH) +#define G_CONG_TH(x) (((x) >> S_CONG_TH) & M_CONG_TH) + +#define A_MPS_RX_CONGESTION_THRESHOLD_BG1 0x11224 +#define A_MPS_RX_CONGESTION_THRESHOLD_BG2 0x11228 +#define A_MPS_RX_CONGESTION_THRESHOLD_BG3 0x1122c +#define A_MPS_RX_GRE_PROT_TYPE 0x11230 + +#define S_NVGRE_EN 9 +#define V_NVGRE_EN(x) ((x) << S_NVGRE_EN) +#define F_NVGRE_EN V_NVGRE_EN(1U) + +#define S_GRE_EN 8 +#define V_GRE_EN(x) ((x) << S_GRE_EN) +#define F_GRE_EN V_GRE_EN(1U) + +#define S_GRE 0 +#define M_GRE 0xffU +#define V_GRE(x) ((x) << S_GRE) +#define G_GRE(x) (((x) >> S_GRE) & M_GRE) + +#define A_MPS_RX_VXLAN_TYPE 0x11234 + +#define S_VXLAN_EN 16 +#define V_VXLAN_EN(x) ((x) << S_VXLAN_EN) +#define F_VXLAN_EN V_VXLAN_EN(1U) + +#define S_VXLAN 0 +#define M_VXLAN 0xffffU +#define V_VXLAN(x) ((x) << S_VXLAN) +#define G_VXLAN(x) (((x) >> S_VXLAN) & M_VXLAN) + +#define A_MPS_RX_GENEVE_TYPE 0x11238 + +#define S_GENEVE_EN 16 +#define V_GENEVE_EN(x) ((x) << S_GENEVE_EN) +#define F_GENEVE_EN V_GENEVE_EN(1U) + +#define S_GENEVE 0 +#define M_GENEVE 0xffffU +#define V_GENEVE(x) ((x) << S_GENEVE) +#define G_GENEVE(x) (((x) >> S_GENEVE) & M_GENEVE) + +#define A_MPS_RX_INNER_HDR_IVLAN 0x1123c + +#define S_T6_IVLAN_EN 16 +#define V_T6_IVLAN_EN(x) ((x) << S_T6_IVLAN_EN) +#define F_T6_IVLAN_EN V_T6_IVLAN_EN(1U) + +#define A_MPS_RX_ENCAP_NVGRE 0x11240 + +#define S_ETYPE_EN 16 +#define V_ETYPE_EN(x) ((x) << S_ETYPE_EN) +#define F_ETYPE_EN V_ETYPE_EN(1U) + +#define S_T6_ETYPE 0 +#define M_T6_ETYPE 0xffffU +#define V_T6_ETYPE(x) ((x) << S_T6_ETYPE) +#define G_T6_ETYPE(x) (((x) >> S_T6_ETYPE) & M_T6_ETYPE) + +#define A_MPS_RX_ENCAP_GENEVE 0x11244 + +#define S_T6_ETYPE 0 +#define M_T6_ETYPE 0xffffU +#define V_T6_ETYPE(x) ((x) << S_T6_ETYPE) +#define G_T6_ETYPE(x) (((x) >> S_T6_ETYPE) & M_T6_ETYPE) + +#define A_MPS_RX_TCP 0x11248 + +#define S_PROT_TYPE_EN 8 +#define V_PROT_TYPE_EN(x) ((x) << S_PROT_TYPE_EN) +#define F_PROT_TYPE_EN V_PROT_TYPE_EN(1U) + +#define S_PROT_TYPE 0 +#define M_PROT_TYPE 0xffU +#define V_PROT_TYPE(x) ((x) << S_PROT_TYPE) +#define G_PROT_TYPE(x) (((x) >> S_PROT_TYPE) & M_PROT_TYPE) + +#define A_MPS_RX_UDP 0x1124c +#define A_MPS_RX_PAUSE 0x11250 +#define A_MPS_RX_LENGTH 0x11254 + +#define S_SAP_VALUE 16 +#define M_SAP_VALUE 0xffffU +#define V_SAP_VALUE(x) ((x) << S_SAP_VALUE) +#define G_SAP_VALUE(x) (((x) >> S_SAP_VALUE) & M_SAP_VALUE) + +#define S_LENGTH_ETYPE 0 +#define M_LENGTH_ETYPE 0xffffU +#define V_LENGTH_ETYPE(x) ((x) << S_LENGTH_ETYPE) +#define G_LENGTH_ETYPE(x) (((x) >> S_LENGTH_ETYPE) & M_LENGTH_ETYPE) + +#define A_MPS_RX_CTL_ORG 0x11258 + +#define S_CTL_VALUE 24 +#define M_CTL_VALUE 0xffU +#define V_CTL_VALUE(x) ((x) << S_CTL_VALUE) +#define G_CTL_VALUE(x) (((x) >> S_CTL_VALUE) & M_CTL_VALUE) + +#define S_ORG_VALUE 0 +#define M_ORG_VALUE 0xffffffU +#define V_ORG_VALUE(x) ((x) << S_ORG_VALUE) +#define G_ORG_VALUE(x) (((x) >> S_ORG_VALUE) & M_ORG_VALUE) + +#define A_MPS_RX_IPV4 0x1125c + +#define S_ETYPE_IPV4 0 +#define M_ETYPE_IPV4 0xffffU +#define V_ETYPE_IPV4(x) ((x) << S_ETYPE_IPV4) +#define G_ETYPE_IPV4(x) (((x) >> S_ETYPE_IPV4) & M_ETYPE_IPV4) + +#define A_MPS_RX_IPV6 0x11260 + +#define S_ETYPE_IPV6 0 +#define M_ETYPE_IPV6 0xffffU +#define V_ETYPE_IPV6(x) ((x) << S_ETYPE_IPV6) +#define G_ETYPE_IPV6(x) (((x) >> S_ETYPE_IPV6) & M_ETYPE_IPV6) + +#define A_MPS_RX_TTL 0x11264 + +#define S_TTL_IPV4 10 +#define M_TTL_IPV4 0xffU +#define V_TTL_IPV4(x) ((x) << S_TTL_IPV4) +#define G_TTL_IPV4(x) (((x) >> S_TTL_IPV4) & M_TTL_IPV4) + +#define S_TTL_IPV6 2 +#define M_TTL_IPV6 0xffU +#define V_TTL_IPV6(x) ((x) << S_TTL_IPV6) +#define G_TTL_IPV6(x) (((x) >> S_TTL_IPV6) & M_TTL_IPV6) + +#define S_TTL_CHK_EN_IPV4 1 +#define V_TTL_CHK_EN_IPV4(x) ((x) << S_TTL_CHK_EN_IPV4) +#define F_TTL_CHK_EN_IPV4 V_TTL_CHK_EN_IPV4(1U) + +#define S_TTL_CHK_EN_IPV6 0 +#define V_TTL_CHK_EN_IPV6(x) ((x) << S_TTL_CHK_EN_IPV6) +#define F_TTL_CHK_EN_IPV6 V_TTL_CHK_EN_IPV6(1U) + +#define A_MPS_RX_DEFAULT_VNI 0x11268 + +#define S_VNI 0 +#define M_VNI 0xffffffU +#define V_VNI(x) ((x) << S_VNI) +#define G_VNI(x) (((x) >> S_VNI) & M_VNI) + +#define A_MPS_RX_PRS_CTL 0x1126c + +#define S_CTL_CHK_EN 28 +#define V_CTL_CHK_EN(x) ((x) << S_CTL_CHK_EN) +#define F_CTL_CHK_EN V_CTL_CHK_EN(1U) + +#define S_ORG_CHK_EN 27 +#define V_ORG_CHK_EN(x) ((x) << S_ORG_CHK_EN) +#define F_ORG_CHK_EN V_ORG_CHK_EN(1U) + +#define S_SAP_CHK_EN 26 +#define V_SAP_CHK_EN(x) ((x) << S_SAP_CHK_EN) +#define F_SAP_CHK_EN V_SAP_CHK_EN(1U) + +#define S_VXLAN_FLAG_CHK_EN 25 +#define V_VXLAN_FLAG_CHK_EN(x) ((x) << S_VXLAN_FLAG_CHK_EN) +#define F_VXLAN_FLAG_CHK_EN V_VXLAN_FLAG_CHK_EN(1U) + +#define S_VXLAN_FLAG_MASK 17 +#define M_VXLAN_FLAG_MASK 0xffU +#define V_VXLAN_FLAG_MASK(x) ((x) << S_VXLAN_FLAG_MASK) +#define G_VXLAN_FLAG_MASK(x) (((x) >> S_VXLAN_FLAG_MASK) & M_VXLAN_FLAG_MASK) + +#define S_VXLAN_FLAG 9 +#define M_VXLAN_FLAG 0xffU +#define V_VXLAN_FLAG(x) ((x) << S_VXLAN_FLAG) +#define G_VXLAN_FLAG(x) (((x) >> S_VXLAN_FLAG) & M_VXLAN_FLAG) + +#define S_GRE_VER_CHK_EN 8 +#define V_GRE_VER_CHK_EN(x) ((x) << S_GRE_VER_CHK_EN) +#define F_GRE_VER_CHK_EN V_GRE_VER_CHK_EN(1U) + +#define S_GRE_VER 5 +#define M_GRE_VER 0x7U +#define V_GRE_VER(x) ((x) << S_GRE_VER) +#define G_GRE_VER(x) (((x) >> S_GRE_VER) & M_GRE_VER) + +#define S_GENEVE_VER_CHK_EN 4 +#define V_GENEVE_VER_CHK_EN(x) ((x) << S_GENEVE_VER_CHK_EN) +#define F_GENEVE_VER_CHK_EN V_GENEVE_VER_CHK_EN(1U) + +#define S_GENEVE_VER 2 +#define M_GENEVE_VER 0x3U +#define V_GENEVE_VER(x) ((x) << S_GENEVE_VER) +#define G_GENEVE_VER(x) (((x) >> S_GENEVE_VER) & M_GENEVE_VER) + +#define S_DIP_EN 1 +#define V_DIP_EN(x) ((x) << S_DIP_EN) +#define F_DIP_EN V_DIP_EN(1U) + +#define A_MPS_RX_PRS_CTL_2 0x11270 + +#define S_EN_UDP_CSUM_CHK 4 +#define V_EN_UDP_CSUM_CHK(x) ((x) << S_EN_UDP_CSUM_CHK) +#define F_EN_UDP_CSUM_CHK V_EN_UDP_CSUM_CHK(1U) + +#define S_EN_UDP_LEN_CHK 3 +#define V_EN_UDP_LEN_CHK(x) ((x) << S_EN_UDP_LEN_CHK) +#define F_EN_UDP_LEN_CHK V_EN_UDP_LEN_CHK(1U) + +#define S_EN_IP_CSUM_CHK 2 +#define V_EN_IP_CSUM_CHK(x) ((x) << S_EN_IP_CSUM_CHK) +#define F_EN_IP_CSUM_CHK V_EN_IP_CSUM_CHK(1U) + +#define S_EN_IP_PAYLOAD_LEN_CHK 1 +#define V_EN_IP_PAYLOAD_LEN_CHK(x) ((x) << S_EN_IP_PAYLOAD_LEN_CHK) +#define F_EN_IP_PAYLOAD_LEN_CHK V_EN_IP_PAYLOAD_LEN_CHK(1U) + +#define S_T6_IPV6_UDP_CSUM_COMPAT 0 +#define V_T6_IPV6_UDP_CSUM_COMPAT(x) ((x) << S_T6_IPV6_UDP_CSUM_COMPAT) +#define F_T6_IPV6_UDP_CSUM_COMPAT V_T6_IPV6_UDP_CSUM_COMPAT(1U) + +#define A_MPS_RX_MPS2NCSI_CNT 0x11274 +#define A_MPS_RX_MAX_TNL_HDR_LEN 0x11278 + +#define S_T6_LEN 0 +#define M_T6_LEN 0x1ffU +#define V_T6_LEN(x) ((x) << S_T6_LEN) +#define G_T6_LEN(x) (((x) >> S_T6_LEN) & M_T6_LEN) + +#define A_MPS_RX_PAUSE_DA_H 0x1127c +#define A_MPS_RX_PAUSE_DA_L 0x11280 +#define A_MPS_RX_CNT_NVGRE_PKT_MAC0 0x11284 +#define A_MPS_RX_CNT_VXLAN_PKT_MAC0 0x11288 +#define A_MPS_RX_CNT_GENEVE_PKT_MAC0 0x1128c +#define A_MPS_RX_CNT_TNL_ERR_PKT_MAC0 0x11290 +#define A_MPS_RX_CNT_NVGRE_PKT_MAC1 0x11294 +#define A_MPS_RX_CNT_VXLAN_PKT_MAC1 0x11298 +#define A_MPS_RX_CNT_GENEVE_PKT_MAC1 0x1129c +#define A_MPS_RX_CNT_TNL_ERR_PKT_MAC1 0x112a0 +#define A_MPS_RX_CNT_NVGRE_PKT_LPBK0 0x112a4 +#define A_MPS_RX_CNT_VXLAN_PKT_LPBK0 0x112a8 +#define A_MPS_RX_CNT_GENEVE_PKT_LPBK0 0x112ac +#define A_MPS_RX_CNT_TNL_ERR_PKT_LPBK0 0x112b0 +#define A_MPS_RX_CNT_NVGRE_PKT_LPBK1 0x112b4 +#define A_MPS_RX_CNT_VXLAN_PKT_LPBK1 0x112b8 +#define A_MPS_RX_CNT_GENEVE_PKT_LPBK1 0x112bc +#define A_MPS_RX_CNT_TNL_ERR_PKT_LPBK1 0x112c0 +#define A_MPS_RX_CNT_NVGRE_PKT_TO_TP0 0x112c4 +#define A_MPS_RX_CNT_VXLAN_PKT_TO_TP0 0x112c8 +#define A_MPS_RX_CNT_GENEVE_PKT_TO_TP0 0x112cc +#define A_MPS_RX_CNT_TNL_ERR_PKT_TO_TP0 0x112d0 +#define A_MPS_RX_CNT_NVGRE_PKT_TO_TP1 0x112d4 +#define A_MPS_RX_CNT_VXLAN_PKT_TO_TP1 0x112d8 +#define A_MPS_RX_CNT_GENEVE_PKT_TO_TP1 0x112dc +#define A_MPS_RX_CNT_TNL_ERR_PKT_TO_TP1 0x112e0 +#define A_MPS_VF_RPLCT_MAP4 0x11300 +#define A_MPS_VF_RPLCT_MAP5 0x11304 +#define A_MPS_VF_RPLCT_MAP6 0x11308 +#define A_MPS_VF_RPLCT_MAP7 0x1130c +#define A_MPS_CLS_DIPIPV4_ID_TABLE 0x12000 +#define A_MPS_CLS_DIPIPV4_MASK_TABLE 0x12004 +#define A_MPS_CLS_DIPIPV6ID_0_TABLE 0x12020 +#define A_MPS_CLS_DIPIPV6ID_1_TABLE 0x12024 +#define A_MPS_CLS_DIPIPV6ID_2_TABLE 0x12028 +#define A_MPS_CLS_DIPIPV6ID_3_TABLE 0x1202c +#define A_MPS_CLS_DIPIPV6MASK_0_TABLE 0x12030 +#define A_MPS_CLS_DIPIPV6MASK_1_TABLE 0x12034 +#define A_MPS_CLS_DIPIPV6MASK_2_TABLE 0x12038 +#define A_MPS_CLS_DIPIPV6MASK_3_TABLE 0x1203c +#define A_MPS_RX_HASH_LKP_TABLE 0x12060 + /* registers for module CPL_SWITCH */ #define CPL_SWITCH_BASE_ADDR 0x19040 @@ -26232,6 +36759,10 @@ #define V_PCIE_PART_CGEN(x) ((x) << S_PCIE_PART_CGEN) #define F_PCIE_PART_CGEN V_PCIE_PART_CGEN(1U) +#define S_PL_DIS_PRTY_CHK 20 +#define V_PL_DIS_PRTY_CHK(x) ((x) << S_PL_DIS_PRTY_CHK) +#define F_PL_DIS_PRTY_CHK V_PL_DIS_PRTY_CHK(1U) + #define A_PMU_SLEEPMODE_WAKEUP 0x19124 #define S_HWWAKEUPEN 5 @@ -26720,6 +37251,14 @@ #define V_PERR_RSVD1(x) ((x) << S_PERR_RSVD1) #define F_PERR_RSVD1 V_PERR_RSVD1(1U) +#define S_PERR_ENABLE_CTX_1 24 +#define V_PERR_ENABLE_CTX_1(x) ((x) << S_PERR_ENABLE_CTX_1) +#define F_PERR_ENABLE_CTX_1 V_PERR_ENABLE_CTX_1(1U) + +#define S_PERR_ENABLE_CTX_0 23 +#define V_PERR_ENABLE_CTX_0(x) ((x) << S_PERR_ENABLE_CTX_0) +#define F_PERR_ENABLE_CTX_0 V_PERR_ENABLE_CTX_0(1U) + #define A_ULP_RX_PERR_INJECT 0x191a0 #define A_ULP_RX_RQUDP_LLIMIT 0x191a4 #define A_ULP_RX_RQUDP_ULIMIT 0x191a8 @@ -26966,6 +37505,78 @@ #define V_SDC_CRC_PROT_EN(x) ((x) << S_SDC_CRC_PROT_EN) #define F_SDC_CRC_PROT_EN V_SDC_CRC_PROT_EN(1U) +#define S_ISCSI_DCRC_ERROR_CMP_EN 25 +#define V_ISCSI_DCRC_ERROR_CMP_EN(x) ((x) << S_ISCSI_DCRC_ERROR_CMP_EN) +#define F_ISCSI_DCRC_ERROR_CMP_EN V_ISCSI_DCRC_ERROR_CMP_EN(1U) + +#define S_ISCSITAGPI 24 +#define V_ISCSITAGPI(x) ((x) << S_ISCSITAGPI) +#define F_ISCSITAGPI V_ISCSITAGPI(1U) + +#define S_DDP_VERSION_1 22 +#define M_DDP_VERSION_1 0x3U +#define V_DDP_VERSION_1(x) ((x) << S_DDP_VERSION_1) +#define G_DDP_VERSION_1(x) (((x) >> S_DDP_VERSION_1) & M_DDP_VERSION_1) + +#define S_DDP_VERSION_0 20 +#define M_DDP_VERSION_0 0x3U +#define V_DDP_VERSION_0(x) ((x) << S_DDP_VERSION_0) +#define G_DDP_VERSION_0(x) (((x) >> S_DDP_VERSION_0) & M_DDP_VERSION_0) + +#define S_RDMA_VERSION_1 18 +#define M_RDMA_VERSION_1 0x3U +#define V_RDMA_VERSION_1(x) ((x) << S_RDMA_VERSION_1) +#define G_RDMA_VERSION_1(x) (((x) >> S_RDMA_VERSION_1) & M_RDMA_VERSION_1) + +#define S_RDMA_VERSION_0 16 +#define M_RDMA_VERSION_0 0x3U +#define V_RDMA_VERSION_0(x) ((x) << S_RDMA_VERSION_0) +#define G_RDMA_VERSION_0(x) (((x) >> S_RDMA_VERSION_0) & M_RDMA_VERSION_0) + +#define S_PBL_BOUND_CHECK_W_PGLEN 15 +#define V_PBL_BOUND_CHECK_W_PGLEN(x) ((x) << S_PBL_BOUND_CHECK_W_PGLEN) +#define F_PBL_BOUND_CHECK_W_PGLEN V_PBL_BOUND_CHECK_W_PGLEN(1U) + +#define S_ZBYTE_FIX_DISABLE 14 +#define V_ZBYTE_FIX_DISABLE(x) ((x) << S_ZBYTE_FIX_DISABLE) +#define F_ZBYTE_FIX_DISABLE V_ZBYTE_FIX_DISABLE(1U) + +#define S_T10_OFFSET_UPDATE_EN 13 +#define V_T10_OFFSET_UPDATE_EN(x) ((x) << S_T10_OFFSET_UPDATE_EN) +#define F_T10_OFFSET_UPDATE_EN V_T10_OFFSET_UPDATE_EN(1U) + +#define S_ULP_INSERT_PI 12 +#define V_ULP_INSERT_PI(x) ((x) << S_ULP_INSERT_PI) +#define F_ULP_INSERT_PI V_ULP_INSERT_PI(1U) + +#define S_PDU_DPI 11 +#define V_PDU_DPI(x) ((x) << S_PDU_DPI) +#define F_PDU_DPI V_PDU_DPI(1U) + +#define S_ISCSI_EFF_OFFSET_EN 10 +#define V_ISCSI_EFF_OFFSET_EN(x) ((x) << S_ISCSI_EFF_OFFSET_EN) +#define F_ISCSI_EFF_OFFSET_EN V_ISCSI_EFF_OFFSET_EN(1U) + +#define S_ISCSI_ALL_CMP_MODE 9 +#define V_ISCSI_ALL_CMP_MODE(x) ((x) << S_ISCSI_ALL_CMP_MODE) +#define F_ISCSI_ALL_CMP_MODE V_ISCSI_ALL_CMP_MODE(1U) + +#define S_ISCSI_ENABLE_HDR_CMD 8 +#define V_ISCSI_ENABLE_HDR_CMD(x) ((x) << S_ISCSI_ENABLE_HDR_CMD) +#define F_ISCSI_ENABLE_HDR_CMD V_ISCSI_ENABLE_HDR_CMD(1U) + +#define S_ISCSI_FORCE_CMP_MODE 7 +#define V_ISCSI_FORCE_CMP_MODE(x) ((x) << S_ISCSI_FORCE_CMP_MODE) +#define F_ISCSI_FORCE_CMP_MODE V_ISCSI_FORCE_CMP_MODE(1U) + +#define S_ISCSI_ENABLE_CMP_MODE 6 +#define V_ISCSI_ENABLE_CMP_MODE(x) ((x) << S_ISCSI_ENABLE_CMP_MODE) +#define F_ISCSI_ENABLE_CMP_MODE V_ISCSI_ENABLE_CMP_MODE(1U) + +#define S_PIO_RDMA_SEND_RQE 5 +#define V_PIO_RDMA_SEND_RQE(x) ((x) << S_PIO_RDMA_SEND_RQE) +#define F_PIO_RDMA_SEND_RQE V_PIO_RDMA_SEND_RQE(1U) + #define A_ULP_RX_CH0_CGEN 0x19260 #define S_BYPASS_CGEN 7 @@ -27143,6 +37754,44 @@ #define V_SEND_MSN_CHECK_ENABLE(x) ((x) << S_SEND_MSN_CHECK_ENABLE) #define F_SEND_MSN_CHECK_ENABLE V_SEND_MSN_CHECK_ENABLE(1U) +#define A_ULP_RX_TLS_PP_LLIMIT 0x192a4 + +#define S_TLSPPLLIMIT 6 +#define M_TLSPPLLIMIT 0x3ffffffU +#define V_TLSPPLLIMIT(x) ((x) << S_TLSPPLLIMIT) +#define G_TLSPPLLIMIT(x) (((x) >> S_TLSPPLLIMIT) & M_TLSPPLLIMIT) + +#define A_ULP_RX_TLS_PP_ULIMIT 0x192a8 + +#define S_TLSPPULIMIT 6 +#define M_TLSPPULIMIT 0x3ffffffU +#define V_TLSPPULIMIT(x) ((x) << S_TLSPPULIMIT) +#define G_TLSPPULIMIT(x) (((x) >> S_TLSPPULIMIT) & M_TLSPPULIMIT) + +#define A_ULP_RX_TLS_KEY_LLIMIT 0x192ac + +#define S_TLSKEYLLIMIT 8 +#define M_TLSKEYLLIMIT 0xffffffU +#define V_TLSKEYLLIMIT(x) ((x) << S_TLSKEYLLIMIT) +#define G_TLSKEYLLIMIT(x) (((x) >> S_TLSKEYLLIMIT) & M_TLSKEYLLIMIT) + +#define A_ULP_RX_TLS_KEY_ULIMIT 0x192b0 + +#define S_TLSKEYULIMIT 8 +#define M_TLSKEYULIMIT 0xffffffU +#define V_TLSKEYULIMIT(x) ((x) << S_TLSKEYULIMIT) +#define G_TLSKEYULIMIT(x) (((x) >> S_TLSKEYULIMIT) & M_TLSKEYULIMIT) + +#define A_ULP_RX_TLS_CTL 0x192bc +#define A_ULP_RX_TLS_IND_CMD 0x19348 + +#define S_TLS_RX_REG_OFF_ADDR 0 +#define M_TLS_RX_REG_OFF_ADDR 0x3ffU +#define V_TLS_RX_REG_OFF_ADDR(x) ((x) << S_TLS_RX_REG_OFF_ADDR) +#define G_TLS_RX_REG_OFF_ADDR(x) (((x) >> S_TLS_RX_REG_OFF_ADDR) & M_TLS_RX_REG_OFF_ADDR) + +#define A_ULP_RX_TLS_IND_DATA 0x1934c + /* registers for module SF */ #define SF_BASE_ADDR 0x193f8 @@ -27191,6 +37840,20 @@ #define V_VFID(x) ((x) << S_VFID) #define G_VFID(x) (((x) >> S_VFID) & M_VFID) +#define S_T6_SOURCEPF 9 +#define M_T6_SOURCEPF 0x7U +#define V_T6_SOURCEPF(x) ((x) << S_T6_SOURCEPF) +#define G_T6_SOURCEPF(x) (((x) >> S_T6_SOURCEPF) & M_T6_SOURCEPF) + +#define S_T6_ISVF 8 +#define V_T6_ISVF(x) ((x) << S_T6_ISVF) +#define F_T6_ISVF V_T6_ISVF(1U) + +#define S_T6_VFID 0 +#define M_T6_VFID 0xffU +#define V_T6_VFID(x) ((x) << S_T6_VFID) +#define G_T6_VFID(x) (((x) >> S_T6_VFID) & M_T6_VFID) + #define A_PL_VF_REV 0x4 #define S_CHIPID 4 @@ -27225,6 +37888,21 @@ #define F_SWINT V_SWINT(1U) #define A_PL_WHOAMI 0x19400 + +#define S_T6_SOURCEPF 9 +#define M_T6_SOURCEPF 0x7U +#define V_T6_SOURCEPF(x) ((x) << S_T6_SOURCEPF) +#define G_T6_SOURCEPF(x) (((x) >> S_T6_SOURCEPF) & M_T6_SOURCEPF) + +#define S_T6_ISVF 8 +#define V_T6_ISVF(x) ((x) << S_T6_ISVF) +#define F_T6_ISVF V_T6_ISVF(1U) + +#define S_T6_VFID 0 +#define M_T6_VFID 0xffU +#define V_T6_VFID(x) ((x) << S_T6_VFID) +#define G_T6_VFID(x) (((x) >> S_T6_VFID) & M_T6_VFID) + #define A_PL_PERR_CAUSE 0x19404 #define S_UART 28 @@ -27542,16 +38220,71 @@ #define V_LN0_AECMD(x) ((x) << S_LN0_AECMD) #define G_LN0_AECMD(x) (((x) >> S_LN0_AECMD) & M_LN0_AECMD) +#define S_T5_STATECFGINITF 16 +#define M_T5_STATECFGINITF 0x7fU +#define V_T5_STATECFGINITF(x) ((x) << S_T5_STATECFGINITF) +#define G_T5_STATECFGINITF(x) (((x) >> S_T5_STATECFGINITF) & M_T5_STATECFGINITF) + +#define S_T5_STATECFGINIT 12 +#define M_T5_STATECFGINIT 0xfU +#define V_T5_STATECFGINIT(x) ((x) << S_T5_STATECFGINIT) +#define G_T5_STATECFGINIT(x) (((x) >> S_T5_STATECFGINIT) & M_T5_STATECFGINIT) + #define S_PCIE_SPEED 8 #define M_PCIE_SPEED 0x3U #define V_PCIE_SPEED(x) ((x) << S_PCIE_SPEED) #define G_PCIE_SPEED(x) (((x) >> S_PCIE_SPEED) & M_PCIE_SPEED) +#define S_T5_PERSTTIMEOUT 7 +#define V_T5_PERSTTIMEOUT(x) ((x) << S_T5_PERSTTIMEOUT) +#define F_T5_PERSTTIMEOUT V_T5_PERSTTIMEOUT(1U) + +#define S_T5_LTSSMENABLE 6 +#define V_T5_LTSSMENABLE(x) ((x) << S_T5_LTSSMENABLE) +#define F_T5_LTSSMENABLE V_T5_LTSSMENABLE(1U) + #define S_LTSSM 0 #define M_LTSSM 0x3fU #define V_LTSSM(x) ((x) << S_LTSSM) #define G_LTSSM(x) (((x) >> S_LTSSM) & M_LTSSM) +#define S_T6_LN0_AESTAT 27 +#define M_T6_LN0_AESTAT 0x7U +#define V_T6_LN0_AESTAT(x) ((x) << S_T6_LN0_AESTAT) +#define G_T6_LN0_AESTAT(x) (((x) >> S_T6_LN0_AESTAT) & M_T6_LN0_AESTAT) + +#define S_T6_LN0_AECMD 24 +#define M_T6_LN0_AECMD 0x7U +#define V_T6_LN0_AECMD(x) ((x) << S_T6_LN0_AECMD) +#define G_T6_LN0_AECMD(x) (((x) >> S_T6_LN0_AECMD) & M_T6_LN0_AECMD) + +#define S_T6_STATECFGINITF 16 +#define M_T6_STATECFGINITF 0xffU +#define V_T6_STATECFGINITF(x) ((x) << S_T6_STATECFGINITF) +#define G_T6_STATECFGINITF(x) (((x) >> S_T6_STATECFGINITF) & M_T6_STATECFGINITF) + +#define S_T6_STATECFGINIT 12 +#define M_T6_STATECFGINIT 0xfU +#define V_T6_STATECFGINIT(x) ((x) << S_T6_STATECFGINIT) +#define G_T6_STATECFGINIT(x) (((x) >> S_T6_STATECFGINIT) & M_T6_STATECFGINIT) + +#define S_PHY_STATUS 10 +#define V_PHY_STATUS(x) ((x) << S_PHY_STATUS) +#define F_PHY_STATUS V_PHY_STATUS(1U) + +#define S_SPEED_PL 8 +#define M_SPEED_PL 0x3U +#define V_SPEED_PL(x) ((x) << S_SPEED_PL) +#define G_SPEED_PL(x) (((x) >> S_SPEED_PL) & M_SPEED_PL) + +#define S_PERSTTIMEOUT_PL 7 +#define V_PERSTTIMEOUT_PL(x) ((x) << S_PERSTTIMEOUT_PL) +#define F_PERSTTIMEOUT_PL V_PERSTTIMEOUT_PL(1U) + +#define S_T6_LTSSMENABLE 6 +#define V_T6_LTSSMENABLE(x) ((x) << S_T6_LTSSMENABLE) +#define F_T6_LTSSMENABLE V_T6_LTSSMENABLE(1U) + #define A_PL_PCIE_CTL_STAT 0x19444 #define S_PCIE_STATUS 16 @@ -27733,6 +38466,11 @@ #define V_PL_TOVFID(x) ((x) << S_PL_TOVFID) #define G_PL_TOVFID(x) (((x) >> S_PL_TOVFID) & M_PL_TOVFID) +#define S_T6_PL_TOVFID 0 +#define M_T6_PL_TOVFID 0x1ffU +#define V_T6_PL_TOVFID(x) ((x) << S_T6_PL_TOVFID) +#define G_T6_PL_TOVFID(x) (((x) >> S_T6_PL_TOVFID) & M_T6_PL_TOVFID) + #define A_PL_VFID_MAP 0x19800 #define S_VFID_VLD 7 @@ -27743,6 +38481,7 @@ #define LE_BASE_ADDR 0x19c00 #define A_LE_BUF_CONFIG 0x19c00 +#define A_LE_DB_ID 0x19c00 #define A_LE_DB_CONFIG 0x19c04 #define S_TCAMCMDOVLAPEN 21 @@ -27850,6 +38589,51 @@ #define V_SINGLETHREAD(x) ((x) << S_SINGLETHREAD) #define F_SINGLETHREAD V_SINGLETHREAD(1U) +#define S_CHK_FUL_TUP_ZERO 27 +#define V_CHK_FUL_TUP_ZERO(x) ((x) << S_CHK_FUL_TUP_ZERO) +#define F_CHK_FUL_TUP_ZERO V_CHK_FUL_TUP_ZERO(1U) + +#define S_PRI_HASH 26 +#define V_PRI_HASH(x) ((x) << S_PRI_HASH) +#define F_PRI_HASH V_PRI_HASH(1U) + +#define S_EXTN_HASH_IPV4 25 +#define V_EXTN_HASH_IPV4(x) ((x) << S_EXTN_HASH_IPV4) +#define F_EXTN_HASH_IPV4 V_EXTN_HASH_IPV4(1U) + +#define S_ASLIPCOMPEN_IPV4 18 +#define V_ASLIPCOMPEN_IPV4(x) ((x) << S_ASLIPCOMPEN_IPV4) +#define F_ASLIPCOMPEN_IPV4 V_ASLIPCOMPEN_IPV4(1U) + +#define S_IGNR_TUP_ZERO 9 +#define V_IGNR_TUP_ZERO(x) ((x) << S_IGNR_TUP_ZERO) +#define F_IGNR_TUP_ZERO V_IGNR_TUP_ZERO(1U) + +#define S_IGNR_LIP_ZERO 8 +#define V_IGNR_LIP_ZERO(x) ((x) << S_IGNR_LIP_ZERO) +#define F_IGNR_LIP_ZERO V_IGNR_LIP_ZERO(1U) + +#define S_CLCAM_INIT_BUSY 7 +#define V_CLCAM_INIT_BUSY(x) ((x) << S_CLCAM_INIT_BUSY) +#define F_CLCAM_INIT_BUSY V_CLCAM_INIT_BUSY(1U) + +#define S_CLCAM_INIT 6 +#define V_CLCAM_INIT(x) ((x) << S_CLCAM_INIT) +#define F_CLCAM_INIT V_CLCAM_INIT(1U) + +#define S_MTCAM_INIT_BUSY 5 +#define V_MTCAM_INIT_BUSY(x) ((x) << S_MTCAM_INIT_BUSY) +#define F_MTCAM_INIT_BUSY V_MTCAM_INIT_BUSY(1U) + +#define S_MTCAM_INIT 4 +#define V_MTCAM_INIT(x) ((x) << S_MTCAM_INIT) +#define F_MTCAM_INIT V_MTCAM_INIT(1U) + +#define S_REGION_EN 0 +#define M_REGION_EN 0xfU +#define V_REGION_EN(x) ((x) << S_REGION_EN) +#define G_REGION_EN(x) (((x) >> S_REGION_EN) & M_REGION_EN) + #define A_LE_MISC 0x19c08 #define S_CMPUNVAIL 0 @@ -27889,6 +38673,43 @@ #define V_HASHCLKOFF(x) ((x) << S_HASHCLKOFF) #define F_HASHCLKOFF V_HASHCLKOFF(1U) +#define A_LE_DB_EXEC_CTRL 0x19c08 + +#define S_TPDB_IF_PAUSE_ACK 10 +#define V_TPDB_IF_PAUSE_ACK(x) ((x) << S_TPDB_IF_PAUSE_ACK) +#define F_TPDB_IF_PAUSE_ACK V_TPDB_IF_PAUSE_ACK(1U) + +#define S_TPDB_IF_PAUSE_REQ 9 +#define V_TPDB_IF_PAUSE_REQ(x) ((x) << S_TPDB_IF_PAUSE_REQ) +#define F_TPDB_IF_PAUSE_REQ V_TPDB_IF_PAUSE_REQ(1U) + +#define S_ERRSTOP_EN 8 +#define V_ERRSTOP_EN(x) ((x) << S_ERRSTOP_EN) +#define F_ERRSTOP_EN V_ERRSTOP_EN(1U) + +#define S_CMDLIMIT 0 +#define M_CMDLIMIT 0xffU +#define V_CMDLIMIT(x) ((x) << S_CMDLIMIT) +#define G_CMDLIMIT(x) (((x) >> S_CMDLIMIT) & M_CMDLIMIT) + +#define A_LE_DB_PS_CTRL 0x19c0c + +#define S_CLTCAMDEEPSLEEP_STAT 10 +#define V_CLTCAMDEEPSLEEP_STAT(x) ((x) << S_CLTCAMDEEPSLEEP_STAT) +#define F_CLTCAMDEEPSLEEP_STAT V_CLTCAMDEEPSLEEP_STAT(1U) + +#define S_TCAMDEEPSLEEP_STAT 9 +#define V_TCAMDEEPSLEEP_STAT(x) ((x) << S_TCAMDEEPSLEEP_STAT) +#define F_TCAMDEEPSLEEP_STAT V_TCAMDEEPSLEEP_STAT(1U) + +#define S_CLTCAMDEEPSLEEP 7 +#define V_CLTCAMDEEPSLEEP(x) ((x) << S_CLTCAMDEEPSLEEP) +#define F_CLTCAMDEEPSLEEP V_CLTCAMDEEPSLEEP(1U) + +#define S_TCAMDEEPSLEEP 6 +#define V_TCAMDEEPSLEEP(x) ((x) << S_TCAMDEEPSLEEP) +#define F_TCAMDEEPSLEEP V_TCAMDEEPSLEEP(1U) + #define A_LE_DB_ROUTING_TABLE_INDEX 0x19c10 #define S_RTINDX 7 @@ -27896,6 +38717,13 @@ #define V_RTINDX(x) ((x) << S_RTINDX) #define G_RTINDX(x) (((x) >> S_RTINDX) & M_RTINDX) +#define A_LE_DB_ACTIVE_TABLE_START_INDEX 0x19c10 + +#define S_ATINDX 0 +#define M_ATINDX 0xfffffU +#define V_ATINDX(x) ((x) << S_ATINDX) +#define G_ATINDX(x) (((x) >> S_ATINDX) & M_ATINDX) + #define A_LE_DB_FILTER_TABLE_INDEX 0x19c14 #define S_FTINDX 7 @@ -27903,6 +38731,13 @@ #define V_FTINDX(x) ((x) << S_FTINDX) #define G_FTINDX(x) (((x) >> S_FTINDX) & M_FTINDX) +#define A_LE_DB_NORM_FILT_TABLE_START_INDEX 0x19c14 + +#define S_NFTINDX 0 +#define M_NFTINDX 0xfffffU +#define V_NFTINDX(x) ((x) << S_NFTINDX) +#define G_NFTINDX(x) (((x) >> S_NFTINDX) & M_NFTINDX) + #define A_LE_DB_SERVER_INDEX 0x19c18 #define S_SRINDX 7 @@ -27910,6 +38745,13 @@ #define V_SRINDX(x) ((x) << S_SRINDX) #define G_SRINDX(x) (((x) >> S_SRINDX) & M_SRINDX) +#define A_LE_DB_SRVR_START_INDEX 0x19c18 + +#define S_T6_SRINDX 0 +#define M_T6_SRINDX 0xfffffU +#define V_T6_SRINDX(x) ((x) << S_T6_SRINDX) +#define G_T6_SRINDX(x) (((x) >> S_T6_SRINDX) & M_T6_SRINDX) + #define A_LE_DB_CLIP_TABLE_INDEX 0x19c1c #define S_CLIPTINDX 7 @@ -27917,6 +38759,13 @@ #define V_CLIPTINDX(x) ((x) << S_CLIPTINDX) #define G_CLIPTINDX(x) (((x) >> S_CLIPTINDX) & M_CLIPTINDX) +#define A_LE_DB_HPRI_FILT_TABLE_START_INDEX 0x19c1c + +#define S_HFTINDX 0 +#define M_HFTINDX 0xfffffU +#define V_HFTINDX(x) ((x) << S_HFTINDX) +#define G_HFTINDX(x) (((x) >> S_HFTINDX) & M_HFTINDX) + #define A_LE_DB_ACT_CNT_IPV4 0x19c20 #define S_ACTCNTIPV4 0 @@ -27943,9 +38792,40 @@ #define V_HASHSIZE(x) ((x) << S_HASHSIZE) #define G_HASHSIZE(x) (((x) >> S_HASHSIZE) & M_HASHSIZE) +#define S_NUMHASHBKT 20 +#define M_NUMHASHBKT 0x1fU +#define V_NUMHASHBKT(x) ((x) << S_NUMHASHBKT) +#define G_NUMHASHBKT(x) (((x) >> S_NUMHASHBKT) & M_NUMHASHBKT) + +#define S_HASHTBLSIZE 3 +#define M_HASHTBLSIZE 0x1ffffU +#define V_HASHTBLSIZE(x) ((x) << S_HASHTBLSIZE) +#define G_HASHTBLSIZE(x) (((x) >> S_HASHTBLSIZE) & M_HASHTBLSIZE) + #define A_LE_DB_HASH_TABLE_BASE 0x19c2c +#define A_LE_DB_MIN_NUM_ACTV_TCAM_ENTRIES 0x19c2c + +#define S_MIN_ATCAM_ENTS 0 +#define M_MIN_ATCAM_ENTS 0xfffffU +#define V_MIN_ATCAM_ENTS(x) ((x) << S_MIN_ATCAM_ENTS) +#define G_MIN_ATCAM_ENTS(x) (((x) >> S_MIN_ATCAM_ENTS) & M_MIN_ATCAM_ENTS) + #define A_LE_DB_HASH_TID_BASE 0x19c30 +#define A_LE_DB_HASH_TBL_BASE_ADDR 0x19c30 + +#define S_HASHTBLADDR 4 +#define M_HASHTBLADDR 0xfffffffU +#define V_HASHTBLADDR(x) ((x) << S_HASHTBLADDR) +#define G_HASHTBLADDR(x) (((x) >> S_HASHTBLADDR) & M_HASHTBLADDR) + #define A_LE_DB_SIZE 0x19c34 +#define A_LE_TCAM_SIZE 0x19c34 + +#define S_TCAM_SIZE 0 +#define M_TCAM_SIZE 0x3U +#define V_TCAM_SIZE(x) ((x) << S_TCAM_SIZE) +#define G_TCAM_SIZE(x) (((x) >> S_TCAM_SIZE) & M_TCAM_SIZE) + #define A_LE_DB_INT_ENABLE 0x19c38 #define S_MSGSEL 27 @@ -28041,7 +38921,160 @@ #define V_VFPARERR(x) ((x) << S_VFPARERR) #define F_VFPARERR V_VFPARERR(1U) +#define S_CLIPSUBERR 29 +#define V_CLIPSUBERR(x) ((x) << S_CLIPSUBERR) +#define F_CLIPSUBERR V_CLIPSUBERR(1U) + +#define S_CLCAMFIFOERR 28 +#define V_CLCAMFIFOERR(x) ((x) << S_CLCAMFIFOERR) +#define F_CLCAMFIFOERR V_CLCAMFIFOERR(1U) + +#define S_HASHTBLMEMCRCERR 27 +#define V_HASHTBLMEMCRCERR(x) ((x) << S_HASHTBLMEMCRCERR) +#define F_HASHTBLMEMCRCERR V_HASHTBLMEMCRCERR(1U) + +#define S_CTCAMINVLDENT 26 +#define V_CTCAMINVLDENT(x) ((x) << S_CTCAMINVLDENT) +#define F_CTCAMINVLDENT V_CTCAMINVLDENT(1U) + +#define S_TCAMINVLDENT 25 +#define V_TCAMINVLDENT(x) ((x) << S_TCAMINVLDENT) +#define F_TCAMINVLDENT V_TCAMINVLDENT(1U) + +#define S_TOTCNTERR 24 +#define V_TOTCNTERR(x) ((x) << S_TOTCNTERR) +#define F_TOTCNTERR V_TOTCNTERR(1U) + +#define S_CMDPRSRINTERR 23 +#define V_CMDPRSRINTERR(x) ((x) << S_CMDPRSRINTERR) +#define F_CMDPRSRINTERR V_CMDPRSRINTERR(1U) + +#define S_CMDTIDERR 22 +#define V_CMDTIDERR(x) ((x) << S_CMDTIDERR) +#define F_CMDTIDERR V_CMDTIDERR(1U) + +#define S_T6_ACTRGNFULL 21 +#define V_T6_ACTRGNFULL(x) ((x) << S_T6_ACTRGNFULL) +#define F_T6_ACTRGNFULL V_T6_ACTRGNFULL(1U) + +#define S_T6_ACTCNTIPV6TZERO 20 +#define V_T6_ACTCNTIPV6TZERO(x) ((x) << S_T6_ACTCNTIPV6TZERO) +#define F_T6_ACTCNTIPV6TZERO V_T6_ACTCNTIPV6TZERO(1U) + +#define S_T6_ACTCNTIPV4TZERO 19 +#define V_T6_ACTCNTIPV4TZERO(x) ((x) << S_T6_ACTCNTIPV4TZERO) +#define F_T6_ACTCNTIPV4TZERO V_T6_ACTCNTIPV4TZERO(1U) + +#define S_T6_ACTCNTIPV6ZERO 18 +#define V_T6_ACTCNTIPV6ZERO(x) ((x) << S_T6_ACTCNTIPV6ZERO) +#define F_T6_ACTCNTIPV6ZERO V_T6_ACTCNTIPV6ZERO(1U) + +#define S_T6_ACTCNTIPV4ZERO 17 +#define V_T6_ACTCNTIPV4ZERO(x) ((x) << S_T6_ACTCNTIPV4ZERO) +#define F_T6_ACTCNTIPV4ZERO V_T6_ACTCNTIPV4ZERO(1U) + +#define S_MAIFWRINTPERR 16 +#define V_MAIFWRINTPERR(x) ((x) << S_MAIFWRINTPERR) +#define F_MAIFWRINTPERR V_MAIFWRINTPERR(1U) + +#define S_HASHTBLMEMACCERR 15 +#define V_HASHTBLMEMACCERR(x) ((x) << S_HASHTBLMEMACCERR) +#define F_HASHTBLMEMACCERR V_HASHTBLMEMACCERR(1U) + +#define S_TCAMCRCERR 14 +#define V_TCAMCRCERR(x) ((x) << S_TCAMCRCERR) +#define F_TCAMCRCERR V_TCAMCRCERR(1U) + +#define S_TCAMINTPERR 13 +#define V_TCAMINTPERR(x) ((x) << S_TCAMINTPERR) +#define F_TCAMINTPERR V_TCAMINTPERR(1U) + +#define S_VFSRAMPERR 12 +#define V_VFSRAMPERR(x) ((x) << S_VFSRAMPERR) +#define F_VFSRAMPERR V_VFSRAMPERR(1U) + +#define S_SRVSRAMPERR 11 +#define V_SRVSRAMPERR(x) ((x) << S_SRVSRAMPERR) +#define F_SRVSRAMPERR V_SRVSRAMPERR(1U) + +#define S_SSRAMINTPERR 10 +#define V_SSRAMINTPERR(x) ((x) << S_SSRAMINTPERR) +#define F_SSRAMINTPERR V_SSRAMINTPERR(1U) + +#define S_CLCAMINTPERR 9 +#define V_CLCAMINTPERR(x) ((x) << S_CLCAMINTPERR) +#define F_CLCAMINTPERR V_CLCAMINTPERR(1U) + +#define S_CLCAMCRCPARERR 8 +#define V_CLCAMCRCPARERR(x) ((x) << S_CLCAMCRCPARERR) +#define F_CLCAMCRCPARERR V_CLCAMCRCPARERR(1U) + +#define S_HASHTBLACCFAIL 7 +#define V_HASHTBLACCFAIL(x) ((x) << S_HASHTBLACCFAIL) +#define F_HASHTBLACCFAIL V_HASHTBLACCFAIL(1U) + +#define S_TCAMACCFAIL 6 +#define V_TCAMACCFAIL(x) ((x) << S_TCAMACCFAIL) +#define F_TCAMACCFAIL V_TCAMACCFAIL(1U) + +#define S_SRVSRAMACCFAIL 5 +#define V_SRVSRAMACCFAIL(x) ((x) << S_SRVSRAMACCFAIL) +#define F_SRVSRAMACCFAIL V_SRVSRAMACCFAIL(1U) + +#define S_CLIPTCAMACCFAIL 4 +#define V_CLIPTCAMACCFAIL(x) ((x) << S_CLIPTCAMACCFAIL) +#define F_CLIPTCAMACCFAIL V_CLIPTCAMACCFAIL(1U) + +#define S_T6_UNKNOWNCMD 3 +#define V_T6_UNKNOWNCMD(x) ((x) << S_T6_UNKNOWNCMD) +#define F_T6_UNKNOWNCMD V_T6_UNKNOWNCMD(1U) + +#define S_T6_LIP0 2 +#define V_T6_LIP0(x) ((x) << S_T6_LIP0) +#define F_T6_LIP0 V_T6_LIP0(1U) + +#define S_T6_LIPMISS 1 +#define V_T6_LIPMISS(x) ((x) << S_T6_LIPMISS) +#define F_T6_LIPMISS V_T6_LIPMISS(1U) + +#define S_PIPELINEERR 0 +#define V_PIPELINEERR(x) ((x) << S_PIPELINEERR) +#define F_PIPELINEERR V_PIPELINEERR(1U) + #define A_LE_DB_INT_CAUSE 0x19c3c + +#define S_T6_ACTRGNFULL 21 +#define V_T6_ACTRGNFULL(x) ((x) << S_T6_ACTRGNFULL) +#define F_T6_ACTRGNFULL V_T6_ACTRGNFULL(1U) + +#define S_T6_ACTCNTIPV6TZERO 20 +#define V_T6_ACTCNTIPV6TZERO(x) ((x) << S_T6_ACTCNTIPV6TZERO) +#define F_T6_ACTCNTIPV6TZERO V_T6_ACTCNTIPV6TZERO(1U) + +#define S_T6_ACTCNTIPV4TZERO 19 +#define V_T6_ACTCNTIPV4TZERO(x) ((x) << S_T6_ACTCNTIPV4TZERO) +#define F_T6_ACTCNTIPV4TZERO V_T6_ACTCNTIPV4TZERO(1U) + +#define S_T6_ACTCNTIPV6ZERO 18 +#define V_T6_ACTCNTIPV6ZERO(x) ((x) << S_T6_ACTCNTIPV6ZERO) +#define F_T6_ACTCNTIPV6ZERO V_T6_ACTCNTIPV6ZERO(1U) + +#define S_T6_ACTCNTIPV4ZERO 17 +#define V_T6_ACTCNTIPV4ZERO(x) ((x) << S_T6_ACTCNTIPV4ZERO) +#define F_T6_ACTCNTIPV4ZERO V_T6_ACTCNTIPV4ZERO(1U) + +#define S_T6_UNKNOWNCMD 3 +#define V_T6_UNKNOWNCMD(x) ((x) << S_T6_UNKNOWNCMD) +#define F_T6_UNKNOWNCMD V_T6_UNKNOWNCMD(1U) + +#define S_T6_LIP0 2 +#define V_T6_LIP0(x) ((x) << S_T6_LIP0) +#define F_T6_LIP0 V_T6_LIP0(1U) + +#define S_T6_LIPMISS 1 +#define V_T6_LIPMISS(x) ((x) << S_T6_LIPMISS) +#define F_T6_LIPMISS V_T6_LIPMISS(1U) + #define A_LE_DB_INT_TID 0x19c40 #define S_INTTID 0 @@ -28049,6 +39082,18 @@ #define V_INTTID(x) ((x) << S_INTTID) #define G_INTTID(x) (((x) >> S_INTTID) & M_INTTID) +#define A_LE_DB_DBG_MATCH_CMD_IDX_MASK 0x19c40 + +#define S_CMD_CMP_MASK 20 +#define M_CMD_CMP_MASK 0x1fU +#define V_CMD_CMP_MASK(x) ((x) << S_CMD_CMP_MASK) +#define G_CMD_CMP_MASK(x) (((x) >> S_CMD_CMP_MASK) & M_CMD_CMP_MASK) + +#define S_TID_CMP_MASK 0 +#define M_TID_CMP_MASK 0xfffffU +#define V_TID_CMP_MASK(x) ((x) << S_TID_CMP_MASK) +#define G_TID_CMP_MASK(x) (((x) >> S_TID_CMP_MASK) & M_TID_CMP_MASK) + #define A_LE_DB_INT_PTID 0x19c44 #define S_INTPTID 0 @@ -28056,6 +39101,18 @@ #define V_INTPTID(x) ((x) << S_INTPTID) #define G_INTPTID(x) (((x) >> S_INTPTID) & M_INTPTID) +#define A_LE_DB_DBG_MATCH_CMD_IDX_DATA 0x19c44 + +#define S_CMD_CMP 20 +#define M_CMD_CMP 0x1fU +#define V_CMD_CMP(x) ((x) << S_CMD_CMP) +#define G_CMD_CMP(x) (((x) >> S_CMD_CMP) & M_CMD_CMP) + +#define S_TID_CMP 0 +#define M_TID_CMP 0xfffffU +#define V_TID_CMP(x) ((x) << S_TID_CMP) +#define G_TID_CMP(x) (((x) >> S_TID_CMP) & M_TID_CMP) + #define A_LE_DB_INT_INDEX 0x19c48 #define S_INTINDEX 0 @@ -28063,6 +39120,23 @@ #define V_INTINDEX(x) ((x) << S_INTINDEX) #define G_INTINDEX(x) (((x) >> S_INTINDEX) & M_INTINDEX) +#define A_LE_DB_ERR_CMD_TID 0x19c48 + +#define S_ERR_CID 22 +#define M_ERR_CID 0xffU +#define V_ERR_CID(x) ((x) << S_ERR_CID) +#define G_ERR_CID(x) (((x) >> S_ERR_CID) & M_ERR_CID) + +#define S_ERR_PROT 20 +#define M_ERR_PROT 0x3U +#define V_ERR_PROT(x) ((x) << S_ERR_PROT) +#define G_ERR_PROT(x) (((x) >> S_ERR_PROT) & M_ERR_PROT) + +#define S_ERR_TID 0 +#define M_ERR_TID 0xfffffU +#define V_ERR_TID(x) ((x) << S_ERR_TID) +#define G_ERR_TID(x) (((x) >> S_ERR_TID) & M_ERR_TID) + #define A_LE_DB_INT_CMD 0x19c4c #define S_INTCMD 0 @@ -28072,6 +39146,132 @@ #define A_LE_DB_MASK_IPV4 0x19c50 #define A_LE_T5_DB_MASK_IPV4 0x19c50 +#define A_LE_DB_DBG_MATCH_DATA_MASK 0x19c50 +#define A_LE_DB_MAX_NUM_HASH_ENTRIES 0x19c70 + +#define S_MAX_HASH_ENTS 0 +#define M_MAX_HASH_ENTS 0xfffffU +#define V_MAX_HASH_ENTS(x) ((x) << S_MAX_HASH_ENTS) +#define G_MAX_HASH_ENTS(x) (((x) >> S_MAX_HASH_ENTS) & M_MAX_HASH_ENTS) + +#define A_LE_DB_RSP_CODE_0 0x19c74 + +#define S_SUCCESS 25 +#define M_SUCCESS 0x1fU +#define V_SUCCESS(x) ((x) << S_SUCCESS) +#define G_SUCCESS(x) (((x) >> S_SUCCESS) & M_SUCCESS) + +#define S_TCAM_ACTV_SUCC 20 +#define M_TCAM_ACTV_SUCC 0x1fU +#define V_TCAM_ACTV_SUCC(x) ((x) << S_TCAM_ACTV_SUCC) +#define G_TCAM_ACTV_SUCC(x) (((x) >> S_TCAM_ACTV_SUCC) & M_TCAM_ACTV_SUCC) + +#define S_HASH_ACTV_SUCC 15 +#define M_HASH_ACTV_SUCC 0x1fU +#define V_HASH_ACTV_SUCC(x) ((x) << S_HASH_ACTV_SUCC) +#define G_HASH_ACTV_SUCC(x) (((x) >> S_HASH_ACTV_SUCC) & M_HASH_ACTV_SUCC) + +#define S_TCAM_SRVR_HIT 10 +#define M_TCAM_SRVR_HIT 0x1fU +#define V_TCAM_SRVR_HIT(x) ((x) << S_TCAM_SRVR_HIT) +#define G_TCAM_SRVR_HIT(x) (((x) >> S_TCAM_SRVR_HIT) & M_TCAM_SRVR_HIT) + +#define S_SRAM_SRVR_HIT 5 +#define M_SRAM_SRVR_HIT 0x1fU +#define V_SRAM_SRVR_HIT(x) ((x) << S_SRAM_SRVR_HIT) +#define G_SRAM_SRVR_HIT(x) (((x) >> S_SRAM_SRVR_HIT) & M_SRAM_SRVR_HIT) + +#define S_TCAM_ACTV_HIT 0 +#define M_TCAM_ACTV_HIT 0x1fU +#define V_TCAM_ACTV_HIT(x) ((x) << S_TCAM_ACTV_HIT) +#define G_TCAM_ACTV_HIT(x) (((x) >> S_TCAM_ACTV_HIT) & M_TCAM_ACTV_HIT) + +#define A_LE_DB_RSP_CODE_1 0x19c78 + +#define S_HASH_ACTV_HIT 25 +#define M_HASH_ACTV_HIT 0x1fU +#define V_HASH_ACTV_HIT(x) ((x) << S_HASH_ACTV_HIT) +#define G_HASH_ACTV_HIT(x) (((x) >> S_HASH_ACTV_HIT) & M_HASH_ACTV_HIT) + +#define S_T6_MISS 20 +#define M_T6_MISS 0x1fU +#define V_T6_MISS(x) ((x) << S_T6_MISS) +#define G_T6_MISS(x) (((x) >> S_T6_MISS) & M_T6_MISS) + +#define S_NORM_FILT_HIT 15 +#define M_NORM_FILT_HIT 0x1fU +#define V_NORM_FILT_HIT(x) ((x) << S_NORM_FILT_HIT) +#define G_NORM_FILT_HIT(x) (((x) >> S_NORM_FILT_HIT) & M_NORM_FILT_HIT) + +#define S_HPRI_FILT_HIT 10 +#define M_HPRI_FILT_HIT 0x1fU +#define V_HPRI_FILT_HIT(x) ((x) << S_HPRI_FILT_HIT) +#define G_HPRI_FILT_HIT(x) (((x) >> S_HPRI_FILT_HIT) & M_HPRI_FILT_HIT) + +#define S_ACTV_OPEN_ERR 5 +#define M_ACTV_OPEN_ERR 0x1fU +#define V_ACTV_OPEN_ERR(x) ((x) << S_ACTV_OPEN_ERR) +#define G_ACTV_OPEN_ERR(x) (((x) >> S_ACTV_OPEN_ERR) & M_ACTV_OPEN_ERR) + +#define S_ACTV_FULL_ERR 0 +#define M_ACTV_FULL_ERR 0x1fU +#define V_ACTV_FULL_ERR(x) ((x) << S_ACTV_FULL_ERR) +#define G_ACTV_FULL_ERR(x) (((x) >> S_ACTV_FULL_ERR) & M_ACTV_FULL_ERR) + +#define A_LE_DB_RSP_CODE_2 0x19c7c + +#define S_SRCH_RGN_HIT 25 +#define M_SRCH_RGN_HIT 0x1fU +#define V_SRCH_RGN_HIT(x) ((x) << S_SRCH_RGN_HIT) +#define G_SRCH_RGN_HIT(x) (((x) >> S_SRCH_RGN_HIT) & M_SRCH_RGN_HIT) + +#define S_CLIP_FAIL 20 +#define M_CLIP_FAIL 0x1fU +#define V_CLIP_FAIL(x) ((x) << S_CLIP_FAIL) +#define G_CLIP_FAIL(x) (((x) >> S_CLIP_FAIL) & M_CLIP_FAIL) + +#define S_LIP_ZERO_ERR 15 +#define M_LIP_ZERO_ERR 0x1fU +#define V_LIP_ZERO_ERR(x) ((x) << S_LIP_ZERO_ERR) +#define G_LIP_ZERO_ERR(x) (((x) >> S_LIP_ZERO_ERR) & M_LIP_ZERO_ERR) + +#define S_UNKNOWN_CMD 10 +#define M_UNKNOWN_CMD 0x1fU +#define V_UNKNOWN_CMD(x) ((x) << S_UNKNOWN_CMD) +#define G_UNKNOWN_CMD(x) (((x) >> S_UNKNOWN_CMD) & M_UNKNOWN_CMD) + +#define S_CMD_TID_ERR 5 +#define M_CMD_TID_ERR 0x1fU +#define V_CMD_TID_ERR(x) ((x) << S_CMD_TID_ERR) +#define G_CMD_TID_ERR(x) (((x) >> S_CMD_TID_ERR) & M_CMD_TID_ERR) + +#define S_INTERNAL_ERR 0 +#define M_INTERNAL_ERR 0x1fU +#define V_INTERNAL_ERR(x) ((x) << S_INTERNAL_ERR) +#define G_INTERNAL_ERR(x) (((x) >> S_INTERNAL_ERR) & M_INTERNAL_ERR) + +#define A_LE_DB_RSP_CODE_3 0x19c80 + +#define S_SRAM_SRVR_HIT_ACTF 25 +#define M_SRAM_SRVR_HIT_ACTF 0x1fU +#define V_SRAM_SRVR_HIT_ACTF(x) ((x) << S_SRAM_SRVR_HIT_ACTF) +#define G_SRAM_SRVR_HIT_ACTF(x) (((x) >> S_SRAM_SRVR_HIT_ACTF) & M_SRAM_SRVR_HIT_ACTF) + +#define S_TCAM_SRVR_HIT_ACTF 20 +#define M_TCAM_SRVR_HIT_ACTF 0x1fU +#define V_TCAM_SRVR_HIT_ACTF(x) ((x) << S_TCAM_SRVR_HIT_ACTF) +#define G_TCAM_SRVR_HIT_ACTF(x) (((x) >> S_TCAM_SRVR_HIT_ACTF) & M_TCAM_SRVR_HIT_ACTF) + +#define S_INVLDRD 15 +#define M_INVLDRD 0x1fU +#define V_INVLDRD(x) ((x) << S_INVLDRD) +#define G_INVLDRD(x) (((x) >> S_INVLDRD) & M_INVLDRD) + +#define S_TUPLZERO 10 +#define M_TUPLZERO 0x1fU +#define V_TUPLZERO(x) ((x) << S_TUPLZERO) +#define G_TUPLZERO(x) (((x) >> S_TUPLZERO) & M_TUPLZERO) + #define A_LE_DB_ACT_CNT_IPV4_TCAM 0x19c94 #define A_LE_DB_ACT_CNT_IPV6_TCAM 0x19c98 #define A_LE_ACT_CNT_THRSH 0x19c9c @@ -28082,8 +39282,19 @@ #define G_ACT_CNT_THRSH(x) (((x) >> S_ACT_CNT_THRSH) & M_ACT_CNT_THRSH) #define A_LE_DB_MASK_IPV6 0x19ca0 +#define A_LE_DB_DBG_MATCH_DATA 0x19ca0 #define A_LE_DB_REQ_RSP_CNT 0x19ce4 +#define S_T4_RSPCNT 16 +#define M_T4_RSPCNT 0xffffU +#define V_T4_RSPCNT(x) ((x) << S_T4_RSPCNT) +#define G_T4_RSPCNT(x) (((x) >> S_T4_RSPCNT) & M_T4_RSPCNT) + +#define S_T4_REQCNT 0 +#define M_T4_REQCNT 0xffffU +#define V_T4_REQCNT(x) ((x) << S_T4_REQCNT) +#define G_T4_REQCNT(x) (((x) >> S_T4_REQCNT) & M_T4_REQCNT) + #define S_RSPCNTLE 16 #define M_RSPCNTLE 0xffffU #define V_RSPCNTLE(x) ((x) << S_RSPCNTLE) @@ -28151,6 +39362,14 @@ #define V_DBGICMDMODE(x) ((x) << S_DBGICMDMODE) #define G_DBGICMDMODE(x) (((x) >> S_DBGICMDMODE) & M_DBGICMDMODE) +#define S_DBGICMDMSKREAD 21 +#define V_DBGICMDMSKREAD(x) ((x) << S_DBGICMDMSKREAD) +#define F_DBGICMDMSKREAD V_DBGICMDMSKREAD(1U) + +#define S_DBGICMDWRITE 17 +#define V_DBGICMDWRITE(x) ((x) << S_DBGICMDWRITE) +#define F_DBGICMDWRITE V_DBGICMDWRITE(1U) + #define A_LE_DB_DBGI_REQ_TCAM_CMD 0x19cf4 #define S_DBGICMD 20 @@ -28163,6 +39382,13 @@ #define V_DBGITINDEX(x) ((x) << S_DBGITINDEX) #define G_DBGITINDEX(x) (((x) >> S_DBGITINDEX) & M_DBGITINDEX) +#define A_LE_DB_DBGI_REQ_CMD 0x19cf4 + +#define S_DBGITID 0 +#define M_DBGITID 0xfffffU +#define V_DBGITID(x) ((x) << S_DBGITID) +#define G_DBGITID(x) (((x) >> S_DBGITID) & M_DBGITID) + #define A_LE_PERR_ENABLE 0x19cf8 #define S_REQQUEUE 1 @@ -28189,6 +39415,23 @@ #define V_TCAMLE(x) ((x) << S_TCAMLE) #define F_TCAMLE V_TCAMLE(1U) +#define S_BKCHKPERIOD 22 +#define M_BKCHKPERIOD 0x3ffU +#define V_BKCHKPERIOD(x) ((x) << S_BKCHKPERIOD) +#define G_BKCHKPERIOD(x) (((x) >> S_BKCHKPERIOD) & M_BKCHKPERIOD) + +#define S_TCAMBKCHKEN 21 +#define V_TCAMBKCHKEN(x) ((x) << S_TCAMBKCHKEN) +#define F_TCAMBKCHKEN V_TCAMBKCHKEN(1U) + +#define S_T6_CLCAMFIFOERR 2 +#define V_T6_CLCAMFIFOERR(x) ((x) << S_T6_CLCAMFIFOERR) +#define F_T6_CLCAMFIFOERR V_T6_CLCAMFIFOERR(1U) + +#define S_T6_HASHTBLMEMCRCERR 1 +#define V_T6_HASHTBLMEMCRCERR(x) ((x) << S_T6_HASHTBLMEMCRCERR) +#define F_T6_HASHTBLMEMCRCERR V_T6_HASHTBLMEMCRCERR(1U) + #define A_LE_SPARE 0x19cfc #define A_LE_DB_DBGI_REQ_DATA 0x19d00 #define A_LE_DB_DBGI_REQ_MASK 0x19d50 @@ -28220,6 +39463,16 @@ #define V_DBGIRSPVALID(x) ((x) << S_DBGIRSPVALID) #define F_DBGIRSPVALID V_DBGIRSPVALID(1U) +#define S_DBGIRSPTID 12 +#define M_DBGIRSPTID 0xfffffU +#define V_DBGIRSPTID(x) ((x) << S_DBGIRSPTID) +#define G_DBGIRSPTID(x) (((x) >> S_DBGIRSPTID) & M_DBGIRSPTID) + +#define S_DBGIRSPLEARN 2 +#define V_DBGIRSPLEARN(x) ((x) << S_DBGIRSPLEARN) +#define F_DBGIRSPLEARN V_DBGIRSPLEARN(1U) + +#define A_LE_DBG_SEL 0x19d98 #define A_LE_DB_DBGI_RSP_DATA 0x19da0 #define A_LE_DB_DBGI_RSP_LAST_CMD 0x19de4 @@ -28259,6 +39512,13 @@ #define V_SVRBASE_ADDR(x) ((x) << S_SVRBASE_ADDR) #define G_SVRBASE_ADDR(x) (((x) >> S_SVRBASE_ADDR) & M_SVRBASE_ADDR) +#define A_LE_DB_TCAM_TID_BASE 0x19df0 + +#define S_TCAM_TID_BASE 0 +#define M_TCAM_TID_BASE 0xfffffU +#define V_TCAM_TID_BASE(x) ((x) << S_TCAM_TID_BASE) +#define G_TCAM_TID_BASE(x) (((x) >> S_TCAM_TID_BASE) & M_TCAM_TID_BASE) + #define A_LE_DB_FTID_FLTRBASE 0x19df4 #define S_FLTRBASE_ADDR 2 @@ -28266,6 +39526,13 @@ #define V_FLTRBASE_ADDR(x) ((x) << S_FLTRBASE_ADDR) #define G_FLTRBASE_ADDR(x) (((x) >> S_FLTRBASE_ADDR) & M_FLTRBASE_ADDR) +#define A_LE_DB_CLCAM_TID_BASE 0x19df4 + +#define S_CLCAM_TID_BASE 0 +#define M_CLCAM_TID_BASE 0xfffffU +#define V_CLCAM_TID_BASE(x) ((x) << S_CLCAM_TID_BASE) +#define G_CLCAM_TID_BASE(x) (((x) >> S_CLCAM_TID_BASE) & M_CLCAM_TID_BASE) + #define A_LE_DB_TID_HASHBASE 0x19df8 #define S_HASHBASE_ADDR 2 @@ -28273,6 +39540,13 @@ #define V_HASHBASE_ADDR(x) ((x) << S_HASHBASE_ADDR) #define G_HASHBASE_ADDR(x) (((x) >> S_HASHBASE_ADDR) & M_HASHBASE_ADDR) +#define A_T6_LE_DB_HASH_TID_BASE 0x19df8 + +#define S_HASH_TID_BASE 0 +#define M_HASH_TID_BASE 0xfffffU +#define V_HASH_TID_BASE(x) ((x) << S_HASH_TID_BASE) +#define G_HASH_TID_BASE(x) (((x) >> S_HASH_TID_BASE) & M_HASH_TID_BASE) + #define A_LE_PERR_INJECT 0x19dfc #define S_LEMEMSEL 1 @@ -28280,6 +39554,13 @@ #define V_LEMEMSEL(x) ((x) << S_LEMEMSEL) #define G_LEMEMSEL(x) (((x) >> S_LEMEMSEL) & M_LEMEMSEL) +#define A_LE_DB_SSRAM_TID_BASE 0x19dfc + +#define S_SSRAM_TID_BASE 0 +#define M_SSRAM_TID_BASE 0xfffffU +#define V_SSRAM_TID_BASE(x) ((x) << S_SSRAM_TID_BASE) +#define G_SSRAM_TID_BASE(x) (((x) >> S_SSRAM_TID_BASE) & M_SSRAM_TID_BASE) + #define A_LE_DB_ACTIVE_MASK_IPV4 0x19e00 #define A_LE_T5_DB_ACTIVE_MASK_IPV4 0x19e00 #define A_LE_DB_ACTIVE_MASK_IPV6 0x19e50 @@ -28287,13 +39568,18 @@ #define A_LE_HASH_MASK_GEN_IPV4T5 0x19ea0 #define A_LE_HASH_MASK_GEN_IPV6 0x19eb0 #define A_LE_HASH_MASK_GEN_IPV6T5 0x19eb4 +#define A_T6_LE_HASH_MASK_GEN_IPV6T5 0x19ec4 #define A_LE_HASH_MASK_CMP_IPV4 0x19ee0 #define A_LE_HASH_MASK_CMP_IPV4T5 0x19ee4 +#define A_LE_DB_PSV_FILTER_MASK_TUP_IPV4 0x19ee4 #define A_LE_HASH_MASK_CMP_IPV6 0x19ef0 +#define A_LE_DB_PSV_FILTER_MASK_FLT_IPV4 0x19ef0 #define A_LE_HASH_MASK_CMP_IPV6T5 0x19ef8 +#define A_LE_DB_PSV_FILTER_MASK_TUP_IPV6 0x19f04 #define A_LE_DEBUG_LA_CONFIG 0x19f20 #define A_LE_REQ_DEBUG_LA_DATA 0x19f24 #define A_LE_REQ_DEBUG_LA_WRPTR 0x19f28 +#define A_LE_DB_PSV_FILTER_MASK_FLT_IPV6 0x19f28 #define A_LE_RSP_DEBUG_LA_DATA 0x19f2c #define A_LE_RSP_DEBUG_LA_WRPTR 0x19f30 #define A_LE_DEBUG_LA_SELECTOR 0x19f34 @@ -28312,6 +39598,20 @@ #define V_SRVRINIT(x) ((x) << S_SRVRINIT) #define F_SRVRINIT V_SRVRINIT(1U) +#define A_LE_DB_SRVR_SRAM_CONFIG 0x19f34 + +#define S_PRI_HFILT 4 +#define V_PRI_HFILT(x) ((x) << S_PRI_HFILT) +#define F_PRI_HFILT V_PRI_HFILT(1U) + +#define S_PRI_SRVR 3 +#define V_PRI_SRVR(x) ((x) << S_PRI_SRVR) +#define F_PRI_SRVR V_PRI_SRVR(1U) + +#define S_PRI_FILT 2 +#define V_PRI_FILT(x) ((x) << S_PRI_FILT) +#define F_PRI_FILT V_PRI_FILT(1U) + #define A_LE_DEBUG_LA_CAPTURED_DATA 0x19f38 #define A_LE_SRVR_VF_SRCH_TABLE 0x19f38 @@ -28334,7 +39634,38 @@ #define V_SRCHLADDR(x) ((x) << S_SRCHLADDR) #define G_SRCHLADDR(x) (((x) >> S_SRCHLADDR) & M_SRCHLADDR) +#define A_LE_DB_SRVR_VF_SRCH_TABLE_CTRL 0x19f38 + +#define S_VFLUTBUSY 10 +#define V_VFLUTBUSY(x) ((x) << S_VFLUTBUSY) +#define F_VFLUTBUSY V_VFLUTBUSY(1U) + +#define S_VFLUTSTART 9 +#define V_VFLUTSTART(x) ((x) << S_VFLUTSTART) +#define F_VFLUTSTART V_VFLUTSTART(1U) + +#define S_T6_RDWR 8 +#define V_T6_RDWR(x) ((x) << S_T6_RDWR) +#define F_T6_RDWR V_T6_RDWR(1U) + +#define S_T6_VFINDEX 0 +#define M_T6_VFINDEX 0xffU +#define V_T6_VFINDEX(x) ((x) << S_T6_VFINDEX) +#define G_T6_VFINDEX(x) (((x) >> S_T6_VFINDEX) & M_T6_VFINDEX) + #define A_LE_MA_DEBUG_LA_DATA 0x19f3c +#define A_LE_DB_SRVR_VF_SRCH_TABLE_DATA 0x19f3c + +#define S_T6_SRCHHADDR 12 +#define M_T6_SRCHHADDR 0xfffU +#define V_T6_SRCHHADDR(x) ((x) << S_T6_SRCHHADDR) +#define G_T6_SRCHHADDR(x) (((x) >> S_T6_SRCHHADDR) & M_T6_SRCHHADDR) + +#define S_T6_SRCHLADDR 0 +#define M_T6_SRCHLADDR 0xfffU +#define V_T6_SRCHLADDR(x) ((x) << S_T6_SRCHLADDR) +#define G_T6_SRCHLADDR(x) (((x) >> S_T6_SRCHLADDR) & M_T6_SRCHLADDR) + #define A_LE_RSP_DEBUG_LA_HASH_WRPTR 0x19f40 #define A_LE_DB_SECOND_ACTIVE_MASK_IPV4 0x19f40 #define A_LE_HASH_DEBUG_LA_DATA 0x19f44 @@ -29494,6 +40825,11 @@ #define V_RXSOP(x) ((x) << S_RXSOP) #define G_RXSOP(x) (((x) >> S_RXSOP) & M_RXSOP) +#define S_T4_RXEOP 0 +#define M_T4_RXEOP 0xffU +#define V_T4_RXEOP(x) ((x) << S_T4_RXEOP) +#define G_T4_RXEOP(x) (((x) >> S_T4_RXEOP) & M_T4_RXEOP) + #define A_XGMAC_PORT_LINK_STATUS 0x1034 #define S_REMFLT 3 @@ -32859,6 +44195,10 @@ #define V_QUEBAREADDR(x) ((x) << S_QUEBAREADDR) #define F_QUEBAREADDR V_QUEBAREADDR(1U) +#define S_QUE1KEN 6 +#define V_QUE1KEN(x) ((x) << S_QUE1KEN) +#define F_QUE1KEN V_QUE1KEN(1U) + #define A_UP_IBQ_0_REALADDR 0xd4 #define S_QUERDADDRWRAP 31 @@ -32998,6 +44338,11 @@ #define V_T5_UPRID(x) ((x) << S_T5_UPRID) #define G_T5_UPRID(x) (((x) >> S_T5_UPRID) & M_T5_UPRID) +#define S_T6_UPRID 0 +#define M_T6_UPRID 0x1ffU +#define V_T6_UPRID(x) ((x) << S_T6_UPRID) +#define G_T6_UPRID(x) (((x) >> S_T6_UPRID) & M_T6_UPRID) + #define A_UP_UP_SELF_CONTROL 0x14c #define S_UPSELFRESET 0 @@ -33063,6 +44408,18 @@ #define V_TSCHCHNLCCNT(x) ((x) << S_TSCHCHNLCCNT) #define G_TSCHCHNLCCNT(x) (((x) >> S_TSCHCHNLCCNT) & M_TSCHCHNLCCNT) +#define S_TSCHCHNLCHDIS 31 +#define V_TSCHCHNLCHDIS(x) ((x) << S_TSCHCHNLCHDIS) +#define F_TSCHCHNLCHDIS V_TSCHCHNLCHDIS(1U) + +#define S_TSCHCHNLWDIS 30 +#define V_TSCHCHNLWDIS(x) ((x) << S_TSCHCHNLWDIS) +#define F_TSCHCHNLWDIS V_TSCHCHNLWDIS(1U) + +#define S_TSCHCHNLCLDIS 29 +#define V_TSCHCHNLCLDIS(x) ((x) << S_TSCHCHNLCLDIS) +#define F_TSCHCHNLCLDIS V_TSCHCHNLCLDIS(1U) + #define A_UP_UPLADBGPCCHKDATA_0 0x240 #define A_UP_UPLADBGPCCHKMASK_0 0x244 #define A_UP_UPLADBGPCCHKDATA_1 0x250 @@ -33194,6 +44551,14 @@ #define V_PREFEN(x) ((x) << S_PREFEN) #define F_PREFEN V_PREFEN(1U) +#define S_DISSLOWTIMEOUT 14 +#define V_DISSLOWTIMEOUT(x) ((x) << S_DISSLOWTIMEOUT) +#define F_DISSLOWTIMEOUT V_DISSLOWTIMEOUT(1U) + +#define S_INTLRSPEN 9 +#define V_INTLRSPEN(x) ((x) << S_INTLRSPEN) +#define F_INTLRSPEN V_INTLRSPEN(1U) + #define A_CIM_CTL_PREFADDR 0x4 #define A_CIM_CTL_ALLOCADDR 0x8 #define A_CIM_CTL_INVLDTADDR 0xc @@ -33291,6 +44656,10 @@ #define V_TSCHNRESET(x) ((x) << S_TSCHNRESET) #define F_TSCHNRESET V_TSCHNRESET(1U) +#define S_T6_MIN_MAX_EN 29 +#define V_T6_MIN_MAX_EN(x) ((x) << S_T6_MIN_MAX_EN) +#define F_T6_MIN_MAX_EN V_T6_MIN_MAX_EN(1U) + #define A_CIM_CTL_TSCH_CHNLN_TICK 0x904 #define S_TSCHNLTICK 0 @@ -33298,6 +44667,72 @@ #define V_TSCHNLTICK(x) ((x) << S_TSCHNLTICK) #define G_TSCHNLTICK(x) (((x) >> S_TSCHNLTICK) & M_TSCHNLTICK) +#define A_CIM_CTL_TSCH_CHNLN_CLASS_RATECTL 0x904 + +#define S_TSC15RATECTL 15 +#define V_TSC15RATECTL(x) ((x) << S_TSC15RATECTL) +#define F_TSC15RATECTL V_TSC15RATECTL(1U) + +#define S_TSC14RATECTL 14 +#define V_TSC14RATECTL(x) ((x) << S_TSC14RATECTL) +#define F_TSC14RATECTL V_TSC14RATECTL(1U) + +#define S_TSC13RATECTL 13 +#define V_TSC13RATECTL(x) ((x) << S_TSC13RATECTL) +#define F_TSC13RATECTL V_TSC13RATECTL(1U) + +#define S_TSC12RATECTL 12 +#define V_TSC12RATECTL(x) ((x) << S_TSC12RATECTL) +#define F_TSC12RATECTL V_TSC12RATECTL(1U) + +#define S_TSC11RATECTL 11 +#define V_TSC11RATECTL(x) ((x) << S_TSC11RATECTL) +#define F_TSC11RATECTL V_TSC11RATECTL(1U) + +#define S_TSC10RATECTL 10 +#define V_TSC10RATECTL(x) ((x) << S_TSC10RATECTL) +#define F_TSC10RATECTL V_TSC10RATECTL(1U) + +#define S_TSC9RATECTL 9 +#define V_TSC9RATECTL(x) ((x) << S_TSC9RATECTL) +#define F_TSC9RATECTL V_TSC9RATECTL(1U) + +#define S_TSC8RATECTL 8 +#define V_TSC8RATECTL(x) ((x) << S_TSC8RATECTL) +#define F_TSC8RATECTL V_TSC8RATECTL(1U) + +#define S_TSC7RATECTL 7 +#define V_TSC7RATECTL(x) ((x) << S_TSC7RATECTL) +#define F_TSC7RATECTL V_TSC7RATECTL(1U) + +#define S_TSC6RATECTL 6 +#define V_TSC6RATECTL(x) ((x) << S_TSC6RATECTL) +#define F_TSC6RATECTL V_TSC6RATECTL(1U) + +#define S_TSC5RATECTL 5 +#define V_TSC5RATECTL(x) ((x) << S_TSC5RATECTL) +#define F_TSC5RATECTL V_TSC5RATECTL(1U) + +#define S_TSC4RATECTL 4 +#define V_TSC4RATECTL(x) ((x) << S_TSC4RATECTL) +#define F_TSC4RATECTL V_TSC4RATECTL(1U) + +#define S_TSC3RATECTL 3 +#define V_TSC3RATECTL(x) ((x) << S_TSC3RATECTL) +#define F_TSC3RATECTL V_TSC3RATECTL(1U) + +#define S_TSC2RATECTL 2 +#define V_TSC2RATECTL(x) ((x) << S_TSC2RATECTL) +#define F_TSC2RATECTL V_TSC2RATECTL(1U) + +#define S_TSC1RATECTL 1 +#define V_TSC1RATECTL(x) ((x) << S_TSC1RATECTL) +#define F_TSC1RATECTL V_TSC1RATECTL(1U) + +#define S_TSC0RATECTL 0 +#define V_TSC0RATECTL(x) ((x) << S_TSC0RATECTL) +#define F_TSC0RATECTL V_TSC0RATECTL(1U) + #define A_CIM_CTL_TSCH_CHNLN_CLASS_ENABLE_A 0x908 #define S_TSC15WRREN 31 @@ -33445,6 +44880,15 @@ #define V_TSCHNLRATEL(x) ((x) << S_TSCHNLRATEL) #define G_TSCHNLRATEL(x) (((x) >> S_TSCHNLRATEL) & M_TSCHNLRATEL) +#define S_TSCHNLRATEPROT 30 +#define V_TSCHNLRATEPROT(x) ((x) << S_TSCHNLRATEPROT) +#define F_TSCHNLRATEPROT V_TSCHNLRATEPROT(1U) + +#define S_T6_TSCHNLRATEL 0 +#define M_T6_TSCHNLRATEL 0x3fffffffU +#define V_T6_TSCHNLRATEL(x) ((x) << S_T6_TSCHNLRATEL) +#define G_T6_TSCHNLRATEL(x) (((x) >> S_T6_TSCHNLRATEL) & M_T6_TSCHNLRATEL) + #define A_CIM_CTL_TSCH_CHNLN_RATE_PROPERTIES 0x914 #define S_TSCHNLRMAX 16 @@ -33457,6 +44901,16 @@ #define V_TSCHNLRINCR(x) ((x) << S_TSCHNLRINCR) #define G_TSCHNLRINCR(x) (((x) >> S_TSCHNLRINCR) & M_TSCHNLRINCR) +#define S_TSCHNLRTSEL 14 +#define M_TSCHNLRTSEL 0x3U +#define V_TSCHNLRTSEL(x) ((x) << S_TSCHNLRTSEL) +#define G_TSCHNLRTSEL(x) (((x) >> S_TSCHNLRTSEL) & M_TSCHNLRTSEL) + +#define S_T6_TSCHNLRINCR 0 +#define M_T6_TSCHNLRINCR 0x3fffU +#define V_T6_TSCHNLRINCR(x) ((x) << S_T6_TSCHNLRINCR) +#define G_T6_TSCHNLRINCR(x) (((x) >> S_T6_TSCHNLRINCR) & M_T6_TSCHNLRINCR) + #define A_CIM_CTL_TSCH_CHNLN_WRR 0x918 #define A_CIM_CTL_TSCH_CHNLN_WEIGHT 0x91c @@ -33476,6 +44930,10 @@ #define V_TSCCLRATEL(x) ((x) << S_TSCCLRATEL) #define G_TSCCLRATEL(x) (((x) >> S_TSCCLRATEL) & M_TSCCLRATEL) +#define S_TSCCLRATEPROT 30 +#define V_TSCCLRATEPROT(x) ((x) << S_TSCCLRATEPROT) +#define F_TSCCLRATEPROT V_TSCCLRATEPROT(1U) + #define A_CIM_CTL_TSCH_CHNLN_CLASSM_RATE_PROPERTIES 0x924 #define S_TSCCLRMAX 16 @@ -33488,6 +44946,16 @@ #define V_TSCCLRINCR(x) ((x) << S_TSCCLRINCR) #define G_TSCCLRINCR(x) (((x) >> S_TSCCLRINCR) & M_TSCCLRINCR) +#define S_TSCCLRTSEL 14 +#define M_TSCCLRTSEL 0x3U +#define V_TSCCLRTSEL(x) ((x) << S_TSCCLRTSEL) +#define G_TSCCLRTSEL(x) (((x) >> S_TSCCLRTSEL) & M_TSCCLRTSEL) + +#define S_T6_TSCCLRINCR 0 +#define M_T6_TSCCLRINCR 0x3fffU +#define V_T6_TSCCLRINCR(x) ((x) << S_T6_TSCCLRINCR) +#define G_T6_TSCCLRINCR(x) (((x) >> S_T6_TSCCLRINCR) & M_T6_TSCCLRINCR) + #define A_CIM_CTL_TSCH_CHNLN_CLASSM_WRR 0x928 #define S_TSCCLWRRNEG 31 @@ -33499,6 +44967,10 @@ #define V_TSCCLWRR(x) ((x) << S_TSCCLWRR) #define G_TSCCLWRR(x) (((x) >> S_TSCCLWRR) & M_TSCCLWRR) +#define S_TSCCLWRRPROT 30 +#define V_TSCCLWRRPROT(x) ((x) << S_TSCCLWRRPROT) +#define F_TSCCLWRRPROT V_TSCCLWRRPROT(1U) + #define A_CIM_CTL_TSCH_CHNLN_CLASSM_WEIGHT 0x92c #define S_TSCCLWEIGHT 0 @@ -33506,14 +44978,33 @@ #define V_TSCCLWEIGHT(x) ((x) << S_TSCCLWEIGHT) #define G_TSCCLWEIGHT(x) (((x) >> S_TSCCLWEIGHT) & M_TSCCLWEIGHT) +#define S_PAUSEVECSEL 28 +#define M_PAUSEVECSEL 0x3U +#define V_PAUSEVECSEL(x) ((x) << S_PAUSEVECSEL) +#define G_PAUSEVECSEL(x) (((x) >> S_PAUSEVECSEL) & M_PAUSEVECSEL) + +#define S_MPSPAUSEMASK 20 +#define M_MPSPAUSEMASK 0xffU +#define V_MPSPAUSEMASK(x) ((x) << S_MPSPAUSEMASK) +#define G_MPSPAUSEMASK(x) (((x) >> S_MPSPAUSEMASK) & M_MPSPAUSEMASK) + +#define A_CIM_CTL_TSCH_TICK0 0xd80 #define A_CIM_CTL_MAILBOX_PF0_CTL 0xd84 +#define A_CIM_CTL_TSCH_TICK1 0xd84 #define A_CIM_CTL_MAILBOX_PF1_CTL 0xd88 +#define A_CIM_CTL_TSCH_TICK2 0xd88 #define A_CIM_CTL_MAILBOX_PF2_CTL 0xd8c +#define A_CIM_CTL_TSCH_TICK3 0xd8c #define A_CIM_CTL_MAILBOX_PF3_CTL 0xd90 +#define A_T6_CIM_CTL_MAILBOX_PF0_CTL 0xd90 #define A_CIM_CTL_MAILBOX_PF4_CTL 0xd94 +#define A_T6_CIM_CTL_MAILBOX_PF1_CTL 0xd94 #define A_CIM_CTL_MAILBOX_PF5_CTL 0xd98 +#define A_T6_CIM_CTL_MAILBOX_PF2_CTL 0xd98 #define A_CIM_CTL_MAILBOX_PF6_CTL 0xd9c +#define A_T6_CIM_CTL_MAILBOX_PF3_CTL 0xd9c #define A_CIM_CTL_MAILBOX_PF7_CTL 0xda0 +#define A_T6_CIM_CTL_MAILBOX_PF4_CTL 0xda0 #define A_CIM_CTL_MAILBOX_CTL_OWNER_COPY 0xda4 #define S_PF7_OWNER_PL 15 @@ -33580,6 +45071,7 @@ #define V_PF0_OWNER_UP(x) ((x) << S_PF0_OWNER_UP) #define F_PF0_OWNER_UP V_PF0_OWNER_UP(1U) +#define A_T6_CIM_CTL_MAILBOX_PF5_CTL 0xda4 #define A_CIM_CTL_PIO_MST_CONFIG 0xda8 #define S_T5_CTLRID 0 @@ -33587,6 +45079,42 @@ #define V_T5_CTLRID(x) ((x) << S_T5_CTLRID) #define G_T5_CTLRID(x) (((x) >> S_T5_CTLRID) & M_T5_CTLRID) +#define A_T6_CIM_CTL_MAILBOX_PF6_CTL 0xda8 +#define A_T6_CIM_CTL_MAILBOX_PF7_CTL 0xdac +#define A_T6_CIM_CTL_MAILBOX_CTL_OWNER_COPY 0xdb0 +#define A_T6_CIM_CTL_PIO_MST_CONFIG 0xdb4 + +#define S_T6_UPRID 0 +#define M_T6_UPRID 0x1ffU +#define V_T6_UPRID(x) ((x) << S_T6_UPRID) +#define G_T6_UPRID(x) (((x) >> S_T6_UPRID) & M_T6_UPRID) + +#define A_CIM_CTL_ULP_OBQ0_PAUSE_MASK 0xe00 +#define A_CIM_CTL_ULP_OBQ1_PAUSE_MASK 0xe04 +#define A_CIM_CTL_ULP_OBQ2_PAUSE_MASK 0xe08 +#define A_CIM_CTL_ULP_OBQ3_PAUSE_MASK 0xe0c +#define A_CIM_CTL_ULP_OBQ_CONFIG 0xe10 + +#define S_CH1_PRIO_EN 1 +#define V_CH1_PRIO_EN(x) ((x) << S_CH1_PRIO_EN) +#define F_CH1_PRIO_EN V_CH1_PRIO_EN(1U) + +#define S_CH0_PRIO_EN 0 +#define V_CH0_PRIO_EN(x) ((x) << S_CH0_PRIO_EN) +#define F_CH0_PRIO_EN V_CH0_PRIO_EN(1U) + +#define A_CIM_CTL_PIF_TIMEOUT 0xe40 + +#define S_SLOW_TIMEOUT 16 +#define M_SLOW_TIMEOUT 0xffffU +#define V_SLOW_TIMEOUT(x) ((x) << S_SLOW_TIMEOUT) +#define G_SLOW_TIMEOUT(x) (((x) >> S_SLOW_TIMEOUT) & M_SLOW_TIMEOUT) + +#define S_MA_TIMEOUT 0 +#define M_MA_TIMEOUT 0xffffU +#define V_MA_TIMEOUT(x) ((x) << S_MA_TIMEOUT) +#define G_MA_TIMEOUT(x) (((x) >> S_MA_TIMEOUT) & M_MA_TIMEOUT) + /* registers for module MAC */ #define MAC_BASE_ADDR 0x0 @@ -33610,6 +45138,52 @@ #define V_PORTSPEED(x) ((x) << S_PORTSPEED) #define G_PORTSPEED(x) (((x) >> S_PORTSPEED) & M_PORTSPEED) +#define S_ENA_ERR_RSP 28 +#define V_ENA_ERR_RSP(x) ((x) << S_ENA_ERR_RSP) +#define F_ENA_ERR_RSP V_ENA_ERR_RSP(1U) + +#define S_DEBUG_CLR 25 +#define V_DEBUG_CLR(x) ((x) << S_DEBUG_CLR) +#define F_DEBUG_CLR V_DEBUG_CLR(1U) + +#define S_PLL_SEL 23 +#define V_PLL_SEL(x) ((x) << S_PLL_SEL) +#define F_PLL_SEL V_PLL_SEL(1U) + +#define S_PORT_MAP 20 +#define M_PORT_MAP 0x7U +#define V_PORT_MAP(x) ((x) << S_PORT_MAP) +#define G_PORT_MAP(x) (((x) >> S_PORT_MAP) & M_PORT_MAP) + +#define S_AEC_PAT_DATA 15 +#define V_AEC_PAT_DATA(x) ((x) << S_AEC_PAT_DATA) +#define F_AEC_PAT_DATA V_AEC_PAT_DATA(1U) + +#define S_MACCLK_SEL 13 +#define V_MACCLK_SEL(x) ((x) << S_MACCLK_SEL) +#define F_MACCLK_SEL V_MACCLK_SEL(1U) + +#define S_XGMII_SEL 12 +#define V_XGMII_SEL(x) ((x) << S_XGMII_SEL) +#define F_XGMII_SEL V_XGMII_SEL(1U) + +#define S_DEBUG_PORT_SEL 10 +#define M_DEBUG_PORT_SEL 0x3U +#define V_DEBUG_PORT_SEL(x) ((x) << S_DEBUG_PORT_SEL) +#define G_DEBUG_PORT_SEL(x) (((x) >> S_DEBUG_PORT_SEL) & M_DEBUG_PORT_SEL) + +#define S_ENABLE_25G 7 +#define V_ENABLE_25G(x) ((x) << S_ENABLE_25G) +#define F_ENABLE_25G V_ENABLE_25G(1U) + +#define S_ENABLE_50G 6 +#define V_ENABLE_50G(x) ((x) << S_ENABLE_50G) +#define F_ENABLE_50G V_ENABLE_50G(1U) + +#define S_DEBUG_TX_RX_SEL 1 +#define V_DEBUG_TX_RX_SEL(x) ((x) << S_DEBUG_TX_RX_SEL) +#define F_DEBUG_TX_RX_SEL V_DEBUG_TX_RX_SEL(1U) + #define A_MAC_PORT_RESET_CTRL 0x804 #define S_TWGDSK_HSSC16B 31 @@ -33712,11 +45286,67 @@ #define V_MTIPSD0RXRST(x) ((x) << S_MTIPSD0RXRST) #define F_MTIPSD0RXRST V_MTIPSD0RXRST(1U) +#define S_MAC100G40G_RESET 27 +#define V_MAC100G40G_RESET(x) ((x) << S_MAC100G40G_RESET) +#define F_MAC100G40G_RESET V_MAC100G40G_RESET(1U) + +#define S_MAC10G1G_RESET 26 +#define V_MAC10G1G_RESET(x) ((x) << S_MAC10G1G_RESET) +#define F_MAC10G1G_RESET V_MAC10G1G_RESET(1U) + +#define S_PCS1G_RESET 24 +#define V_PCS1G_RESET(x) ((x) << S_PCS1G_RESET) +#define F_PCS1G_RESET V_PCS1G_RESET(1U) + +#define S_PCS10G_RESET 15 +#define V_PCS10G_RESET(x) ((x) << S_PCS10G_RESET) +#define F_PCS10G_RESET V_PCS10G_RESET(1U) + +#define S_PCS40G_RESET 14 +#define V_PCS40G_RESET(x) ((x) << S_PCS40G_RESET) +#define F_PCS40G_RESET V_PCS40G_RESET(1U) + +#define S_PCS100G_RESET 13 +#define V_PCS100G_RESET(x) ((x) << S_PCS100G_RESET) +#define F_PCS100G_RESET V_PCS100G_RESET(1U) + #define A_MAC_PORT_LED_CFG 0x808 + +#define S_LED1_CFG1 14 +#define M_LED1_CFG1 0x3U +#define V_LED1_CFG1(x) ((x) << S_LED1_CFG1) +#define G_LED1_CFG1(x) (((x) >> S_LED1_CFG1) & M_LED1_CFG1) + +#define S_LED0_CFG1 12 +#define M_LED0_CFG1 0x3U +#define V_LED0_CFG1(x) ((x) << S_LED0_CFG1) +#define G_LED0_CFG1(x) (((x) >> S_LED0_CFG1) & M_LED0_CFG1) + +#define S_LED1_TLO 11 +#define V_LED1_TLO(x) ((x) << S_LED1_TLO) +#define F_LED1_TLO V_LED1_TLO(1U) + +#define S_LED1_THI 10 +#define V_LED1_THI(x) ((x) << S_LED1_THI) +#define F_LED1_THI V_LED1_THI(1U) + +#define S_LED0_TLO 9 +#define V_LED0_TLO(x) ((x) << S_LED0_TLO) +#define F_LED0_TLO V_LED0_TLO(1U) + +#define S_LED0_THI 8 +#define V_LED0_THI(x) ((x) << S_LED0_THI) +#define F_LED0_THI V_LED0_THI(1U) + #define A_MAC_PORT_LED_COUNTHI 0x80c #define A_MAC_PORT_LED_COUNTLO 0x810 #define A_MAC_PORT_CFG3 0x814 +#define S_T5_FPGA_PTP_PORT 26 +#define M_T5_FPGA_PTP_PORT 0x3U +#define V_T5_FPGA_PTP_PORT(x) ((x) << S_T5_FPGA_PTP_PORT) +#define G_T5_FPGA_PTP_PORT(x) (((x) >> S_T5_FPGA_PTP_PORT) & M_T5_FPGA_PTP_PORT) + #define S_FCSDISCTRL 25 #define V_FCSDISCTRL(x) ((x) << S_FCSDISCTRL) #define F_FCSDISCTRL V_FCSDISCTRL(1U) @@ -33766,6 +45396,24 @@ #define V_HSSC16C20SEL(x) ((x) << S_HSSC16C20SEL) #define G_HSSC16C20SEL(x) (((x) >> S_HSSC16C20SEL) & M_HSSC16C20SEL) +#define S_REF_CLK_SEL 30 +#define M_REF_CLK_SEL 0x3U +#define V_REF_CLK_SEL(x) ((x) << S_REF_CLK_SEL) +#define G_REF_CLK_SEL(x) (((x) >> S_REF_CLK_SEL) & M_REF_CLK_SEL) + +#define S_SGMII_SD_SIG_DET 29 +#define V_SGMII_SD_SIG_DET(x) ((x) << S_SGMII_SD_SIG_DET) +#define F_SGMII_SD_SIG_DET V_SGMII_SD_SIG_DET(1U) + +#define S_SGMII_SGPCS_ENA 28 +#define V_SGMII_SGPCS_ENA(x) ((x) << S_SGMII_SGPCS_ENA) +#define F_SGMII_SGPCS_ENA V_SGMII_SGPCS_ENA(1U) + +#define S_MAC_FPGA_PTP_PORT 26 +#define M_MAC_FPGA_PTP_PORT 0x3U +#define V_MAC_FPGA_PTP_PORT(x) ((x) << S_MAC_FPGA_PTP_PORT) +#define G_MAC_FPGA_PTP_PORT(x) (((x) >> S_MAC_FPGA_PTP_PORT) & M_MAC_FPGA_PTP_PORT) + #define A_MAC_PORT_CFG2 0x818 #define S_T5_AEC_PMA_TX_READY 4 @@ -33778,6 +45426,10 @@ #define V_T5_AEC_PMA_RX_READY(x) ((x) << S_T5_AEC_PMA_RX_READY) #define G_T5_AEC_PMA_RX_READY(x) (((x) >> S_T5_AEC_PMA_RX_READY) & M_T5_AEC_PMA_RX_READY) +#define S_AN_DATA_CTL 19 +#define V_AN_DATA_CTL(x) ((x) << S_AN_DATA_CTL) +#define F_AN_DATA_CTL V_AN_DATA_CTL(1U) + #define A_MAC_PORT_PKT_COUNT 0x81c #define A_MAC_PORT_CFG4 0x820 @@ -33823,6 +45475,266 @@ #define A_MAC_PORT_MAGIC_MACID_LO 0x824 #define A_MAC_PORT_MAGIC_MACID_HI 0x828 +#define A_MAC_PORT_MTIP_RESET_CTRL 0x82c + +#define S_AN_RESET_SD_TX_CLK 31 +#define V_AN_RESET_SD_TX_CLK(x) ((x) << S_AN_RESET_SD_TX_CLK) +#define F_AN_RESET_SD_TX_CLK V_AN_RESET_SD_TX_CLK(1U) + +#define S_AN_RESET_SD_RX_CLK 30 +#define V_AN_RESET_SD_RX_CLK(x) ((x) << S_AN_RESET_SD_RX_CLK) +#define F_AN_RESET_SD_RX_CLK V_AN_RESET_SD_RX_CLK(1U) + +#define S_SGMII_RESET_TX_CLK 29 +#define V_SGMII_RESET_TX_CLK(x) ((x) << S_SGMII_RESET_TX_CLK) +#define F_SGMII_RESET_TX_CLK V_SGMII_RESET_TX_CLK(1U) + +#define S_SGMII_RESET_RX_CLK 28 +#define V_SGMII_RESET_RX_CLK(x) ((x) << S_SGMII_RESET_RX_CLK) +#define F_SGMII_RESET_RX_CLK V_SGMII_RESET_RX_CLK(1U) + +#define S_SGMII_RESET_REF_CLK 27 +#define V_SGMII_RESET_REF_CLK(x) ((x) << S_SGMII_RESET_REF_CLK) +#define F_SGMII_RESET_REF_CLK V_SGMII_RESET_REF_CLK(1U) + +#define S_PCS10G_RESET_XFI_RXCLK 26 +#define V_PCS10G_RESET_XFI_RXCLK(x) ((x) << S_PCS10G_RESET_XFI_RXCLK) +#define F_PCS10G_RESET_XFI_RXCLK V_PCS10G_RESET_XFI_RXCLK(1U) + +#define S_PCS10G_RESET_XFI_TXCLK 25 +#define V_PCS10G_RESET_XFI_TXCLK(x) ((x) << S_PCS10G_RESET_XFI_TXCLK) +#define F_PCS10G_RESET_XFI_TXCLK V_PCS10G_RESET_XFI_TXCLK(1U) + +#define S_PCS10G_RESET_SD_TX_CLK 24 +#define V_PCS10G_RESET_SD_TX_CLK(x) ((x) << S_PCS10G_RESET_SD_TX_CLK) +#define F_PCS10G_RESET_SD_TX_CLK V_PCS10G_RESET_SD_TX_CLK(1U) + +#define S_PCS10G_RESET_SD_RX_CLK 23 +#define V_PCS10G_RESET_SD_RX_CLK(x) ((x) << S_PCS10G_RESET_SD_RX_CLK) +#define F_PCS10G_RESET_SD_RX_CLK V_PCS10G_RESET_SD_RX_CLK(1U) + +#define S_PCS40G_RESET_RXCLK 22 +#define V_PCS40G_RESET_RXCLK(x) ((x) << S_PCS40G_RESET_RXCLK) +#define F_PCS40G_RESET_RXCLK V_PCS40G_RESET_RXCLK(1U) + +#define S_PCS40G_RESET_SD_TX_CLK 21 +#define V_PCS40G_RESET_SD_TX_CLK(x) ((x) << S_PCS40G_RESET_SD_TX_CLK) +#define F_PCS40G_RESET_SD_TX_CLK V_PCS40G_RESET_SD_TX_CLK(1U) + +#define S_PCS40G_RESET_SD0_RX_CLK 20 +#define V_PCS40G_RESET_SD0_RX_CLK(x) ((x) << S_PCS40G_RESET_SD0_RX_CLK) +#define F_PCS40G_RESET_SD0_RX_CLK V_PCS40G_RESET_SD0_RX_CLK(1U) + +#define S_PCS40G_RESET_SD1_RX_CLK 19 +#define V_PCS40G_RESET_SD1_RX_CLK(x) ((x) << S_PCS40G_RESET_SD1_RX_CLK) +#define F_PCS40G_RESET_SD1_RX_CLK V_PCS40G_RESET_SD1_RX_CLK(1U) + +#define S_PCS40G_RESET_SD2_RX_CLK 18 +#define V_PCS40G_RESET_SD2_RX_CLK(x) ((x) << S_PCS40G_RESET_SD2_RX_CLK) +#define F_PCS40G_RESET_SD2_RX_CLK V_PCS40G_RESET_SD2_RX_CLK(1U) + +#define S_PCS40G_RESET_SD3_RX_CLK 17 +#define V_PCS40G_RESET_SD3_RX_CLK(x) ((x) << S_PCS40G_RESET_SD3_RX_CLK) +#define F_PCS40G_RESET_SD3_RX_CLK V_PCS40G_RESET_SD3_RX_CLK(1U) + +#define S_PCS100G_RESET_CGMII_RXCLK 16 +#define V_PCS100G_RESET_CGMII_RXCLK(x) ((x) << S_PCS100G_RESET_CGMII_RXCLK) +#define F_PCS100G_RESET_CGMII_RXCLK V_PCS100G_RESET_CGMII_RXCLK(1U) + +#define S_PCS100G_RESET_CGMII_TXCLK 15 +#define V_PCS100G_RESET_CGMII_TXCLK(x) ((x) << S_PCS100G_RESET_CGMII_TXCLK) +#define F_PCS100G_RESET_CGMII_TXCLK V_PCS100G_RESET_CGMII_TXCLK(1U) + +#define S_PCS100G_RESET_TX_CLK 14 +#define V_PCS100G_RESET_TX_CLK(x) ((x) << S_PCS100G_RESET_TX_CLK) +#define F_PCS100G_RESET_TX_CLK V_PCS100G_RESET_TX_CLK(1U) + +#define S_PCS100G_RESET_SD0_RX_CLK 13 +#define V_PCS100G_RESET_SD0_RX_CLK(x) ((x) << S_PCS100G_RESET_SD0_RX_CLK) +#define F_PCS100G_RESET_SD0_RX_CLK V_PCS100G_RESET_SD0_RX_CLK(1U) + +#define S_PCS100G_RESET_SD1_RX_CLK 12 +#define V_PCS100G_RESET_SD1_RX_CLK(x) ((x) << S_PCS100G_RESET_SD1_RX_CLK) +#define F_PCS100G_RESET_SD1_RX_CLK V_PCS100G_RESET_SD1_RX_CLK(1U) + +#define S_PCS100G_RESET_SD2_RX_CLK 11 +#define V_PCS100G_RESET_SD2_RX_CLK(x) ((x) << S_PCS100G_RESET_SD2_RX_CLK) +#define F_PCS100G_RESET_SD2_RX_CLK V_PCS100G_RESET_SD2_RX_CLK(1U) + +#define S_PCS100G_RESET_SD3_RX_CLK 10 +#define V_PCS100G_RESET_SD3_RX_CLK(x) ((x) << S_PCS100G_RESET_SD3_RX_CLK) +#define F_PCS100G_RESET_SD3_RX_CLK V_PCS100G_RESET_SD3_RX_CLK(1U) + +#define S_MAC40G100G_RESET_TXCLK 9 +#define V_MAC40G100G_RESET_TXCLK(x) ((x) << S_MAC40G100G_RESET_TXCLK) +#define F_MAC40G100G_RESET_TXCLK V_MAC40G100G_RESET_TXCLK(1U) + +#define S_MAC40G100G_RESET_RXCLK 8 +#define V_MAC40G100G_RESET_RXCLK(x) ((x) << S_MAC40G100G_RESET_RXCLK) +#define F_MAC40G100G_RESET_RXCLK V_MAC40G100G_RESET_RXCLK(1U) + +#define S_MAC40G100G_RESET_FF_TX_CLK 7 +#define V_MAC40G100G_RESET_FF_TX_CLK(x) ((x) << S_MAC40G100G_RESET_FF_TX_CLK) +#define F_MAC40G100G_RESET_FF_TX_CLK V_MAC40G100G_RESET_FF_TX_CLK(1U) + +#define S_MAC40G100G_RESET_FF_RX_CLK 6 +#define V_MAC40G100G_RESET_FF_RX_CLK(x) ((x) << S_MAC40G100G_RESET_FF_RX_CLK) +#define F_MAC40G100G_RESET_FF_RX_CLK V_MAC40G100G_RESET_FF_RX_CLK(1U) + +#define S_MAC40G100G_RESET_TS_CLK 5 +#define V_MAC40G100G_RESET_TS_CLK(x) ((x) << S_MAC40G100G_RESET_TS_CLK) +#define F_MAC40G100G_RESET_TS_CLK V_MAC40G100G_RESET_TS_CLK(1U) + +#define S_MAC1G10G_RESET_RXCLK 4 +#define V_MAC1G10G_RESET_RXCLK(x) ((x) << S_MAC1G10G_RESET_RXCLK) +#define F_MAC1G10G_RESET_RXCLK V_MAC1G10G_RESET_RXCLK(1U) + +#define S_MAC1G10G_RESET_TXCLK 3 +#define V_MAC1G10G_RESET_TXCLK(x) ((x) << S_MAC1G10G_RESET_TXCLK) +#define F_MAC1G10G_RESET_TXCLK V_MAC1G10G_RESET_TXCLK(1U) + +#define S_MAC1G10G_RESET_FF_RX_CLK 2 +#define V_MAC1G10G_RESET_FF_RX_CLK(x) ((x) << S_MAC1G10G_RESET_FF_RX_CLK) +#define F_MAC1G10G_RESET_FF_RX_CLK V_MAC1G10G_RESET_FF_RX_CLK(1U) + +#define S_MAC1G10G_RESET_FF_TX_CLK 1 +#define V_MAC1G10G_RESET_FF_TX_CLK(x) ((x) << S_MAC1G10G_RESET_FF_TX_CLK) +#define F_MAC1G10G_RESET_FF_TX_CLK V_MAC1G10G_RESET_FF_TX_CLK(1U) + +#define S_XGMII_CLK_RESET 0 +#define V_XGMII_CLK_RESET(x) ((x) << S_XGMII_CLK_RESET) +#define F_XGMII_CLK_RESET V_XGMII_CLK_RESET(1U) + +#define A_MAC_PORT_MTIP_GATE_CTRL 0x830 + +#define S_AN_GATE_SD_TX_CLK 31 +#define V_AN_GATE_SD_TX_CLK(x) ((x) << S_AN_GATE_SD_TX_CLK) +#define F_AN_GATE_SD_TX_CLK V_AN_GATE_SD_TX_CLK(1U) + +#define S_AN_GATE_SD_RX_CLK 30 +#define V_AN_GATE_SD_RX_CLK(x) ((x) << S_AN_GATE_SD_RX_CLK) +#define F_AN_GATE_SD_RX_CLK V_AN_GATE_SD_RX_CLK(1U) + +#define S_SGMII_GATE_TX_CLK 29 +#define V_SGMII_GATE_TX_CLK(x) ((x) << S_SGMII_GATE_TX_CLK) +#define F_SGMII_GATE_TX_CLK V_SGMII_GATE_TX_CLK(1U) + +#define S_SGMII_GATE_RX_CLK 28 +#define V_SGMII_GATE_RX_CLK(x) ((x) << S_SGMII_GATE_RX_CLK) +#define F_SGMII_GATE_RX_CLK V_SGMII_GATE_RX_CLK(1U) + +#define S_SGMII_GATE_REF_CLK 27 +#define V_SGMII_GATE_REF_CLK(x) ((x) << S_SGMII_GATE_REF_CLK) +#define F_SGMII_GATE_REF_CLK V_SGMII_GATE_REF_CLK(1U) + +#define S_PCS10G_GATE_XFI_RXCLK 26 +#define V_PCS10G_GATE_XFI_RXCLK(x) ((x) << S_PCS10G_GATE_XFI_RXCLK) +#define F_PCS10G_GATE_XFI_RXCLK V_PCS10G_GATE_XFI_RXCLK(1U) + +#define S_PCS10G_GATE_XFI_TXCLK 25 +#define V_PCS10G_GATE_XFI_TXCLK(x) ((x) << S_PCS10G_GATE_XFI_TXCLK) +#define F_PCS10G_GATE_XFI_TXCLK V_PCS10G_GATE_XFI_TXCLK(1U) + +#define S_PCS10G_GATE_SD_TX_CLK 24 +#define V_PCS10G_GATE_SD_TX_CLK(x) ((x) << S_PCS10G_GATE_SD_TX_CLK) +#define F_PCS10G_GATE_SD_TX_CLK V_PCS10G_GATE_SD_TX_CLK(1U) + +#define S_PCS10G_GATE_SD_RX_CLK 23 +#define V_PCS10G_GATE_SD_RX_CLK(x) ((x) << S_PCS10G_GATE_SD_RX_CLK) +#define F_PCS10G_GATE_SD_RX_CLK V_PCS10G_GATE_SD_RX_CLK(1U) + +#define S_PCS40G_GATE_RXCLK 22 +#define V_PCS40G_GATE_RXCLK(x) ((x) << S_PCS40G_GATE_RXCLK) +#define F_PCS40G_GATE_RXCLK V_PCS40G_GATE_RXCLK(1U) + +#define S_PCS40G_GATE_SD_TX_CLK 21 +#define V_PCS40G_GATE_SD_TX_CLK(x) ((x) << S_PCS40G_GATE_SD_TX_CLK) +#define F_PCS40G_GATE_SD_TX_CLK V_PCS40G_GATE_SD_TX_CLK(1U) + +#define S_PCS40G_GATE_SD_RX_CLK 20 +#define V_PCS40G_GATE_SD_RX_CLK(x) ((x) << S_PCS40G_GATE_SD_RX_CLK) +#define F_PCS40G_GATE_SD_RX_CLK V_PCS40G_GATE_SD_RX_CLK(1U) + +#define S_PCS100G_GATE_CGMII_RXCLK 19 +#define V_PCS100G_GATE_CGMII_RXCLK(x) ((x) << S_PCS100G_GATE_CGMII_RXCLK) +#define F_PCS100G_GATE_CGMII_RXCLK V_PCS100G_GATE_CGMII_RXCLK(1U) + +#define S_PCS100G_GATE_CGMII_TXCLK 18 +#define V_PCS100G_GATE_CGMII_TXCLK(x) ((x) << S_PCS100G_GATE_CGMII_TXCLK) +#define F_PCS100G_GATE_CGMII_TXCLK V_PCS100G_GATE_CGMII_TXCLK(1U) + +#define S_PCS100G_GATE_TX_CLK 17 +#define V_PCS100G_GATE_TX_CLK(x) ((x) << S_PCS100G_GATE_TX_CLK) +#define F_PCS100G_GATE_TX_CLK V_PCS100G_GATE_TX_CLK(1U) + +#define S_PCS100G_GATE_SD_RX_CLK 16 +#define V_PCS100G_GATE_SD_RX_CLK(x) ((x) << S_PCS100G_GATE_SD_RX_CLK) +#define F_PCS100G_GATE_SD_RX_CLK V_PCS100G_GATE_SD_RX_CLK(1U) + +#define S_MAC40G100G_GATE_TXCLK 15 +#define V_MAC40G100G_GATE_TXCLK(x) ((x) << S_MAC40G100G_GATE_TXCLK) +#define F_MAC40G100G_GATE_TXCLK V_MAC40G100G_GATE_TXCLK(1U) + +#define S_MAC40G100G_GATE_RXCLK 14 +#define V_MAC40G100G_GATE_RXCLK(x) ((x) << S_MAC40G100G_GATE_RXCLK) +#define F_MAC40G100G_GATE_RXCLK V_MAC40G100G_GATE_RXCLK(1U) + +#define S_MAC40G100G_GATE_FF_TX_CLK 13 +#define V_MAC40G100G_GATE_FF_TX_CLK(x) ((x) << S_MAC40G100G_GATE_FF_TX_CLK) +#define F_MAC40G100G_GATE_FF_TX_CLK V_MAC40G100G_GATE_FF_TX_CLK(1U) + +#define S_MAC40G100G_GATE_FF_RX_CLK 12 +#define V_MAC40G100G_GATE_FF_RX_CLK(x) ((x) << S_MAC40G100G_GATE_FF_RX_CLK) +#define F_MAC40G100G_GATE_FF_RX_CLK V_MAC40G100G_GATE_FF_RX_CLK(1U) + +#define S_MAC40G100G_TS_CLK 11 +#define V_MAC40G100G_TS_CLK(x) ((x) << S_MAC40G100G_TS_CLK) +#define F_MAC40G100G_TS_CLK V_MAC40G100G_TS_CLK(1U) + +#define S_MAC1G10G_GATE_RXCLK 10 +#define V_MAC1G10G_GATE_RXCLK(x) ((x) << S_MAC1G10G_GATE_RXCLK) +#define F_MAC1G10G_GATE_RXCLK V_MAC1G10G_GATE_RXCLK(1U) + +#define S_MAC1G10G_GATE_TXCLK 9 +#define V_MAC1G10G_GATE_TXCLK(x) ((x) << S_MAC1G10G_GATE_TXCLK) +#define F_MAC1G10G_GATE_TXCLK V_MAC1G10G_GATE_TXCLK(1U) + +#define S_MAC1G10G_GATE_FF_RX_CLK 8 +#define V_MAC1G10G_GATE_FF_RX_CLK(x) ((x) << S_MAC1G10G_GATE_FF_RX_CLK) +#define F_MAC1G10G_GATE_FF_RX_CLK V_MAC1G10G_GATE_FF_RX_CLK(1U) + +#define S_MAC1G10G_GATE_FF_TX_CLK 7 +#define V_MAC1G10G_GATE_FF_TX_CLK(x) ((x) << S_MAC1G10G_GATE_FF_TX_CLK) +#define F_MAC1G10G_GATE_FF_TX_CLK V_MAC1G10G_GATE_FF_TX_CLK(1U) + +#define S_AEC_RX 6 +#define V_AEC_RX(x) ((x) << S_AEC_RX) +#define F_AEC_RX V_AEC_RX(1U) + +#define S_AEC_TX 5 +#define V_AEC_TX(x) ((x) << S_AEC_TX) +#define F_AEC_TX V_AEC_TX(1U) + +#define S_PCS100G_CLK_ENABLE 4 +#define V_PCS100G_CLK_ENABLE(x) ((x) << S_PCS100G_CLK_ENABLE) +#define F_PCS100G_CLK_ENABLE V_PCS100G_CLK_ENABLE(1U) + +#define S_PCS40G_CLK_ENABLE 3 +#define V_PCS40G_CLK_ENABLE(x) ((x) << S_PCS40G_CLK_ENABLE) +#define F_PCS40G_CLK_ENABLE V_PCS40G_CLK_ENABLE(1U) + +#define S_PCS10G_CLK_ENABLE 2 +#define V_PCS10G_CLK_ENABLE(x) ((x) << S_PCS10G_CLK_ENABLE) +#define F_PCS10G_CLK_ENABLE V_PCS10G_CLK_ENABLE(1U) + +#define S_PCS1G_CLK_ENABLE 1 +#define V_PCS1G_CLK_ENABLE(x) ((x) << S_PCS1G_CLK_ENABLE) +#define F_PCS1G_CLK_ENABLE V_PCS1G_CLK_ENABLE(1U) + +#define S_AN_CLK_ENABLE 0 +#define V_AN_CLK_ENABLE(x) ((x) << S_AN_CLK_ENABLE) +#define F_AN_CLK_ENABLE V_AN_CLK_ENABLE(1U) + #define A_MAC_PORT_LINK_STATUS 0x834 #define S_AN_DONE 6 @@ -33837,6 +45749,606 @@ #define V_BLOCK_LOCK(x) ((x) << S_BLOCK_LOCK) #define F_BLOCK_LOCK V_BLOCK_LOCK(1U) +#define S_HI_BER_ST 7 +#define V_HI_BER_ST(x) ((x) << S_HI_BER_ST) +#define F_HI_BER_ST V_HI_BER_ST(1U) + +#define S_AN_DONE_ST 6 +#define V_AN_DONE_ST(x) ((x) << S_AN_DONE_ST) +#define F_AN_DONE_ST V_AN_DONE_ST(1U) + +#define A_MAC_PORT_AEC_ADD_CTL_STAT_0 0x838 + +#define S_AEC_SYS_LANE_TYPE_3 11 +#define V_AEC_SYS_LANE_TYPE_3(x) ((x) << S_AEC_SYS_LANE_TYPE_3) +#define F_AEC_SYS_LANE_TYPE_3 V_AEC_SYS_LANE_TYPE_3(1U) + +#define S_AEC_SYS_LANE_TYPE_2 10 +#define V_AEC_SYS_LANE_TYPE_2(x) ((x) << S_AEC_SYS_LANE_TYPE_2) +#define F_AEC_SYS_LANE_TYPE_2 V_AEC_SYS_LANE_TYPE_2(1U) + +#define S_AEC_SYS_LANE_TYPE_1 9 +#define V_AEC_SYS_LANE_TYPE_1(x) ((x) << S_AEC_SYS_LANE_TYPE_1) +#define F_AEC_SYS_LANE_TYPE_1 V_AEC_SYS_LANE_TYPE_1(1U) + +#define S_AEC_SYS_LANE_TYPE_0 8 +#define V_AEC_SYS_LANE_TYPE_0(x) ((x) << S_AEC_SYS_LANE_TYPE_0) +#define F_AEC_SYS_LANE_TYPE_0 V_AEC_SYS_LANE_TYPE_0(1U) + +#define S_AEC_SYS_LANE_SELECT_3 6 +#define M_AEC_SYS_LANE_SELECT_3 0x3U +#define V_AEC_SYS_LANE_SELECT_3(x) ((x) << S_AEC_SYS_LANE_SELECT_3) +#define G_AEC_SYS_LANE_SELECT_3(x) (((x) >> S_AEC_SYS_LANE_SELECT_3) & M_AEC_SYS_LANE_SELECT_3) + +#define S_AEC_SYS_LANE_SELECT_2 4 +#define M_AEC_SYS_LANE_SELECT_2 0x3U +#define V_AEC_SYS_LANE_SELECT_2(x) ((x) << S_AEC_SYS_LANE_SELECT_2) +#define G_AEC_SYS_LANE_SELECT_2(x) (((x) >> S_AEC_SYS_LANE_SELECT_2) & M_AEC_SYS_LANE_SELECT_2) + +#define S_AEC_SYS_LANE_SELECT_1 2 +#define M_AEC_SYS_LANE_SELECT_1 0x3U +#define V_AEC_SYS_LANE_SELECT_1(x) ((x) << S_AEC_SYS_LANE_SELECT_1) +#define G_AEC_SYS_LANE_SELECT_1(x) (((x) >> S_AEC_SYS_LANE_SELECT_1) & M_AEC_SYS_LANE_SELECT_1) + +#define S_AEC_SYS_LANE_SELECT_O 0 +#define M_AEC_SYS_LANE_SELECT_O 0x3U +#define V_AEC_SYS_LANE_SELECT_O(x) ((x) << S_AEC_SYS_LANE_SELECT_O) +#define G_AEC_SYS_LANE_SELECT_O(x) (((x) >> S_AEC_SYS_LANE_SELECT_O) & M_AEC_SYS_LANE_SELECT_O) + +#define A_MAC_PORT_AEC_ADD_CTL_STAT_1 0x83c + +#define S_AEC_RX_UNKNOWN_LANE_3 11 +#define V_AEC_RX_UNKNOWN_LANE_3(x) ((x) << S_AEC_RX_UNKNOWN_LANE_3) +#define F_AEC_RX_UNKNOWN_LANE_3 V_AEC_RX_UNKNOWN_LANE_3(1U) + +#define S_AEC_RX_UNKNOWN_LANE_2 10 +#define V_AEC_RX_UNKNOWN_LANE_2(x) ((x) << S_AEC_RX_UNKNOWN_LANE_2) +#define F_AEC_RX_UNKNOWN_LANE_2 V_AEC_RX_UNKNOWN_LANE_2(1U) + +#define S_AEC_RX_UNKNOWN_LANE_1 9 +#define V_AEC_RX_UNKNOWN_LANE_1(x) ((x) << S_AEC_RX_UNKNOWN_LANE_1) +#define F_AEC_RX_UNKNOWN_LANE_1 V_AEC_RX_UNKNOWN_LANE_1(1U) + +#define S_AEC_RX_UNKNOWN_LANE_0 8 +#define V_AEC_RX_UNKNOWN_LANE_0(x) ((x) << S_AEC_RX_UNKNOWN_LANE_0) +#define F_AEC_RX_UNKNOWN_LANE_0 V_AEC_RX_UNKNOWN_LANE_0(1U) + +#define S_AEC_RX_LANE_ID_3 6 +#define M_AEC_RX_LANE_ID_3 0x3U +#define V_AEC_RX_LANE_ID_3(x) ((x) << S_AEC_RX_LANE_ID_3) +#define G_AEC_RX_LANE_ID_3(x) (((x) >> S_AEC_RX_LANE_ID_3) & M_AEC_RX_LANE_ID_3) + +#define S_AEC_RX_LANE_ID_2 4 +#define M_AEC_RX_LANE_ID_2 0x3U +#define V_AEC_RX_LANE_ID_2(x) ((x) << S_AEC_RX_LANE_ID_2) +#define G_AEC_RX_LANE_ID_2(x) (((x) >> S_AEC_RX_LANE_ID_2) & M_AEC_RX_LANE_ID_2) + +#define S_AEC_RX_LANE_ID_1 2 +#define M_AEC_RX_LANE_ID_1 0x3U +#define V_AEC_RX_LANE_ID_1(x) ((x) << S_AEC_RX_LANE_ID_1) +#define G_AEC_RX_LANE_ID_1(x) (((x) >> S_AEC_RX_LANE_ID_1) & M_AEC_RX_LANE_ID_1) + +#define S_AEC_RX_LANE_ID_O 0 +#define M_AEC_RX_LANE_ID_O 0x3U +#define V_AEC_RX_LANE_ID_O(x) ((x) << S_AEC_RX_LANE_ID_O) +#define G_AEC_RX_LANE_ID_O(x) (((x) >> S_AEC_RX_LANE_ID_O) & M_AEC_RX_LANE_ID_O) + +#define A_MAC_PORT_AEC_XGMII_TIMER_LO_40G 0x840 + +#define S_XGMII_CLK_IN_1MS_LO_40G 0 +#define M_XGMII_CLK_IN_1MS_LO_40G 0xffffU +#define V_XGMII_CLK_IN_1MS_LO_40G(x) ((x) << S_XGMII_CLK_IN_1MS_LO_40G) +#define G_XGMII_CLK_IN_1MS_LO_40G(x) (((x) >> S_XGMII_CLK_IN_1MS_LO_40G) & M_XGMII_CLK_IN_1MS_LO_40G) + +#define A_MAC_PORT_AEC_XGMII_TIMER_HI_40G 0x844 + +#define S_XGMII_CLK_IN_1MS_HI_40G 0 +#define M_XGMII_CLK_IN_1MS_HI_40G 0xfU +#define V_XGMII_CLK_IN_1MS_HI_40G(x) ((x) << S_XGMII_CLK_IN_1MS_HI_40G) +#define G_XGMII_CLK_IN_1MS_HI_40G(x) (((x) >> S_XGMII_CLK_IN_1MS_HI_40G) & M_XGMII_CLK_IN_1MS_HI_40G) + +#define A_MAC_PORT_AEC_XGMII_TIMER_LO_100G 0x848 + +#define S_XGMII_CLK_IN_1MS_LO_100G 0 +#define M_XGMII_CLK_IN_1MS_LO_100G 0xffffU +#define V_XGMII_CLK_IN_1MS_LO_100G(x) ((x) << S_XGMII_CLK_IN_1MS_LO_100G) +#define G_XGMII_CLK_IN_1MS_LO_100G(x) (((x) >> S_XGMII_CLK_IN_1MS_LO_100G) & M_XGMII_CLK_IN_1MS_LO_100G) + +#define A_MAC_PORT_AEC_XGMII_TIMER_HI_100G 0x84c + +#define S_XGMII_CLK_IN_1MS_HI_100G 0 +#define M_XGMII_CLK_IN_1MS_HI_100G 0xfU +#define V_XGMII_CLK_IN_1MS_HI_100G(x) ((x) << S_XGMII_CLK_IN_1MS_HI_100G) +#define G_XGMII_CLK_IN_1MS_HI_100G(x) (((x) >> S_XGMII_CLK_IN_1MS_HI_100G) & M_XGMII_CLK_IN_1MS_HI_100G) + +#define A_MAC_PORT_AEC_DEBUG_LO_0 0x850 + +#define S_CTL_FSM_CUR_STATE 28 +#define M_CTL_FSM_CUR_STATE 0x7U +#define V_CTL_FSM_CUR_STATE(x) ((x) << S_CTL_FSM_CUR_STATE) +#define G_CTL_FSM_CUR_STATE(x) (((x) >> S_CTL_FSM_CUR_STATE) & M_CTL_FSM_CUR_STATE) + +#define S_CIN_FSM_CUR_STATE 26 +#define M_CIN_FSM_CUR_STATE 0x3U +#define V_CIN_FSM_CUR_STATE(x) ((x) << S_CIN_FSM_CUR_STATE) +#define G_CIN_FSM_CUR_STATE(x) (((x) >> S_CIN_FSM_CUR_STATE) & M_CIN_FSM_CUR_STATE) + +#define S_CRI_FSM_CUR_STATE 23 +#define M_CRI_FSM_CUR_STATE 0x7U +#define V_CRI_FSM_CUR_STATE(x) ((x) << S_CRI_FSM_CUR_STATE) +#define G_CRI_FSM_CUR_STATE(x) (((x) >> S_CRI_FSM_CUR_STATE) & M_CRI_FSM_CUR_STATE) + +#define S_CU_C3_ACK_VALUE 21 +#define M_CU_C3_ACK_VALUE 0x3U +#define V_CU_C3_ACK_VALUE(x) ((x) << S_CU_C3_ACK_VALUE) +#define G_CU_C3_ACK_VALUE(x) (((x) >> S_CU_C3_ACK_VALUE) & M_CU_C3_ACK_VALUE) + +#define S_CU_C2_ACK_VALUE 19 +#define M_CU_C2_ACK_VALUE 0x3U +#define V_CU_C2_ACK_VALUE(x) ((x) << S_CU_C2_ACK_VALUE) +#define G_CU_C2_ACK_VALUE(x) (((x) >> S_CU_C2_ACK_VALUE) & M_CU_C2_ACK_VALUE) + +#define S_CU_C1_ACK_VALUE 17 +#define M_CU_C1_ACK_VALUE 0x3U +#define V_CU_C1_ACK_VALUE(x) ((x) << S_CU_C1_ACK_VALUE) +#define G_CU_C1_ACK_VALUE(x) (((x) >> S_CU_C1_ACK_VALUE) & M_CU_C1_ACK_VALUE) + +#define S_CU_C0_ACK_VALUE 15 +#define M_CU_C0_ACK_VALUE 0x3U +#define V_CU_C0_ACK_VALUE(x) ((x) << S_CU_C0_ACK_VALUE) +#define G_CU_C0_ACK_VALUE(x) (((x) >> S_CU_C0_ACK_VALUE) & M_CU_C0_ACK_VALUE) + +#define S_CX_INIT 13 +#define V_CX_INIT(x) ((x) << S_CX_INIT) +#define F_CX_INIT V_CX_INIT(1U) + +#define S_CX_PRESET 12 +#define V_CX_PRESET(x) ((x) << S_CX_PRESET) +#define F_CX_PRESET V_CX_PRESET(1U) + +#define S_CUF_C3_UPDATE 9 +#define M_CUF_C3_UPDATE 0x3U +#define V_CUF_C3_UPDATE(x) ((x) << S_CUF_C3_UPDATE) +#define G_CUF_C3_UPDATE(x) (((x) >> S_CUF_C3_UPDATE) & M_CUF_C3_UPDATE) + +#define S_CUF_C2_UPDATE 7 +#define M_CUF_C2_UPDATE 0x3U +#define V_CUF_C2_UPDATE(x) ((x) << S_CUF_C2_UPDATE) +#define G_CUF_C2_UPDATE(x) (((x) >> S_CUF_C2_UPDATE) & M_CUF_C2_UPDATE) + +#define S_CUF_C1_UPDATE 5 +#define M_CUF_C1_UPDATE 0x3U +#define V_CUF_C1_UPDATE(x) ((x) << S_CUF_C1_UPDATE) +#define G_CUF_C1_UPDATE(x) (((x) >> S_CUF_C1_UPDATE) & M_CUF_C1_UPDATE) + +#define S_CUF_C0_UPDATE 3 +#define M_CUF_C0_UPDATE 0x3U +#define V_CUF_C0_UPDATE(x) ((x) << S_CUF_C0_UPDATE) +#define G_CUF_C0_UPDATE(x) (((x) >> S_CUF_C0_UPDATE) & M_CUF_C0_UPDATE) + +#define S_REG_FPH_ATTR_TXUPDAT_VALID 2 +#define V_REG_FPH_ATTR_TXUPDAT_VALID(x) ((x) << S_REG_FPH_ATTR_TXUPDAT_VALID) +#define F_REG_FPH_ATTR_TXUPDAT_VALID V_REG_FPH_ATTR_TXUPDAT_VALID(1U) + +#define S_REG_FPH_ATTR_TXSTAT_VALID 1 +#define V_REG_FPH_ATTR_TXSTAT_VALID(x) ((x) << S_REG_FPH_ATTR_TXSTAT_VALID) +#define F_REG_FPH_ATTR_TXSTAT_VALID V_REG_FPH_ATTR_TXSTAT_VALID(1U) + +#define S_REG_MAN_DEC_REQ 0 +#define V_REG_MAN_DEC_REQ(x) ((x) << S_REG_MAN_DEC_REQ) +#define F_REG_MAN_DEC_REQ V_REG_MAN_DEC_REQ(1U) + +#define A_MAC_PORT_AEC_DEBUG_HI_0 0x854 + +#define S_FC_LSNA_ 12 +#define V_FC_LSNA_(x) ((x) << S_FC_LSNA_) +#define F_FC_LSNA_ V_FC_LSNA_(1U) + +#define S_CUF_C0_FSM_DEBUG 9 +#define M_CUF_C0_FSM_DEBUG 0x7U +#define V_CUF_C0_FSM_DEBUG(x) ((x) << S_CUF_C0_FSM_DEBUG) +#define G_CUF_C0_FSM_DEBUG(x) (((x) >> S_CUF_C0_FSM_DEBUG) & M_CUF_C0_FSM_DEBUG) + +#define S_CUF_C1_FSM_DEBUG 6 +#define M_CUF_C1_FSM_DEBUG 0x7U +#define V_CUF_C1_FSM_DEBUG(x) ((x) << S_CUF_C1_FSM_DEBUG) +#define G_CUF_C1_FSM_DEBUG(x) (((x) >> S_CUF_C1_FSM_DEBUG) & M_CUF_C1_FSM_DEBUG) + +#define S_CUF_C2_FSM_DEBUG 3 +#define M_CUF_C2_FSM_DEBUG 0x7U +#define V_CUF_C2_FSM_DEBUG(x) ((x) << S_CUF_C2_FSM_DEBUG) +#define G_CUF_C2_FSM_DEBUG(x) (((x) >> S_CUF_C2_FSM_DEBUG) & M_CUF_C2_FSM_DEBUG) + +#define S_LCK_FSM_CUR_STATE 0 +#define M_LCK_FSM_CUR_STATE 0x7U +#define V_LCK_FSM_CUR_STATE(x) ((x) << S_LCK_FSM_CUR_STATE) +#define G_LCK_FSM_CUR_STATE(x) (((x) >> S_LCK_FSM_CUR_STATE) & M_LCK_FSM_CUR_STATE) + +#define A_MAC_PORT_AEC_DEBUG_LO_1 0x858 +#define A_MAC_PORT_AEC_DEBUG_HI_1 0x85c +#define A_MAC_PORT_AEC_DEBUG_LO_2 0x860 +#define A_MAC_PORT_AEC_DEBUG_HI_2 0x864 +#define A_MAC_PORT_AEC_DEBUG_LO_3 0x868 +#define A_MAC_PORT_AEC_DEBUG_HI_3 0x86c +#define A_MAC_PORT_MAC_DEBUG_RO 0x870 + +#define S_MAC40G100G_TX_UNDERFLOW 13 +#define V_MAC40G100G_TX_UNDERFLOW(x) ((x) << S_MAC40G100G_TX_UNDERFLOW) +#define F_MAC40G100G_TX_UNDERFLOW V_MAC40G100G_TX_UNDERFLOW(1U) + +#define S_MAC1G10G_MAGIC_IND 12 +#define V_MAC1G10G_MAGIC_IND(x) ((x) << S_MAC1G10G_MAGIC_IND) +#define F_MAC1G10G_MAGIC_IND V_MAC1G10G_MAGIC_IND(1U) + +#define S_MAC1G10G_FF_RX_EMPTY 11 +#define V_MAC1G10G_FF_RX_EMPTY(x) ((x) << S_MAC1G10G_FF_RX_EMPTY) +#define F_MAC1G10G_FF_RX_EMPTY V_MAC1G10G_FF_RX_EMPTY(1U) + +#define S_MAC1G10G_FF_TX_OVR_ERR 10 +#define V_MAC1G10G_FF_TX_OVR_ERR(x) ((x) << S_MAC1G10G_FF_TX_OVR_ERR) +#define F_MAC1G10G_FF_TX_OVR_ERR V_MAC1G10G_FF_TX_OVR_ERR(1U) + +#define S_MAC1G10G_IF_MODE_ENA 8 +#define M_MAC1G10G_IF_MODE_ENA 0x3U +#define V_MAC1G10G_IF_MODE_ENA(x) ((x) << S_MAC1G10G_IF_MODE_ENA) +#define G_MAC1G10G_IF_MODE_ENA(x) (((x) >> S_MAC1G10G_IF_MODE_ENA) & M_MAC1G10G_IF_MODE_ENA) + +#define S_MAC1G10G_MII_ENA_10 7 +#define V_MAC1G10G_MII_ENA_10(x) ((x) << S_MAC1G10G_MII_ENA_10) +#define F_MAC1G10G_MII_ENA_10 V_MAC1G10G_MII_ENA_10(1U) + +#define S_MAC1G10G_PAUSE_ON 6 +#define V_MAC1G10G_PAUSE_ON(x) ((x) << S_MAC1G10G_PAUSE_ON) +#define F_MAC1G10G_PAUSE_ON V_MAC1G10G_PAUSE_ON(1U) + +#define S_MAC1G10G_PFC_MODE 5 +#define V_MAC1G10G_PFC_MODE(x) ((x) << S_MAC1G10G_PFC_MODE) +#define F_MAC1G10G_PFC_MODE V_MAC1G10G_PFC_MODE(1U) + +#define S_MAC1G10G_RX_SFD_O 4 +#define V_MAC1G10G_RX_SFD_O(x) ((x) << S_MAC1G10G_RX_SFD_O) +#define F_MAC1G10G_RX_SFD_O V_MAC1G10G_RX_SFD_O(1U) + +#define S_MAC1G10G_TX_EMPTY 3 +#define V_MAC1G10G_TX_EMPTY(x) ((x) << S_MAC1G10G_TX_EMPTY) +#define F_MAC1G10G_TX_EMPTY V_MAC1G10G_TX_EMPTY(1U) + +#define S_MAC1G10G_TX_SFD_O 2 +#define V_MAC1G10G_TX_SFD_O(x) ((x) << S_MAC1G10G_TX_SFD_O) +#define F_MAC1G10G_TX_SFD_O V_MAC1G10G_TX_SFD_O(1U) + +#define S_MAC1G10G_TX_TS_FRM_OUT 1 +#define V_MAC1G10G_TX_TS_FRM_OUT(x) ((x) << S_MAC1G10G_TX_TS_FRM_OUT) +#define F_MAC1G10G_TX_TS_FRM_OUT V_MAC1G10G_TX_TS_FRM_OUT(1U) + +#define S_MAC1G10G_TX_UNDERFLOW 0 +#define V_MAC1G10G_TX_UNDERFLOW(x) ((x) << S_MAC1G10G_TX_UNDERFLOW) +#define F_MAC1G10G_TX_UNDERFLOW V_MAC1G10G_TX_UNDERFLOW(1U) + +#define A_MAC_PORT_MAC_CTRL_RW 0x874 + +#define S_MAC40G100G_FF_TX_PFC_XOFF 17 +#define M_MAC40G100G_FF_TX_PFC_XOFF 0xffU +#define V_MAC40G100G_FF_TX_PFC_XOFF(x) ((x) << S_MAC40G100G_FF_TX_PFC_XOFF) +#define G_MAC40G100G_FF_TX_PFC_XOFF(x) (((x) >> S_MAC40G100G_FF_TX_PFC_XOFF) & M_MAC40G100G_FF_TX_PFC_XOFF) + +#define S_MAC40G100G_TX_LOC_FAULT 16 +#define V_MAC40G100G_TX_LOC_FAULT(x) ((x) << S_MAC40G100G_TX_LOC_FAULT) +#define F_MAC40G100G_TX_LOC_FAULT V_MAC40G100G_TX_LOC_FAULT(1U) + +#define S_MAC40G100G_TX_REM_FAULT 15 +#define V_MAC40G100G_TX_REM_FAULT(x) ((x) << S_MAC40G100G_TX_REM_FAULT) +#define F_MAC40G100G_TX_REM_FAULT V_MAC40G100G_TX_REM_FAULT(1U) + +#define S_MAC40G_LOOP_BCK 14 +#define V_MAC40G_LOOP_BCK(x) ((x) << S_MAC40G_LOOP_BCK) +#define F_MAC40G_LOOP_BCK V_MAC40G_LOOP_BCK(1U) + +#define S_MAC1G10G_MAGIC_ENA 13 +#define V_MAC1G10G_MAGIC_ENA(x) ((x) << S_MAC1G10G_MAGIC_ENA) +#define F_MAC1G10G_MAGIC_ENA V_MAC1G10G_MAGIC_ENA(1U) + +#define S_MAC1G10G_IF_MODE_SET 11 +#define M_MAC1G10G_IF_MODE_SET 0x3U +#define V_MAC1G10G_IF_MODE_SET(x) ((x) << S_MAC1G10G_IF_MODE_SET) +#define G_MAC1G10G_IF_MODE_SET(x) (((x) >> S_MAC1G10G_IF_MODE_SET) & M_MAC1G10G_IF_MODE_SET) + +#define S_MAC1G10G_TX_LOC_FAULT 10 +#define V_MAC1G10G_TX_LOC_FAULT(x) ((x) << S_MAC1G10G_TX_LOC_FAULT) +#define F_MAC1G10G_TX_LOC_FAULT V_MAC1G10G_TX_LOC_FAULT(1U) + +#define S_MAC1G10G_TX_REM_FAULT 9 +#define V_MAC1G10G_TX_REM_FAULT(x) ((x) << S_MAC1G10G_TX_REM_FAULT) +#define F_MAC1G10G_TX_REM_FAULT V_MAC1G10G_TX_REM_FAULT(1U) + +#define S_MAC1G10G_XOFF_GEN 1 +#define M_MAC1G10G_XOFF_GEN 0xffU +#define V_MAC1G10G_XOFF_GEN(x) ((x) << S_MAC1G10G_XOFF_GEN) +#define G_MAC1G10G_XOFF_GEN(x) (((x) >> S_MAC1G10G_XOFF_GEN) & M_MAC1G10G_XOFF_GEN) + +#define S_MAC1G_LOOP_BCK 0 +#define V_MAC1G_LOOP_BCK(x) ((x) << S_MAC1G_LOOP_BCK) +#define F_MAC1G_LOOP_BCK V_MAC1G_LOOP_BCK(1U) + +#define A_MAC_PORT_PCS_DEBUG0_RO 0x878 + +#define S_FPGA_LOCK 26 +#define M_FPGA_LOCK 0xfU +#define V_FPGA_LOCK(x) ((x) << S_FPGA_LOCK) +#define G_FPGA_LOCK(x) (((x) >> S_FPGA_LOCK) & M_FPGA_LOCK) + +#define S_T6_AN_DONE 25 +#define V_T6_AN_DONE(x) ((x) << S_T6_AN_DONE) +#define F_T6_AN_DONE V_T6_AN_DONE(1U) + +#define S_AN_INT 24 +#define V_AN_INT(x) ((x) << S_AN_INT) +#define F_AN_INT V_AN_INT(1U) + +#define S_AN_PCS_RX_CLK_ENA 23 +#define V_AN_PCS_RX_CLK_ENA(x) ((x) << S_AN_PCS_RX_CLK_ENA) +#define F_AN_PCS_RX_CLK_ENA V_AN_PCS_RX_CLK_ENA(1U) + +#define S_AN_PCS_TX_CLK_ENA 22 +#define V_AN_PCS_TX_CLK_ENA(x) ((x) << S_AN_PCS_TX_CLK_ENA) +#define F_AN_PCS_TX_CLK_ENA V_AN_PCS_TX_CLK_ENA(1U) + +#define S_AN_SELECT 17 +#define M_AN_SELECT 0x1fU +#define V_AN_SELECT(x) ((x) << S_AN_SELECT) +#define G_AN_SELECT(x) (((x) >> S_AN_SELECT) & M_AN_SELECT) + +#define S_AN_PROG 16 +#define V_AN_PROG(x) ((x) << S_AN_PROG) +#define F_AN_PROG V_AN_PROG(1U) + +#define S_PCS40G_BLOCK_LOCK 12 +#define M_PCS40G_BLOCK_LOCK 0xfU +#define V_PCS40G_BLOCK_LOCK(x) ((x) << S_PCS40G_BLOCK_LOCK) +#define G_PCS40G_BLOCK_LOCK(x) (((x) >> S_PCS40G_BLOCK_LOCK) & M_PCS40G_BLOCK_LOCK) + +#define S_PCS40G_BER_TIMER_DONE 11 +#define V_PCS40G_BER_TIMER_DONE(x) ((x) << S_PCS40G_BER_TIMER_DONE) +#define F_PCS40G_BER_TIMER_DONE V_PCS40G_BER_TIMER_DONE(1U) + +#define S_PCS10G_FEC_LOCKED 10 +#define V_PCS10G_FEC_LOCKED(x) ((x) << S_PCS10G_FEC_LOCKED) +#define F_PCS10G_FEC_LOCKED V_PCS10G_FEC_LOCKED(1U) + +#define S_PCS10G_BLOCK_LOCK 9 +#define V_PCS10G_BLOCK_LOCK(x) ((x) << S_PCS10G_BLOCK_LOCK) +#define F_PCS10G_BLOCK_LOCK V_PCS10G_BLOCK_LOCK(1U) + +#define S_SGMII_GMII_COL 8 +#define V_SGMII_GMII_COL(x) ((x) << S_SGMII_GMII_COL) +#define F_SGMII_GMII_COL V_SGMII_GMII_COL(1U) + +#define S_SGMII_GMII_CRS 7 +#define V_SGMII_GMII_CRS(x) ((x) << S_SGMII_GMII_CRS) +#define F_SGMII_GMII_CRS V_SGMII_GMII_CRS(1U) + +#define S_SGMII_SD_LOOPBACK 6 +#define V_SGMII_SD_LOOPBACK(x) ((x) << S_SGMII_SD_LOOPBACK) +#define F_SGMII_SD_LOOPBACK V_SGMII_SD_LOOPBACK(1U) + +#define S_SGMII_SG_AN_DONE 5 +#define V_SGMII_SG_AN_DONE(x) ((x) << S_SGMII_SG_AN_DONE) +#define F_SGMII_SG_AN_DONE V_SGMII_SG_AN_DONE(1U) + +#define S_SGMII_SG_HD 4 +#define V_SGMII_SG_HD(x) ((x) << S_SGMII_SG_HD) +#define F_SGMII_SG_HD V_SGMII_SG_HD(1U) + +#define S_SGMII_SG_PAGE_RX 3 +#define V_SGMII_SG_PAGE_RX(x) ((x) << S_SGMII_SG_PAGE_RX) +#define F_SGMII_SG_PAGE_RX V_SGMII_SG_PAGE_RX(1U) + +#define S_SGMII_SG_RX_SYNC 2 +#define V_SGMII_SG_RX_SYNC(x) ((x) << S_SGMII_SG_RX_SYNC) +#define F_SGMII_SG_RX_SYNC V_SGMII_SG_RX_SYNC(1U) + +#define S_SGMII_SG_SPEED 0 +#define M_SGMII_SG_SPEED 0x3U +#define V_SGMII_SG_SPEED(x) ((x) << S_SGMII_SG_SPEED) +#define G_SGMII_SG_SPEED(x) (((x) >> S_SGMII_SG_SPEED) & M_SGMII_SG_SPEED) + +#define A_MAC_PORT_PCS_CTRL_RW 0x87c + +#define S_TX_LI_FAULT 31 +#define V_TX_LI_FAULT(x) ((x) << S_TX_LI_FAULT) +#define F_TX_LI_FAULT V_TX_LI_FAULT(1U) + +#define S_T6_PAD 30 +#define V_T6_PAD(x) ((x) << S_T6_PAD) +#define F_T6_PAD V_T6_PAD(1U) + +#define S_BLK_STB_VAL 22 +#define M_BLK_STB_VAL 0xffU +#define V_BLK_STB_VAL(x) ((x) << S_BLK_STB_VAL) +#define G_BLK_STB_VAL(x) (((x) >> S_BLK_STB_VAL) & M_BLK_STB_VAL) + +#define S_DEBUG_SEL 18 +#define M_DEBUG_SEL 0xfU +#define V_DEBUG_SEL(x) ((x) << S_DEBUG_SEL) +#define G_DEBUG_SEL(x) (((x) >> S_DEBUG_SEL) & M_DEBUG_SEL) + +#define S_SGMII_LOOP 15 +#define M_SGMII_LOOP 0x7U +#define V_SGMII_LOOP(x) ((x) << S_SGMII_LOOP) +#define G_SGMII_LOOP(x) (((x) >> S_SGMII_LOOP) & M_SGMII_LOOP) + +#define S_AN_DIS_TIMER 14 +#define V_AN_DIS_TIMER(x) ((x) << S_AN_DIS_TIMER) +#define F_AN_DIS_TIMER V_AN_DIS_TIMER(1U) + +#define S_PCS100G_BER_TIMER_SHORT 13 +#define V_PCS100G_BER_TIMER_SHORT(x) ((x) << S_PCS100G_BER_TIMER_SHORT) +#define F_PCS100G_BER_TIMER_SHORT V_PCS100G_BER_TIMER_SHORT(1U) + +#define S_PCS100G_TX_LANE_THRESH 9 +#define M_PCS100G_TX_LANE_THRESH 0xfU +#define V_PCS100G_TX_LANE_THRESH(x) ((x) << S_PCS100G_TX_LANE_THRESH) +#define G_PCS100G_TX_LANE_THRESH(x) (((x) >> S_PCS100G_TX_LANE_THRESH) & M_PCS100G_TX_LANE_THRESH) + +#define S_PCS100G_VL_INTVL 8 +#define V_PCS100G_VL_INTVL(x) ((x) << S_PCS100G_VL_INTVL) +#define F_PCS100G_VL_INTVL V_PCS100G_VL_INTVL(1U) + +#define S_SGMII_TX_LANE_CKMULT 4 +#define M_SGMII_TX_LANE_CKMULT 0x7U +#define V_SGMII_TX_LANE_CKMULT(x) ((x) << S_SGMII_TX_LANE_CKMULT) +#define G_SGMII_TX_LANE_CKMULT(x) (((x) >> S_SGMII_TX_LANE_CKMULT) & M_SGMII_TX_LANE_CKMULT) + +#define S_SGMII_TX_LANE_THRESH 0 +#define M_SGMII_TX_LANE_THRESH 0xfU +#define V_SGMII_TX_LANE_THRESH(x) ((x) << S_SGMII_TX_LANE_THRESH) +#define G_SGMII_TX_LANE_THRESH(x) (((x) >> S_SGMII_TX_LANE_THRESH) & M_SGMII_TX_LANE_THRESH) + +#define A_MAC_PORT_PCS_DEBUG1_RO 0x880 + +#define S_PCS100G_ALIGN_LOCK 21 +#define V_PCS100G_ALIGN_LOCK(x) ((x) << S_PCS100G_ALIGN_LOCK) +#define F_PCS100G_ALIGN_LOCK V_PCS100G_ALIGN_LOCK(1U) + +#define S_PCS100G_BER_TIMER_DONE 20 +#define V_PCS100G_BER_TIMER_DONE(x) ((x) << S_PCS100G_BER_TIMER_DONE) +#define F_PCS100G_BER_TIMER_DONE V_PCS100G_BER_TIMER_DONE(1U) + +#define S_PCS100G_BLOCK_LOCK 0 +#define M_PCS100G_BLOCK_LOCK 0xfffffU +#define V_PCS100G_BLOCK_LOCK(x) ((x) << S_PCS100G_BLOCK_LOCK) +#define G_PCS100G_BLOCK_LOCK(x) (((x) >> S_PCS100G_BLOCK_LOCK) & M_PCS100G_BLOCK_LOCK) + +#define A_MAC_PORT_PERR_INT_EN_100G 0x884 + +#define S_PERR_RX_FEC100G_DLY 29 +#define V_PERR_RX_FEC100G_DLY(x) ((x) << S_PERR_RX_FEC100G_DLY) +#define F_PERR_RX_FEC100G_DLY V_PERR_RX_FEC100G_DLY(1U) + +#define S_PERR_RX_FEC100G 28 +#define V_PERR_RX_FEC100G(x) ((x) << S_PERR_RX_FEC100G) +#define F_PERR_RX_FEC100G V_PERR_RX_FEC100G(1U) + +#define S_PERR_RX3_FEC100G_DK 27 +#define V_PERR_RX3_FEC100G_DK(x) ((x) << S_PERR_RX3_FEC100G_DK) +#define F_PERR_RX3_FEC100G_DK V_PERR_RX3_FEC100G_DK(1U) + +#define S_PERR_RX2_FEC100G_DK 26 +#define V_PERR_RX2_FEC100G_DK(x) ((x) << S_PERR_RX2_FEC100G_DK) +#define F_PERR_RX2_FEC100G_DK V_PERR_RX2_FEC100G_DK(1U) + +#define S_PERR_RX1_FEC100G_DK 25 +#define V_PERR_RX1_FEC100G_DK(x) ((x) << S_PERR_RX1_FEC100G_DK) +#define F_PERR_RX1_FEC100G_DK V_PERR_RX1_FEC100G_DK(1U) + +#define S_PERR_RX0_FEC100G_DK 24 +#define V_PERR_RX0_FEC100G_DK(x) ((x) << S_PERR_RX0_FEC100G_DK) +#define F_PERR_RX0_FEC100G_DK V_PERR_RX0_FEC100G_DK(1U) + +#define S_PERR_TX3_PCS100G 23 +#define V_PERR_TX3_PCS100G(x) ((x) << S_PERR_TX3_PCS100G) +#define F_PERR_TX3_PCS100G V_PERR_TX3_PCS100G(1U) + +#define S_PERR_TX2_PCS100G 22 +#define V_PERR_TX2_PCS100G(x) ((x) << S_PERR_TX2_PCS100G) +#define F_PERR_TX2_PCS100G V_PERR_TX2_PCS100G(1U) + +#define S_PERR_TX1_PCS100G 21 +#define V_PERR_TX1_PCS100G(x) ((x) << S_PERR_TX1_PCS100G) +#define F_PERR_TX1_PCS100G V_PERR_TX1_PCS100G(1U) + +#define S_PERR_TX0_PCS100G 20 +#define V_PERR_TX0_PCS100G(x) ((x) << S_PERR_TX0_PCS100G) +#define F_PERR_TX0_PCS100G V_PERR_TX0_PCS100G(1U) + +#define S_PERR_RX19_PCS100G 19 +#define V_PERR_RX19_PCS100G(x) ((x) << S_PERR_RX19_PCS100G) +#define F_PERR_RX19_PCS100G V_PERR_RX19_PCS100G(1U) + +#define S_PERR_RX18_PCS100G 18 +#define V_PERR_RX18_PCS100G(x) ((x) << S_PERR_RX18_PCS100G) +#define F_PERR_RX18_PCS100G V_PERR_RX18_PCS100G(1U) + +#define S_PERR_RX17_PCS100G 17 +#define V_PERR_RX17_PCS100G(x) ((x) << S_PERR_RX17_PCS100G) +#define F_PERR_RX17_PCS100G V_PERR_RX17_PCS100G(1U) + +#define S_PERR_RX16_PCS100G 16 +#define V_PERR_RX16_PCS100G(x) ((x) << S_PERR_RX16_PCS100G) +#define F_PERR_RX16_PCS100G V_PERR_RX16_PCS100G(1U) + +#define S_PERR_RX15_PCS100G 15 +#define V_PERR_RX15_PCS100G(x) ((x) << S_PERR_RX15_PCS100G) +#define F_PERR_RX15_PCS100G V_PERR_RX15_PCS100G(1U) + +#define S_PERR_RX14_PCS100G 14 +#define V_PERR_RX14_PCS100G(x) ((x) << S_PERR_RX14_PCS100G) +#define F_PERR_RX14_PCS100G V_PERR_RX14_PCS100G(1U) + +#define S_PERR_RX13_PCS100G 13 +#define V_PERR_RX13_PCS100G(x) ((x) << S_PERR_RX13_PCS100G) +#define F_PERR_RX13_PCS100G V_PERR_RX13_PCS100G(1U) + +#define S_PERR_RX12_PCS100G 12 +#define V_PERR_RX12_PCS100G(x) ((x) << S_PERR_RX12_PCS100G) +#define F_PERR_RX12_PCS100G V_PERR_RX12_PCS100G(1U) + +#define S_PERR_RX11_PCS100G 11 +#define V_PERR_RX11_PCS100G(x) ((x) << S_PERR_RX11_PCS100G) +#define F_PERR_RX11_PCS100G V_PERR_RX11_PCS100G(1U) + +#define S_PERR_RX10_PCS100G 10 +#define V_PERR_RX10_PCS100G(x) ((x) << S_PERR_RX10_PCS100G) +#define F_PERR_RX10_PCS100G V_PERR_RX10_PCS100G(1U) + +#define S_PERR_RX9_PCS100G 9 +#define V_PERR_RX9_PCS100G(x) ((x) << S_PERR_RX9_PCS100G) +#define F_PERR_RX9_PCS100G V_PERR_RX9_PCS100G(1U) + +#define S_PERR_RX8_PCS100G 8 +#define V_PERR_RX8_PCS100G(x) ((x) << S_PERR_RX8_PCS100G) +#define F_PERR_RX8_PCS100G V_PERR_RX8_PCS100G(1U) + +#define S_PERR_RX7_PCS100G 7 +#define V_PERR_RX7_PCS100G(x) ((x) << S_PERR_RX7_PCS100G) +#define F_PERR_RX7_PCS100G V_PERR_RX7_PCS100G(1U) + +#define S_PERR_RX6_PCS100G 6 +#define V_PERR_RX6_PCS100G(x) ((x) << S_PERR_RX6_PCS100G) +#define F_PERR_RX6_PCS100G V_PERR_RX6_PCS100G(1U) + +#define S_PERR_RX5_PCS100G 5 +#define V_PERR_RX5_PCS100G(x) ((x) << S_PERR_RX5_PCS100G) +#define F_PERR_RX5_PCS100G V_PERR_RX5_PCS100G(1U) + +#define S_PERR_RX4_PCS100G 4 +#define V_PERR_RX4_PCS100G(x) ((x) << S_PERR_RX4_PCS100G) +#define F_PERR_RX4_PCS100G V_PERR_RX4_PCS100G(1U) + +#define S_PERR_RX3_PCS100G 3 +#define V_PERR_RX3_PCS100G(x) ((x) << S_PERR_RX3_PCS100G) +#define F_PERR_RX3_PCS100G V_PERR_RX3_PCS100G(1U) + +#define S_PERR_RX2_PCS100G 2 +#define V_PERR_RX2_PCS100G(x) ((x) << S_PERR_RX2_PCS100G) +#define F_PERR_RX2_PCS100G V_PERR_RX2_PCS100G(1U) + +#define S_PERR_RX1_PCS100G 1 +#define V_PERR_RX1_PCS100G(x) ((x) << S_PERR_RX1_PCS100G) +#define F_PERR_RX1_PCS100G V_PERR_RX1_PCS100G(1U) + +#define S_PERR_RX0_PCS100G 0 +#define V_PERR_RX0_PCS100G(x) ((x) << S_PERR_RX0_PCS100G) +#define F_PERR_RX0_PCS100G V_PERR_RX0_PCS100G(1U) + +#define A_MAC_PORT_PERR_INT_CAUSE_100G 0x888 +#define A_MAC_PORT_PERR_ENABLE_100G 0x88c +#define A_MAC_PORT_MAC_STAT_DEBUG 0x890 +#define A_MAC_PORT_MAC_25G_50G_AM0 0x894 +#define A_MAC_PORT_MAC_25G_50G_AM1 0x898 +#define A_MAC_PORT_MAC_25G_50G_AM2 0x89c +#define A_MAC_PORT_MAC_25G_50G_AM3 0x8a0 +#define A_MAC_PORT_MAC_AN_STATE_STATUS 0x8a4 #define A_MAC_PORT_EPIO_DATA0 0x8c0 #define A_MAC_PORT_EPIO_DATA1 0x8c4 #define A_MAC_PORT_EPIO_DATA2 0x8c8 @@ -33853,6 +46365,18 @@ #define V_AN_PAGE_RCVD(x) ((x) << S_AN_PAGE_RCVD) #define F_AN_PAGE_RCVD V_AN_PAGE_RCVD(1U) +#define S_PPS 30 +#define V_PPS(x) ((x) << S_PPS) +#define F_PPS V_PPS(1U) + +#define S_SINGLE_ALARM 28 +#define V_SINGLE_ALARM(x) ((x) << S_SINGLE_ALARM) +#define F_SINGLE_ALARM V_SINGLE_ALARM(1U) + +#define S_PERIODIC_ALARM 27 +#define V_PERIODIC_ALARM(x) ((x) << S_PERIODIC_ALARM) +#define F_PERIODIC_ALARM V_PERIODIC_ALARM(1U) + #define A_MAC_PORT_INT_CAUSE 0x8dc #define A_MAC_PORT_PERR_INT_EN 0x8e0 @@ -33956,9 +46480,169 @@ #define V_PERR0_TX(x) ((x) << S_PERR0_TX) #define F_PERR0_TX V_PERR0_TX(1U) +#define S_T6_PERR_PKT_RAM 31 +#define V_T6_PERR_PKT_RAM(x) ((x) << S_T6_PERR_PKT_RAM) +#define F_T6_PERR_PKT_RAM V_T6_PERR_PKT_RAM(1U) + +#define S_T6_PERR_MASK_RAM 30 +#define V_T6_PERR_MASK_RAM(x) ((x) << S_T6_PERR_MASK_RAM) +#define F_T6_PERR_MASK_RAM V_T6_PERR_MASK_RAM(1U) + +#define S_T6_PERR_CRC_RAM 29 +#define V_T6_PERR_CRC_RAM(x) ((x) << S_T6_PERR_CRC_RAM) +#define F_T6_PERR_CRC_RAM V_T6_PERR_CRC_RAM(1U) + +#define S_RX_MAC40G 28 +#define V_RX_MAC40G(x) ((x) << S_RX_MAC40G) +#define F_RX_MAC40G V_RX_MAC40G(1U) + +#define S_TX_MAC40G 27 +#define V_TX_MAC40G(x) ((x) << S_TX_MAC40G) +#define F_TX_MAC40G V_TX_MAC40G(1U) + +#define S_RX_ST_MAC40G 26 +#define V_RX_ST_MAC40G(x) ((x) << S_RX_ST_MAC40G) +#define F_RX_ST_MAC40G V_RX_ST_MAC40G(1U) + +#define S_TX_ST_MAC40G 25 +#define V_TX_ST_MAC40G(x) ((x) << S_TX_ST_MAC40G) +#define F_TX_ST_MAC40G V_TX_ST_MAC40G(1U) + +#define S_TX_MAC1G10G 24 +#define V_TX_MAC1G10G(x) ((x) << S_TX_MAC1G10G) +#define F_TX_MAC1G10G V_TX_MAC1G10G(1U) + +#define S_RX_MAC1G10G 23 +#define V_RX_MAC1G10G(x) ((x) << S_RX_MAC1G10G) +#define F_RX_MAC1G10G V_RX_MAC1G10G(1U) + +#define S_RX_STATUS_MAC1G10G 22 +#define V_RX_STATUS_MAC1G10G(x) ((x) << S_RX_STATUS_MAC1G10G) +#define F_RX_STATUS_MAC1G10G V_RX_STATUS_MAC1G10G(1U) + +#define S_RX_ST_MAC1G10G 21 +#define V_RX_ST_MAC1G10G(x) ((x) << S_RX_ST_MAC1G10G) +#define F_RX_ST_MAC1G10G V_RX_ST_MAC1G10G(1U) + +#define S_TX_ST_MAC1G10G 20 +#define V_TX_ST_MAC1G10G(x) ((x) << S_TX_ST_MAC1G10G) +#define F_TX_ST_MAC1G10G V_TX_ST_MAC1G10G(1U) + +#define S_PERR_TX0_PCS40G 19 +#define V_PERR_TX0_PCS40G(x) ((x) << S_PERR_TX0_PCS40G) +#define F_PERR_TX0_PCS40G V_PERR_TX0_PCS40G(1U) + +#define S_PERR_TX1_PCS40G 18 +#define V_PERR_TX1_PCS40G(x) ((x) << S_PERR_TX1_PCS40G) +#define F_PERR_TX1_PCS40G V_PERR_TX1_PCS40G(1U) + +#define S_PERR_TX2_PCS40G 17 +#define V_PERR_TX2_PCS40G(x) ((x) << S_PERR_TX2_PCS40G) +#define F_PERR_TX2_PCS40G V_PERR_TX2_PCS40G(1U) + +#define S_PERR_TX3_PCS40G 16 +#define V_PERR_TX3_PCS40G(x) ((x) << S_PERR_TX3_PCS40G) +#define F_PERR_TX3_PCS40G V_PERR_TX3_PCS40G(1U) + +#define S_PERR_TX0_FEC40G 15 +#define V_PERR_TX0_FEC40G(x) ((x) << S_PERR_TX0_FEC40G) +#define F_PERR_TX0_FEC40G V_PERR_TX0_FEC40G(1U) + +#define S_PERR_TX1_FEC40G 14 +#define V_PERR_TX1_FEC40G(x) ((x) << S_PERR_TX1_FEC40G) +#define F_PERR_TX1_FEC40G V_PERR_TX1_FEC40G(1U) + +#define S_PERR_TX2_FEC40G 13 +#define V_PERR_TX2_FEC40G(x) ((x) << S_PERR_TX2_FEC40G) +#define F_PERR_TX2_FEC40G V_PERR_TX2_FEC40G(1U) + +#define S_PERR_TX3_FEC40G 12 +#define V_PERR_TX3_FEC40G(x) ((x) << S_PERR_TX3_FEC40G) +#define F_PERR_TX3_FEC40G V_PERR_TX3_FEC40G(1U) + +#define S_PERR_RX0_PCS40G 11 +#define V_PERR_RX0_PCS40G(x) ((x) << S_PERR_RX0_PCS40G) +#define F_PERR_RX0_PCS40G V_PERR_RX0_PCS40G(1U) + +#define S_PERR_RX1_PCS40G 10 +#define V_PERR_RX1_PCS40G(x) ((x) << S_PERR_RX1_PCS40G) +#define F_PERR_RX1_PCS40G V_PERR_RX1_PCS40G(1U) + +#define S_PERR_RX2_PCS40G 9 +#define V_PERR_RX2_PCS40G(x) ((x) << S_PERR_RX2_PCS40G) +#define F_PERR_RX2_PCS40G V_PERR_RX2_PCS40G(1U) + +#define S_PERR_RX3_PCS40G 8 +#define V_PERR_RX3_PCS40G(x) ((x) << S_PERR_RX3_PCS40G) +#define F_PERR_RX3_PCS40G V_PERR_RX3_PCS40G(1U) + +#define S_PERR_RX0_FEC40G 7 +#define V_PERR_RX0_FEC40G(x) ((x) << S_PERR_RX0_FEC40G) +#define F_PERR_RX0_FEC40G V_PERR_RX0_FEC40G(1U) + +#define S_PERR_RX1_FEC40G 6 +#define V_PERR_RX1_FEC40G(x) ((x) << S_PERR_RX1_FEC40G) +#define F_PERR_RX1_FEC40G V_PERR_RX1_FEC40G(1U) + +#define S_PERR_RX2_FEC40G 5 +#define V_PERR_RX2_FEC40G(x) ((x) << S_PERR_RX2_FEC40G) +#define F_PERR_RX2_FEC40G V_PERR_RX2_FEC40G(1U) + +#define S_PERR_RX3_FEC40G 4 +#define V_PERR_RX3_FEC40G(x) ((x) << S_PERR_RX3_FEC40G) +#define F_PERR_RX3_FEC40G V_PERR_RX3_FEC40G(1U) + +#define S_PERR_RX_PCS10G_LPBK 3 +#define V_PERR_RX_PCS10G_LPBK(x) ((x) << S_PERR_RX_PCS10G_LPBK) +#define F_PERR_RX_PCS10G_LPBK V_PERR_RX_PCS10G_LPBK(1U) + +#define S_PERR_RX_PCS10G 2 +#define V_PERR_RX_PCS10G(x) ((x) << S_PERR_RX_PCS10G) +#define F_PERR_RX_PCS10G V_PERR_RX_PCS10G(1U) + +#define S_PERR_RX_PCS1G 1 +#define V_PERR_RX_PCS1G(x) ((x) << S_PERR_RX_PCS1G) +#define F_PERR_RX_PCS1G V_PERR_RX_PCS1G(1U) + +#define S_PERR_TX_PCS1G 0 +#define V_PERR_TX_PCS1G(x) ((x) << S_PERR_TX_PCS1G) +#define F_PERR_TX_PCS1G V_PERR_TX_PCS1G(1U) + #define A_MAC_PORT_PERR_INT_CAUSE 0x8e4 + +#define S_T6_PERR_PKT_RAM 31 +#define V_T6_PERR_PKT_RAM(x) ((x) << S_T6_PERR_PKT_RAM) +#define F_T6_PERR_PKT_RAM V_T6_PERR_PKT_RAM(1U) + +#define S_T6_PERR_MASK_RAM 30 +#define V_T6_PERR_MASK_RAM(x) ((x) << S_T6_PERR_MASK_RAM) +#define F_T6_PERR_MASK_RAM V_T6_PERR_MASK_RAM(1U) + +#define S_T6_PERR_CRC_RAM 29 +#define V_T6_PERR_CRC_RAM(x) ((x) << S_T6_PERR_CRC_RAM) +#define F_T6_PERR_CRC_RAM V_T6_PERR_CRC_RAM(1U) + #define A_MAC_PORT_PERR_ENABLE 0x8e8 + +#define S_T6_PERR_PKT_RAM 31 +#define V_T6_PERR_PKT_RAM(x) ((x) << S_T6_PERR_PKT_RAM) +#define F_T6_PERR_PKT_RAM V_T6_PERR_PKT_RAM(1U) + +#define S_T6_PERR_MASK_RAM 30 +#define V_T6_PERR_MASK_RAM(x) ((x) << S_T6_PERR_MASK_RAM) +#define F_T6_PERR_MASK_RAM V_T6_PERR_MASK_RAM(1U) + +#define S_T6_PERR_CRC_RAM 29 +#define V_T6_PERR_CRC_RAM(x) ((x) << S_T6_PERR_CRC_RAM) +#define F_T6_PERR_CRC_RAM V_T6_PERR_CRC_RAM(1U) + #define A_MAC_PORT_PERR_INJECT 0x8ec + +#define S_MEMSEL_PERR 1 +#define M_MEMSEL_PERR 0x3fU +#define V_MEMSEL_PERR(x) ((x) << S_MEMSEL_PERR) +#define G_MEMSEL_PERR(x) (((x) >> S_MEMSEL_PERR) & M_MEMSEL_PERR) + #define A_MAC_PORT_HSS_CFG0 0x8f0 #define S_HSSREFCLKVALIDA 20 @@ -34189,6 +46873,16 @@ #define V_HSSPLLCONFIGA(x) ((x) << S_HSSPLLCONFIGA) #define G_HSSPLLCONFIGA(x) (((x) >> S_HSSPLLCONFIGA) & M_HSSPLLCONFIGA) +#define S_T6_HSSCALSSTN 22 +#define M_T6_HSSCALSSTN 0x3fU +#define V_T6_HSSCALSSTN(x) ((x) << S_T6_HSSCALSSTN) +#define G_T6_HSSCALSSTN(x) (((x) >> S_T6_HSSCALSSTN) & M_T6_HSSCALSSTN) + +#define S_T6_HSSCALSSTP 16 +#define M_T6_HSSCALSSTP 0x3fU +#define V_T6_HSSCALSSTP(x) ((x) << S_T6_HSSCALSSTP) +#define G_T6_HSSCALSSTP(x) (((x) >> S_T6_HSSCALSSTP) & M_T6_HSSCALSSTP) + #define A_MAC_PORT_HSS_CFG4 0x900 #define S_HSSDIVSELA 9 @@ -34201,6 +46895,24 @@ #define V_HSSDIVSELB(x) ((x) << S_HSSDIVSELB) #define G_HSSDIVSELB(x) (((x) >> S_HSSDIVSELB) & M_HSSDIVSELB) +#define S_HSSREFDIVA 24 +#define M_HSSREFDIVA 0xfU +#define V_HSSREFDIVA(x) ((x) << S_HSSREFDIVA) +#define G_HSSREFDIVA(x) (((x) >> S_HSSREFDIVA) & M_HSSREFDIVA) + +#define S_HSSREFDIVB 20 +#define M_HSSREFDIVB 0xfU +#define V_HSSREFDIVB(x) ((x) << S_HSSREFDIVB) +#define G_HSSREFDIVB(x) (((x) >> S_HSSREFDIVB) & M_HSSREFDIVB) + +#define S_HSSPLLDIV2B 19 +#define V_HSSPLLDIV2B(x) ((x) << S_HSSPLLDIV2B) +#define F_HSSPLLDIV2B V_HSSPLLDIV2B(1U) + +#define S_HSSPLLDIV2A 18 +#define V_HSSPLLDIV2A(x) ((x) << S_HSSPLLDIV2A) +#define F_HSSPLLDIV2A V_HSSPLLDIV2A(1U) + #define A_MAC_PORT_HSS_STATUS 0x904 #define S_HSSPLLLOCKB 3 @@ -34219,6 +46931,22 @@ #define V_HSSPRTREADYA(x) ((x) << S_HSSPRTREADYA) #define F_HSSPRTREADYA V_HSSPRTREADYA(1U) +#define S_RXDERROFLOW 19 +#define V_RXDERROFLOW(x) ((x) << S_RXDERROFLOW) +#define F_RXDERROFLOW V_RXDERROFLOW(1U) + +#define S_RXCERROFLOW 18 +#define V_RXCERROFLOW(x) ((x) << S_RXCERROFLOW) +#define F_RXCERROFLOW V_RXCERROFLOW(1U) + +#define S_RXBERROFLOW 17 +#define V_RXBERROFLOW(x) ((x) << S_RXBERROFLOW) +#define F_RXBERROFLOW V_RXBERROFLOW(1U) + +#define S_RXAERROFLOW 16 +#define V_RXAERROFLOW(x) ((x) << S_RXAERROFLOW) +#define F_RXAERROFLOW V_RXAERROFLOW(1U) + #define A_MAC_PORT_HSS_EEE_STATUS 0x908 #define S_RXAQUIET_STATUS 15 @@ -34573,9 +47301,9 @@ #define A_MAC_PORT_PTP_OFFSET_ADJUST_FINE 0x9a4 #define S_B 16 -#define M_B 0xffffU +#define CXGBE_M_B 0xffffU #define V_B(x) ((x) << S_B) -#define G_B(x) (((x) >> S_B) & M_B) +#define G_B(x) (((x) >> S_B) & CXGBE_M_B) #define S_A 0 #define M_A 0xffffU @@ -34607,6 +47335,27 @@ #define V_Q(x) ((x) << S_Q) #define G_Q(x) (((x) >> S_Q) & M_Q) +#define S_ALARM_EN 21 +#define V_ALARM_EN(x) ((x) << S_ALARM_EN) +#define F_ALARM_EN V_ALARM_EN(1U) + +#define S_ALARM_START 20 +#define V_ALARM_START(x) ((x) << S_ALARM_START) +#define F_ALARM_START V_ALARM_START(1U) + +#define S_PPS_EN 19 +#define V_PPS_EN(x) ((x) << S_PPS_EN) +#define F_PPS_EN V_PPS_EN(1U) + +#define A_MAC_PORT_PTP_PPS 0x9b0 +#define A_MAC_PORT_PTP_SINGLE_ALARM 0x9b4 +#define A_MAC_PORT_PTP_PERIODIC_ALARM 0x9b8 +#define A_MAC_PORT_PTP_STATUS 0x9bc + +#define S_ALARM_DONE 0 +#define V_ALARM_DONE(x) ((x) << S_ALARM_DONE) +#define F_ALARM_DONE V_ALARM_DONE(1U) + #define A_MAC_PORT_MTIP_REVISION 0xa00 #define S_CUSTREV 16 @@ -34966,6 +47715,18 @@ #define V_SPEEDSEL0(x) ((x) << S_SPEEDSEL0) #define F_SPEEDSEL0 V_SPEEDSEL0(1U) +#define A_MAC_PORT_MTIP_1G10G_REVISION 0xd00 + +#define S_VER_1G10G 8 +#define M_VER_1G10G 0xffU +#define V_VER_1G10G(x) ((x) << S_VER_1G10G) +#define G_VER_1G10G(x) (((x) >> S_VER_1G10G) & M_VER_1G10G) + +#define S_REV_1G10G 0 +#define M_REV_1G10G 0xffU +#define V_REV_1G10G(x) ((x) << S_REV_1G10G) +#define G_REV_1G10G(x) (((x) >> S_REV_1G10G) & M_REV_1G10G) + #define A_MAC_PORT_MTIP_SGMII_STATUS 0xd04 #define S_100BASET4 15 @@ -35012,8 +47773,52 @@ #define V_EXTDCAPABILITY(x) ((x) << S_EXTDCAPABILITY) #define F_EXTDCAPABILITY V_EXTDCAPABILITY(1U) +#define A_MAC_PORT_MTIP_1G10G_SCRATCH 0xd04 #define A_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0 0xd08 +#define A_MAC_PORT_MTIP_1G10G_COMMAND_CONFIG 0xd08 + +#define S_SHORT_DISCARD 25 +#define V_SHORT_DISCARD(x) ((x) << S_SHORT_DISCARD) +#define F_SHORT_DISCARD V_SHORT_DISCARD(1U) + +#define S_REG_LOWP_RXEMPTY 24 +#define V_REG_LOWP_RXEMPTY(x) ((x) << S_REG_LOWP_RXEMPTY) +#define F_REG_LOWP_RXEMPTY V_REG_LOWP_RXEMPTY(1U) + +#define S_TX_LOWP_ENA 23 +#define V_TX_LOWP_ENA(x) ((x) << S_TX_LOWP_ENA) +#define F_TX_LOWP_ENA V_TX_LOWP_ENA(1U) + +#define S_TX_FLUSH_EN 22 +#define V_TX_FLUSH_EN(x) ((x) << S_TX_FLUSH_EN) +#define F_TX_FLUSH_EN V_TX_FLUSH_EN(1U) + +#define S_SFD_ANY 21 +#define V_SFD_ANY(x) ((x) << S_SFD_ANY) +#define F_SFD_ANY V_SFD_ANY(1U) + +#define S_COL_CNT_EXT 18 +#define V_COL_CNT_EXT(x) ((x) << S_COL_CNT_EXT) +#define F_COL_CNT_EXT V_COL_CNT_EXT(1U) + +#define S_FORCE_SEND_IDLE 16 +#define V_FORCE_SEND_IDLE(x) ((x) << S_FORCE_SEND_IDLE) +#define F_FORCE_SEND_IDLE V_FORCE_SEND_IDLE(1U) + +#define S_CNTL_FRM_ENA 13 +#define V_CNTL_FRM_ENA(x) ((x) << S_CNTL_FRM_ENA) +#define F_CNTL_FRM_ENA V_CNTL_FRM_ENA(1U) + +#define S_RX_ENAMAC 1 +#define V_RX_ENAMAC(x) ((x) << S_RX_ENAMAC) +#define F_RX_ENAMAC V_RX_ENAMAC(1U) + +#define S_TX_ENAMAC 0 +#define V_TX_ENAMAC(x) ((x) << S_TX_ENAMAC) +#define F_TX_ENAMAC V_TX_ENAMAC(1U) + #define A_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1 0xd0c +#define A_MAC_PORT_MTIP_1G10G_MAC_ADDR_0 0xd0c #define A_MAC_PORT_MTIP_SGMII_DEV_ABILITY 0xd10 #define S_RF2 13 @@ -35040,6 +47845,7 @@ #define V_FD(x) ((x) << S_FD) #define F_FD V_FD(1U) +#define A_MAC_PORT_MTIP_1G10G_MAC_ADDR_1 0xd10 #define A_MAC_PORT_MTIP_SGMII_PARTNER_ABILITY 0xd14 #define S_CULINKSTATUS 15 @@ -35055,6 +47861,18 @@ #define V_CUSPEED(x) ((x) << S_CUSPEED) #define G_CUSPEED(x) (((x) >> S_CUSPEED) & M_CUSPEED) +#define A_MAC_PORT_MTIP_1G10G_FRM_LENGTH_TX_MTU 0xd14 + +#define S_SET_LEN 16 +#define M_SET_LEN 0xffffU +#define V_SET_LEN(x) ((x) << S_SET_LEN) +#define G_SET_LEN(x) (((x) >> S_SET_LEN) & M_SET_LEN) + +#define S_FRM_LEN_SET 0 +#define M_FRM_LEN_SET 0xffffU +#define V_FRM_LEN_SET(x) ((x) << S_FRM_LEN_SET) +#define G_FRM_LEN_SET(x) (((x) >> S_FRM_LEN_SET) & M_FRM_LEN_SET) + #define A_MAC_PORT_MTIP_SGMII_AN_EXPANSION 0xd18 #define S_PGRCVD 1 @@ -35066,8 +47884,117 @@ #define F_REALTIMEPGRCVD V_REALTIMEPGRCVD(1U) #define A_MAC_PORT_MTIP_SGMII_DEVICE_NP 0xd1c +#define A_MAC_PORT_MTIP_1G10G_RX_FIFO_SECTIONS 0xd1c + +#define S_RX1G10G_EMPTY 16 +#define M_RX1G10G_EMPTY 0xffffU +#define V_RX1G10G_EMPTY(x) ((x) << S_RX1G10G_EMPTY) +#define G_RX1G10G_EMPTY(x) (((x) >> S_RX1G10G_EMPTY) & M_RX1G10G_EMPTY) + +#define S_RX1G10G_AVAIL 0 +#define M_RX1G10G_AVAIL 0xffffU +#define V_RX1G10G_AVAIL(x) ((x) << S_RX1G10G_AVAIL) +#define G_RX1G10G_AVAIL(x) (((x) >> S_RX1G10G_AVAIL) & M_RX1G10G_AVAIL) + #define A_MAC_PORT_MTIP_SGMII_PARTNER_NP 0xd20 +#define A_MAC_PORT_MTIP_1G10G_TX_FIFO_SECTIONS 0xd20 + +#define S_TX1G10G_EMPTY 16 +#define M_TX1G10G_EMPTY 0xffffU +#define V_TX1G10G_EMPTY(x) ((x) << S_TX1G10G_EMPTY) +#define G_TX1G10G_EMPTY(x) (((x) >> S_TX1G10G_EMPTY) & M_TX1G10G_EMPTY) + +#define S_TX1G10G_AVAIL 0 +#define M_TX1G10G_AVAIL 0xffffU +#define V_TX1G10G_AVAIL(x) ((x) << S_TX1G10G_AVAIL) +#define G_TX1G10G_AVAIL(x) (((x) >> S_TX1G10G_AVAIL) & M_TX1G10G_AVAIL) + +#define A_MAC_PORT_MTIP_1G10G_RX_FIFO_ALMOST_F_E 0xd24 + +#define S_ALMOSTFULL 16 +#define M_ALMOSTFULL 0xffffU +#define V_ALMOSTFULL(x) ((x) << S_ALMOSTFULL) +#define G_ALMOSTFULL(x) (((x) >> S_ALMOSTFULL) & M_ALMOSTFULL) + +#define S_ALMOSTEMPTY 0 +#define M_ALMOSTEMPTY 0xffffU +#define V_ALMOSTEMPTY(x) ((x) << S_ALMOSTEMPTY) +#define G_ALMOSTEMPTY(x) (((x) >> S_ALMOSTEMPTY) & M_ALMOSTEMPTY) + +#define A_MAC_PORT_MTIP_1G10G_TX_FIFO_ALMOST_F_E 0xd28 +#define A_MAC_PORT_MTIP_1G10G_HASHTABLE_LOAD 0xd2c +#define A_MAC_PORT_MTIP_1G10G_MDIO_CFG_STATUS 0xd30 + +#define S_CLK_DIVISOR 7 +#define M_CLK_DIVISOR 0x1ffU +#define V_CLK_DIVISOR(x) ((x) << S_CLK_DIVISOR) +#define G_CLK_DIVISOR(x) (((x) >> S_CLK_DIVISOR) & M_CLK_DIVISOR) + +#define S_ENA_CLAUSE 6 +#define V_ENA_CLAUSE(x) ((x) << S_ENA_CLAUSE) +#define F_ENA_CLAUSE V_ENA_CLAUSE(1U) + +#define S_PREAMBLE_DISABLE 5 +#define V_PREAMBLE_DISABLE(x) ((x) << S_PREAMBLE_DISABLE) +#define F_PREAMBLE_DISABLE V_PREAMBLE_DISABLE(1U) + +#define S_HOLD_TIME_SETTING 2 +#define M_HOLD_TIME_SETTING 0x7U +#define V_HOLD_TIME_SETTING(x) ((x) << S_HOLD_TIME_SETTING) +#define G_HOLD_TIME_SETTING(x) (((x) >> S_HOLD_TIME_SETTING) & M_HOLD_TIME_SETTING) + +#define S_MDIO_READ_ERROR 1 +#define V_MDIO_READ_ERROR(x) ((x) << S_MDIO_READ_ERROR) +#define F_MDIO_READ_ERROR V_MDIO_READ_ERROR(1U) + +#define A_MAC_PORT_MTIP_1G10G_MDIO_COMMAND 0xd34 + +#define S_READ_MODE 15 +#define V_READ_MODE(x) ((x) << S_READ_MODE) +#define F_READ_MODE V_READ_MODE(1U) + +#define S_POST_INCR_READ 14 +#define V_POST_INCR_READ(x) ((x) << S_POST_INCR_READ) +#define F_POST_INCR_READ V_POST_INCR_READ(1U) + +#define S_PORT_PHY_ADDR 5 +#define M_PORT_PHY_ADDR 0x1fU +#define V_PORT_PHY_ADDR(x) ((x) << S_PORT_PHY_ADDR) +#define G_PORT_PHY_ADDR(x) (((x) >> S_PORT_PHY_ADDR) & M_PORT_PHY_ADDR) + +#define S_DEVICE_REG_ADDR 0 +#define M_DEVICE_REG_ADDR 0x1fU +#define V_DEVICE_REG_ADDR(x) ((x) << S_DEVICE_REG_ADDR) +#define G_DEVICE_REG_ADDR(x) (((x) >> S_DEVICE_REG_ADDR) & M_DEVICE_REG_ADDR) + +#define A_MAC_PORT_MTIP_1G10G_MDIO_DATA 0xd38 + +#define S_MDIO_DATA 0 +#define M_MDIO_DATA 0xffffU +#define V_MDIO_DATA(x) ((x) << S_MDIO_DATA) +#define G_MDIO_DATA(x) (((x) >> S_MDIO_DATA) & M_MDIO_DATA) + #define A_MAC_PORT_MTIP_SGMII_EXTENDED_STATUS 0xd3c +#define A_MAC_PORT_MTIP_1G10G_MDIO_REGADDR 0xd3c +#define A_MAC_PORT_MTIP_1G10G_STATUS 0xd40 + +#define S_RX_LINT_FAULT 7 +#define V_RX_LINT_FAULT(x) ((x) << S_RX_LINT_FAULT) +#define F_RX_LINT_FAULT V_RX_LINT_FAULT(1U) + +#define S_RX_EMPTY 6 +#define V_RX_EMPTY(x) ((x) << S_RX_EMPTY) +#define F_RX_EMPTY V_RX_EMPTY(1U) + +#define S_TX_EMPTY 5 +#define V_TX_EMPTY(x) ((x) << S_TX_EMPTY) +#define F_TX_EMPTY V_TX_EMPTY(1U) + +#define S_RX_LOWP 4 +#define V_RX_LOWP(x) ((x) << S_RX_LOWP) +#define F_RX_LOWP V_RX_LOWP(1U) + +#define A_MAC_PORT_MTIP_1G10G_TX_IPG_LENGTH 0xd44 #define A_MAC_PORT_MTIP_SGMII_LINK_TIMER_LO 0xd48 #define S_COUNT_LO 0 @@ -35075,6 +48002,7 @@ #define V_COUNT_LO(x) ((x) << S_COUNT_LO) #define G_COUNT_LO(x) (((x) >> S_COUNT_LO) & M_COUNT_LO) +#define A_MAC_PORT_MTIP_1G10G_CREDIT_TRIGGER 0xd48 #define A_MAC_PORT_MTIP_SGMII_LINK_TIMER_HI 0xd4c #define S_COUNT_HI 0 @@ -35082,6 +48010,7 @@ #define V_COUNT_HI(x) ((x) << S_COUNT_HI) #define G_COUNT_HI(x) (((x) >> S_COUNT_HI) & M_COUNT_HI) +#define A_MAC_PORT_MTIP_1G10G_INIT_CREDIT 0xd4c #define A_MAC_PORT_MTIP_SGMII_IF_MODE 0xd50 #define S_SGMII_PCS_ENABLE 5 @@ -35105,6 +48034,272 @@ #define V_SGMII_ENA(x) ((x) << S_SGMII_ENA) #define F_SGMII_ENA V_SGMII_ENA(1U) +#define A_MAC_PORT_MTIP_1G10G_CL01_PAUSE_QUANTA 0xd54 + +#define S_CL1_PAUSE_QUANTA 16 +#define M_CL1_PAUSE_QUANTA 0xffffU +#define V_CL1_PAUSE_QUANTA(x) ((x) << S_CL1_PAUSE_QUANTA) +#define G_CL1_PAUSE_QUANTA(x) (((x) >> S_CL1_PAUSE_QUANTA) & M_CL1_PAUSE_QUANTA) + +#define S_CL0_PAUSE_QUANTA 0 +#define M_CL0_PAUSE_QUANTA 0xffffU +#define V_CL0_PAUSE_QUANTA(x) ((x) << S_CL0_PAUSE_QUANTA) +#define G_CL0_PAUSE_QUANTA(x) (((x) >> S_CL0_PAUSE_QUANTA) & M_CL0_PAUSE_QUANTA) + +#define A_MAC_PORT_MTIP_1G10G_CL23_PAUSE_QUANTA 0xd58 + +#define S_CL3_PAUSE_QUANTA 16 +#define M_CL3_PAUSE_QUANTA 0xffffU +#define V_CL3_PAUSE_QUANTA(x) ((x) << S_CL3_PAUSE_QUANTA) +#define G_CL3_PAUSE_QUANTA(x) (((x) >> S_CL3_PAUSE_QUANTA) & M_CL3_PAUSE_QUANTA) + +#define S_CL2_PAUSE_QUANTA 0 +#define M_CL2_PAUSE_QUANTA 0xffffU +#define V_CL2_PAUSE_QUANTA(x) ((x) << S_CL2_PAUSE_QUANTA) +#define G_CL2_PAUSE_QUANTA(x) (((x) >> S_CL2_PAUSE_QUANTA) & M_CL2_PAUSE_QUANTA) + +#define A_MAC_PORT_MTIP_1G10G_CL45_PAUSE_QUANTA 0xd5c + +#define S_CL5_PAUSE_QUANTA 16 +#define M_CL5_PAUSE_QUANTA 0xffffU +#define V_CL5_PAUSE_QUANTA(x) ((x) << S_CL5_PAUSE_QUANTA) +#define G_CL5_PAUSE_QUANTA(x) (((x) >> S_CL5_PAUSE_QUANTA) & M_CL5_PAUSE_QUANTA) + +#define S_CL4_PAUSE_QUANTA 0 +#define M_CL4_PAUSE_QUANTA 0xffffU +#define V_CL4_PAUSE_QUANTA(x) ((x) << S_CL4_PAUSE_QUANTA) +#define G_CL4_PAUSE_QUANTA(x) (((x) >> S_CL4_PAUSE_QUANTA) & M_CL4_PAUSE_QUANTA) + +#define A_MAC_PORT_MTIP_1G10G_CL67_PAUSE_QUANTA 0xd60 + +#define S_CL7_PAUSE_QUANTA 16 +#define M_CL7_PAUSE_QUANTA 0xffffU +#define V_CL7_PAUSE_QUANTA(x) ((x) << S_CL7_PAUSE_QUANTA) +#define G_CL7_PAUSE_QUANTA(x) (((x) >> S_CL7_PAUSE_QUANTA) & M_CL7_PAUSE_QUANTA) + +#define S_CL6_PAUSE_QUANTA 0 +#define M_CL6_PAUSE_QUANTA 0xffffU +#define V_CL6_PAUSE_QUANTA(x) ((x) << S_CL6_PAUSE_QUANTA) +#define G_CL6_PAUSE_QUANTA(x) (((x) >> S_CL6_PAUSE_QUANTA) & M_CL6_PAUSE_QUANTA) + +#define A_MAC_PORT_MTIP_1G10G_CL01_QUANTA_THRESH 0xd64 + +#define S_CL1_QUANTA_THRESH 16 +#define M_CL1_QUANTA_THRESH 0xffffU +#define V_CL1_QUANTA_THRESH(x) ((x) << S_CL1_QUANTA_THRESH) +#define G_CL1_QUANTA_THRESH(x) (((x) >> S_CL1_QUANTA_THRESH) & M_CL1_QUANTA_THRESH) + +#define S_CL0_QUANTA_THRESH 0 +#define M_CL0_QUANTA_THRESH 0xffffU +#define V_CL0_QUANTA_THRESH(x) ((x) << S_CL0_QUANTA_THRESH) +#define G_CL0_QUANTA_THRESH(x) (((x) >> S_CL0_QUANTA_THRESH) & M_CL0_QUANTA_THRESH) + +#define A_MAC_PORT_MTIP_1G10G_CL23_QUANTA_THRESH 0xd68 + +#define S_CL3_QUANTA_THRESH 16 +#define M_CL3_QUANTA_THRESH 0xffffU +#define V_CL3_QUANTA_THRESH(x) ((x) << S_CL3_QUANTA_THRESH) +#define G_CL3_QUANTA_THRESH(x) (((x) >> S_CL3_QUANTA_THRESH) & M_CL3_QUANTA_THRESH) + +#define S_CL2_QUANTA_THRESH 0 +#define M_CL2_QUANTA_THRESH 0xffffU +#define V_CL2_QUANTA_THRESH(x) ((x) << S_CL2_QUANTA_THRESH) +#define G_CL2_QUANTA_THRESH(x) (((x) >> S_CL2_QUANTA_THRESH) & M_CL2_QUANTA_THRESH) + +#define A_MAC_PORT_MTIP_1G10G_CL45_QUANTA_THRESH 0xd6c + +#define S_CL5_QUANTA_THRESH 16 +#define M_CL5_QUANTA_THRESH 0xffffU +#define V_CL5_QUANTA_THRESH(x) ((x) << S_CL5_QUANTA_THRESH) +#define G_CL5_QUANTA_THRESH(x) (((x) >> S_CL5_QUANTA_THRESH) & M_CL5_QUANTA_THRESH) + +#define S_CL4_QUANTA_THRESH 0 +#define M_CL4_QUANTA_THRESH 0xffffU +#define V_CL4_QUANTA_THRESH(x) ((x) << S_CL4_QUANTA_THRESH) +#define G_CL4_QUANTA_THRESH(x) (((x) >> S_CL4_QUANTA_THRESH) & M_CL4_QUANTA_THRESH) + +#define A_MAC_PORT_MTIP_1G10G_CL67_QUANTA_THRESH 0xd70 + +#define S_CL7_QUANTA_THRESH 16 +#define M_CL7_QUANTA_THRESH 0xffffU +#define V_CL7_QUANTA_THRESH(x) ((x) << S_CL7_QUANTA_THRESH) +#define G_CL7_QUANTA_THRESH(x) (((x) >> S_CL7_QUANTA_THRESH) & M_CL7_QUANTA_THRESH) + +#define S_CL6_QUANTA_THRESH 0 +#define M_CL6_QUANTA_THRESH 0xffffU +#define V_CL6_QUANTA_THRESH(x) ((x) << S_CL6_QUANTA_THRESH) +#define G_CL6_QUANTA_THRESH(x) (((x) >> S_CL6_QUANTA_THRESH) & M_CL6_QUANTA_THRESH) + +#define A_MAC_PORT_MTIP_1G10G_RX_PAUSE_STATUS 0xd74 + +#define S_STATUS_BIT 0 +#define M_STATUS_BIT 0xffU +#define V_STATUS_BIT(x) ((x) << S_STATUS_BIT) +#define G_STATUS_BIT(x) (((x) >> S_STATUS_BIT) & M_STATUS_BIT) + +#define A_MAC_PORT_MTIP_1G10G_TS_TIMESTAMP 0xd7c +#define A_MAC_PORT_MTIP_1G10G_STATN_CONFIG 0xde0 + +#define S_CLEAR 2 +#define V_CLEAR(x) ((x) << S_CLEAR) +#define F_CLEAR V_CLEAR(1U) + +#define S_CLEAR_ON_READ 1 +#define V_CLEAR_ON_READ(x) ((x) << S_CLEAR_ON_READ) +#define F_CLEAR_ON_READ V_CLEAR_ON_READ(1U) + +#define S_SATURATE 0 +#define V_SATURATE(x) ((x) << S_SATURATE) +#define F_SATURATE V_SATURATE(1U) + +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETS 0xe00 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETSHI 0xe04 +#define A_MAC_PORT_MTIP_1G10G_RX_OCTETSOK 0xe08 +#define A_MAC_PORT_MTIP_1G10G_RX_OCTETSOKHI 0xe0c +#define A_MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORS 0xe10 +#define A_MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORSHI 0xe14 +#define A_MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMES 0xe18 +#define A_MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMESHI 0xe1c +#define A_MAC_PORT_MTIP_1G10G_RX_FRAMESOK 0xe20 +#define A_MAC_PORT_MTIP_1G10G_RX_FRAMESOKHI 0xe24 +#define A_MAC_PORT_MTIP_1G10G_RX_CRCERRORS 0xe28 +#define A_MAC_PORT_MTIP_1G10G_RX_CRCERRORSHI 0xe2c +#define A_MAC_PORT_MTIP_1G10G_RX_VLANOK 0xe30 +#define A_MAC_PORT_MTIP_1G10G_RX_VLANOKHI 0xe34 +#define A_MAC_PORT_MTIP_1G10G_RX_IFINERRORS 0xe38 +#define A_MAC_PORT_MTIP_1G10G_RX_IFINERRORSHI 0xe3c +#define A_MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTS 0xe40 +#define A_MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTSHI 0xe44 +#define A_MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTS 0xe48 +#define A_MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTSHI 0xe4c +#define A_MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTS 0xe50 +#define A_MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTSHI 0xe54 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTS 0xe58 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTSHI 0xe5c +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS 0xe60 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTSHI 0xe64 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTS 0xe68 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTSHI 0xe6c +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETS 0xe70 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETSHI 0xe74 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETS 0xe78 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETSHI 0xe7c +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETS 0xe80 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETSHI 0xe84 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETS 0xe88 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETSHI 0xe8c +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETS 0xe90 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETSHI 0xe94 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETS 0xe98 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETSHI 0xe9c +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAX 0xea0 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAXHI 0xea4 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTS 0xea8 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTSHI 0xeac +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERS 0xeb0 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERSHI 0xeb4 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTS 0xeb8 +#define A_MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTSHI 0xebc +#define A_MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVED 0xec0 +#define A_MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVEDHI 0xec4 +#define A_MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONG 0xec8 +#define A_MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONGHI 0xecc +#define A_MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORS 0xed0 +#define A_MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORSHI 0xed4 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETS 0xf00 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETSHI 0xf04 +#define A_MAC_PORT_MTIP_1G10G_TX_OCTETSOK 0xf08 +#define A_MAC_PORT_MTIP_1G10G_TX_OCTETSOKHI 0xf0c +#define A_MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORS 0xf10 +#define A_MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORSHI 0xf14 +#define A_MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMES 0xf18 +#define A_MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMESHI 0xf1c +#define A_MAC_PORT_MTIP_1G10G_TX_FRAMESOK 0xf20 +#define A_MAC_PORT_MTIP_1G10G_TX_FRAMESOKHI 0xf24 +#define A_MAC_PORT_MTIP_1G10G_TX_CRCERRORS 0xf28 +#define A_MAC_PORT_MTIP_1G10G_TX_CRCERRORSHI 0xf2c +#define A_MAC_PORT_MTIP_1G10G_TX_VLANOK 0xf30 +#define A_MAC_PORT_MTIP_1G10G_TX_VLANOKHI 0xf34 +#define A_MAC_PORT_MTIP_1G10G_TX_IFOUTERRORS 0xf38 +#define A_MAC_PORT_MTIP_1G10G_TX_IFOUTERRORSHI 0xf3c +#define A_MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTS 0xf40 +#define A_MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTSHI 0xf44 +#define A_MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTS 0xf48 +#define A_MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTSHI 0xf4c +#define A_MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTS 0xf50 +#define A_MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTSHI 0xf54 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTS 0xf58 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTSHI 0xf5c +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS 0xf60 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTSHI 0xf64 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTS 0xf68 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTSHI 0xf6c +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETS 0xf70 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETSHI 0xf74 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETS 0xf78 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETSHI 0xf7c +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETS 0xf80 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETSHI 0xf84 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETS 0xf88 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETSHI 0xf8c +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETS 0xf90 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETSHI 0xf94 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETS 0xf98 +#define A_MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETSHI 0xf9c +#define A_MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTU 0xfa0 +#define A_MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTUHI 0xfa4 +#define A_MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMES 0xfc0 +#define A_MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMESHI 0xfc4 +#define A_MAC_PORT_MTIP_1G10G_IF_MODE 0x1000 + +#define S_MII_ENA_10 4 +#define V_MII_ENA_10(x) ((x) << S_MII_ENA_10) +#define F_MII_ENA_10 V_MII_ENA_10(1U) + +#define S_IF_MODE 0 +#define M_IF_MODE 0x3U +#define V_IF_MODE(x) ((x) << S_IF_MODE) +#define G_IF_MODE(x) (((x) >> S_IF_MODE) & M_IF_MODE) + +#define A_MAC_PORT_MTIP_1G10G_IF_STATUS 0x1004 + +#define S_IF_STATUS_MODE 0 +#define M_IF_STATUS_MODE 0x3U +#define V_IF_STATUS_MODE(x) ((x) << S_IF_STATUS_MODE) +#define G_IF_STATUS_MODE(x) (((x) >> S_IF_STATUS_MODE) & M_IF_STATUS_MODE) + +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0 0x1080 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0HI 0x1084 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1 0x1088 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1HI 0x108c +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2 0x1090 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2HI 0x1094 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3 0x1098 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3HI 0x109c +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4 0x10a0 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4HI 0x10a4 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5 0x10a8 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5HI 0x10ac +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6 0x10b0 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6HI 0x10b4 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7 0x10b8 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7HI 0x10bc +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0 0x10c0 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0HI 0x10c4 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1 0x10c8 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1HI 0x10cc +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2 0x10d0 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2HI 0x10d4 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3 0x10d8 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3HI 0x10dc +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4 0x10e0 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4HI 0x10e4 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5 0x10e8 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5HI 0x10ec +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6 0x10f0 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6HI 0x10f4 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7 0x10f8 +#define A_MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7HI 0x10fc #define A_MAC_PORT_MTIP_ACT_CTL_SEG 0x1200 #define S_ACTIVE 0 @@ -35112,6 +48307,28 @@ #define V_ACTIVE(x) ((x) << S_ACTIVE) #define G_ACTIVE(x) (((x) >> S_ACTIVE) & M_ACTIVE) +#define A_T6_MAC_PORT_MTIP_SGMII_CONTROL 0x1200 + +#define S_SPEED_SEL 13 +#define V_SPEED_SEL(x) ((x) << S_SPEED_SEL) +#define F_SPEED_SEL V_SPEED_SEL(1U) + +#define S_PWR_DWN 11 +#define V_PWR_DWN(x) ((x) << S_PWR_DWN) +#define F_PWR_DWN V_PWR_DWN(1U) + +#define S_DUPLEX_MODE 8 +#define V_DUPLEX_MODE(x) ((x) << S_DUPLEX_MODE) +#define F_DUPLEX_MODE V_DUPLEX_MODE(1U) + +#define S_COLLISION_TEST 7 +#define V_COLLISION_TEST(x) ((x) << S_COLLISION_TEST) +#define F_COLLISION_TEST V_COLLISION_TEST(1U) + +#define S_T6_SPEED_SEL1 6 +#define V_T6_SPEED_SEL1(x) ((x) << S_T6_SPEED_SEL1) +#define F_T6_SPEED_SEL1 V_T6_SPEED_SEL1(1U) + #define A_MAC_PORT_MTIP_MODE_CTL_SEG 0x1204 #define S_MODE_CTL 0 @@ -35119,6 +48336,12 @@ #define V_MODE_CTL(x) ((x) << S_MODE_CTL) #define G_MODE_CTL(x) (((x) >> S_MODE_CTL) & M_MODE_CTL) +#define A_T6_MAC_PORT_MTIP_SGMII_STATUS 0x1204 + +#define S_T6_REM_FAULT 4 +#define V_T6_REM_FAULT(x) ((x) << S_T6_REM_FAULT) +#define F_T6_REM_FAULT V_T6_REM_FAULT(1U) + #define A_MAC_PORT_MTIP_TXCLK_CTL_SEG 0x1208 #define S_TXCLK_CTL 0 @@ -35126,7 +48349,28 @@ #define V_TXCLK_CTL(x) ((x) << S_TXCLK_CTL) #define G_TXCLK_CTL(x) (((x) >> S_TXCLK_CTL) & M_TXCLK_CTL) +#define A_T6_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0 0x1208 #define A_MAC_PORT_MTIP_TX_PRMBL_CTL_SEG 0x120c +#define A_T6_MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1 0x120c +#define A_T6_MAC_PORT_MTIP_SGMII_DEV_ABILITY 0x1210 +#define A_T6_MAC_PORT_MTIP_SGMII_PARTNER_ABILITY 0x1214 +#define A_T6_MAC_PORT_MTIP_SGMII_AN_EXPANSION 0x1218 + +#define S_NEXT_PAGE_ABLE 2 +#define V_NEXT_PAGE_ABLE(x) ((x) << S_NEXT_PAGE_ABLE) +#define F_NEXT_PAGE_ABLE V_NEXT_PAGE_ABLE(1U) + +#define S_PAGE_RECEIVE 1 +#define V_PAGE_RECEIVE(x) ((x) << S_PAGE_RECEIVE) +#define F_PAGE_RECEIVE V_PAGE_RECEIVE(1U) + +#define A_MAC_PORT_MTIP_SGMII_NP_TX 0x121c + +#define S_NP_TX 0 +#define M_NP_TX 0xffffU +#define V_NP_TX(x) ((x) << S_NP_TX) +#define G_NP_TX(x) (((x) >> S_NP_TX) & M_NP_TX) + #define A_MAC_PORT_MTIP_WAN_RS_COL_CNT 0x1220 #define S_COL_CNT 0 @@ -35134,12 +48378,541 @@ #define V_COL_CNT(x) ((x) << S_COL_CNT) #define G_COL_CNT(x) (((x) >> S_COL_CNT) & M_COL_CNT) +#define A_MAC_PORT_MTIP_SGMII_LP_NP_RX 0x1220 + +#define S_LP_NP_RX 0 +#define M_LP_NP_RX 0xffffU +#define V_LP_NP_RX(x) ((x) << S_LP_NP_RX) +#define G_LP_NP_RX(x) (((x) >> S_LP_NP_RX) & M_LP_NP_RX) + +#define A_T6_MAC_PORT_MTIP_SGMII_EXTENDED_STATUS 0x123c + +#define S_EXTENDED_STATUS 0 +#define M_EXTENDED_STATUS 0xffffU +#define V_EXTENDED_STATUS(x) ((x) << S_EXTENDED_STATUS) +#define G_EXTENDED_STATUS(x) (((x) >> S_EXTENDED_STATUS) & M_EXTENDED_STATUS) + #define A_MAC_PORT_MTIP_VL_INTVL 0x1240 #define S_VL_INTVL 1 #define V_VL_INTVL(x) ((x) << S_VL_INTVL) #define F_VL_INTVL V_VL_INTVL(1U) +#define A_MAC_PORT_MTIP_SGMII_SCRATCH 0x1240 + +#define S_SCRATCH 0 +#define M_SCRATCH 0xffffU +#define V_SCRATCH(x) ((x) << S_SCRATCH) +#define G_SCRATCH(x) (((x) >> S_SCRATCH) & M_SCRATCH) + +#define A_MAC_PORT_MTIP_SGMII_REV 0x1244 + +#define S_SGMII_VER 8 +#define M_SGMII_VER 0xffU +#define V_SGMII_VER(x) ((x) << S_SGMII_VER) +#define G_SGMII_VER(x) (((x) >> S_SGMII_VER) & M_SGMII_VER) + +#define S_SGMII_REV 0 +#define M_SGMII_REV 0xffU +#define V_SGMII_REV(x) ((x) << S_SGMII_REV) +#define G_SGMII_REV(x) (((x) >> S_SGMII_REV) & M_SGMII_REV) + +#define A_T6_MAC_PORT_MTIP_SGMII_LINK_TIMER_LO 0x1248 + +#define S_LINK_TIMER_LO 0 +#define M_LINK_TIMER_LO 0xffffU +#define V_LINK_TIMER_LO(x) ((x) << S_LINK_TIMER_LO) +#define G_LINK_TIMER_LO(x) (((x) >> S_LINK_TIMER_LO) & M_LINK_TIMER_LO) + +#define A_T6_MAC_PORT_MTIP_SGMII_LINK_TIMER_HI 0x124c + +#define S_LINK_TIMER_HI 0 +#define M_LINK_TIMER_HI 0xffffU +#define V_LINK_TIMER_HI(x) ((x) << S_LINK_TIMER_HI) +#define G_LINK_TIMER_HI(x) (((x) >> S_LINK_TIMER_HI) & M_LINK_TIMER_HI) + +#define A_T6_MAC_PORT_MTIP_SGMII_IF_MODE 0x1250 + +#define S_SGMII_DUPLEX 4 +#define V_SGMII_DUPLEX(x) ((x) << S_SGMII_DUPLEX) +#define F_SGMII_DUPLEX V_SGMII_DUPLEX(1U) + +#define A_MAC_PORT_MTIP_SGMII_DECODE_ERROR 0x1254 + +#define S_T6_DECODE_ERROR 0 +#define M_T6_DECODE_ERROR 0xffffU +#define V_T6_DECODE_ERROR(x) ((x) << S_T6_DECODE_ERROR) +#define G_T6_DECODE_ERROR(x) (((x) >> S_T6_DECODE_ERROR) & M_T6_DECODE_ERROR) + +#define A_MAC_PORT_MTIP_KR_PCS_CONTROL_1 0x1300 + +#define S_LOW_POWER 11 +#define V_LOW_POWER(x) ((x) << S_LOW_POWER) +#define F_LOW_POWER V_LOW_POWER(1U) + +#define S_T6_SPEED_SEL1 6 +#define V_T6_SPEED_SEL1(x) ((x) << S_T6_SPEED_SEL1) +#define F_T6_SPEED_SEL1 V_T6_SPEED_SEL1(1U) + +#define S_SPEED_SEL2 2 +#define M_SPEED_SEL2 0xfU +#define V_SPEED_SEL2(x) ((x) << S_SPEED_SEL2) +#define G_SPEED_SEL2(x) (((x) >> S_SPEED_SEL2) & M_SPEED_SEL2) + +#define A_MAC_PORT_MTIP_KR_PCS_STATUS_1 0x1304 + +#define S_TX_LPI 11 +#define V_TX_LPI(x) ((x) << S_TX_LPI) +#define F_TX_LPI V_TX_LPI(1U) + +#define S_RX_LPI 10 +#define V_RX_LPI(x) ((x) << S_RX_LPI) +#define F_RX_LPI V_RX_LPI(1U) + +#define S_TX_LPI_ACTIVE 9 +#define V_TX_LPI_ACTIVE(x) ((x) << S_TX_LPI_ACTIVE) +#define F_TX_LPI_ACTIVE V_TX_LPI_ACTIVE(1U) + +#define S_RX_LPI_ACTIVE 8 +#define V_RX_LPI_ACTIVE(x) ((x) << S_RX_LPI_ACTIVE) +#define F_RX_LPI_ACTIVE V_RX_LPI_ACTIVE(1U) + +#define S_FAULT 7 +#define V_FAULT(x) ((x) << S_FAULT) +#define F_FAULT V_FAULT(1U) + +#define S_PCS_RX_LINK_STAT 2 +#define V_PCS_RX_LINK_STAT(x) ((x) << S_PCS_RX_LINK_STAT) +#define F_PCS_RX_LINK_STAT V_PCS_RX_LINK_STAT(1U) + +#define S_LOW_POWER_ABILITY 1 +#define V_LOW_POWER_ABILITY(x) ((x) << S_LOW_POWER_ABILITY) +#define F_LOW_POWER_ABILITY V_LOW_POWER_ABILITY(1U) + +#define A_MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_1 0x1308 +#define A_MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_2 0x130c +#define A_MAC_PORT_MTIP_KR_PCS_SPEED_ABILITY 0x1310 + +#define S_10G_CAPABLE 0 +#define V_10G_CAPABLE(x) ((x) << S_10G_CAPABLE) +#define F_10G_CAPABLE V_10G_CAPABLE(1U) + +#define A_MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGELO 0x1314 + +#define S_AUTO_NEGOTIATION_PRESENT 7 +#define V_AUTO_NEGOTIATION_PRESENT(x) ((x) << S_AUTO_NEGOTIATION_PRESENT) +#define F_AUTO_NEGOTIATION_PRESENT V_AUTO_NEGOTIATION_PRESENT(1U) + +#define S_DTE_XS_PRESENT 5 +#define V_DTE_XS_PRESENT(x) ((x) << S_DTE_XS_PRESENT) +#define F_DTE_XS_PRESENT V_DTE_XS_PRESENT(1U) + +#define S_PHY_XS_PRESENT 4 +#define V_PHY_XS_PRESENT(x) ((x) << S_PHY_XS_PRESENT) +#define F_PHY_XS_PRESENT V_PHY_XS_PRESENT(1U) + +#define S_PCS_PRESENT 3 +#define V_PCS_PRESENT(x) ((x) << S_PCS_PRESENT) +#define F_PCS_PRESENT V_PCS_PRESENT(1U) + +#define S_WIS_PRESENT 2 +#define V_WIS_PRESENT(x) ((x) << S_WIS_PRESENT) +#define F_WIS_PRESENT V_WIS_PRESENT(1U) + +#define S_PMD_PMA_PRESENT 1 +#define V_PMD_PMA_PRESENT(x) ((x) << S_PMD_PMA_PRESENT) +#define F_PMD_PMA_PRESENT V_PMD_PMA_PRESENT(1U) + +#define S_CLAUSE_22_REG_PRESENT 0 +#define V_CLAUSE_22_REG_PRESENT(x) ((x) << S_CLAUSE_22_REG_PRESENT) +#define F_CLAUSE_22_REG_PRESENT V_CLAUSE_22_REG_PRESENT(1U) + +#define A_MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGEHI 0x1318 +#define A_MAC_PORT_MTIP_KR_PCS_CONTROL_2 0x131c + +#define S_PCS_TYPE_SELECTION 0 +#define M_PCS_TYPE_SELECTION 0x3U +#define V_PCS_TYPE_SELECTION(x) ((x) << S_PCS_TYPE_SELECTION) +#define G_PCS_TYPE_SELECTION(x) (((x) >> S_PCS_TYPE_SELECTION) & M_PCS_TYPE_SELECTION) + +#define A_MAC_PORT_MTIP_KR_PCS_STATUS_2 0x1320 + +#define S_DEVICE_PRESENT 14 +#define M_DEVICE_PRESENT 0x3U +#define V_DEVICE_PRESENT(x) ((x) << S_DEVICE_PRESENT) +#define G_DEVICE_PRESENT(x) (((x) >> S_DEVICE_PRESENT) & M_DEVICE_PRESENT) + +#define S_TRANSMIT_FAULT 11 +#define V_TRANSMIT_FAULT(x) ((x) << S_TRANSMIT_FAULT) +#define F_TRANSMIT_FAULT V_TRANSMIT_FAULT(1U) + +#define S_RECEIVE_FAULT 10 +#define V_RECEIVE_FAULT(x) ((x) << S_RECEIVE_FAULT) +#define F_RECEIVE_FAULT V_RECEIVE_FAULT(1U) + +#define S_10GBASE_W_CAPABLE 2 +#define V_10GBASE_W_CAPABLE(x) ((x) << S_10GBASE_W_CAPABLE) +#define F_10GBASE_W_CAPABLE V_10GBASE_W_CAPABLE(1U) + +#define S_10GBASE_X_CAPABLE 1 +#define V_10GBASE_X_CAPABLE(x) ((x) << S_10GBASE_X_CAPABLE) +#define F_10GBASE_X_CAPABLE V_10GBASE_X_CAPABLE(1U) + +#define S_10GBASE_R_CAPABLE 0 +#define V_10GBASE_R_CAPABLE(x) ((x) << S_10GBASE_R_CAPABLE) +#define F_10GBASE_R_CAPABLE V_10GBASE_R_CAPABLE(1U) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_LO 0x1338 + +#define S_PCS_PACKAGE_IDENTIFIER_LO 0 +#define M_PCS_PACKAGE_IDENTIFIER_LO 0xffffU +#define V_PCS_PACKAGE_IDENTIFIER_LO(x) ((x) << S_PCS_PACKAGE_IDENTIFIER_LO) +#define G_PCS_PACKAGE_IDENTIFIER_LO(x) (((x) >> S_PCS_PACKAGE_IDENTIFIER_LO) & M_PCS_PACKAGE_IDENTIFIER_LO) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_HI 0x133c + +#define S_PCS_PACKAGE_IDENTIFIER_HI 0 +#define M_PCS_PACKAGE_IDENTIFIER_HI 0xffffU +#define V_PCS_PACKAGE_IDENTIFIER_HI(x) ((x) << S_PCS_PACKAGE_IDENTIFIER_HI) +#define G_PCS_PACKAGE_IDENTIFIER_HI(x) (((x) >> S_PCS_PACKAGE_IDENTIFIER_HI) & M_PCS_PACKAGE_IDENTIFIER_HI) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_1 0x1380 + +#define S_10GBASE_R_RX_LINK_STATUS 12 +#define V_10GBASE_R_RX_LINK_STATUS(x) ((x) << S_10GBASE_R_RX_LINK_STATUS) +#define F_10GBASE_R_RX_LINK_STATUS V_10GBASE_R_RX_LINK_STATUS(1U) + +#define S_PRBS9_PTTRN_TSTNG_ABILITY 3 +#define V_PRBS9_PTTRN_TSTNG_ABILITY(x) ((x) << S_PRBS9_PTTRN_TSTNG_ABILITY) +#define F_PRBS9_PTTRN_TSTNG_ABILITY V_PRBS9_PTTRN_TSTNG_ABILITY(1U) + +#define S_PRBS31_PTTRN_TSTNG_ABILITY 2 +#define V_PRBS31_PTTRN_TSTNG_ABILITY(x) ((x) << S_PRBS31_PTTRN_TSTNG_ABILITY) +#define F_PRBS31_PTTRN_TSTNG_ABILITY V_PRBS31_PTTRN_TSTNG_ABILITY(1U) + +#define S_10GBASE_R_PCS_HIGH_BER 1 +#define V_10GBASE_R_PCS_HIGH_BER(x) ((x) << S_10GBASE_R_PCS_HIGH_BER) +#define F_10GBASE_R_PCS_HIGH_BER V_10GBASE_R_PCS_HIGH_BER(1U) + +#define S_10GBASE_R_PCS_BLOCK_LOCK 0 +#define V_10GBASE_R_PCS_BLOCK_LOCK(x) ((x) << S_10GBASE_R_PCS_BLOCK_LOCK) +#define F_10GBASE_R_PCS_BLOCK_LOCK V_10GBASE_R_PCS_BLOCK_LOCK(1U) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_2 0x1384 + +#define S_LATCHED_BLOCK_LOCK 15 +#define V_LATCHED_BLOCK_LOCK(x) ((x) << S_LATCHED_BLOCK_LOCK) +#define F_LATCHED_BLOCK_LOCK V_LATCHED_BLOCK_LOCK(1U) + +#define S_LATCHED_HIGH_BER 14 +#define V_LATCHED_HIGH_BER(x) ((x) << S_LATCHED_HIGH_BER) +#define F_LATCHED_HIGH_BER V_LATCHED_HIGH_BER(1U) + +#define S_BERBER_COUNTER 8 +#define M_BERBER_COUNTER 0x3fU +#define V_BERBER_COUNTER(x) ((x) << S_BERBER_COUNTER) +#define G_BERBER_COUNTER(x) (((x) >> S_BERBER_COUNTER) & M_BERBER_COUNTER) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_0 0x1388 + +#define S_TEST_PATTERN_SEED_A0 0 +#define M_TEST_PATTERN_SEED_A0 0xffffU +#define V_TEST_PATTERN_SEED_A0(x) ((x) << S_TEST_PATTERN_SEED_A0) +#define G_TEST_PATTERN_SEED_A0(x) (((x) >> S_TEST_PATTERN_SEED_A0) & M_TEST_PATTERN_SEED_A0) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_1 0x138c + +#define S_TEST_PATTERN_SEED_A1 0 +#define M_TEST_PATTERN_SEED_A1 0xffffU +#define V_TEST_PATTERN_SEED_A1(x) ((x) << S_TEST_PATTERN_SEED_A1) +#define G_TEST_PATTERN_SEED_A1(x) (((x) >> S_TEST_PATTERN_SEED_A1) & M_TEST_PATTERN_SEED_A1) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_2 0x1390 + +#define S_TEST_PATTERN_SEED_A2 0 +#define M_TEST_PATTERN_SEED_A2 0xffffU +#define V_TEST_PATTERN_SEED_A2(x) ((x) << S_TEST_PATTERN_SEED_A2) +#define G_TEST_PATTERN_SEED_A2(x) (((x) >> S_TEST_PATTERN_SEED_A2) & M_TEST_PATTERN_SEED_A2) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_3 0x1394 + +#define S_TEST_PATTERN_SEED_A3 0 +#define M_TEST_PATTERN_SEED_A3 0x3ffU +#define V_TEST_PATTERN_SEED_A3(x) ((x) << S_TEST_PATTERN_SEED_A3) +#define G_TEST_PATTERN_SEED_A3(x) (((x) >> S_TEST_PATTERN_SEED_A3) & M_TEST_PATTERN_SEED_A3) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_0 0x1398 + +#define S_TEST_PATTERN_SEED_B0 0 +#define M_TEST_PATTERN_SEED_B0 0xffffU +#define V_TEST_PATTERN_SEED_B0(x) ((x) << S_TEST_PATTERN_SEED_B0) +#define G_TEST_PATTERN_SEED_B0(x) (((x) >> S_TEST_PATTERN_SEED_B0) & M_TEST_PATTERN_SEED_B0) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_1 0x139c + +#define S_TEST_PATTERN_SEED_B1 0 +#define M_TEST_PATTERN_SEED_B1 0xffffU +#define V_TEST_PATTERN_SEED_B1(x) ((x) << S_TEST_PATTERN_SEED_B1) +#define G_TEST_PATTERN_SEED_B1(x) (((x) >> S_TEST_PATTERN_SEED_B1) & M_TEST_PATTERN_SEED_B1) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_2 0x13a0 + +#define S_TEST_PATTERN_SEED_B2 0 +#define M_TEST_PATTERN_SEED_B2 0xffffU +#define V_TEST_PATTERN_SEED_B2(x) ((x) << S_TEST_PATTERN_SEED_B2) +#define G_TEST_PATTERN_SEED_B2(x) (((x) >> S_TEST_PATTERN_SEED_B2) & M_TEST_PATTERN_SEED_B2) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_3 0x13a4 + +#define S_TEST_PATTERN_SEED_B3 0 +#define M_TEST_PATTERN_SEED_B3 0x3ffU +#define V_TEST_PATTERN_SEED_B3(x) ((x) << S_TEST_PATTERN_SEED_B3) +#define G_TEST_PATTERN_SEED_B3(x) (((x) >> S_TEST_PATTERN_SEED_B3) & M_TEST_PATTERN_SEED_B3) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_CONTROL 0x13a8 + +#define S_PRBS9_TX_TST_PTTRN_EN 6 +#define V_PRBS9_TX_TST_PTTRN_EN(x) ((x) << S_PRBS9_TX_TST_PTTRN_EN) +#define F_PRBS9_TX_TST_PTTRN_EN V_PRBS9_TX_TST_PTTRN_EN(1U) + +#define S_PRBS31_RX_TST_PTTRN_EN 5 +#define V_PRBS31_RX_TST_PTTRN_EN(x) ((x) << S_PRBS31_RX_TST_PTTRN_EN) +#define F_PRBS31_RX_TST_PTTRN_EN V_PRBS31_RX_TST_PTTRN_EN(1U) + +#define S_PRBS31_TX_TST_PTTRN_EN 4 +#define V_PRBS31_TX_TST_PTTRN_EN(x) ((x) << S_PRBS31_TX_TST_PTTRN_EN) +#define F_PRBS31_TX_TST_PTTRN_EN V_PRBS31_TX_TST_PTTRN_EN(1U) + +#define S_TX_TEST_PATTERN_EN 3 +#define V_TX_TEST_PATTERN_EN(x) ((x) << S_TX_TEST_PATTERN_EN) +#define F_TX_TEST_PATTERN_EN V_TX_TEST_PATTERN_EN(1U) + +#define S_RX_TEST_PATTERN_EN 2 +#define V_RX_TEST_PATTERN_EN(x) ((x) << S_RX_TEST_PATTERN_EN) +#define F_RX_TEST_PATTERN_EN V_RX_TEST_PATTERN_EN(1U) + +#define S_TEST_PATTERN_SELECT 1 +#define V_TEST_PATTERN_SELECT(x) ((x) << S_TEST_PATTERN_SELECT) +#define F_TEST_PATTERN_SELECT V_TEST_PATTERN_SELECT(1U) + +#define S_DATA_PATTERN_SELECT 0 +#define V_DATA_PATTERN_SELECT(x) ((x) << S_DATA_PATTERN_SELECT) +#define F_DATA_PATTERN_SELECT V_DATA_PATTERN_SELECT(1U) + +#define A_MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_ERROR_COUNTER 0x13ac + +#define S_TEST_PATTERN_ERR_CNTR 0 +#define M_TEST_PATTERN_ERR_CNTR 0xffffU +#define V_TEST_PATTERN_ERR_CNTR(x) ((x) << S_TEST_PATTERN_ERR_CNTR) +#define G_TEST_PATTERN_ERR_CNTR(x) (((x) >> S_TEST_PATTERN_ERR_CNTR) & M_TEST_PATTERN_ERR_CNTR) + +#define A_MAC_PORT_MTIP_KR_VENDOR_SPECIFIC_PCS_STATUS 0x13b4 + +#define S_TRANSMIT_FIFO_FAULT 1 +#define V_TRANSMIT_FIFO_FAULT(x) ((x) << S_TRANSMIT_FIFO_FAULT) +#define F_TRANSMIT_FIFO_FAULT V_TRANSMIT_FIFO_FAULT(1U) + +#define S_RECEIVE_FIFO_FAULT 0 +#define V_RECEIVE_FIFO_FAULT(x) ((x) << S_RECEIVE_FIFO_FAULT) +#define F_RECEIVE_FIFO_FAULT V_RECEIVE_FIFO_FAULT(1U) + +#define A_MAC_PORT_MTIP_KR4_CONTROL_1 0x1400 + +#define S_SPEED_SELECTION 13 +#define V_SPEED_SELECTION(x) ((x) << S_SPEED_SELECTION) +#define F_SPEED_SELECTION V_SPEED_SELECTION(1U) + +#define S_SPEED_SELECTION1 6 +#define V_SPEED_SELECTION1(x) ((x) << S_SPEED_SELECTION1) +#define F_SPEED_SELECTION1 V_SPEED_SELECTION1(1U) + +#define S_SPEED_SELECTION2 2 +#define M_SPEED_SELECTION2 0xfU +#define V_SPEED_SELECTION2(x) ((x) << S_SPEED_SELECTION2) +#define G_SPEED_SELECTION2(x) (((x) >> S_SPEED_SELECTION2) & M_SPEED_SELECTION2) + +#define A_MAC_PORT_MTIP_KR4_STATUS_1 0x1404 + +#define S_RECEIVE_LINK_STAT 2 +#define V_RECEIVE_LINK_STAT(x) ((x) << S_RECEIVE_LINK_STAT) +#define F_RECEIVE_LINK_STAT V_RECEIVE_LINK_STAT(1U) + +#define A_MAC_PORT_MTIP_KR4_DEVICE_ID0 0x1408 +#define A_MAC_PORT_MTIP_KR4_DEVICE_ID1 0x140c + +#define S_T6_DEVICE_ID1 16 +#define M_T6_DEVICE_ID1 0xffffU +#define V_T6_DEVICE_ID1(x) ((x) << S_T6_DEVICE_ID1) +#define G_T6_DEVICE_ID1(x) (((x) >> S_T6_DEVICE_ID1) & M_T6_DEVICE_ID1) + +#define A_MAC_PORT_MTIP_KR4_SPEED_ABILITY 0x1410 + +#define S_100G_CAPABLE 3 +#define V_100G_CAPABLE(x) ((x) << S_100G_CAPABLE) +#define F_100G_CAPABLE V_100G_CAPABLE(1U) + +#define S_40G_CAPABLE 2 +#define V_40G_CAPABLE(x) ((x) << S_40G_CAPABLE) +#define F_40G_CAPABLE V_40G_CAPABLE(1U) + +#define S_10PASS_TS_2BASE_TL_CAPABLE 1 +#define V_10PASS_TS_2BASE_TL_CAPABLE(x) ((x) << S_10PASS_TS_2BASE_TL_CAPABLE) +#define F_10PASS_TS_2BASE_TL_CAPABLE V_10PASS_TS_2BASE_TL_CAPABLE(1U) + +#define A_MAC_PORT_MTIP_KR4_DEVICES_IN_PKG1 0x1414 + +#define S_CLAUSE_22_REG 0 +#define V_CLAUSE_22_REG(x) ((x) << S_CLAUSE_22_REG) +#define F_CLAUSE_22_REG V_CLAUSE_22_REG(1U) + +#define A_MAC_PORT_MTIP_KR4_DEVICES_IN_PKG2 0x1418 + +#define S_VENDOR_SPECIFIC_DEVICE 15 +#define V_VENDOR_SPECIFIC_DEVICE(x) ((x) << S_VENDOR_SPECIFIC_DEVICE) +#define F_VENDOR_SPECIFIC_DEVICE V_VENDOR_SPECIFIC_DEVICE(1U) + +#define S_VENDOR_SPECIFIC_DEVICE1 14 +#define V_VENDOR_SPECIFIC_DEVICE1(x) ((x) << S_VENDOR_SPECIFIC_DEVICE1) +#define F_VENDOR_SPECIFIC_DEVICE1 V_VENDOR_SPECIFIC_DEVICE1(1U) + +#define S_CLAUSE_22_EXT 13 +#define V_CLAUSE_22_EXT(x) ((x) << S_CLAUSE_22_EXT) +#define F_CLAUSE_22_EXT V_CLAUSE_22_EXT(1U) + +#define A_MAC_PORT_MTIP_KR4_CONTROL_2 0x141c + +#define S_PCS_TYPE_SEL 0 +#define M_PCS_TYPE_SEL 0x7U +#define V_PCS_TYPE_SEL(x) ((x) << S_PCS_TYPE_SEL) +#define G_PCS_TYPE_SEL(x) (((x) >> S_PCS_TYPE_SEL) & M_PCS_TYPE_SEL) + +#define A_MAC_PORT_MTIP_KR4_STATUS_2 0x1420 + +#define S_100GBASE_R_CAPABLE 5 +#define V_100GBASE_R_CAPABLE(x) ((x) << S_100GBASE_R_CAPABLE) +#define F_100GBASE_R_CAPABLE V_100GBASE_R_CAPABLE(1U) + +#define S_40GBASE_R_CAPABLE 4 +#define V_40GBASE_R_CAPABLE(x) ((x) << S_40GBASE_R_CAPABLE) +#define F_40GBASE_R_CAPABLE V_40GBASE_R_CAPABLE(1U) + +#define S_10GBASE_T_CAPABLE 3 +#define V_10GBASE_T_CAPABLE(x) ((x) << S_10GBASE_T_CAPABLE) +#define F_10GBASE_T_CAPABLE V_10GBASE_T_CAPABLE(1U) + +#define A_MAC_PORT_MTIP_KR4_PKG_ID0 0x1438 +#define A_MAC_PORT_MTIP_KR4_PKG_ID1 0x143c +#define A_MAC_PORT_MTIP_KR4_BASE_R_STATUS_1 0x1480 + +#define S_T6_RX_LINK_STATUS 12 +#define V_T6_RX_LINK_STATUS(x) ((x) << S_T6_RX_LINK_STATUS) +#define F_T6_RX_LINK_STATUS V_T6_RX_LINK_STATUS(1U) + +#define S_HIGH_BER 1 +#define V_HIGH_BER(x) ((x) << S_HIGH_BER) +#define F_HIGH_BER V_HIGH_BER(1U) + +#define S_KR4_BLOCK_LOCK 0 +#define V_KR4_BLOCK_LOCK(x) ((x) << S_KR4_BLOCK_LOCK) +#define F_KR4_BLOCK_LOCK V_KR4_BLOCK_LOCK(1U) + +#define A_MAC_PORT_MTIP_KR4_BASE_R_STATUS_2 0x1484 + +#define S_LATCHED_BL_LK 15 +#define V_LATCHED_BL_LK(x) ((x) << S_LATCHED_BL_LK) +#define F_LATCHED_BL_LK V_LATCHED_BL_LK(1U) + +#define S_LATCHED_HG_BR 14 +#define V_LATCHED_HG_BR(x) ((x) << S_LATCHED_HG_BR) +#define F_LATCHED_HG_BR V_LATCHED_HG_BR(1U) + +#define S_BER_CNT 8 +#define M_BER_CNT 0x3fU +#define V_BER_CNT(x) ((x) << S_BER_CNT) +#define G_BER_CNT(x) (((x) >> S_BER_CNT) & M_BER_CNT) + +#define S_ERR_BL_CNT 0 +#define M_ERR_BL_CNT 0xffU +#define V_ERR_BL_CNT(x) ((x) << S_ERR_BL_CNT) +#define G_ERR_BL_CNT(x) (((x) >> S_ERR_BL_CNT) & M_ERR_BL_CNT) + +#define A_MAC_PORT_MTIP_KR4_BASE_R_TEST_CONTROL 0x14a8 + +#define S_TX_TP_EN 3 +#define V_TX_TP_EN(x) ((x) << S_TX_TP_EN) +#define F_TX_TP_EN V_TX_TP_EN(1U) + +#define S_RX_TP_EN 2 +#define V_RX_TP_EN(x) ((x) << S_RX_TP_EN) +#define F_RX_TP_EN V_RX_TP_EN(1U) + +#define A_MAC_PORT_MTIP_KR4_BASE_R_TEST_ERR_CNT 0x14ac + +#define S_TP_ERR_CNTR 0 +#define M_TP_ERR_CNTR 0xffffU +#define V_TP_ERR_CNTR(x) ((x) << S_TP_ERR_CNTR) +#define G_TP_ERR_CNTR(x) (((x) >> S_TP_ERR_CNTR) & M_TP_ERR_CNTR) + +#define A_MAC_PORT_MTIP_KR4_BER_HIGH_ORDER_CNT 0x14b0 + +#define S_BER_HI_ORDER_CNT 0 +#define M_BER_HI_ORDER_CNT 0xffffU +#define V_BER_HI_ORDER_CNT(x) ((x) << S_BER_HI_ORDER_CNT) +#define G_BER_HI_ORDER_CNT(x) (((x) >> S_BER_HI_ORDER_CNT) & M_BER_HI_ORDER_CNT) + +#define A_MAC_PORT_MTIP_KR4_ERR_BLK_HIGH_ORDER_CNT 0x14b4 + +#define S_HI_ORDER_CNT_EN 15 +#define V_HI_ORDER_CNT_EN(x) ((x) << S_HI_ORDER_CNT_EN) +#define F_HI_ORDER_CNT_EN V_HI_ORDER_CNT_EN(1U) + +#define S_ERR_BLK_CNTR 0 +#define M_ERR_BLK_CNTR 0x3fffU +#define V_ERR_BLK_CNTR(x) ((x) << S_ERR_BLK_CNTR) +#define G_ERR_BLK_CNTR(x) (((x) >> S_ERR_BLK_CNTR) & M_ERR_BLK_CNTR) + +#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_1 0x14c8 + +#define S_LANE_ALIGN_STATUS 12 +#define V_LANE_ALIGN_STATUS(x) ((x) << S_LANE_ALIGN_STATUS) +#define F_LANE_ALIGN_STATUS V_LANE_ALIGN_STATUS(1U) + +#define S_LANE_3_BLK_LCK 3 +#define V_LANE_3_BLK_LCK(x) ((x) << S_LANE_3_BLK_LCK) +#define F_LANE_3_BLK_LCK V_LANE_3_BLK_LCK(1U) + +#define S_LANE_2_BLK_LC32_6431K 2 +#define V_LANE_2_BLK_LC32_6431K(x) ((x) << S_LANE_2_BLK_LC32_6431K) +#define F_LANE_2_BLK_LC32_6431K V_LANE_2_BLK_LC32_6431K(1U) + +#define S_LANE_1_BLK_LCK 1 +#define V_LANE_1_BLK_LCK(x) ((x) << S_LANE_1_BLK_LCK) +#define F_LANE_1_BLK_LCK V_LANE_1_BLK_LCK(1U) + +#define S_LANE_0_BLK_LCK 0 +#define V_LANE_0_BLK_LCK(x) ((x) << S_LANE_0_BLK_LCK) +#define F_LANE_0_BLK_LCK V_LANE_0_BLK_LCK(1U) + +#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_2 0x14cc +#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_3 0x14d0 + +#define S_LANE_3_ALIGN_MRKR_LCK 3 +#define V_LANE_3_ALIGN_MRKR_LCK(x) ((x) << S_LANE_3_ALIGN_MRKR_LCK) +#define F_LANE_3_ALIGN_MRKR_LCK V_LANE_3_ALIGN_MRKR_LCK(1U) + +#define S_LANE_2_ALIGN_MRKR_LCK 2 +#define V_LANE_2_ALIGN_MRKR_LCK(x) ((x) << S_LANE_2_ALIGN_MRKR_LCK) +#define F_LANE_2_ALIGN_MRKR_LCK V_LANE_2_ALIGN_MRKR_LCK(1U) + +#define S_LANE_1_ALIGN_MRKR_LCK 1 +#define V_LANE_1_ALIGN_MRKR_LCK(x) ((x) << S_LANE_1_ALIGN_MRKR_LCK) +#define F_LANE_1_ALIGN_MRKR_LCK V_LANE_1_ALIGN_MRKR_LCK(1U) + +#define S_LANE_0_ALIGN_MRKR_LCK 0 +#define V_LANE_0_ALIGN_MRKR_LCK(x) ((x) << S_LANE_0_ALIGN_MRKR_LCK) +#define F_LANE_0_ALIGN_MRKR_LCK V_LANE_0_ALIGN_MRKR_LCK(1U) + +#define A_MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_4 0x14d4 #define A_MAC_PORT_MTIP_MDIO_CFG_STATUS 0x1600 #define S_CLK_DIV 7 @@ -35206,14 +48979,40 @@ #define V_MDIO_ADDR(x) ((x) << S_MDIO_ADDR) #define G_MDIO_ADDR(x) (((x) >> S_MDIO_ADDR) & M_MDIO_ADDR) +#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_0 0x1720 + +#define S_BIP_ERR_CNT_LANE_0 0 +#define M_BIP_ERR_CNT_LANE_0 0xffffU +#define V_BIP_ERR_CNT_LANE_0(x) ((x) << S_BIP_ERR_CNT_LANE_0) +#define G_BIP_ERR_CNT_LANE_0(x) (((x) >> S_BIP_ERR_CNT_LANE_0) & M_BIP_ERR_CNT_LANE_0) + +#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_1 0x1724 + +#define S_BIP_ERR_CNT_LANE_1 0 +#define M_BIP_ERR_CNT_LANE_1 0xffffU +#define V_BIP_ERR_CNT_LANE_1(x) ((x) << S_BIP_ERR_CNT_LANE_1) +#define G_BIP_ERR_CNT_LANE_1(x) (((x) >> S_BIP_ERR_CNT_LANE_1) & M_BIP_ERR_CNT_LANE_1) + +#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_2 0x1728 + +#define S_BIP_ERR_CNT_LANE_2 0 +#define M_BIP_ERR_CNT_LANE_2 0xffffU +#define V_BIP_ERR_CNT_LANE_2(x) ((x) << S_BIP_ERR_CNT_LANE_2) +#define G_BIP_ERR_CNT_LANE_2(x) (((x) >> S_BIP_ERR_CNT_LANE_2) & M_BIP_ERR_CNT_LANE_2) + +#define A_MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_3 0x172c + +#define S_BIP_ERR_CNT_LANE_3 0 +#define M_BIP_ERR_CNT_LANE_3 0xffffU +#define V_BIP_ERR_CNT_LANE_3(x) ((x) << S_BIP_ERR_CNT_LANE_3) +#define G_BIP_ERR_CNT_LANE_3(x) (((x) >> S_BIP_ERR_CNT_LANE_3) & M_BIP_ERR_CNT_LANE_3) + #define A_MAC_PORT_MTIP_VLAN_TPID_0 0x1a00 -#if 0 /* M_VLANTAG collides with M_VLANTAG in sys/mbuf.h */ #define S_VLANTAG 0 -#define M_VLANTAG 0xffffU +#define CXGBE_M_VLANTAG 0xffffU #define V_VLANTAG(x) ((x) << S_VLANTAG) -#define G_VLANTAG(x) (((x) >> S_VLANTAG) & M_VLANTAG) -#endif +#define G_VLANTAG(x) (((x) >> S_VLANTAG) & CXGBE_M_VLANTAG) #define A_MAC_PORT_MTIP_VLAN_TPID_1 0x1a04 #define A_MAC_PORT_MTIP_VLAN_TPID_2 0x1a08 @@ -35222,6 +49021,329 @@ #define A_MAC_PORT_MTIP_VLAN_TPID_5 0x1a14 #define A_MAC_PORT_MTIP_VLAN_TPID_6 0x1a18 #define A_MAC_PORT_MTIP_VLAN_TPID_7 0x1a1c +#define A_MAC_PORT_MTIP_KR4_LANE_0_MAPPING 0x1a40 + +#define S_KR4_LANE_0_MAPPING 0 +#define M_KR4_LANE_0_MAPPING 0x3U +#define V_KR4_LANE_0_MAPPING(x) ((x) << S_KR4_LANE_0_MAPPING) +#define G_KR4_LANE_0_MAPPING(x) (((x) >> S_KR4_LANE_0_MAPPING) & M_KR4_LANE_0_MAPPING) + +#define A_MAC_PORT_MTIP_KR4_LANE_1_MAPPING 0x1a44 + +#define S_KR4_LANE_1_MAPPING 0 +#define M_KR4_LANE_1_MAPPING 0x3U +#define V_KR4_LANE_1_MAPPING(x) ((x) << S_KR4_LANE_1_MAPPING) +#define G_KR4_LANE_1_MAPPING(x) (((x) >> S_KR4_LANE_1_MAPPING) & M_KR4_LANE_1_MAPPING) + +#define A_MAC_PORT_MTIP_KR4_LANE_2_MAPPING 0x1a48 + +#define S_KR4_LANE_2_MAPPING 0 +#define M_KR4_LANE_2_MAPPING 0x3U +#define V_KR4_LANE_2_MAPPING(x) ((x) << S_KR4_LANE_2_MAPPING) +#define G_KR4_LANE_2_MAPPING(x) (((x) >> S_KR4_LANE_2_MAPPING) & M_KR4_LANE_2_MAPPING) + +#define A_MAC_PORT_MTIP_KR4_LANE_3_MAPPING 0x1a4c + +#define S_KR4_LANE_3_MAPPING 0 +#define M_KR4_LANE_3_MAPPING 0x3U +#define V_KR4_LANE_3_MAPPING(x) ((x) << S_KR4_LANE_3_MAPPING) +#define G_KR4_LANE_3_MAPPING(x) (((x) >> S_KR4_LANE_3_MAPPING) & M_KR4_LANE_3_MAPPING) + +#define A_MAC_PORT_MTIP_KR4_SCRATCH 0x1af0 +#define A_MAC_PORT_MTIP_KR4_CORE_REVISION 0x1af4 +#define A_MAC_PORT_MTIP_KR4_VL_INTVL 0x1af8 + +#define S_SHRT_MRKR_CNFG 0 +#define V_SHRT_MRKR_CNFG(x) ((x) << S_SHRT_MRKR_CNFG) +#define F_SHRT_MRKR_CNFG V_SHRT_MRKR_CNFG(1U) + +#define A_MAC_PORT_MTIP_KR4_TX_LANE_THRESH 0x1afc +#define A_MAC_PORT_MTIP_CR4_CONTROL_1 0x1b00 +#define A_MAC_PORT_MTIP_CR4_STATUS_1 0x1b04 + +#define S_CR4_RX_LINK_STATUS 2 +#define V_CR4_RX_LINK_STATUS(x) ((x) << S_CR4_RX_LINK_STATUS) +#define F_CR4_RX_LINK_STATUS V_CR4_RX_LINK_STATUS(1U) + +#define A_MAC_PORT_MTIP_CR4_DEVICE_ID0 0x1b08 + +#define S_CR4_DEVICE_ID0 0 +#define M_CR4_DEVICE_ID0 0xffffU +#define V_CR4_DEVICE_ID0(x) ((x) << S_CR4_DEVICE_ID0) +#define G_CR4_DEVICE_ID0(x) (((x) >> S_CR4_DEVICE_ID0) & M_CR4_DEVICE_ID0) + +#define A_MAC_PORT_MTIP_CR4_DEVICE_ID1 0x1b0c + +#define S_CR4_DEVICE_ID1 0 +#define M_CR4_DEVICE_ID1 0xffffU +#define V_CR4_DEVICE_ID1(x) ((x) << S_CR4_DEVICE_ID1) +#define G_CR4_DEVICE_ID1(x) (((x) >> S_CR4_DEVICE_ID1) & M_CR4_DEVICE_ID1) + +#define A_MAC_PORT_MTIP_CR4_SPEED_ABILITY 0x1b10 + +#define S_CR4_100G_CAPABLE 8 +#define V_CR4_100G_CAPABLE(x) ((x) << S_CR4_100G_CAPABLE) +#define F_CR4_100G_CAPABLE V_CR4_100G_CAPABLE(1U) + +#define S_CR4_40G_CAPABLE 7 +#define V_CR4_40G_CAPABLE(x) ((x) << S_CR4_40G_CAPABLE) +#define F_CR4_40G_CAPABLE V_CR4_40G_CAPABLE(1U) + +#define A_MAC_PORT_MTIP_CR4_DEVICES_IN_PKG1 0x1b14 + +#define S_CLAUSE22REG_PRESENT 0 +#define V_CLAUSE22REG_PRESENT(x) ((x) << S_CLAUSE22REG_PRESENT) +#define F_CLAUSE22REG_PRESENT V_CLAUSE22REG_PRESENT(1U) + +#define A_MAC_PORT_MTIP_CR4_DEVICES_IN_PKG2 0x1b18 + +#define S_VSD_2_PRESENT 15 +#define V_VSD_2_PRESENT(x) ((x) << S_VSD_2_PRESENT) +#define F_VSD_2_PRESENT V_VSD_2_PRESENT(1U) + +#define S_VSD_1_PRESENT 14 +#define V_VSD_1_PRESENT(x) ((x) << S_VSD_1_PRESENT) +#define F_VSD_1_PRESENT V_VSD_1_PRESENT(1U) + +#define S_CLAUSE22_EXT_PRESENT 13 +#define V_CLAUSE22_EXT_PRESENT(x) ((x) << S_CLAUSE22_EXT_PRESENT) +#define F_CLAUSE22_EXT_PRESENT V_CLAUSE22_EXT_PRESENT(1U) + +#define A_MAC_PORT_MTIP_CR4_CONTROL_2 0x1b1c + +#define S_CR4_PCS_TYPE_SELECTION 0 +#define M_CR4_PCS_TYPE_SELECTION 0x7U +#define V_CR4_PCS_TYPE_SELECTION(x) ((x) << S_CR4_PCS_TYPE_SELECTION) +#define G_CR4_PCS_TYPE_SELECTION(x) (((x) >> S_CR4_PCS_TYPE_SELECTION) & M_CR4_PCS_TYPE_SELECTION) + +#define A_MAC_PORT_MTIP_CR4_STATUS_2 0x1b20 +#define A_MAC_PORT_MTIP_CR4_PKG_ID0 0x1b38 +#define A_MAC_PORT_MTIP_CR4_PKG_ID1 0x1b3c +#define A_MAC_PORT_MTIP_CR4_BASE_R_STATUS_1 0x1b80 + +#define S_RX_LINK_STAT 12 +#define V_RX_LINK_STAT(x) ((x) << S_RX_LINK_STAT) +#define F_RX_LINK_STAT V_RX_LINK_STAT(1U) + +#define S_BR_BLOCK_LOCK 0 +#define V_BR_BLOCK_LOCK(x) ((x) << S_BR_BLOCK_LOCK) +#define F_BR_BLOCK_LOCK V_BR_BLOCK_LOCK(1U) + +#define A_MAC_PORT_MTIP_CR4_BASE_R_STATUS_2 0x1b84 + +#define S_BER_COUNTER 8 +#define M_BER_COUNTER 0x3fU +#define V_BER_COUNTER(x) ((x) << S_BER_COUNTER) +#define G_BER_COUNTER(x) (((x) >> S_BER_COUNTER) & M_BER_COUNTER) + +#define S_ERRORED_BLOCKS_CNTR 0 +#define M_ERRORED_BLOCKS_CNTR 0xffU +#define V_ERRORED_BLOCKS_CNTR(x) ((x) << S_ERRORED_BLOCKS_CNTR) +#define G_ERRORED_BLOCKS_CNTR(x) (((x) >> S_ERRORED_BLOCKS_CNTR) & M_ERRORED_BLOCKS_CNTR) + +#define A_MAC_PORT_MTIP_CR4_BASE_R_TEST_CONTROL 0x1ba8 + +#define S_SCRAMBLED_ID_TP_EN 7 +#define V_SCRAMBLED_ID_TP_EN(x) ((x) << S_SCRAMBLED_ID_TP_EN) +#define F_SCRAMBLED_ID_TP_EN V_SCRAMBLED_ID_TP_EN(1U) + +#define A_MAC_PORT_MTIP_CR4_BASE_R_TEST_ERR_CNT 0x1bac + +#define S_BASE_R_TEST_ERR_CNT 0 +#define M_BASE_R_TEST_ERR_CNT 0xffffU +#define V_BASE_R_TEST_ERR_CNT(x) ((x) << S_BASE_R_TEST_ERR_CNT) +#define G_BASE_R_TEST_ERR_CNT(x) (((x) >> S_BASE_R_TEST_ERR_CNT) & M_BASE_R_TEST_ERR_CNT) + +#define A_MAC_PORT_MTIP_CR4_BER_HIGH_ORDER_CNT 0x1bb0 + +#define S_BER_HIGH_ORDER_CNT 0 +#define M_BER_HIGH_ORDER_CNT 0xffffU +#define V_BER_HIGH_ORDER_CNT(x) ((x) << S_BER_HIGH_ORDER_CNT) +#define G_BER_HIGH_ORDER_CNT(x) (((x) >> S_BER_HIGH_ORDER_CNT) & M_BER_HIGH_ORDER_CNT) + +#define A_MAC_PORT_MTIP_CR4_ERR_BLK_HIGH_ORDER_CNT 0x1bb4 + +#define S_HI_ORDER_CNT_PRESENT 15 +#define V_HI_ORDER_CNT_PRESENT(x) ((x) << S_HI_ORDER_CNT_PRESENT) +#define F_HI_ORDER_CNT_PRESENT V_HI_ORDER_CNT_PRESENT(1U) + +#define S_ERR_BLKS_CNTR 0 +#define M_ERR_BLKS_CNTR 0x3fffU +#define V_ERR_BLKS_CNTR(x) ((x) << S_ERR_BLKS_CNTR) +#define G_ERR_BLKS_CNTR(x) (((x) >> S_ERR_BLKS_CNTR) & M_ERR_BLKS_CNTR) + +#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_1 0x1bc8 + +#define S_LANE_ALIGN_STAT 12 +#define V_LANE_ALIGN_STAT(x) ((x) << S_LANE_ALIGN_STAT) +#define F_LANE_ALIGN_STAT V_LANE_ALIGN_STAT(1U) + +#define S_LANE_7_BLCK_LCK 7 +#define V_LANE_7_BLCK_LCK(x) ((x) << S_LANE_7_BLCK_LCK) +#define F_LANE_7_BLCK_LCK V_LANE_7_BLCK_LCK(1U) + +#define S_LANE_6_BLCK_LCK 6 +#define V_LANE_6_BLCK_LCK(x) ((x) << S_LANE_6_BLCK_LCK) +#define F_LANE_6_BLCK_LCK V_LANE_6_BLCK_LCK(1U) + +#define S_LANE_5_BLCK_LCK 5 +#define V_LANE_5_BLCK_LCK(x) ((x) << S_LANE_5_BLCK_LCK) +#define F_LANE_5_BLCK_LCK V_LANE_5_BLCK_LCK(1U) + +#define S_LANE_4_BLCK_LCK 4 +#define V_LANE_4_BLCK_LCK(x) ((x) << S_LANE_4_BLCK_LCK) +#define F_LANE_4_BLCK_LCK V_LANE_4_BLCK_LCK(1U) + +#define S_LANE_3_BLCK_LCK 3 +#define V_LANE_3_BLCK_LCK(x) ((x) << S_LANE_3_BLCK_LCK) +#define F_LANE_3_BLCK_LCK V_LANE_3_BLCK_LCK(1U) + +#define S_LANE_2_BLCK_LCK 2 +#define V_LANE_2_BLCK_LCK(x) ((x) << S_LANE_2_BLCK_LCK) +#define F_LANE_2_BLCK_LCK V_LANE_2_BLCK_LCK(1U) + +#define S_LANE_1_BLCK_LCK 1 +#define V_LANE_1_BLCK_LCK(x) ((x) << S_LANE_1_BLCK_LCK) +#define F_LANE_1_BLCK_LCK V_LANE_1_BLCK_LCK(1U) + +#define S_LANE_0_BLCK_LCK 0 +#define V_LANE_0_BLCK_LCK(x) ((x) << S_LANE_0_BLCK_LCK) +#define F_LANE_0_BLCK_LCK V_LANE_0_BLCK_LCK(1U) + +#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_2 0x1bcc + +#define S_LANE_19_BLCK_LCK 11 +#define V_LANE_19_BLCK_LCK(x) ((x) << S_LANE_19_BLCK_LCK) +#define F_LANE_19_BLCK_LCK V_LANE_19_BLCK_LCK(1U) + +#define S_LANE_18_BLCK_LCK 10 +#define V_LANE_18_BLCK_LCK(x) ((x) << S_LANE_18_BLCK_LCK) +#define F_LANE_18_BLCK_LCK V_LANE_18_BLCK_LCK(1U) + +#define S_LANE_17_BLCK_LCK 9 +#define V_LANE_17_BLCK_LCK(x) ((x) << S_LANE_17_BLCK_LCK) +#define F_LANE_17_BLCK_LCK V_LANE_17_BLCK_LCK(1U) + +#define S_LANE_16_BLCK_LCK 8 +#define V_LANE_16_BLCK_LCK(x) ((x) << S_LANE_16_BLCK_LCK) +#define F_LANE_16_BLCK_LCK V_LANE_16_BLCK_LCK(1U) + +#define S_LANE_15_BLCK_LCK 7 +#define V_LANE_15_BLCK_LCK(x) ((x) << S_LANE_15_BLCK_LCK) +#define F_LANE_15_BLCK_LCK V_LANE_15_BLCK_LCK(1U) + +#define S_LANE_14_BLCK_LCK 6 +#define V_LANE_14_BLCK_LCK(x) ((x) << S_LANE_14_BLCK_LCK) +#define F_LANE_14_BLCK_LCK V_LANE_14_BLCK_LCK(1U) + +#define S_LANE_13_BLCK_LCK 5 +#define V_LANE_13_BLCK_LCK(x) ((x) << S_LANE_13_BLCK_LCK) +#define F_LANE_13_BLCK_LCK V_LANE_13_BLCK_LCK(1U) + +#define S_LANE_12_BLCK_LCK 4 +#define V_LANE_12_BLCK_LCK(x) ((x) << S_LANE_12_BLCK_LCK) +#define F_LANE_12_BLCK_LCK V_LANE_12_BLCK_LCK(1U) + +#define S_LANE_11_BLCK_LCK 3 +#define V_LANE_11_BLCK_LCK(x) ((x) << S_LANE_11_BLCK_LCK) +#define F_LANE_11_BLCK_LCK V_LANE_11_BLCK_LCK(1U) + +#define S_LANE_10_BLCK_LCK 2 +#define V_LANE_10_BLCK_LCK(x) ((x) << S_LANE_10_BLCK_LCK) +#define F_LANE_10_BLCK_LCK V_LANE_10_BLCK_LCK(1U) + +#define S_LANE_9_BLCK_LCK 1 +#define V_LANE_9_BLCK_LCK(x) ((x) << S_LANE_9_BLCK_LCK) +#define F_LANE_9_BLCK_LCK V_LANE_9_BLCK_LCK(1U) + +#define S_LANE_8_BLCK_LCK 0 +#define V_LANE_8_BLCK_LCK(x) ((x) << S_LANE_8_BLCK_LCK) +#define F_LANE_8_BLCK_LCK V_LANE_8_BLCK_LCK(1U) + +#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_3 0x1bd0 + +#define S_LANE7_ALGN_MRKR_LCK 7 +#define V_LANE7_ALGN_MRKR_LCK(x) ((x) << S_LANE7_ALGN_MRKR_LCK) +#define F_LANE7_ALGN_MRKR_LCK V_LANE7_ALGN_MRKR_LCK(1U) + +#define S_LANE6_ALGN_MRKR_LCK 6 +#define V_LANE6_ALGN_MRKR_LCK(x) ((x) << S_LANE6_ALGN_MRKR_LCK) +#define F_LANE6_ALGN_MRKR_LCK V_LANE6_ALGN_MRKR_LCK(1U) + +#define S_LANE5_ALGN_MRKR_LCK 5 +#define V_LANE5_ALGN_MRKR_LCK(x) ((x) << S_LANE5_ALGN_MRKR_LCK) +#define F_LANE5_ALGN_MRKR_LCK V_LANE5_ALGN_MRKR_LCK(1U) + +#define S_LANE4_ALGN_MRKR_LCK 4 +#define V_LANE4_ALGN_MRKR_LCK(x) ((x) << S_LANE4_ALGN_MRKR_LCK) +#define F_LANE4_ALGN_MRKR_LCK V_LANE4_ALGN_MRKR_LCK(1U) + +#define S_LANE3_ALGN_MRKR_LCK 3 +#define V_LANE3_ALGN_MRKR_LCK(x) ((x) << S_LANE3_ALGN_MRKR_LCK) +#define F_LANE3_ALGN_MRKR_LCK V_LANE3_ALGN_MRKR_LCK(1U) + +#define S_LANE2_ALGN_MRKR_LCK 2 +#define V_LANE2_ALGN_MRKR_LCK(x) ((x) << S_LANE2_ALGN_MRKR_LCK) +#define F_LANE2_ALGN_MRKR_LCK V_LANE2_ALGN_MRKR_LCK(1U) + +#define S_LANE1_ALGN_MRKR_LCK 1 +#define V_LANE1_ALGN_MRKR_LCK(x) ((x) << S_LANE1_ALGN_MRKR_LCK) +#define F_LANE1_ALGN_MRKR_LCK V_LANE1_ALGN_MRKR_LCK(1U) + +#define S_LANE0_ALGN_MRKR_LCK 0 +#define V_LANE0_ALGN_MRKR_LCK(x) ((x) << S_LANE0_ALGN_MRKR_LCK) +#define F_LANE0_ALGN_MRKR_LCK V_LANE0_ALGN_MRKR_LCK(1U) + +#define A_MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_4 0x1bd4 + +#define S_LANE19_ALGN_MRKR_LCK 11 +#define V_LANE19_ALGN_MRKR_LCK(x) ((x) << S_LANE19_ALGN_MRKR_LCK) +#define F_LANE19_ALGN_MRKR_LCK V_LANE19_ALGN_MRKR_LCK(1U) + +#define S_LANE18_ALGN_MRKR_LCK 10 +#define V_LANE18_ALGN_MRKR_LCK(x) ((x) << S_LANE18_ALGN_MRKR_LCK) +#define F_LANE18_ALGN_MRKR_LCK V_LANE18_ALGN_MRKR_LCK(1U) + +#define S_LANE17_ALGN_MRKR_LCK 9 +#define V_LANE17_ALGN_MRKR_LCK(x) ((x) << S_LANE17_ALGN_MRKR_LCK) +#define F_LANE17_ALGN_MRKR_LCK V_LANE17_ALGN_MRKR_LCK(1U) + +#define S_LANE16_ALGN_MRKR_LCK 8 +#define V_LANE16_ALGN_MRKR_LCK(x) ((x) << S_LANE16_ALGN_MRKR_LCK) +#define F_LANE16_ALGN_MRKR_LCK V_LANE16_ALGN_MRKR_LCK(1U) + +#define S_LANE15_ALGN_MRKR_LCK 7 +#define V_LANE15_ALGN_MRKR_LCK(x) ((x) << S_LANE15_ALGN_MRKR_LCK) +#define F_LANE15_ALGN_MRKR_LCK V_LANE15_ALGN_MRKR_LCK(1U) + +#define S_LANE14_ALGN_MRKR_LCK 6 +#define V_LANE14_ALGN_MRKR_LCK(x) ((x) << S_LANE14_ALGN_MRKR_LCK) +#define F_LANE14_ALGN_MRKR_LCK V_LANE14_ALGN_MRKR_LCK(1U) + +#define S_LANE13_ALGN_MRKR_LCK 5 +#define V_LANE13_ALGN_MRKR_LCK(x) ((x) << S_LANE13_ALGN_MRKR_LCK) +#define F_LANE13_ALGN_MRKR_LCK V_LANE13_ALGN_MRKR_LCK(1U) + +#define S_LANE12_ALGN_MRKR_LCK 4 +#define V_LANE12_ALGN_MRKR_LCK(x) ((x) << S_LANE12_ALGN_MRKR_LCK) +#define F_LANE12_ALGN_MRKR_LCK V_LANE12_ALGN_MRKR_LCK(1U) + +#define S_LANE11_ALGN_MRKR_LCK 3 +#define V_LANE11_ALGN_MRKR_LCK(x) ((x) << S_LANE11_ALGN_MRKR_LCK) +#define F_LANE11_ALGN_MRKR_LCK V_LANE11_ALGN_MRKR_LCK(1U) + +#define S_LANE10_ALGN_MRKR_LCK 2 +#define V_LANE10_ALGN_MRKR_LCK(x) ((x) << S_LANE10_ALGN_MRKR_LCK) +#define F_LANE10_ALGN_MRKR_LCK V_LANE10_ALGN_MRKR_LCK(1U) + +#define S_LANE9_ALGN_MRKR_LCK 1 +#define V_LANE9_ALGN_MRKR_LCK(x) ((x) << S_LANE9_ALGN_MRKR_LCK) +#define F_LANE9_ALGN_MRKR_LCK V_LANE9_ALGN_MRKR_LCK(1U) + +#define S_LANE8_ALGN_MRKR_LCK 0 +#define V_LANE8_ALGN_MRKR_LCK(x) ((x) << S_LANE8_ALGN_MRKR_LCK) +#define F_LANE8_ALGN_MRKR_LCK V_LANE8_ALGN_MRKR_LCK(1U) + #define A_MAC_PORT_MTIP_PCS_CTL 0x1e00 #define S_PCS_LPBK 14 @@ -35380,6 +49502,48 @@ #define V_10GBASE_R(x) ((x) << S_10GBASE_R) #define F_10GBASE_R V_10GBASE_R(1U) +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_0 0x1e20 + +#define S_BIP_ERR_CNTLANE_0 0 +#define M_BIP_ERR_CNTLANE_0 0xffffU +#define V_BIP_ERR_CNTLANE_0(x) ((x) << S_BIP_ERR_CNTLANE_0) +#define G_BIP_ERR_CNTLANE_0(x) (((x) >> S_BIP_ERR_CNTLANE_0) & M_BIP_ERR_CNTLANE_0) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_1 0x1e24 + +#define S_BIP_ERR_CNTLANE_1 0 +#define M_BIP_ERR_CNTLANE_1 0xffffU +#define V_BIP_ERR_CNTLANE_1(x) ((x) << S_BIP_ERR_CNTLANE_1) +#define G_BIP_ERR_CNTLANE_1(x) (((x) >> S_BIP_ERR_CNTLANE_1) & M_BIP_ERR_CNTLANE_1) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_2 0x1e28 + +#define S_BIP_ERR_CNTLANE_2 0 +#define M_BIP_ERR_CNTLANE_2 0xffffU +#define V_BIP_ERR_CNTLANE_2(x) ((x) << S_BIP_ERR_CNTLANE_2) +#define G_BIP_ERR_CNTLANE_2(x) (((x) >> S_BIP_ERR_CNTLANE_2) & M_BIP_ERR_CNTLANE_2) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_3 0x1e2c + +#define S_BIP_ERR_CNTLANE_3 0 +#define M_BIP_ERR_CNTLANE_3 0xffffU +#define V_BIP_ERR_CNTLANE_3(x) ((x) << S_BIP_ERR_CNTLANE_3) +#define G_BIP_ERR_CNTLANE_3(x) (((x) >> S_BIP_ERR_CNTLANE_3) & M_BIP_ERR_CNTLANE_3) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_4 0x1e30 + +#define S_BIP_ERR_CNTLANE_4 0 +#define M_BIP_ERR_CNTLANE_4 0xffffU +#define V_BIP_ERR_CNTLANE_4(x) ((x) << S_BIP_ERR_CNTLANE_4) +#define G_BIP_ERR_CNTLANE_4(x) (((x) >> S_BIP_ERR_CNTLANE_4) & M_BIP_ERR_CNTLANE_4) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_5 0x1e34 + +#define S_BIP_ERR_CNTLANE_5 0 +#define M_BIP_ERR_CNTLANE_5 0xffffU +#define V_BIP_ERR_CNTLANE_5(x) ((x) << S_BIP_ERR_CNTLANE_5) +#define G_BIP_ERR_CNTLANE_5(x) (((x) >> S_BIP_ERR_CNTLANE_5) & M_BIP_ERR_CNTLANE_5) + #define A_MAC_PORT_MTIP_PCS_PKG_ID0 0x1e38 #define S_PKG_ID0 0 @@ -35387,6 +49551,13 @@ #define V_PKG_ID0(x) ((x) << S_PKG_ID0) #define G_PKG_ID0(x) (((x) >> S_PKG_ID0) & M_PKG_ID0) +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_6 0x1e38 + +#define S_BIP_ERR_CNTLANE_6 0 +#define M_BIP_ERR_CNTLANE_6 0xffffU +#define V_BIP_ERR_CNTLANE_6(x) ((x) << S_BIP_ERR_CNTLANE_6) +#define G_BIP_ERR_CNTLANE_6(x) (((x) >> S_BIP_ERR_CNTLANE_6) & M_BIP_ERR_CNTLANE_6) + #define A_MAC_PORT_MTIP_PCS_PKG_ID1 0x1e3c #define S_PKG_ID1 0 @@ -35394,6 +49565,97 @@ #define V_PKG_ID1(x) ((x) << S_PKG_ID1) #define G_PKG_ID1(x) (((x) >> S_PKG_ID1) & M_PKG_ID1) +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_7 0x1e3c + +#define S_BIP_ERR_CNTLANE_7 0 +#define M_BIP_ERR_CNTLANE_7 0xffffU +#define V_BIP_ERR_CNTLANE_7(x) ((x) << S_BIP_ERR_CNTLANE_7) +#define G_BIP_ERR_CNTLANE_7(x) (((x) >> S_BIP_ERR_CNTLANE_7) & M_BIP_ERR_CNTLANE_7) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_8 0x1e40 + +#define S_BIP_ERR_CNTLANE_8 0 +#define M_BIP_ERR_CNTLANE_8 0xffffU +#define V_BIP_ERR_CNTLANE_8(x) ((x) << S_BIP_ERR_CNTLANE_8) +#define G_BIP_ERR_CNTLANE_8(x) (((x) >> S_BIP_ERR_CNTLANE_8) & M_BIP_ERR_CNTLANE_8) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_9 0x1e44 + +#define S_BIP_ERR_CNTLANE_9 0 +#define M_BIP_ERR_CNTLANE_9 0xffffU +#define V_BIP_ERR_CNTLANE_9(x) ((x) << S_BIP_ERR_CNTLANE_9) +#define G_BIP_ERR_CNTLANE_9(x) (((x) >> S_BIP_ERR_CNTLANE_9) & M_BIP_ERR_CNTLANE_9) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_10 0x1e48 + +#define S_BIP_ERR_CNTLANE_10 0 +#define M_BIP_ERR_CNTLANE_10 0xffffU +#define V_BIP_ERR_CNTLANE_10(x) ((x) << S_BIP_ERR_CNTLANE_10) +#define G_BIP_ERR_CNTLANE_10(x) (((x) >> S_BIP_ERR_CNTLANE_10) & M_BIP_ERR_CNTLANE_10) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_11 0x1e4c + +#define S_BIP_ERR_CNTLANE_11 0 +#define M_BIP_ERR_CNTLANE_11 0xffffU +#define V_BIP_ERR_CNTLANE_11(x) ((x) << S_BIP_ERR_CNTLANE_11) +#define G_BIP_ERR_CNTLANE_11(x) (((x) >> S_BIP_ERR_CNTLANE_11) & M_BIP_ERR_CNTLANE_11) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_12 0x1e50 + +#define S_BIP_ERR_CNTLANE_12 0 +#define M_BIP_ERR_CNTLANE_12 0xffffU +#define V_BIP_ERR_CNTLANE_12(x) ((x) << S_BIP_ERR_CNTLANE_12) +#define G_BIP_ERR_CNTLANE_12(x) (((x) >> S_BIP_ERR_CNTLANE_12) & M_BIP_ERR_CNTLANE_12) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_13 0x1e54 + +#define S_BIP_ERR_CNTLANE_13 0 +#define M_BIP_ERR_CNTLANE_13 0xffffU +#define V_BIP_ERR_CNTLANE_13(x) ((x) << S_BIP_ERR_CNTLANE_13) +#define G_BIP_ERR_CNTLANE_13(x) (((x) >> S_BIP_ERR_CNTLANE_13) & M_BIP_ERR_CNTLANE_13) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_14 0x1e58 + +#define S_BIP_ERR_CNTLANE_14 0 +#define M_BIP_ERR_CNTLANE_14 0xffffU +#define V_BIP_ERR_CNTLANE_14(x) ((x) << S_BIP_ERR_CNTLANE_14) +#define G_BIP_ERR_CNTLANE_14(x) (((x) >> S_BIP_ERR_CNTLANE_14) & M_BIP_ERR_CNTLANE_14) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_15 0x1e5c + +#define S_BIP_ERR_CNTLANE_15 0 +#define M_BIP_ERR_CNTLANE_15 0xffffU +#define V_BIP_ERR_CNTLANE_15(x) ((x) << S_BIP_ERR_CNTLANE_15) +#define G_BIP_ERR_CNTLANE_15(x) (((x) >> S_BIP_ERR_CNTLANE_15) & M_BIP_ERR_CNTLANE_15) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_16 0x1e60 + +#define S_BIP_ERR_CNTLANE_16 0 +#define M_BIP_ERR_CNTLANE_16 0xffffU +#define V_BIP_ERR_CNTLANE_16(x) ((x) << S_BIP_ERR_CNTLANE_16) +#define G_BIP_ERR_CNTLANE_16(x) (((x) >> S_BIP_ERR_CNTLANE_16) & M_BIP_ERR_CNTLANE_16) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_17 0x1e64 + +#define S_BIP_ERR_CNTLANE_17 0 +#define M_BIP_ERR_CNTLANE_17 0xffffU +#define V_BIP_ERR_CNTLANE_17(x) ((x) << S_BIP_ERR_CNTLANE_17) +#define G_BIP_ERR_CNTLANE_17(x) (((x) >> S_BIP_ERR_CNTLANE_17) & M_BIP_ERR_CNTLANE_17) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_18 0x1e68 + +#define S_BIP_ERR_CNTLANE_18 0 +#define M_BIP_ERR_CNTLANE_18 0xffffU +#define V_BIP_ERR_CNTLANE_18(x) ((x) << S_BIP_ERR_CNTLANE_18) +#define G_BIP_ERR_CNTLANE_18(x) (((x) >> S_BIP_ERR_CNTLANE_18) & M_BIP_ERR_CNTLANE_18) + +#define A_MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_19 0x1e6c + +#define S_BIP_ERR_CNTLANE_19 0 +#define M_BIP_ERR_CNTLANE_19 0xffffU +#define V_BIP_ERR_CNTLANE_19(x) ((x) << S_BIP_ERR_CNTLANE_19) +#define G_BIP_ERR_CNTLANE_19(x) (((x) >> S_BIP_ERR_CNTLANE_19) & M_BIP_ERR_CNTLANE_19) + #define A_MAC_PORT_MTIP_PCS_BASER_STATUS1 0x1e80 #define S_RXLINKSTATUS 12 @@ -35776,6 +50038,154 @@ #define A_MAC_PORT_MTIP_PCS_LANE_MAP_17 0x1ffc #define A_MAC_PORT_MTIP_PCS_LANE_MAP_18 0x2000 #define A_MAC_PORT_MTIP_PCS_LANE_MAP_19 0x2004 +#define A_MAC_PORT_MTIP_CR4_LANE_0_MAPPING 0x2140 + +#define S_LANE_0_MAPPING 0 +#define M_LANE_0_MAPPING 0x3fU +#define V_LANE_0_MAPPING(x) ((x) << S_LANE_0_MAPPING) +#define G_LANE_0_MAPPING(x) (((x) >> S_LANE_0_MAPPING) & M_LANE_0_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_1_MAPPING 0x2144 + +#define S_LANE_1_MAPPING 0 +#define M_LANE_1_MAPPING 0x3fU +#define V_LANE_1_MAPPING(x) ((x) << S_LANE_1_MAPPING) +#define G_LANE_1_MAPPING(x) (((x) >> S_LANE_1_MAPPING) & M_LANE_1_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_2_MAPPING 0x2148 + +#define S_LANE_2_MAPPING 0 +#define M_LANE_2_MAPPING 0x3fU +#define V_LANE_2_MAPPING(x) ((x) << S_LANE_2_MAPPING) +#define G_LANE_2_MAPPING(x) (((x) >> S_LANE_2_MAPPING) & M_LANE_2_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_3_MAPPING 0x214c + +#define S_LANE_3_MAPPING 0 +#define M_LANE_3_MAPPING 0x3fU +#define V_LANE_3_MAPPING(x) ((x) << S_LANE_3_MAPPING) +#define G_LANE_3_MAPPING(x) (((x) >> S_LANE_3_MAPPING) & M_LANE_3_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_4_MAPPING 0x2150 + +#define S_LANE_4_MAPPING 0 +#define M_LANE_4_MAPPING 0x3fU +#define V_LANE_4_MAPPING(x) ((x) << S_LANE_4_MAPPING) +#define G_LANE_4_MAPPING(x) (((x) >> S_LANE_4_MAPPING) & M_LANE_4_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_5_MAPPING 0x2154 + +#define S_LANE_5_MAPPING 0 +#define M_LANE_5_MAPPING 0x3fU +#define V_LANE_5_MAPPING(x) ((x) << S_LANE_5_MAPPING) +#define G_LANE_5_MAPPING(x) (((x) >> S_LANE_5_MAPPING) & M_LANE_5_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_6_MAPPING 0x2158 + +#define S_LANE_6_MAPPING 0 +#define M_LANE_6_MAPPING 0x3fU +#define V_LANE_6_MAPPING(x) ((x) << S_LANE_6_MAPPING) +#define G_LANE_6_MAPPING(x) (((x) >> S_LANE_6_MAPPING) & M_LANE_6_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_7_MAPPING 0x215c + +#define S_LANE_7_MAPPING 0 +#define M_LANE_7_MAPPING 0x3fU +#define V_LANE_7_MAPPING(x) ((x) << S_LANE_7_MAPPING) +#define G_LANE_7_MAPPING(x) (((x) >> S_LANE_7_MAPPING) & M_LANE_7_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_8_MAPPING 0x2160 + +#define S_LANE_8_MAPPING 0 +#define M_LANE_8_MAPPING 0x3fU +#define V_LANE_8_MAPPING(x) ((x) << S_LANE_8_MAPPING) +#define G_LANE_8_MAPPING(x) (((x) >> S_LANE_8_MAPPING) & M_LANE_8_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_9_MAPPING 0x2164 + +#define S_LANE_9_MAPPING 0 +#define M_LANE_9_MAPPING 0x3fU +#define V_LANE_9_MAPPING(x) ((x) << S_LANE_9_MAPPING) +#define G_LANE_9_MAPPING(x) (((x) >> S_LANE_9_MAPPING) & M_LANE_9_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_10_MAPPING 0x2168 + +#define S_LANE_10_MAPPING 0 +#define M_LANE_10_MAPPING 0x3fU +#define V_LANE_10_MAPPING(x) ((x) << S_LANE_10_MAPPING) +#define G_LANE_10_MAPPING(x) (((x) >> S_LANE_10_MAPPING) & M_LANE_10_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_11_MAPPING 0x216c + +#define S_LANE_11_MAPPING 0 +#define M_LANE_11_MAPPING 0x3fU +#define V_LANE_11_MAPPING(x) ((x) << S_LANE_11_MAPPING) +#define G_LANE_11_MAPPING(x) (((x) >> S_LANE_11_MAPPING) & M_LANE_11_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_12_MAPPING 0x2170 + +#define S_LANE_12_MAPPING 0 +#define M_LANE_12_MAPPING 0x3fU +#define V_LANE_12_MAPPING(x) ((x) << S_LANE_12_MAPPING) +#define G_LANE_12_MAPPING(x) (((x) >> S_LANE_12_MAPPING) & M_LANE_12_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_13_MAPPING 0x2174 + +#define S_LANE_13_MAPPING 0 +#define M_LANE_13_MAPPING 0x3fU +#define V_LANE_13_MAPPING(x) ((x) << S_LANE_13_MAPPING) +#define G_LANE_13_MAPPING(x) (((x) >> S_LANE_13_MAPPING) & M_LANE_13_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_14_MAPPING 0x2178 + +#define S_LANE_14_MAPPING 0 +#define M_LANE_14_MAPPING 0x3fU +#define V_LANE_14_MAPPING(x) ((x) << S_LANE_14_MAPPING) +#define G_LANE_14_MAPPING(x) (((x) >> S_LANE_14_MAPPING) & M_LANE_14_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_15_MAPPING 0x217c + +#define S_LANE_15_MAPPING 0 +#define M_LANE_15_MAPPING 0x3fU +#define V_LANE_15_MAPPING(x) ((x) << S_LANE_15_MAPPING) +#define G_LANE_15_MAPPING(x) (((x) >> S_LANE_15_MAPPING) & M_LANE_15_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_16_MAPPING 0x2180 + +#define S_LANE_16_MAPPING 0 +#define M_LANE_16_MAPPING 0x3fU +#define V_LANE_16_MAPPING(x) ((x) << S_LANE_16_MAPPING) +#define G_LANE_16_MAPPING(x) (((x) >> S_LANE_16_MAPPING) & M_LANE_16_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_17_MAPPING 0x2184 + +#define S_LANE_17_MAPPING 0 +#define M_LANE_17_MAPPING 0x3fU +#define V_LANE_17_MAPPING(x) ((x) << S_LANE_17_MAPPING) +#define G_LANE_17_MAPPING(x) (((x) >> S_LANE_17_MAPPING) & M_LANE_17_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_18_MAPPING 0x2188 + +#define S_LANE_18_MAPPING 0 +#define M_LANE_18_MAPPING 0x3fU +#define V_LANE_18_MAPPING(x) ((x) << S_LANE_18_MAPPING) +#define G_LANE_18_MAPPING(x) (((x) >> S_LANE_18_MAPPING) & M_LANE_18_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_LANE_19_MAPPING 0x218c + +#define S_LANE_19_MAPPING 0 +#define M_LANE_19_MAPPING 0x3fU +#define V_LANE_19_MAPPING(x) ((x) << S_LANE_19_MAPPING) +#define G_LANE_19_MAPPING(x) (((x) >> S_LANE_19_MAPPING) & M_LANE_19_MAPPING) + +#define A_MAC_PORT_MTIP_CR4_SCRATCH 0x21f0 +#define A_MAC_PORT_MTIP_CR4_CORE_REVISION 0x21f4 + +#define S_CORE_REVISION 0 +#define M_CORE_REVISION 0xffffU +#define V_CORE_REVISION(x) ((x) << S_CORE_REVISION) +#define G_CORE_REVISION(x) (((x) >> S_CORE_REVISION) & M_CORE_REVISION) + #define A_MAC_PORT_BEAN_CTL 0x2200 #define S_AN_RESET 15 @@ -35794,6 +50204,16 @@ #define V_RESTART_BEAN(x) ((x) << S_RESTART_BEAN) #define F_RESTART_BEAN V_RESTART_BEAN(1U) +#define A_MAC_PORT_MTIP_RS_FEC_CONTROL 0x2200 + +#define S_RS_FEC_BYPASS_ERROR_INDICATION 1 +#define V_RS_FEC_BYPASS_ERROR_INDICATION(x) ((x) << S_RS_FEC_BYPASS_ERROR_INDICATION) +#define F_RS_FEC_BYPASS_ERROR_INDICATION V_RS_FEC_BYPASS_ERROR_INDICATION(1U) + +#define S_RS_FEC_BYPASS_CORRECTION 0 +#define V_RS_FEC_BYPASS_CORRECTION(x) ((x) << S_RS_FEC_BYPASS_CORRECTION) +#define F_RS_FEC_BYPASS_CORRECTION V_RS_FEC_BYPASS_CORRECTION(1U) + #define A_MAC_PORT_BEAN_STATUS 0x2204 #define S_PDF 9 @@ -35824,6 +50244,28 @@ #define V_LP_BEAN_ABILITY(x) ((x) << S_LP_BEAN_ABILITY) #define F_LP_BEAN_ABILITY V_LP_BEAN_ABILITY(1U) +#define A_MAC_PORT_MTIP_RS_FEC_STATUS 0x2204 + +#define S_RS_FEC_PCS_ALIGN_STATUS 15 +#define V_RS_FEC_PCS_ALIGN_STATUS(x) ((x) << S_RS_FEC_PCS_ALIGN_STATUS) +#define F_RS_FEC_PCS_ALIGN_STATUS V_RS_FEC_PCS_ALIGN_STATUS(1U) + +#define S_FEC_ALIGN_STATUS 14 +#define V_FEC_ALIGN_STATUS(x) ((x) << S_FEC_ALIGN_STATUS) +#define F_FEC_ALIGN_STATUS V_FEC_ALIGN_STATUS(1U) + +#define S_RS_FEC_HIGH_SER 2 +#define V_RS_FEC_HIGH_SER(x) ((x) << S_RS_FEC_HIGH_SER) +#define F_RS_FEC_HIGH_SER V_RS_FEC_HIGH_SER(1U) + +#define S_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY 1 +#define V_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY(x) ((x) << S_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY) +#define F_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY V_RS_FEC_BYPASS_ERROR_INDICATION_ABILITY(1U) + +#define S_RS_FEC_BYPASS_CORRECTION_ABILITY 0 +#define V_RS_FEC_BYPASS_CORRECTION_ABILITY(x) ((x) << S_RS_FEC_BYPASS_CORRECTION_ABILITY) +#define F_RS_FEC_BYPASS_CORRECTION_ABILITY V_RS_FEC_BYPASS_CORRECTION_ABILITY(1U) + #define A_MAC_PORT_BEAN_ABILITY_0 0x2208 #define S_NXP 15 @@ -35849,6 +50291,13 @@ #define V_SELECTOR(x) ((x) << S_SELECTOR) #define G_SELECTOR(x) (((x) >> S_SELECTOR) & M_SELECTOR) +#define A_MAC_PORT_MTIP_RS_FEC_CCW_LO 0x2208 + +#define S_RS_RS_FEC_CCW_LO 0 +#define M_RS_RS_FEC_CCW_LO 0xffffU +#define V_RS_RS_FEC_CCW_LO(x) ((x) << S_RS_RS_FEC_CCW_LO) +#define G_RS_RS_FEC_CCW_LO(x) (((x) >> S_RS_RS_FEC_CCW_LO) & M_RS_RS_FEC_CCW_LO) + #define A_MAC_PORT_BEAN_ABILITY_1 0x220c #define S_TECH_ABILITY_1 5 @@ -35861,6 +50310,13 @@ #define V_TX_NONCE(x) ((x) << S_TX_NONCE) #define G_TX_NONCE(x) (((x) >> S_TX_NONCE) & M_TX_NONCE) +#define A_MAC_PORT_MTIP_RS_FEC_CCW_HI 0x220c + +#define S_RS_RS_FEC_CCW_HI 0 +#define M_RS_RS_FEC_CCW_HI 0xffffU +#define V_RS_RS_FEC_CCW_HI(x) ((x) << S_RS_RS_FEC_CCW_HI) +#define G_RS_RS_FEC_CCW_HI(x) (((x) >> S_RS_RS_FEC_CCW_HI) & M_RS_RS_FEC_CCW_HI) + #define A_MAC_PORT_BEAN_ABILITY_2 0x2210 #define S_T5_FEC_ABILITY 14 @@ -35873,8 +50329,29 @@ #define V_TECH_ABILITY_2(x) ((x) << S_TECH_ABILITY_2) #define G_TECH_ABILITY_2(x) (((x) >> S_TECH_ABILITY_2) & M_TECH_ABILITY_2) +#define A_MAC_PORT_MTIP_RS_FEC_NCCW_LO 0x2210 + +#define S_RS_RS_FEC_NCCW_LO 0 +#define M_RS_RS_FEC_NCCW_LO 0xffffU +#define V_RS_RS_FEC_NCCW_LO(x) ((x) << S_RS_RS_FEC_NCCW_LO) +#define G_RS_RS_FEC_NCCW_LO(x) (((x) >> S_RS_RS_FEC_NCCW_LO) & M_RS_RS_FEC_NCCW_LO) + #define A_MAC_PORT_BEAN_REM_ABILITY_0 0x2214 +#define A_MAC_PORT_MTIP_RS_FEC_NCCW_HI 0x2214 + +#define S_RS_RS_FEC_NCCW_HI 0 +#define M_RS_RS_FEC_NCCW_HI 0xffffU +#define V_RS_RS_FEC_NCCW_HI(x) ((x) << S_RS_RS_FEC_NCCW_HI) +#define G_RS_RS_FEC_NCCW_HI(x) (((x) >> S_RS_RS_FEC_NCCW_HI) & M_RS_RS_FEC_NCCW_HI) + #define A_MAC_PORT_BEAN_REM_ABILITY_1 0x2218 +#define A_MAC_PORT_MTIP_RS_FEC_LANEMAPRS_FEC_NCCW_HI 0x2218 + +#define S_PMA_MAPPING 0 +#define M_PMA_MAPPING 0xffU +#define V_PMA_MAPPING(x) ((x) << S_PMA_MAPPING) +#define G_PMA_MAPPING(x) (((x) >> S_PMA_MAPPING) & M_PMA_MAPPING) + #define A_MAC_PORT_BEAN_REM_ABILITY_2 0x221c #define A_MAC_PORT_BEAN_MS_COUNT 0x2220 @@ -35913,10 +50390,40 @@ #define V_UNFORMATED(x) ((x) << S_UNFORMATED) #define G_UNFORMATED(x) (((x) >> S_UNFORMATED) & M_UNFORMATED) +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR0_LO 0x2228 + +#define S_RS_FEC_SYMBLERR0_LO 0 +#define V_RS_FEC_SYMBLERR0_LO(x) ((x) << S_RS_FEC_SYMBLERR0_LO) +#define F_RS_FEC_SYMBLERR0_LO V_RS_FEC_SYMBLERR0_LO(1U) + #define A_MAC_PORT_BEAN_XNP_2 0x222c +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR0_HI 0x222c + +#define S_RS_FEC_SYMBLERR0_HI 0 +#define V_RS_FEC_SYMBLERR0_HI(x) ((x) << S_RS_FEC_SYMBLERR0_HI) +#define F_RS_FEC_SYMBLERR0_HI V_RS_FEC_SYMBLERR0_HI(1U) + #define A_MAC_PORT_LP_BEAN_XNP_0 0x2230 +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR1_LO 0x2230 + +#define S_RS_FEC_SYMBLERR1_LO 0 +#define V_RS_FEC_SYMBLERR1_LO(x) ((x) << S_RS_FEC_SYMBLERR1_LO) +#define F_RS_FEC_SYMBLERR1_LO V_RS_FEC_SYMBLERR1_LO(1U) + #define A_MAC_PORT_LP_BEAN_XNP_1 0x2234 +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR1_HI 0x2234 + +#define S_RS_FEC_SYMBLERR1_HI 0 +#define V_RS_FEC_SYMBLERR1_HI(x) ((x) << S_RS_FEC_SYMBLERR1_HI) +#define F_RS_FEC_SYMBLERR1_HI V_RS_FEC_SYMBLERR1_HI(1U) + #define A_MAC_PORT_LP_BEAN_XNP_2 0x2238 +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR2_LO 0x2238 + +#define S_RS_FEC_SYMBLERR2_LO 0 +#define V_RS_FEC_SYMBLERR2_LO(x) ((x) << S_RS_FEC_SYMBLERR2_LO) +#define F_RS_FEC_SYMBLERR2_LO V_RS_FEC_SYMBLERR2_LO(1U) + #define A_MAC_PORT_BEAN_ETH_STATUS 0x223c #define S_100GCR10 8 @@ -35947,8 +50454,26 @@ #define V_1GKX(x) ((x) << S_1GKX) #define F_1GKX V_1GKX(1U) +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR2_HI 0x223c + +#define S_RS_FEC_SYMBLERR2_HI 0 +#define V_RS_FEC_SYMBLERR2_HI(x) ((x) << S_RS_FEC_SYMBLERR2_HI) +#define F_RS_FEC_SYMBLERR2_HI V_RS_FEC_SYMBLERR2_HI(1U) + #define A_MAC_PORT_BEAN_CTL_LANE1 0x2240 +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR3_LO 0x2240 + +#define S_RS_FEC_SYMBLERR3_LO 0 +#define V_RS_FEC_SYMBLERR3_LO(x) ((x) << S_RS_FEC_SYMBLERR3_LO) +#define F_RS_FEC_SYMBLERR3_LO V_RS_FEC_SYMBLERR3_LO(1U) + #define A_MAC_PORT_BEAN_STATUS_LANE1 0x2244 +#define A_MAC_PORT_MTIP_RS_FEC_SYMBLERR3_HI 0x2244 + +#define S_RS_FEC_SYMBLERR3_HI 0 +#define V_RS_FEC_SYMBLERR3_HI(x) ((x) << S_RS_FEC_SYMBLERR3_HI) +#define F_RS_FEC_SYMBLERR3_HI V_RS_FEC_SYMBLERR3_HI(1U) + #define A_MAC_PORT_BEAN_ABILITY_0_LANE1 0x2248 #define A_MAC_PORT_BEAN_ABILITY_1_LANE1 0x224c #define A_MAC_PORT_BEAN_ABILITY_2_LANE1 0x2250 @@ -35995,6 +50520,92 @@ #define A_MAC_PORT_LP_BEAN_XNP_1_LANE3 0x22f4 #define A_MAC_PORT_LP_BEAN_XNP_2_LANE3 0x22f8 #define A_MAC_PORT_BEAN_ETH_STATUS_LANE3 0x22fc +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_CONTROL 0x2400 + +#define S_RS_FEC_ENABLED_STATUS 15 +#define V_RS_FEC_ENABLED_STATUS(x) ((x) << S_RS_FEC_ENABLED_STATUS) +#define F_RS_FEC_ENABLED_STATUS V_RS_FEC_ENABLED_STATUS(1U) + +#define S_RS_FEC_ENABLE 2 +#define V_RS_FEC_ENABLE(x) ((x) << S_RS_FEC_ENABLE) +#define F_RS_FEC_ENABLE V_RS_FEC_ENABLE(1U) + +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_1 0x2404 + +#define S_DESKEW_EMPTY 12 +#define M_DESKEW_EMPTY 0xfU +#define V_DESKEW_EMPTY(x) ((x) << S_DESKEW_EMPTY) +#define G_DESKEW_EMPTY(x) (((x) >> S_DESKEW_EMPTY) & M_DESKEW_EMPTY) + +#define S_FEC_ALIGN_STATUS_LH 10 +#define V_FEC_ALIGN_STATUS_LH(x) ((x) << S_FEC_ALIGN_STATUS_LH) +#define F_FEC_ALIGN_STATUS_LH V_FEC_ALIGN_STATUS_LH(1U) + +#define S_TX_DP_OVERFLOW 9 +#define V_TX_DP_OVERFLOW(x) ((x) << S_TX_DP_OVERFLOW) +#define F_TX_DP_OVERFLOW V_TX_DP_OVERFLOW(1U) + +#define S_RX_DP_OVERFLOW 8 +#define V_RX_DP_OVERFLOW(x) ((x) << S_RX_DP_OVERFLOW) +#define F_RX_DP_OVERFLOW V_RX_DP_OVERFLOW(1U) + +#define S_TX_DATAPATH_RESTART 7 +#define V_TX_DATAPATH_RESTART(x) ((x) << S_TX_DATAPATH_RESTART) +#define F_TX_DATAPATH_RESTART V_TX_DATAPATH_RESTART(1U) + +#define S_RX_DATAPATH_RESTART 6 +#define V_RX_DATAPATH_RESTART(x) ((x) << S_RX_DATAPATH_RESTART) +#define F_RX_DATAPATH_RESTART V_RX_DATAPATH_RESTART(1U) + +#define S_MARKER_CHECK_RESTART 5 +#define V_MARKER_CHECK_RESTART(x) ((x) << S_MARKER_CHECK_RESTART) +#define F_MARKER_CHECK_RESTART V_MARKER_CHECK_RESTART(1U) + +#define S_FEC_ALIGN_STATUS_LL 4 +#define V_FEC_ALIGN_STATUS_LL(x) ((x) << S_FEC_ALIGN_STATUS_LL) +#define F_FEC_ALIGN_STATUS_LL V_FEC_ALIGN_STATUS_LL(1U) + +#define S_AMPS_LOCK 0 +#define M_AMPS_LOCK 0xfU +#define V_AMPS_LOCK(x) ((x) << S_AMPS_LOCK) +#define G_AMPS_LOCK(x) (((x) >> S_AMPS_LOCK) & M_AMPS_LOCK) + +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_2 0x2408 +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_REVISION 0x240c + +#define S_RS_FEC_VENDOR_REVISION 0 +#define M_RS_FEC_VENDOR_REVISION 0xffffU +#define V_RS_FEC_VENDOR_REVISION(x) ((x) << S_RS_FEC_VENDOR_REVISION) +#define G_RS_FEC_VENDOR_REVISION(x) (((x) >> S_RS_FEC_VENDOR_REVISION) & M_RS_FEC_VENDOR_REVISION) + +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_KEY 0x2410 + +#define S_RS_FEC_VENDOR_TX_TEST_KEY 0 +#define M_RS_FEC_VENDOR_TX_TEST_KEY 0xffffU +#define V_RS_FEC_VENDOR_TX_TEST_KEY(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_KEY) +#define G_RS_FEC_VENDOR_TX_TEST_KEY(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_KEY) & M_RS_FEC_VENDOR_TX_TEST_KEY) + +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_SYMBOLS 0x2414 + +#define S_RS_FEC_VENDOR_TX_TEST_SYMBOLS 0 +#define M_RS_FEC_VENDOR_TX_TEST_SYMBOLS 0xffffU +#define V_RS_FEC_VENDOR_TX_TEST_SYMBOLS(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_SYMBOLS) +#define G_RS_FEC_VENDOR_TX_TEST_SYMBOLS(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_SYMBOLS) & M_RS_FEC_VENDOR_TX_TEST_SYMBOLS) + +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_PATTERN 0x2418 + +#define S_RS_FEC_VENDOR_TX_TEST_PATTERN 0 +#define M_RS_FEC_VENDOR_TX_TEST_PATTERN 0xffffU +#define V_RS_FEC_VENDOR_TX_TEST_PATTERN(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_PATTERN) +#define G_RS_FEC_VENDOR_TX_TEST_PATTERN(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_PATTERN) & M_RS_FEC_VENDOR_TX_TEST_PATTERN) + +#define A_MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_TRIGGER 0x241c + +#define S_RS_FEC_VENDOR_TX_TEST_TRIGGER 0 +#define M_RS_FEC_VENDOR_TX_TEST_TRIGGER 0xffffU +#define V_RS_FEC_VENDOR_TX_TEST_TRIGGER(x) ((x) << S_RS_FEC_VENDOR_TX_TEST_TRIGGER) +#define G_RS_FEC_VENDOR_TX_TEST_TRIGGER(x) (((x) >> S_RS_FEC_VENDOR_TX_TEST_TRIGGER) & M_RS_FEC_VENDOR_TX_TEST_TRIGGER) + #define A_MAC_PORT_FEC_KR_CONTROL 0x2600 #define S_ENABLE_TR 1 @@ -36081,6 +50692,16 @@ #define V_ABILITY(x) ((x) << S_ABILITY) #define F_ABILITY V_ABILITY(1U) +#define A_MAC_PORT_MTIP_FEC_ABILITY 0x2618 + +#define S_BASE_R_FEC_ERROR_INDICATION_ABILITY 1 +#define V_BASE_R_FEC_ERROR_INDICATION_ABILITY(x) ((x) << S_BASE_R_FEC_ERROR_INDICATION_ABILITY) +#define F_BASE_R_FEC_ERROR_INDICATION_ABILITY V_BASE_R_FEC_ERROR_INDICATION_ABILITY(1U) + +#define S_BASE_R_FEC_ABILITY 0 +#define V_BASE_R_FEC_ABILITY(x) ((x) << S_BASE_R_FEC_ABILITY) +#define F_BASE_R_FEC_ABILITY V_BASE_R_FEC_ABILITY(1U) + #define A_MAC_PORT_FEC_CONTROL 0x261c #define S_FEC_EN_ERR_IND 1 @@ -36101,6 +50722,11 @@ #define V_FEC_LOCKED(x) ((x) << S_FEC_LOCKED) #define F_FEC_LOCKED V_FEC_LOCKED(1U) +#define S_FEC_LOCKED0 1 +#define M_FEC_LOCKED0 0xfU +#define V_FEC_LOCKED0(x) ((x) << S_FEC_LOCKED0) +#define G_FEC_LOCKED0(x) (((x) >> S_FEC_LOCKED0) & M_FEC_LOCKED0) + #define A_MAC_PORT_FEC_CERR_CNT_0 0x2624 #define S_FEC_CERR_CNT_0 0 @@ -36108,6 +50734,7 @@ #define V_FEC_CERR_CNT_0(x) ((x) << S_FEC_CERR_CNT_0) #define G_FEC_CERR_CNT_0(x) (((x) >> S_FEC_CERR_CNT_0) & M_FEC_CERR_CNT_0) +#define A_MAC_PORT_MTIP_FEC0_CERR_CNT_0 0x2624 #define A_MAC_PORT_FEC_CERR_CNT_1 0x2628 #define S_FEC_CERR_CNT_1 0 @@ -36115,6 +50742,7 @@ #define V_FEC_CERR_CNT_1(x) ((x) << S_FEC_CERR_CNT_1) #define G_FEC_CERR_CNT_1(x) (((x) >> S_FEC_CERR_CNT_1) & M_FEC_CERR_CNT_1) +#define A_MAC_PORT_MTIP_FEC0_CERR_CNT_1 0x2628 #define A_MAC_PORT_FEC_NCERR_CNT_0 0x262c #define S_FEC_NCERR_CNT_0 0 @@ -36122,6 +50750,13 @@ #define V_FEC_NCERR_CNT_0(x) ((x) << S_FEC_NCERR_CNT_0) #define G_FEC_NCERR_CNT_0(x) (((x) >> S_FEC_NCERR_CNT_0) & M_FEC_NCERR_CNT_0) +#define A_MAC_PORT_MTIP_FEC0_NCERR_CNT_0 0x262c + +#define S_FEC0_NCERR_CNT_0 0 +#define M_FEC0_NCERR_CNT_0 0xffffU +#define V_FEC0_NCERR_CNT_0(x) ((x) << S_FEC0_NCERR_CNT_0) +#define G_FEC0_NCERR_CNT_0(x) (((x) >> S_FEC0_NCERR_CNT_0) & M_FEC0_NCERR_CNT_0) + #define A_MAC_PORT_FEC_NCERR_CNT_1 0x2630 #define S_FEC_NCERR_CNT_1 0 @@ -36129,6 +50764,28 @@ #define V_FEC_NCERR_CNT_1(x) ((x) << S_FEC_NCERR_CNT_1) #define G_FEC_NCERR_CNT_1(x) (((x) >> S_FEC_NCERR_CNT_1) & M_FEC_NCERR_CNT_1) +#define A_MAC_PORT_MTIP_FEC0_NCERR_CNT_1 0x2630 + +#define S_FEC0_NCERR_CNT_1 0 +#define M_FEC0_NCERR_CNT_1 0xffffU +#define V_FEC0_NCERR_CNT_1(x) ((x) << S_FEC0_NCERR_CNT_1) +#define G_FEC0_NCERR_CNT_1(x) (((x) >> S_FEC0_NCERR_CNT_1) & M_FEC0_NCERR_CNT_1) + +#define A_MAC_PORT_MTIP_FEC_STATUS1 0x2664 +#define A_MAC_PORT_MTIP_FEC1_CERR_CNT_0 0x2668 +#define A_MAC_PORT_MTIP_FEC1_CERR_CNT_1 0x266c +#define A_MAC_PORT_MTIP_FEC1_NCERR_CNT_0 0x2670 +#define A_MAC_PORT_MTIP_FEC1_NCERR_CNT_1 0x2674 +#define A_MAC_PORT_MTIP_FEC_STATUS2 0x26a8 +#define A_MAC_PORT_MTIP_FEC2_CERR_CNT_0 0x26ac +#define A_MAC_PORT_MTIP_FEC2_CERR_CNT_1 0x26b0 +#define A_MAC_PORT_MTIP_FEC2_NCERR_CNT_0 0x26b4 +#define A_MAC_PORT_MTIP_FEC2_NCERR_CNT_1 0x26b8 +#define A_MAC_PORT_MTIP_FEC_STATUS3 0x26ec +#define A_MAC_PORT_MTIP_FEC3_CERR_CNT_0 0x26f0 +#define A_MAC_PORT_MTIP_FEC3_CERR_CNT_1 0x26f4 +#define A_MAC_PORT_MTIP_FEC3_NCERR_CNT_0 0x26f8 +#define A_MAC_PORT_MTIP_FEC3_NCERR_CNT_1 0x26fc #define A_MAC_PORT_AE_RX_COEF_REQ 0x2a00 #define S_T5_RXREQ_C2 4 @@ -36146,6 +50803,11 @@ #define V_T5_RXREQ_C0(x) ((x) << S_T5_RXREQ_C0) #define G_T5_RXREQ_C0(x) (((x) >> S_T5_RXREQ_C0) & M_T5_RXREQ_C0) +#define S_T5_RXREQ_C3 6 +#define M_T5_RXREQ_C3 0x3U +#define V_T5_RXREQ_C3(x) ((x) << S_T5_RXREQ_C3) +#define G_T5_RXREQ_C3(x) (((x) >> S_T5_RXREQ_C3) & M_T5_RXREQ_C3) + #define A_MAC_PORT_AE_RX_COEF_STAT 0x2a04 #define S_T5_AE0_RXSTAT_RDY 15 @@ -36167,6 +50829,23 @@ #define V_T5_AE0_RXSTAT_C0(x) ((x) << S_T5_AE0_RXSTAT_C0) #define G_T5_AE0_RXSTAT_C0(x) (((x) >> S_T5_AE0_RXSTAT_C0) & M_T5_AE0_RXSTAT_C0) +#define S_T5_AE0_RXSTAT_LSNA 14 +#define V_T5_AE0_RXSTAT_LSNA(x) ((x) << S_T5_AE0_RXSTAT_LSNA) +#define F_T5_AE0_RXSTAT_LSNA V_T5_AE0_RXSTAT_LSNA(1U) + +#define S_T5_AE0_RXSTAT_FEC 13 +#define V_T5_AE0_RXSTAT_FEC(x) ((x) << S_T5_AE0_RXSTAT_FEC) +#define F_T5_AE0_RXSTAT_FEC V_T5_AE0_RXSTAT_FEC(1U) + +#define S_T5_AE0_RXSTAT_TF 12 +#define V_T5_AE0_RXSTAT_TF(x) ((x) << S_T5_AE0_RXSTAT_TF) +#define F_T5_AE0_RXSTAT_TF V_T5_AE0_RXSTAT_TF(1U) + +#define S_T5_AE0_RXSTAT_C3 6 +#define M_T5_AE0_RXSTAT_C3 0x3U +#define V_T5_AE0_RXSTAT_C3(x) ((x) << S_T5_AE0_RXSTAT_C3) +#define G_T5_AE0_RXSTAT_C3(x) (((x) >> S_T5_AE0_RXSTAT_C3) & M_T5_AE0_RXSTAT_C3) + #define A_MAC_PORT_AE_TX_COEF_REQ 0x2a08 #define S_T5_TXREQ_C2 4 @@ -36184,6 +50863,15 @@ #define V_T5_TXREQ_C0(x) ((x) << S_T5_TXREQ_C0) #define G_T5_TXREQ_C0(x) (((x) >> S_T5_TXREQ_C0) & M_T5_TXREQ_C0) +#define S_TXREQ_FEC 11 +#define V_TXREQ_FEC(x) ((x) << S_TXREQ_FEC) +#define F_TXREQ_FEC V_TXREQ_FEC(1U) + +#define S_T5_TXREQ_C3 6 +#define M_T5_TXREQ_C3 0x3U +#define V_T5_TXREQ_C3(x) ((x) << S_T5_TXREQ_C3) +#define G_T5_TXREQ_C3(x) (((x) >> S_T5_TXREQ_C3) & M_T5_TXREQ_C3) + #define A_MAC_PORT_AE_TX_COEF_STAT 0x2a0c #define S_T5_TXSTAT_C2 4 @@ -36201,6 +50889,11 @@ #define V_T5_TXSTAT_C0(x) ((x) << S_T5_TXSTAT_C0) #define G_T5_TXSTAT_C0(x) (((x) >> S_T5_TXSTAT_C0) & M_T5_TXSTAT_C0) +#define S_T5_TXSTAT_C3 6 +#define M_T5_TXSTAT_C3 0x3U +#define V_T5_TXSTAT_C3(x) ((x) << S_T5_TXSTAT_C3) +#define G_T5_TXSTAT_C3(x) (((x) >> S_T5_TXSTAT_C3) & M_T5_TXSTAT_C3) + #define A_MAC_PORT_AE_REG_MODE 0x2a10 #define S_AET_RSVD 7 @@ -36211,6 +50904,31 @@ #define V_AET_ENABLE(x) ((x) << S_AET_ENABLE) #define F_AET_ENABLE V_AET_ENABLE(1U) +#define S_SET_WAIT_TIMER 13 +#define M_SET_WAIT_TIMER 0x3U +#define V_SET_WAIT_TIMER(x) ((x) << S_SET_WAIT_TIMER) +#define G_SET_WAIT_TIMER(x) (((x) >> S_SET_WAIT_TIMER) & M_SET_WAIT_TIMER) + +#define S_C2_C3_STATE_SEL 12 +#define V_C2_C3_STATE_SEL(x) ((x) << S_C2_C3_STATE_SEL) +#define F_C2_C3_STATE_SEL V_C2_C3_STATE_SEL(1U) + +#define S_FFE4_EN 11 +#define V_FFE4_EN(x) ((x) << S_FFE4_EN) +#define F_FFE4_EN V_FFE4_EN(1U) + +#define S_FEC_REQUEST 10 +#define V_FEC_REQUEST(x) ((x) << S_FEC_REQUEST) +#define F_FEC_REQUEST V_FEC_REQUEST(1U) + +#define S_FEC_SUPPORTED 9 +#define V_FEC_SUPPORTED(x) ((x) << S_FEC_SUPPORTED) +#define F_FEC_SUPPORTED V_FEC_SUPPORTED(1U) + +#define S_TX_FIXED 8 +#define V_TX_FIXED(x) ((x) << S_TX_FIXED) +#define F_TX_FIXED V_TX_FIXED(1U) + #define A_MAC_PORT_AE_PRBS_CTL 0x2a14 #define A_MAC_PORT_AE_FSM_CTL 0x2a18 @@ -36241,6 +50959,23 @@ #define V_T5_AE1_RXSTAT_C0(x) ((x) << S_T5_AE1_RXSTAT_C0) #define G_T5_AE1_RXSTAT_C0(x) (((x) >> S_T5_AE1_RXSTAT_C0) & M_T5_AE1_RXSTAT_C0) +#define S_T5_AE1_RXSTAT_LSNA 14 +#define V_T5_AE1_RXSTAT_LSNA(x) ((x) << S_T5_AE1_RXSTAT_LSNA) +#define F_T5_AE1_RXSTAT_LSNA V_T5_AE1_RXSTAT_LSNA(1U) + +#define S_T5_AE1_RXSTAT_FEC 13 +#define V_T5_AE1_RXSTAT_FEC(x) ((x) << S_T5_AE1_RXSTAT_FEC) +#define F_T5_AE1_RXSTAT_FEC V_T5_AE1_RXSTAT_FEC(1U) + +#define S_T5_AE1_RXSTAT_TF 12 +#define V_T5_AE1_RXSTAT_TF(x) ((x) << S_T5_AE1_RXSTAT_TF) +#define F_T5_AE1_RXSTAT_TF V_T5_AE1_RXSTAT_TF(1U) + +#define S_T5_AE1_RXSTAT_C3 6 +#define M_T5_AE1_RXSTAT_C3 0x3U +#define V_T5_AE1_RXSTAT_C3(x) ((x) << S_T5_AE1_RXSTAT_C3) +#define G_T5_AE1_RXSTAT_C3(x) (((x) >> S_T5_AE1_RXSTAT_C3) & M_T5_AE1_RXSTAT_C3) + #define A_MAC_PORT_AE_TX_COEF_REQ_1 0x2a28 #define A_MAC_PORT_AE_TX_COEF_STAT_1 0x2a2c #define A_MAC_PORT_AE_REG_MODE_1 0x2a30 @@ -36269,6 +51004,23 @@ #define V_T5_AE2_RXSTAT_C0(x) ((x) << S_T5_AE2_RXSTAT_C0) #define G_T5_AE2_RXSTAT_C0(x) (((x) >> S_T5_AE2_RXSTAT_C0) & M_T5_AE2_RXSTAT_C0) +#define S_T5_AE2_RXSTAT_LSNA 14 +#define V_T5_AE2_RXSTAT_LSNA(x) ((x) << S_T5_AE2_RXSTAT_LSNA) +#define F_T5_AE2_RXSTAT_LSNA V_T5_AE2_RXSTAT_LSNA(1U) + +#define S_T5_AE2_RXSTAT_FEC 13 +#define V_T5_AE2_RXSTAT_FEC(x) ((x) << S_T5_AE2_RXSTAT_FEC) +#define F_T5_AE2_RXSTAT_FEC V_T5_AE2_RXSTAT_FEC(1U) + +#define S_T5_AE2_RXSTAT_TF 12 +#define V_T5_AE2_RXSTAT_TF(x) ((x) << S_T5_AE2_RXSTAT_TF) +#define F_T5_AE2_RXSTAT_TF V_T5_AE2_RXSTAT_TF(1U) + +#define S_T5_AE2_RXSTAT_C3 6 +#define M_T5_AE2_RXSTAT_C3 0x3U +#define V_T5_AE2_RXSTAT_C3(x) ((x) << S_T5_AE2_RXSTAT_C3) +#define G_T5_AE2_RXSTAT_C3(x) (((x) >> S_T5_AE2_RXSTAT_C3) & M_T5_AE2_RXSTAT_C3) + #define A_MAC_PORT_AE_TX_COEF_REQ_2 0x2a48 #define A_MAC_PORT_AE_TX_COEF_STAT_2 0x2a4c #define A_MAC_PORT_AE_REG_MODE_2 0x2a50 @@ -36297,6 +51049,23 @@ #define V_T5_AE3_RXSTAT_C0(x) ((x) << S_T5_AE3_RXSTAT_C0) #define G_T5_AE3_RXSTAT_C0(x) (((x) >> S_T5_AE3_RXSTAT_C0) & M_T5_AE3_RXSTAT_C0) +#define S_T5_AE3_RXSTAT_LSNA 14 +#define V_T5_AE3_RXSTAT_LSNA(x) ((x) << S_T5_AE3_RXSTAT_LSNA) +#define F_T5_AE3_RXSTAT_LSNA V_T5_AE3_RXSTAT_LSNA(1U) + +#define S_T5_AE3_RXSTAT_FEC 13 +#define V_T5_AE3_RXSTAT_FEC(x) ((x) << S_T5_AE3_RXSTAT_FEC) +#define F_T5_AE3_RXSTAT_FEC V_T5_AE3_RXSTAT_FEC(1U) + +#define S_T5_AE3_RXSTAT_TF 12 +#define V_T5_AE3_RXSTAT_TF(x) ((x) << S_T5_AE3_RXSTAT_TF) +#define F_T5_AE3_RXSTAT_TF V_T5_AE3_RXSTAT_TF(1U) + +#define S_T5_AE3_RXSTAT_C3 6 +#define M_T5_AE3_RXSTAT_C3 0x3U +#define V_T5_AE3_RXSTAT_C3(x) ((x) << S_T5_AE3_RXSTAT_C3) +#define G_T5_AE3_RXSTAT_C3(x) (((x) >> S_T5_AE3_RXSTAT_C3) & M_T5_AE3_RXSTAT_C3) + #define A_MAC_PORT_AE_TX_COEF_REQ_3 0x2a68 #define A_MAC_PORT_AE_TX_COEF_STAT_3 0x2a6c #define A_MAC_PORT_AE_REG_MODE_3 0x2a70 @@ -36360,6 +51129,20 @@ #define V_H1TEQ_GOAL(x) ((x) << S_H1TEQ_GOAL) #define G_H1TEQ_GOAL(x) (((x) >> S_H1TEQ_GOAL) & M_H1TEQ_GOAL) +#define S_T6_INIT_METH 12 +#define M_T6_INIT_METH 0xfU +#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH) +#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH) + +#define S_INIT_CNT 8 +#define M_INIT_CNT 0xfU +#define V_INIT_CNT(x) ((x) << S_INIT_CNT) +#define G_INIT_CNT(x) (((x) >> S_INIT_CNT) & M_INIT_CNT) + +#define S_EN_AI_N0 5 +#define V_EN_AI_N0(x) ((x) << S_EN_AI_N0) +#define F_EN_AI_N0 V_EN_AI_N0(1U) + #define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0 0x2b04 #define S_GAIN_TH 6 @@ -36380,6 +51163,23 @@ #define V_AMIN_TH(x) ((x) << S_AMIN_TH) #define G_AMIN_TH(x) (((x) >> S_AMIN_TH) & M_AMIN_TH) +#define S_FEC_CNV 15 +#define V_FEC_CNV(x) ((x) << S_FEC_CNV) +#define F_FEC_CNV V_FEC_CNV(1U) + +#define S_EN_RETRY 14 +#define V_EN_RETRY(x) ((x) << S_EN_RETRY) +#define F_EN_RETRY V_EN_RETRY(1U) + +#define S_DPC_METH 12 +#define M_DPC_METH 0x3U +#define V_DPC_METH(x) ((x) << S_DPC_METH) +#define G_DPC_METH(x) (((x) >> S_DPC_METH) & M_DPC_METH) + +#define S_EN_P2 11 +#define V_EN_P2(x) ((x) << S_EN_P2) +#define F_EN_P2 V_EN_P2(1U) + #define A_MAC_PORT_AET_ZFE_LIMITS_0 0x2b08 #define S_ACC_LIM 8 @@ -36423,6 +51223,11 @@ #define V_BOOT_DEC_C0(x) ((x) << S_BOOT_DEC_C0) #define F_BOOT_DEC_C0 V_BOOT_DEC_C0(1U) +#define S_BOOT_LUT5 8 +#define M_BOOT_LUT5 0xfU +#define V_BOOT_LUT5(x) ((x) << S_BOOT_LUT5) +#define G_BOOT_LUT5(x) (((x) >> S_BOOT_LUT5) & M_BOOT_LUT5) + #define A_MAC_PORT_AET_STATUS_0 0x2b10 #define S_AET_STAT 9 @@ -36440,21 +51245,146 @@ #define V_CTRL_STATE(x) ((x) << S_CTRL_STATE) #define G_CTRL_STATE(x) (((x) >> S_CTRL_STATE) & M_CTRL_STATE) +#define S_CTRL_STAT 8 +#define M_CTRL_STAT 0x1fU +#define V_CTRL_STAT(x) ((x) << S_CTRL_STAT) +#define G_CTRL_STAT(x) (((x) >> S_CTRL_STAT) & M_CTRL_STAT) + +#define S_T6_NEU_STATE 4 +#define M_T6_NEU_STATE 0xfU +#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE) +#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE) + +#define S_T6_CTRL_STATE 0 +#define M_T6_CTRL_STATE 0xfU +#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE) +#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE) + +#define A_MAC_PORT_AET_STATUS_20 0x2b14 + +#define S_FRAME_LOCK_CNT 0 +#define M_FRAME_LOCK_CNT 0x7U +#define V_FRAME_LOCK_CNT(x) ((x) << S_FRAME_LOCK_CNT) +#define G_FRAME_LOCK_CNT(x) (((x) >> S_FRAME_LOCK_CNT) & M_FRAME_LOCK_CNT) + +#define A_MAC_PORT_AET_LIMITS0 0x2b18 + +#define S_DPC_TIME_LIM 0 +#define M_DPC_TIME_LIM 0x3U +#define V_DPC_TIME_LIM(x) ((x) << S_DPC_TIME_LIM) +#define G_DPC_TIME_LIM(x) (((x) >> S_DPC_TIME_LIM) & M_DPC_TIME_LIM) + #define A_MAC_PORT_AET_STAGE_CONFIGURATION_1 0x2b20 + +#define S_T6_INIT_METH 12 +#define M_T6_INIT_METH 0xfU +#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH) +#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH) + #define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1 0x2b24 #define A_MAC_PORT_AET_ZFE_LIMITS_1 0x2b28 #define A_MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1 0x2b2c #define A_MAC_PORT_AET_STATUS_1 0x2b30 + +#define S_T6_NEU_STATE 4 +#define M_T6_NEU_STATE 0xfU +#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE) +#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE) + +#define S_T6_CTRL_STATE 0 +#define M_T6_CTRL_STATE 0xfU +#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE) +#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE) + +#define A_MAC_PORT_AET_STATUS_21 0x2b34 +#define A_MAC_PORT_AET_LIMITS1 0x2b38 #define A_MAC_PORT_AET_STAGE_CONFIGURATION_2 0x2b40 + +#define S_T6_INIT_METH 12 +#define M_T6_INIT_METH 0xfU +#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH) +#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH) + #define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2 0x2b44 #define A_MAC_PORT_AET_ZFE_LIMITS_2 0x2b48 #define A_MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2 0x2b4c #define A_MAC_PORT_AET_STATUS_2 0x2b50 + +#define S_T6_NEU_STATE 4 +#define M_T6_NEU_STATE 0xfU +#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE) +#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE) + +#define S_T6_CTRL_STATE 0 +#define M_T6_CTRL_STATE 0xfU +#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE) +#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE) + +#define A_MAC_PORT_AET_STATUS_22 0x2b54 +#define A_MAC_PORT_AET_LIMITS2 0x2b58 #define A_MAC_PORT_AET_STAGE_CONFIGURATION_3 0x2b60 + +#define S_T6_INIT_METH 12 +#define M_T6_INIT_METH 0xfU +#define V_T6_INIT_METH(x) ((x) << S_T6_INIT_METH) +#define G_T6_INIT_METH(x) (((x) >> S_T6_INIT_METH) & M_T6_INIT_METH) + #define A_MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3 0x2b64 #define A_MAC_PORT_AET_ZFE_LIMITS_3 0x2b68 #define A_MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3 0x2b6c #define A_MAC_PORT_AET_STATUS_3 0x2b70 + +#define S_T6_NEU_STATE 4 +#define M_T6_NEU_STATE 0xfU +#define V_T6_NEU_STATE(x) ((x) << S_T6_NEU_STATE) +#define G_T6_NEU_STATE(x) (((x) >> S_T6_NEU_STATE) & M_T6_NEU_STATE) + +#define S_T6_CTRL_STATE 0 +#define M_T6_CTRL_STATE 0xfU +#define V_T6_CTRL_STATE(x) ((x) << S_T6_CTRL_STATE) +#define G_T6_CTRL_STATE(x) (((x) >> S_T6_CTRL_STATE) & M_T6_CTRL_STATE) + +#define A_MAC_PORT_AET_STATUS_23 0x2b74 +#define A_MAC_PORT_AET_LIMITS3 0x2b78 +#define A_T6_MAC_PORT_BEAN_CTL 0x2c00 +#define A_T6_MAC_PORT_BEAN_STATUS 0x2c04 +#define A_T6_MAC_PORT_BEAN_ABILITY_0 0x2c08 + +#define S_BEAN_REM_FAULT 13 +#define V_BEAN_REM_FAULT(x) ((x) << S_BEAN_REM_FAULT) +#define F_BEAN_REM_FAULT V_BEAN_REM_FAULT(1U) + +#define A_T6_MAC_PORT_BEAN_ABILITY_1 0x2c0c +#define A_T6_MAC_PORT_BEAN_ABILITY_2 0x2c10 +#define A_T6_MAC_PORT_BEAN_REM_ABILITY_0 0x2c14 + +#define S_BEAN_ABL_REM_FAULT 13 +#define V_BEAN_ABL_REM_FAULT(x) ((x) << S_BEAN_ABL_REM_FAULT) +#define F_BEAN_ABL_REM_FAULT V_BEAN_ABL_REM_FAULT(1U) + +#define A_T6_MAC_PORT_BEAN_REM_ABILITY_1 0x2c18 +#define A_T6_MAC_PORT_BEAN_REM_ABILITY_2 0x2c1c +#define A_T6_MAC_PORT_BEAN_MS_COUNT 0x2c20 +#define A_T6_MAC_PORT_BEAN_XNP_0 0x2c24 +#define A_T6_MAC_PORT_BEAN_XNP_1 0x2c28 +#define A_T6_MAC_PORT_BEAN_XNP_2 0x2c2c +#define A_T6_MAC_PORT_LP_BEAN_XNP_0 0x2c30 +#define A_T6_MAC_PORT_LP_BEAN_XNP_1 0x2c34 +#define A_T6_MAC_PORT_LP_BEAN_XNP_2 0x2c38 +#define A_T6_MAC_PORT_BEAN_ETH_STATUS 0x2c3c + +#define S_100GCR4 11 +#define V_100GCR4(x) ((x) << S_100GCR4) +#define F_100GCR4 V_100GCR4(1U) + +#define S_100GKR4 10 +#define V_100GKR4(x) ((x) << S_100GKR4) +#define F_100GKR4 V_100GKR4(1U) + +#define S_100GKP4 9 +#define V_100GKP4(x) ((x) << S_100GKP4) +#define F_100GKP4 V_100GKP4(1U) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE 0x3000 #define S_T5_TX_LINKEN 15 @@ -36512,6 +51442,19 @@ #define V_T5_TX_RTSEL(x) ((x) << S_T5_TX_RTSEL) #define G_T5_TX_RTSEL(x) (((x) >> S_T5_TX_RTSEL) & M_T5_TX_RTSEL) +#define S_T6_T5_TX_RXLOOP 5 +#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP) +#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U) + +#define S_T5_TX_ENFFE4 4 +#define V_T5_TX_ENFFE4(x) ((x) << S_T5_TX_ENFFE4) +#define F_T5_TX_ENFFE4 V_T5_TX_ENFFE4(1U) + +#define S_T6_T5_TX_BWSEL 2 +#define M_T6_T5_TX_BWSEL 0x3U +#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL) +#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL 0x3004 #define S_SPSEL 11 @@ -36527,6 +51470,22 @@ #define V_TPGMD(x) ((x) << S_TPGMD) #define F_TPGMD V_TPGMD(1U) +#define S_TC_FRCERR 10 +#define V_TC_FRCERR(x) ((x) << S_TC_FRCERR) +#define F_TC_FRCERR V_TC_FRCERR(1U) + +#define S_T6_ERROR 9 +#define V_T6_ERROR(x) ((x) << S_T6_ERROR) +#define F_T6_ERROR V_T6_ERROR(1U) + +#define S_SYNC 8 +#define V_SYNC(x) ((x) << S_SYNC) +#define F_SYNC V_SYNC(1U) + +#define S_P7CHK 5 +#define V_P7CHK(x) ((x) << S_P7CHK) +#define F_P7CHK V_P7CHK(1U) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL 0x3008 #define S_ZCALOVRD 8 @@ -36545,6 +51504,10 @@ #define V_AESRC(x) ((x) << S_AESRC) #define F_AESRC V_AESRC(1U) +#define S_SASMODE 7 +#define V_SASMODE(x) ((x) << S_SASMODE) +#define F_SASMODE V_SASMODE(1U) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL 0x300c #define S_T5DRVHIZ 5 @@ -36614,6 +51577,16 @@ #define V_CALSSTP(x) ((x) << S_CALSSTP) #define G_CALSSTP(x) (((x) >> S_CALSSTP) & M_CALSSTP) +#define S_T6_CALSSTN 8 +#define M_T6_CALSSTN 0x3fU +#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN) +#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN) + +#define S_T6_CALSSTP 0 +#define M_T6_CALSSTP 0x3fU +#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP) +#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x301c #define S_DRTOL 0 @@ -36621,6 +51594,11 @@ #define V_DRTOL(x) ((x) << S_DRTOL) #define G_DRTOL(x) (((x) >> S_DRTOL) & M_DRTOL) +#define S_T6_DRTOL 2 +#define M_T6_DRTOL 0x7U +#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL) +#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT 0x3020 #define S_T5NXTT0 0 @@ -36628,6 +51606,11 @@ #define V_T5NXTT0(x) ((x) << S_T5NXTT0) #define G_T5NXTT0(x) (((x) >> S_T5NXTT0) & M_T5NXTT0) +#define S_T6_NXTT0 0 +#define M_T6_NXTT0 0x3fU +#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0) +#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT 0x3024 #define S_T5NXTT1 0 @@ -36642,6 +51625,18 @@ #define V_T5NXTT2(x) ((x) << S_T5NXTT2) #define G_T5NXTT2(x) (((x) >> S_T5NXTT2) & M_T5NXTT2) +#define S_T6_NXTT2 0 +#define M_T6_NXTT2 0x3fU +#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2) +#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_3_COEFFICIENT 0x302c + +#define S_NXTT3 0 +#define M_NXTT3 0x3fU +#define V_NXTT3(x) ((x) << S_NXTT3) +#define G_NXTT3(x) (((x) >> S_NXTT3) & M_NXTT3) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_AMPLITUDE 0x3030 #define S_T5TXPWR 0 @@ -36656,6 +51651,11 @@ #define V_NXTPOL(x) ((x) << S_NXTPOL) #define G_NXTPOL(x) (((x) >> S_NXTPOL) & M_NXTPOL) +#define S_T6_NXTPOL 0 +#define M_T6_NXTPOL 0xfU +#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL) +#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3038 #define S_CPREST 13 @@ -36666,8 +51666,61 @@ #define V_CINIT(x) ((x) << S_CINIT) #define F_CINIT V_CINIT(1U) +#define S_SASCMD 10 +#define M_SASCMD 0x3U +#define V_SASCMD(x) ((x) << S_SASCMD) +#define G_SASCMD(x) (((x) >> S_SASCMD) & M_SASCMD) + +#define S_T6_C0UPDT 6 +#define M_T6_C0UPDT 0x3U +#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT) +#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT) + +#define S_C3UPDT 4 +#define M_C3UPDT 0x3U +#define V_C3UPDT(x) ((x) << S_C3UPDT) +#define G_C3UPDT(x) (((x) >> S_C3UPDT) & M_C3UPDT) + +#define S_T6_C2UPDT 2 +#define M_T6_C2UPDT 0x3U +#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT) +#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT) + +#define S_T6_C1UPDT 0 +#define M_T6_C1UPDT 0x3U +#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT) +#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x303c + +#define S_T6_C0STAT 6 +#define M_T6_C0STAT 0x3U +#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT) +#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT) + +#define S_C3STAT 4 +#define M_C3STAT 0x3U +#define V_C3STAT(x) ((x) << S_C3STAT) +#define G_C3STAT(x) (((x) >> S_C3STAT) & M_C3STAT) + +#define S_T6_C2STAT 2 +#define M_T6_C2STAT 0x3U +#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT) +#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT) + +#define S_T6_C1STAT 0 +#define M_T6_C1STAT 0x3U +#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT) +#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3040 +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3040 + +#define S_AETAP0 0 +#define M_AETAP0 0x7fU +#define V_AETAP0(x) ((x) << S_AETAP0) +#define G_AETAP0(x) (((x) >> S_AETAP0) & M_AETAP0) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3044 #define S_T5NIDAC1 0 @@ -36675,6 +51728,13 @@ #define V_T5NIDAC1(x) ((x) << S_T5NIDAC1) #define G_T5NIDAC1(x) (((x) >> S_T5NIDAC1) & M_T5NIDAC1) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3044 + +#define S_AETAP1 0 +#define M_AETAP1 0x7fU +#define V_AETAP1(x) ((x) << S_AETAP1) +#define G_AETAP1(x) (((x) >> S_AETAP1) & M_AETAP1) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3048 #define S_T5NIDAC2 0 @@ -36682,7 +51742,81 @@ #define V_T5NIDAC2(x) ((x) << S_T5NIDAC2) #define G_T5NIDAC2(x) (((x) >> S_T5NIDAC2) & M_T5NIDAC2) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3048 + +#define S_AETAP2 0 +#define M_AETAP2 0x7fU +#define V_AETAP2(x) ((x) << S_AETAP2) +#define G_AETAP2(x) (((x) >> S_AETAP2) & M_AETAP2) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x304c + +#define S_AETAP3 0 +#define M_AETAP3 0x7fU +#define V_AETAP3(x) ((x) << S_AETAP3) +#define G_AETAP3(x) (((x) >> S_AETAP3) & M_AETAP3) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_APPLIED_TUNE_REGISTER 0x3050 + +#define S_ATUNEN 8 +#define M_ATUNEN 0xffU +#define V_ATUNEN(x) ((x) << S_ATUNEN) +#define G_ATUNEN(x) (((x) >> S_ATUNEN) & M_ATUNEN) + +#define S_ATUNEP 0 +#define M_ATUNEP 0xffU +#define V_ATUNEP(x) ((x) << S_ATUNEP) +#define G_ATUNEP(x) (((x) >> S_ATUNEP) & M_ATUNEP) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3058 + +#define S_DCCCOMPINV 8 +#define V_DCCCOMPINV(x) ((x) << S_DCCCOMPINV) +#define F_DCCCOMPINV V_DCCCOMPINV(1U) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3060 +#define A_MAC_PORT_TX_LINKA_TRANSMIT_4X_SEGMENT_APPLIED 0x3060 + +#define S_AS4X7 14 +#define M_AS4X7 0x3U +#define V_AS4X7(x) ((x) << S_AS4X7) +#define G_AS4X7(x) (((x) >> S_AS4X7) & M_AS4X7) + +#define S_AS4X6 12 +#define M_AS4X6 0x3U +#define V_AS4X6(x) ((x) << S_AS4X6) +#define G_AS4X6(x) (((x) >> S_AS4X6) & M_AS4X6) + +#define S_AS4X5 10 +#define M_AS4X5 0x3U +#define V_AS4X5(x) ((x) << S_AS4X5) +#define G_AS4X5(x) (((x) >> S_AS4X5) & M_AS4X5) + +#define S_AS4X4 8 +#define M_AS4X4 0x3U +#define V_AS4X4(x) ((x) << S_AS4X4) +#define G_AS4X4(x) (((x) >> S_AS4X4) & M_AS4X4) + +#define S_AS4X3 6 +#define M_AS4X3 0x3U +#define V_AS4X3(x) ((x) << S_AS4X3) +#define G_AS4X3(x) (((x) >> S_AS4X3) & M_AS4X3) + +#define S_AS4X2 4 +#define M_AS4X2 0x3U +#define V_AS4X2(x) ((x) << S_AS4X2) +#define G_AS4X2(x) (((x) >> S_AS4X2) & M_AS4X2) + +#define S_AS4X1 2 +#define M_AS4X1 0x3U +#define V_AS4X1(x) ((x) << S_AS4X1) +#define G_AS4X1(x) (((x) >> S_AS4X1) & M_AS4X1) + +#define S_AS4X0 0 +#define M_AS4X0 0x3U +#define V_AS4X0(x) ((x) << S_AS4X0) +#define G_AS4X0(x) (((x) >> S_AS4X0) & M_AS4X0) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3064 #define S_T5AIDAC1 0 @@ -36690,7 +51824,78 @@ #define V_T5AIDAC1(x) ((x) << S_T5AIDAC1) #define G_T5AIDAC1(x) (((x) >> S_T5AIDAC1) & M_T5AIDAC1) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_2X_SEGMENT_APPLIED 0x3064 + +#define S_AS2X3 6 +#define M_AS2X3 0x3U +#define V_AS2X3(x) ((x) << S_AS2X3) +#define G_AS2X3(x) (((x) >> S_AS2X3) & M_AS2X3) + +#define S_AS2X2 4 +#define M_AS2X2 0x3U +#define V_AS2X2(x) ((x) << S_AS2X2) +#define G_AS2X2(x) (((x) >> S_AS2X2) & M_AS2X2) + +#define S_AS2X1 2 +#define M_AS2X1 0x3U +#define V_AS2X1(x) ((x) << S_AS2X1) +#define G_AS2X1(x) (((x) >> S_AS2X1) & M_AS2X1) + +#define S_AS2X0 0 +#define M_AS2X0 0x3U +#define V_AS2X0(x) ((x) << S_AS2X0) +#define G_AS2X0(x) (((x) >> S_AS2X0) & M_AS2X0) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3068 +#define A_MAC_PORT_TX_LINKA_TRANSMIT_1X_SEGMENT_APPLIED 0x3068 + +#define S_AS1X7 14 +#define M_AS1X7 0x3U +#define V_AS1X7(x) ((x) << S_AS1X7) +#define G_AS1X7(x) (((x) >> S_AS1X7) & M_AS1X7) + +#define S_AS1X6 12 +#define M_AS1X6 0x3U +#define V_AS1X6(x) ((x) << S_AS1X6) +#define G_AS1X6(x) (((x) >> S_AS1X6) & M_AS1X6) + +#define S_AS1X5 10 +#define M_AS1X5 0x3U +#define V_AS1X5(x) ((x) << S_AS1X5) +#define G_AS1X5(x) (((x) >> S_AS1X5) & M_AS1X5) + +#define S_AS1X4 8 +#define M_AS1X4 0x3U +#define V_AS1X4(x) ((x) << S_AS1X4) +#define G_AS1X4(x) (((x) >> S_AS1X4) & M_AS1X4) + +#define S_AS1X3 6 +#define M_AS1X3 0x3U +#define V_AS1X3(x) ((x) << S_AS1X3) +#define G_AS1X3(x) (((x) >> S_AS1X3) & M_AS1X3) + +#define S_AS1X2 4 +#define M_AS1X2 0x3U +#define V_AS1X2(x) ((x) << S_AS1X2) +#define G_AS1X2(x) (((x) >> S_AS1X2) & M_AS1X2) + +#define S_AS1X1 2 +#define M_AS1X1 0x3U +#define V_AS1X1(x) ((x) << S_AS1X1) +#define G_AS1X1(x) (((x) >> S_AS1X1) & M_AS1X1) + +#define S_AS1X0 0 +#define M_AS1X0 0x3U +#define V_AS1X0(x) ((x) << S_AS1X0) +#define G_AS1X0(x) (((x) >> S_AS1X0) & M_AS1X0) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x306c + +#define S_AT4X 0 +#define M_AT4X 0xffU +#define V_AT4X(x) ((x) << S_AT4X) +#define G_AT4X(x) (((x) >> S_AT4X) & M_AT4X) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3070 #define S_MAINSC 6 @@ -36703,6 +51908,13 @@ #define V_POSTSC(x) ((x) << S_POSTSC) #define G_POSTSC(x) (((x) >> S_POSTSC) & M_POSTSC) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3070 + +#define S_AT2X 8 +#define M_AT2X 0xfU +#define V_AT2X(x) ((x) << S_AT2X) +#define G_AT2X(x) (((x) >> S_AT2X) & M_AT2X) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3074 #define S_PRESC 0 @@ -36710,6 +51922,13 @@ #define V_PRESC(x) ((x) << S_PRESC) #define G_PRESC(x) (((x) >> S_PRESC) & M_PRESC) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3074 + +#define S_ATSIGN 0 +#define M_ATSIGN 0xfU +#define V_ATSIGN(x) ((x) << S_ATSIGN) +#define G_ATSIGN(x) (((x) >> S_ATSIGN) & M_ATSIGN) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3078 #define A_MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x307c @@ -36722,6 +51941,11 @@ #define V_T5XWR(x) ((x) << S_T5XWR) #define F_T5XWR V_T5XWR(1U) +#define S_T6_XADDR 1 +#define M_T6_XADDR 0x1fU +#define V_T6_XADDR(x) ((x) << S_T6_XADDR) +#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3080 #define S_XDAT10 0 @@ -36743,6 +51967,13 @@ #define V_XDAT4(x) ((x) << S_XDAT4) #define G_XDAT4(x) (((x) >> S_XDAT4) & M_XDAT4) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3088 + +#define S_XDAT54 0 +#define M_XDAT54 0xffffU +#define V_XDAT54(x) ((x) << S_XDAT54) +#define G_XDAT54(x) (((x) >> S_XDAT54) & M_XDAT54) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL 0x308c #define S_DCCTIMEDOUT 15 @@ -36776,6 +52007,13 @@ #define V_DCCAEN(x) ((x) << S_DCCAEN) #define F_DCCAEN V_DCCAEN(1U) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x308c + +#define S_XDAT76 0 +#define M_XDAT76 0xffffU +#define V_XDAT76(x) ((x) << S_XDAT76) +#define G_XDAT76(x) (((x) >> S_XDAT76) & M_XDAT76) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE 0x3090 #define S_DCCOUT 12 @@ -36839,6 +52077,203 @@ #define V_LPIPRCD(x) ((x) << S_LPIPRCD) #define G_LPIPRCD(x) (((x) >> S_LPIPRCD) & M_LPIPRCD) +#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL 0x30a0 + +#define S_T6_DCCTIMEEN 13 +#define M_T6_DCCTIMEEN 0x3U +#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN) +#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN) + +#define S_T6_DCCLOCK 11 +#define M_T6_DCCLOCK 0x3U +#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK) +#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK) + +#define S_T6_DCCOFFSET 8 +#define M_T6_DCCOFFSET 0x7U +#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET) +#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET) + +#define S_TX_LINKA_DCCSTEP_CTL 6 +#define M_TX_LINKA_DCCSTEP_CTL 0x3U +#define V_TX_LINKA_DCCSTEP_CTL(x) ((x) << S_TX_LINKA_DCCSTEP_CTL) +#define G_TX_LINKA_DCCSTEP_CTL(x) (((x) >> S_TX_LINKA_DCCSTEP_CTL) & M_TX_LINKA_DCCSTEP_CTL) + +#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE 0x30a4 +#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED 0x30a8 +#define A_T6_MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT 0x30ac +#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_OVERRIDE 0x30c0 + +#define S_OSIGN 0 +#define M_OSIGN 0xfU +#define V_OSIGN(x) ((x) << S_OSIGN) +#define G_OSIGN(x) (((x) >> S_OSIGN) & M_OSIGN) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_OVERRIDE 0x30c8 + +#define S_OS4X7 14 +#define M_OS4X7 0x3U +#define V_OS4X7(x) ((x) << S_OS4X7) +#define G_OS4X7(x) (((x) >> S_OS4X7) & M_OS4X7) + +#define S_OS4X6 12 +#define M_OS4X6 0x3U +#define V_OS4X6(x) ((x) << S_OS4X6) +#define G_OS4X6(x) (((x) >> S_OS4X6) & M_OS4X6) + +#define S_OS4X5 10 +#define M_OS4X5 0x3U +#define V_OS4X5(x) ((x) << S_OS4X5) +#define G_OS4X5(x) (((x) >> S_OS4X5) & M_OS4X5) + +#define S_OS4X4 8 +#define M_OS4X4 0x3U +#define V_OS4X4(x) ((x) << S_OS4X4) +#define G_OS4X4(x) (((x) >> S_OS4X4) & M_OS4X4) + +#define S_OS4X3 6 +#define M_OS4X3 0x3U +#define V_OS4X3(x) ((x) << S_OS4X3) +#define G_OS4X3(x) (((x) >> S_OS4X3) & M_OS4X3) + +#define S_OS4X2 4 +#define M_OS4X2 0x3U +#define V_OS4X2(x) ((x) << S_OS4X2) +#define G_OS4X2(x) (((x) >> S_OS4X2) & M_OS4X2) + +#define S_OS4X1 2 +#define M_OS4X1 0x3U +#define V_OS4X1(x) ((x) << S_OS4X1) +#define G_OS4X1(x) (((x) >> S_OS4X1) & M_OS4X1) + +#define S_OS4X0 0 +#define M_OS4X0 0x3U +#define V_OS4X0(x) ((x) << S_OS4X0) +#define G_OS4X0(x) (((x) >> S_OS4X0) & M_OS4X0) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X_OVERRIDE 0x30cc + +#define S_OS2X3 6 +#define M_OS2X3 0x3U +#define V_OS2X3(x) ((x) << S_OS2X3) +#define G_OS2X3(x) (((x) >> S_OS2X3) & M_OS2X3) + +#define S_OS2X2 4 +#define M_OS2X2 0x3U +#define V_OS2X2(x) ((x) << S_OS2X2) +#define G_OS2X2(x) (((x) >> S_OS2X2) & M_OS2X2) + +#define S_OS2X1 2 +#define M_OS2X1 0x3U +#define V_OS2X1(x) ((x) << S_OS2X1) +#define G_OS2X1(x) (((x) >> S_OS2X1) & M_OS2X1) + +#define S_OS2X0 0 +#define M_OS2X0 0x3U +#define V_OS2X0(x) ((x) << S_OS2X0) +#define G_OS2X0(x) (((x) >> S_OS2X0) & M_OS2X0) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_1X_OVERRIDE 0x30d0 + +#define S_OS1X7 14 +#define M_OS1X7 0x3U +#define V_OS1X7(x) ((x) << S_OS1X7) +#define G_OS1X7(x) (((x) >> S_OS1X7) & M_OS1X7) + +#define S_OS1X6 12 +#define M_OS1X6 0x3U +#define V_OS1X6(x) ((x) << S_OS1X6) +#define G_OS1X6(x) (((x) >> S_OS1X6) & M_OS1X6) + +#define S_OS1X5 10 +#define M_OS1X5 0x3U +#define V_OS1X5(x) ((x) << S_OS1X5) +#define G_OS1X5(x) (((x) >> S_OS1X5) & M_OS1X5) + +#define S_OS1X4 8 +#define M_OS1X4 0x3U +#define V_OS1X4(x) ((x) << S_OS1X4) +#define G_OS1X4(x) (((x) >> S_OS1X4) & M_OS1X4) + +#define S_OS1X3 6 +#define M_OS1X3 0x3U +#define V_OS1X3(x) ((x) << S_OS1X3) +#define G_OS1X3(x) (((x) >> S_OS1X3) & M_OS1X3) + +#define S_OS1X2 4 +#define M_OS1X2 0x3U +#define V_OS1X2(x) ((x) << S_OS1X2) +#define G_OS1X2(x) (((x) >> S_OS1X2) & M_OS1X2) + +#define S_OS1X1 2 +#define M_OS1X1 0x3U +#define V_OS1X1(x) ((x) << S_OS1X1) +#define G_OS1X1(x) (((x) >> S_OS1X1) & M_OS1X1) + +#define S_OS1X0 0 +#define M_OS1X0 0x3U +#define V_OS1X0(x) ((x) << S_OS1X0) +#define G_OS1X0(x) (((x) >> S_OS1X0) & M_OS1X0) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x30d8 + +#define S_OT4X 0 +#define M_OT4X 0xffU +#define V_OT4X(x) ((x) << S_OT4X) +#define G_OT4X(x) (((x) >> S_OT4X) & M_OT4X) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x30dc + +#define S_OT2X 0 +#define M_OT2X 0xfU +#define V_OT2X(x) ((x) << S_OT2X) +#define G_OT2X(x) (((x) >> S_OT2X) & M_OT2X) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x30e0 + +#define S_OT1X 0 +#define M_OT1X 0xffU +#define V_OT1X(x) ((x) << S_OT1X) +#define G_OT1X(x) (((x) >> S_OT1X) & M_OT1X) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_5 0x30ec + +#define S_ERRORP 15 +#define V_ERRORP(x) ((x) << S_ERRORP) +#define F_ERRORP V_ERRORP(1U) + +#define S_ERRORN 14 +#define V_ERRORN(x) ((x) << S_ERRORN) +#define F_ERRORN V_ERRORN(1U) + +#define S_TESTENA 13 +#define V_TESTENA(x) ((x) << S_TESTENA) +#define F_TESTENA V_TESTENA(1U) + +#define S_TUNEBIT 10 +#define M_TUNEBIT 0x7U +#define V_TUNEBIT(x) ((x) << S_TUNEBIT) +#define G_TUNEBIT(x) (((x) >> S_TUNEBIT) & M_TUNEBIT) + +#define S_DATAPOS 8 +#define M_DATAPOS 0x3U +#define V_DATAPOS(x) ((x) << S_DATAPOS) +#define G_DATAPOS(x) (((x) >> S_DATAPOS) & M_DATAPOS) + +#define S_SEGSEL 3 +#define M_SEGSEL 0x1fU +#define V_SEGSEL(x) ((x) << S_SEGSEL) +#define G_SEGSEL(x) (((x) >> S_SEGSEL) & M_SEGSEL) + +#define S_TAPSEL 1 +#define M_TAPSEL 0x3U +#define V_TAPSEL(x) ((x) << S_TAPSEL) +#define G_TAPSEL(x) (((x) >> S_TAPSEL) & M_TAPSEL) + +#define S_DATASIGN 0 +#define V_DATASIGN(x) ((x) << S_DATASIGN) +#define F_DATASIGN V_DATASIGN(1U) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4 0x30f0 #define S_SDOVRDEN 8 @@ -36850,6 +52285,11 @@ #define V_SDOVRD(x) ((x) << S_SDOVRD) #define G_SDOVRD(x) (((x) >> S_SDOVRD) & M_SDOVRD) +#define S_T6_SDOVRD 0 +#define M_T6_SDOVRD 0xffffU +#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD) +#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3 0x30f4 #define S_SLEWCODE 1 @@ -36861,6 +52301,11 @@ #define V_ASEGEN(x) ((x) << S_ASEGEN) #define F_ASEGEN V_ASEGEN(1U) +#define S_WCNT 0 +#define M_WCNT 0x3ffU +#define V_WCNT(x) ((x) << S_WCNT) +#define G_WCNT(x) (((x) >> S_WCNT) & M_WCNT) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2 0x30f8 #define S_AECMDVAL 14 @@ -36922,43 +52367,202 @@ #define V_OBS(x) ((x) << S_OBS) #define F_OBS V_OBS(1U) +#define S_T6_SDOVRDEN 15 +#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN) +#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U) + +#define S_BSOUTN 7 +#define V_BSOUTN(x) ((x) << S_BSOUTN) +#define F_BSOUTN V_BSOUTN(1U) + +#define S_BSOUTP 6 +#define V_BSOUTP(x) ((x) << S_BSOUTP) +#define F_BSOUTP V_BSOUTP(1U) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE 0x3100 + +#define S_T6_T5_TX_RXLOOP 5 +#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP) +#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U) + +#define S_T6_T5_TX_BWSEL 2 +#define M_T6_T5_TX_BWSEL 0x3U +#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL) +#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL 0x3104 + +#define S_T6_ERROR 9 +#define V_T6_ERROR(x) ((x) << S_T6_ERROR) +#define F_T6_ERROR V_T6_ERROR(1U) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL 0x3108 #define A_MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL 0x310c #define A_MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3110 #define A_MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3114 #define A_MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3118 + +#define S_T6_CALSSTN 8 +#define M_T6_CALSSTN 0x3fU +#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN) +#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN) + +#define S_T6_CALSSTP 0 +#define M_T6_CALSSTP 0x3fU +#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP) +#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x311c + +#define S_T6_DRTOL 2 +#define M_T6_DRTOL 0x7U +#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL) +#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT 0x3120 + +#define S_T6_NXTT0 0 +#define M_T6_NXTT0 0x3fU +#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0) +#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT 0x3124 #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT 0x3128 + +#define S_T6_NXTT2 0 +#define M_T6_NXTT2 0x3fU +#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2) +#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2) + +#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_3_COEFFICIENT 0x312c #define A_MAC_PORT_TX_LINKB_TRANSMIT_AMPLITUDE 0x3130 #define A_MAC_PORT_TX_LINKB_TRANSMIT_POLARITY 0x3134 + +#define S_T6_NXTPOL 0 +#define M_T6_NXTPOL 0xfU +#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL) +#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3138 + +#define S_T6_C0UPDT 6 +#define M_T6_C0UPDT 0x3U +#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT) +#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT) + +#define S_T6_C2UPDT 2 +#define M_T6_C2UPDT 0x3U +#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT) +#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT) + +#define S_T6_C1UPDT 0 +#define M_T6_C1UPDT 0x3U +#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT) +#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x313c + +#define S_T6_C0STAT 6 +#define M_T6_C0STAT 0x3U +#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT) +#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT) + +#define S_T6_C2STAT 2 +#define M_T6_C2STAT 0x3U +#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT) +#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT) + +#define S_T6_C1STAT 0 +#define M_T6_C1STAT 0x3U +#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT) +#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3140 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3140 #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3144 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3144 #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3148 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3148 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x314c +#define A_MAC_PORT_TX_LINKB_TRANSMIT_APPLIED_TUNE_REGISTER 0x3150 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3158 #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3160 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_4X_SEGMENT_APPLIED 0x3160 #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3164 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_2X_SEGMENT_APPLIED 0x3164 #define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3168 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_1X_SEGMENT_APPLIED 0x3168 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x316c #define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3170 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3170 #define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3174 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3174 #define A_MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3178 #define A_MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x317c + +#define S_T6_XADDR 1 +#define M_T6_XADDR 0x1fU +#define V_T6_XADDR(x) ((x) << S_T6_XADDR) +#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3180 #define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3184 #define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3188 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3188 #define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL 0x318c +#define A_MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x318c #define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE 0x3190 #define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED 0x3194 #define A_MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT 0x3198 #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL 0x319c +#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL 0x31a0 + +#define S_T6_DCCTIMEEN 13 +#define M_T6_DCCTIMEEN 0x3U +#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN) +#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN) + +#define S_T6_DCCLOCK 11 +#define M_T6_DCCLOCK 0x3U +#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK) +#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK) + +#define S_T6_DCCOFFSET 8 +#define M_T6_DCCOFFSET 0x7U +#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET) +#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET) + +#define S_TX_LINKB_DCCSTEP_CTL 6 +#define M_TX_LINKB_DCCSTEP_CTL 0x3U +#define V_TX_LINKB_DCCSTEP_CTL(x) ((x) << S_TX_LINKB_DCCSTEP_CTL) +#define G_TX_LINKB_DCCSTEP_CTL(x) (((x) >> S_TX_LINKB_DCCSTEP_CTL) & M_TX_LINKB_DCCSTEP_CTL) + +#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE 0x31a4 +#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED 0x31a8 +#define A_T6_MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT 0x31ac +#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_OVERRIDE 0x31c0 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_OVERRIDE 0x31c8 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X_OVERRIDE 0x31cc +#define A_MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_1X_OVERRIDE 0x31d0 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x31d8 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x31dc +#define A_MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x31e0 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_5 0x31ec #define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4 0x31f0 + +#define S_T6_SDOVRD 0 +#define M_T6_SDOVRD 0xffffU +#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD) +#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3 0x31f4 #define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2 0x31f8 #define A_MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1 0x31fc + +#define S_T6_SDOVRDEN 15 +#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN) +#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U) + #define A_MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE 0x3200 #define S_T5_RX_LINKEN 15 @@ -37010,6 +52614,10 @@ #define V_T5_RX_RTSEL(x) ((x) << S_T5_RX_RTSEL) #define G_T5_RX_RTSEL(x) (((x) >> S_T5_RX_RTSEL) & M_T5_RX_RTSEL) +#define S_T5_RX_MODE8023AZ 8 +#define V_T5_RX_MODE8023AZ(x) ((x) << S_T5_RX_MODE8023AZ) +#define F_T5_RX_MODE8023AZ V_T5_RX_MODE8023AZ(1U) + #define A_MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL 0x3204 #define S_FERRST 10 @@ -37041,6 +52649,20 @@ #define V_PATSEL(x) ((x) << S_PATSEL) #define G_PATSEL(x) (((x) >> S_PATSEL) & M_PATSEL) +#define S_APLYDCD 15 +#define V_APLYDCD(x) ((x) << S_APLYDCD) +#define F_APLYDCD V_APLYDCD(1U) + +#define S_PPOL 13 +#define M_PPOL 0x3U +#define V_PPOL(x) ((x) << S_PPOL) +#define G_PPOL(x) (((x) >> S_PPOL) & M_PPOL) + +#define S_PCLKSEL 11 +#define M_PCLKSEL 0x3U +#define V_PCLKSEL(x) ((x) << S_PCLKSEL) +#define G_PCLKSEL(x) (((x) >> S_PCLKSEL) & M_PCLKSEL) + #define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL 0x3208 #define S_RSTUCK 3 @@ -37060,6 +52682,30 @@ #define F_SSCEN V_SSCEN(1U) #define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL 0x320c + +#define S_H1ANOFST 12 +#define M_H1ANOFST 0xfU +#define V_H1ANOFST(x) ((x) << S_H1ANOFST) +#define G_H1ANOFST(x) (((x) >> S_H1ANOFST) & M_H1ANOFST) + +#define S_T6_TMSCAL 8 +#define M_T6_TMSCAL 0x3U +#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL) +#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL) + +#define S_T6_APADJ 7 +#define V_T6_APADJ(x) ((x) << S_T6_APADJ) +#define F_T6_APADJ V_T6_APADJ(1U) + +#define S_T6_RSEL 6 +#define V_T6_RSEL(x) ((x) << S_T6_RSEL) +#define F_T6_RSEL V_T6_RSEL(1U) + +#define S_T6_PHOFFS 0 +#define M_T6_PHOFFS 0x3fU +#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS) +#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS) + #define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1 0x3210 #define S_ROT00 0 @@ -37067,6 +52713,16 @@ #define V_ROT00(x) ((x) << S_ROT00) #define G_ROT00(x) (((x) >> S_ROT00) & M_ROT00) +#define S_ROTA 8 +#define M_ROTA 0x3fU +#define V_ROTA(x) ((x) << S_ROTA) +#define G_ROTA(x) (((x) >> S_ROTA) & M_ROTA) + +#define S_ROTD 0 +#define M_ROTD 0x3fU +#define V_ROTD(x) ((x) << S_ROTD) +#define G_ROTD(x) (((x) >> S_ROTD) & M_ROTD) + #define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2 0x3214 #define S_FREQFW 8 @@ -37078,7 +52734,23 @@ #define V_FWSNAP(x) ((x) << S_FWSNAP) #define F_FWSNAP V_FWSNAP(1U) +#define S_ROTE 0 +#define M_ROTE 0x3fU +#define V_ROTE(x) ((x) << S_ROTE) +#define G_ROTE(x) (((x) >> S_ROTE) & M_ROTE) + #define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3218 + +#define S_RAOFFF 8 +#define M_RAOFFF 0xfU +#define V_RAOFFF(x) ((x) << S_RAOFFF) +#define G_RAOFFF(x) (((x) >> S_RAOFFF) & M_RAOFFF) + +#define S_RAOFF 0 +#define M_RAOFF 0x1fU +#define V_RAOFF(x) ((x) << S_RAOFF) +#define G_RAOFF(x) (((x) >> S_RAOFF) & M_RAOFF) + #define A_MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x321c #define S_RBOOFF 10 @@ -37092,6 +52764,12 @@ #define G_RBEOFF(x) (((x) >> S_RBEOFF) & M_RBEOFF) #define A_MAC_PORT_RX_LINKA_DFE_CONTROL 0x3220 + +#define S_T6_SPIFMT 8 +#define M_T6_SPIFMT 0xfU +#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT) +#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT) + #define A_MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1 0x3224 #define S_T5BYTE1 8 @@ -37129,7 +52807,30 @@ #define V_T5_RX_ASAMP(x) ((x) << S_T5_RX_ASAMP) #define G_T5_RX_ASAMP(x) (((x) >> S_T5_RX_ASAMP) & M_T5_RX_ASAMP) +#define S_REQWOV 15 +#define V_REQWOV(x) ((x) << S_REQWOV) +#define F_REQWOV V_REQWOV(1U) + +#define S_RASEL 11 +#define M_RASEL 0x7U +#define V_RASEL(x) ((x) << S_RASEL) +#define G_RASEL(x) (((x) >> S_RASEL) & M_RASEL) + #define A_MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1 0x322c + +#define S_T6_WRAPSEL 15 +#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL) +#define F_T6_WRAPSEL V_T6_WRAPSEL(1U) + +#define S_ACTL 14 +#define V_ACTL(x) ((x) << S_ACTL) +#define F_ACTL V_ACTL(1U) + +#define S_T6_PEAK 9 +#define M_T6_PEAK 0x1fU +#define V_T6_PEAK(x) ((x) << S_T6_PEAK) +#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK) + #define A_MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2 0x3230 #define S_T5SHORTV 10 @@ -37141,6 +52842,37 @@ #define V_T5VGAIN(x) ((x) << S_T5VGAIN) #define G_T5VGAIN(x) (((x) >> S_T5VGAIN) & M_T5VGAIN) +#define S_FVOFFSKP 15 +#define V_FVOFFSKP(x) ((x) << S_FVOFFSKP) +#define F_FVOFFSKP V_FVOFFSKP(1U) + +#define S_FGAINCHK 14 +#define V_FGAINCHK(x) ((x) << S_FGAINCHK) +#define F_FGAINCHK V_FGAINCHK(1U) + +#define S_FH1ACAL 13 +#define V_FH1ACAL(x) ((x) << S_FH1ACAL) +#define F_FH1ACAL V_FH1ACAL(1U) + +#define S_FH1AFLTR 11 +#define M_FH1AFLTR 0x3U +#define V_FH1AFLTR(x) ((x) << S_FH1AFLTR) +#define G_FH1AFLTR(x) (((x) >> S_FH1AFLTR) & M_FH1AFLTR) + +#define S_WGAIN 8 +#define M_WGAIN 0x3U +#define V_WGAIN(x) ((x) << S_WGAIN) +#define G_WGAIN(x) (((x) >> S_WGAIN) & M_WGAIN) + +#define S_GAIN_STAT 7 +#define V_GAIN_STAT(x) ((x) << S_GAIN_STAT) +#define F_GAIN_STAT V_GAIN_STAT(1U) + +#define S_T6_T5VGAIN 0 +#define M_T6_T5VGAIN 0x7fU +#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN) +#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN) + #define A_MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3 0x3234 #define A_MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_1 0x3238 @@ -37159,6 +52891,34 @@ #define V_DUTYI(x) ((x) << S_DUTYI) #define G_DUTYI(x) (((x) >> S_DUTYI) & M_DUTYI) +#define A_MAC_PORT_RX_LINKA_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3238 + +#define S_PMCFG 6 +#define M_PMCFG 0x3U +#define V_PMCFG(x) ((x) << S_PMCFG) +#define G_PMCFG(x) (((x) >> S_PMCFG) & M_PMCFG) + +#define S_PMOFFTIME 0 +#define M_PMOFFTIME 0x3fU +#define V_PMOFFTIME(x) ((x) << S_PMOFFTIME) +#define G_PMOFFTIME(x) (((x) >> S_PMOFFTIME) & M_PMOFFTIME) + +#define A_MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_1 0x323c + +#define S_SELI 9 +#define V_SELI(x) ((x) << S_SELI) +#define F_SELI V_SELI(1U) + +#define S_SERVREF 5 +#define M_SERVREF 0x7U +#define V_SERVREF(x) ((x) << S_SERVREF) +#define G_SERVREF(x) (((x) >> S_SERVREF) & M_SERVREF) + +#define S_IQAMP 0 +#define M_IQAMP 0x1fU +#define V_IQAMP(x) ((x) << S_IQAMP) +#define G_IQAMP(x) (((x) >> S_IQAMP) & M_IQAMP) + #define A_MAC_PORT_RX_LINKA_RECEIVER_DQCC_CONTROL_3 0x3240 #define S_DTHR 8 @@ -37171,8 +52931,41 @@ #define V_SNUL(x) ((x) << S_SNUL) #define G_SNUL(x) (((x) >> S_SNUL) & M_SNUL) +#define A_MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_2 0x3240 +#define A_MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3244 + +#define S_SAVEADAC 8 +#define V_SAVEADAC(x) ((x) << S_SAVEADAC) +#define F_SAVEADAC V_SAVEADAC(1U) + +#define S_LOAD2 7 +#define V_LOAD2(x) ((x) << S_LOAD2) +#define F_LOAD2 V_LOAD2(1U) + +#define S_LOAD1 6 +#define V_LOAD1(x) ((x) << S_LOAD1) +#define F_LOAD1 V_LOAD1(1U) + +#define S_WRTACC2 5 +#define V_WRTACC2(x) ((x) << S_WRTACC2) +#define F_WRTACC2 V_WRTACC2(1U) + +#define S_WRTACC1 4 +#define V_WRTACC1(x) ((x) << S_WRTACC1) +#define F_WRTACC1 V_WRTACC1(1U) + +#define S_SELAPAN 3 +#define V_SELAPAN(x) ((x) << S_SELAPAN) +#define F_SELAPAN V_SELAPAN(1U) + +#define S_DASEL 0 +#define M_DASEL 0x7U +#define V_DASEL(x) ((x) << S_DASEL) +#define G_DASEL(x) (((x) >> S_DASEL) & M_DASEL) + #define A_MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN 0x3248 #define A_MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN_AND_DACAZ 0x324c +#define A_MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN 0x324c #define A_MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL 0x3250 #define S_ADSN_READWRITE 8 @@ -37183,6 +52976,61 @@ #define V_ADSN_READONLY(x) ((x) << S_ADSN_READONLY) #define F_ADSN_READONLY V_ADSN_READONLY(1U) +#define S_ADAC2 8 +#define M_ADAC2 0xffU +#define V_ADAC2(x) ((x) << S_ADAC2) +#define G_ADAC2(x) (((x) >> S_ADAC2) & M_ADAC2) + +#define S_ADAC1 0 +#define M_ADAC1 0xffU +#define V_ADAC1(x) ((x) << S_ADAC1) +#define G_ADAC1(x) (((x) >> S_ADAC1) & M_ADAC1) + +#define A_MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_CONTROL 0x3254 + +#define S_FACCPLDYN 13 +#define V_FACCPLDYN(x) ((x) << S_FACCPLDYN) +#define F_FACCPLDYN V_FACCPLDYN(1U) + +#define S_ACCPLGAIN 10 +#define M_ACCPLGAIN 0x7U +#define V_ACCPLGAIN(x) ((x) << S_ACCPLGAIN) +#define G_ACCPLGAIN(x) (((x) >> S_ACCPLGAIN) & M_ACCPLGAIN) + +#define S_ACCPLREF 8 +#define M_ACCPLREF 0x3U +#define V_ACCPLREF(x) ((x) << S_ACCPLREF) +#define G_ACCPLREF(x) (((x) >> S_ACCPLREF) & M_ACCPLREF) + +#define S_ACCPLSTEP 6 +#define M_ACCPLSTEP 0x3U +#define V_ACCPLSTEP(x) ((x) << S_ACCPLSTEP) +#define G_ACCPLSTEP(x) (((x) >> S_ACCPLSTEP) & M_ACCPLSTEP) + +#define S_ACCPLASTEP 1 +#define M_ACCPLASTEP 0x1fU +#define V_ACCPLASTEP(x) ((x) << S_ACCPLASTEP) +#define G_ACCPLASTEP(x) (((x) >> S_ACCPLASTEP) & M_ACCPLASTEP) + +#define S_FACCPL 0 +#define V_FACCPL(x) ((x) << S_FACCPL) +#define F_FACCPL V_FACCPL(1U) + +#define A_MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_VALUE 0x3258 + +#define S_ACCPLMEANS 15 +#define V_ACCPLMEANS(x) ((x) << S_ACCPLMEANS) +#define F_ACCPLMEANS V_ACCPLMEANS(1U) + +#define S_CDROVREN 8 +#define V_CDROVREN(x) ((x) << S_CDROVREN) +#define F_CDROVREN V_CDROVREN(1U) + +#define S_ACCPLBIAS 0 +#define M_ACCPLBIAS 0xffU +#define V_ACCPLBIAS(x) ((x) << S_ACCPLBIAS) +#define G_ACCPLBIAS(x) (((x) >> S_ACCPLBIAS) & M_ACCPLBIAS) + #define A_MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x325c #define S_H1O2 8 @@ -37195,6 +53043,13 @@ #define V_H1E2(x) ((x) << S_H1E2) #define G_H1E2(x) (((x) >> S_H1E2) & M_H1E2) +#define A_MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET 0x325c + +#define S_H123CH 0 +#define M_H123CH 0x3fU +#define V_H123CH(x) ((x) << S_H123CH) +#define G_H123CH(x) (((x) >> S_H123CH) & M_H123CH) + #define A_MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3260 #define S_H1O3 8 @@ -37207,6 +53062,18 @@ #define V_H1E3(x) ((x) << S_H1E3) #define G_H1E3(x) (((x) >> S_H1E3) & M_H1E3) +#define A_MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3260 + +#define S_H1OX 8 +#define M_H1OX 0x3fU +#define V_H1OX(x) ((x) << S_H1OX) +#define G_H1OX(x) (((x) >> S_H1OX) & M_H1OX) + +#define S_H1EX 0 +#define M_H1EX 0x3fU +#define V_H1EX(x) ((x) << S_H1EX) +#define G_H1EX(x) (((x) >> S_H1EX) & M_H1EX) + #define A_MAC_PORT_RX_LINKA_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3264 #define S_H1O4 8 @@ -37219,13 +53086,107 @@ #define V_H1E4(x) ((x) << S_H1E4) #define G_H1E4(x) (((x) >> S_H1E4) & M_H1E4) +#define A_MAC_PORT_RX_LINKA_PEAKED_INTEGRATOR 0x3264 + +#define S_PILOCK 10 +#define V_PILOCK(x) ((x) << S_PILOCK) +#define F_PILOCK V_PILOCK(1U) + +#define S_UNPKPKA 2 +#define M_UNPKPKA 0x3fU +#define V_UNPKPKA(x) ((x) << S_UNPKPKA) +#define G_UNPKPKA(x) (((x) >> S_UNPKPKA) & M_UNPKPKA) + +#define S_UNPKVGA 0 +#define M_UNPKVGA 0x3U +#define V_UNPKVGA(x) ((x) << S_UNPKVGA) +#define G_UNPKVGA(x) (((x) >> S_UNPKVGA) & M_UNPKVGA) + +#define A_MAC_PORT_RX_LINKA_CDR_ANALOG_SWITCH 0x3268 + +#define S_OVRAC 15 +#define V_OVRAC(x) ((x) << S_OVRAC) +#define F_OVRAC V_OVRAC(1U) + +#define S_OVRPK 14 +#define V_OVRPK(x) ((x) << S_OVRPK) +#define F_OVRPK V_OVRPK(1U) + +#define S_OVRTAILS 12 +#define M_OVRTAILS 0x3U +#define V_OVRTAILS(x) ((x) << S_OVRTAILS) +#define G_OVRTAILS(x) (((x) >> S_OVRTAILS) & M_OVRTAILS) + +#define S_OVRTAILV 9 +#define M_OVRTAILV 0x7U +#define V_OVRTAILV(x) ((x) << S_OVRTAILV) +#define G_OVRTAILV(x) (((x) >> S_OVRTAILV) & M_OVRTAILV) + +#define S_OVRCAP 8 +#define V_OVRCAP(x) ((x) << S_OVRCAP) +#define F_OVRCAP V_OVRCAP(1U) + +#define S_OVRDCDPRE 7 +#define V_OVRDCDPRE(x) ((x) << S_OVRDCDPRE) +#define F_OVRDCDPRE V_OVRDCDPRE(1U) + +#define S_OVRDCDPST 6 +#define V_OVRDCDPST(x) ((x) << S_OVRDCDPST) +#define F_OVRDCDPST V_OVRDCDPST(1U) + +#define S_DCVSCTMODE 2 +#define V_DCVSCTMODE(x) ((x) << S_DCVSCTMODE) +#define F_DCVSCTMODE V_DCVSCTMODE(1U) + +#define S_CDRANLGSW 0 +#define M_CDRANLGSW 0x3U +#define V_CDRANLGSW(x) ((x) << S_CDRANLGSW) +#define G_CDRANLGSW(x) (((x) >> S_CDRANLGSW) & M_CDRANLGSW) + +#define A_MAC_PORT_RX_LINKA_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x326c + +#define S_PFLAG 5 +#define M_PFLAG 0x3U +#define V_PFLAG(x) ((x) << S_PFLAG) +#define G_PFLAG(x) (((x) >> S_PFLAG) & M_PFLAG) + #define A_MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3270 #define S_DPCMD 14 #define V_DPCMD(x) ((x) << S_DPCMD) #define F_DPCMD V_DPCMD(1U) +#define S_DACCLIP 15 +#define V_DACCLIP(x) ((x) << S_DACCLIP) +#define F_DACCLIP V_DACCLIP(1U) + +#define S_DPCFRZ 14 +#define V_DPCFRZ(x) ((x) << S_DPCFRZ) +#define F_DPCFRZ V_DPCFRZ(1U) + +#define S_DPCLKNQ 11 +#define V_DPCLKNQ(x) ((x) << S_DPCLKNQ) +#define F_DPCLKNQ V_DPCLKNQ(1U) + +#define S_DPCWDFE 10 +#define V_DPCWDFE(x) ((x) << S_DPCWDFE) +#define F_DPCWDFE V_DPCWDFE(1U) + +#define S_DPCWPK 9 +#define V_DPCWPK(x) ((x) << S_DPCWPK) +#define F_DPCWPK V_DPCWPK(1U) + #define A_MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC 0x3274 + +#define S_VIEWSCAN 4 +#define V_VIEWSCAN(x) ((x) << S_VIEWSCAN) +#define F_VIEWSCAN V_VIEWSCAN(1U) + +#define S_T6_ODEC 0 +#define M_T6_ODEC 0xfU +#define V_T6_ODEC(x) ((x) << S_T6_ODEC) +#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC) + #define A_MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS 0x3278 #define S_T5BER6VAL 15 @@ -37284,6 +53245,18 @@ #define V_T5OCCMP(x) ((x) << S_T5OCCMP) #define F_T5OCCMP V_T5OCCMP(1U) +#define S_RX_LINKA_ACCCMP_RIS 11 +#define V_RX_LINKA_ACCCMP_RIS(x) ((x) << S_RX_LINKA_ACCCMP_RIS) +#define F_RX_LINKA_ACCCMP_RIS V_RX_LINKA_ACCCMP_RIS(1U) + +#define S_DCCCMP 10 +#define V_DCCCMP(x) ((x) << S_DCCCMP) +#define F_DCCCMP V_DCCCMP(1U) + +#define S_T5IQCMP 1 +#define V_T5IQCMP(x) ((x) << S_T5IQCMP) +#define F_T5IQCMP V_T5IQCMP(1U) + #define A_MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1 0x327c #define S_FLOFF 1 @@ -37332,6 +53305,42 @@ #define V_FDQCC(x) ((x) << S_FDQCC) #define F_FDQCC V_FDQCC(1U) +#define S_FDCCAL 14 +#define V_FDCCAL(x) ((x) << S_FDCCAL) +#define F_FDCCAL V_FDCCAL(1U) + +#define S_FROTCAL 13 +#define V_FROTCAL(x) ((x) << S_FROTCAL) +#define F_FROTCAL V_FROTCAL(1U) + +#define S_FIQAMP 12 +#define V_FIQAMP(x) ((x) << S_FIQAMP) +#define F_FIQAMP V_FIQAMP(1U) + +#define S_FRPTCALF 11 +#define V_FRPTCALF(x) ((x) << S_FRPTCALF) +#define F_FRPTCALF V_FRPTCALF(1U) + +#define S_FINTCALGS 10 +#define V_FINTCALGS(x) ((x) << S_FINTCALGS) +#define F_FINTCALGS V_FINTCALGS(1U) + +#define S_FDCC 9 +#define V_FDCC(x) ((x) << S_FDCC) +#define F_FDCC V_FDCC(1U) + +#define S_FDCD 7 +#define V_FDCD(x) ((x) << S_FDCD) +#define F_FDCD V_FDCD(1U) + +#define S_FINTRCALDYN 1 +#define V_FINTRCALDYN(x) ((x) << S_FINTRCALDYN) +#define F_FINTRCALDYN V_FINTRCALDYN(1U) + +#define S_FQCC 0 +#define V_FQCC(x) ((x) << S_FQCC) +#define F_FQCC V_FQCC(1U) + #define A_MAC_PORT_RX_LINKA_DFE_OFFSET_EVN1_EVN2 0x3284 #define S_LOFE2S_READWRITE 16 @@ -37361,6 +53370,31 @@ #define V_LOFE1(x) ((x) << S_LOFE1) #define G_LOFE1(x) (((x) >> S_LOFE1) & M_LOFE1) +#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_CHANNEL 0x3284 + +#define S_QCCIND 13 +#define V_QCCIND(x) ((x) << S_QCCIND) +#define F_QCCIND V_QCCIND(1U) + +#define S_DCDIND 10 +#define M_DCDIND 0x7U +#define V_DCDIND(x) ((x) << S_DCDIND) +#define G_DCDIND(x) (((x) >> S_DCDIND) & M_DCDIND) + +#define S_DCCIND 8 +#define M_DCCIND 0x3U +#define V_DCCIND(x) ((x) << S_DCCIND) +#define G_DCCIND(x) (((x) >> S_DCCIND) & M_DCCIND) + +#define S_CFSEL 5 +#define V_CFSEL(x) ((x) << S_CFSEL) +#define F_CFSEL V_CFSEL(1U) + +#define S_LOFCH 0 +#define M_LOFCH 0x1fU +#define V_LOFCH(x) ((x) << S_LOFCH) +#define G_LOFCH(x) (((x) >> S_LOFCH) & M_LOFCH) + #define A_MAC_PORT_RX_LINKA_DFE_OFFSET_ODD1_ODD2 0x3288 #define S_LOFO2S_READWRITE 15 @@ -37389,6 +53423,18 @@ #define V_LOFO1(x) ((x) << S_LOFO1) #define G_LOFO1(x) (((x) >> S_LOFO1) & M_LOFO1) +#define A_MAC_PORT_RX_LINKA_DFE_OFFSET_VALUE 0x3288 + +#define S_LOFU 8 +#define M_LOFU 0x7fU +#define V_LOFU(x) ((x) << S_LOFU) +#define G_LOFU(x) (((x) >> S_LOFU) & M_LOFU) + +#define S_LOFL 0 +#define M_LOFL 0x7fU +#define V_LOFL(x) ((x) << S_LOFL) +#define G_LOFL(x) (((x) >> S_LOFL) & M_LOFL) + #define A_MAC_PORT_RX_LINKA_DFE_OFFSET_EVN3_EVN4 0x328c #define S_LOFE4S_READWRITE 15 @@ -37417,6 +53463,42 @@ #define V_LOFE3(x) ((x) << S_LOFE3) #define G_LOFE3(x) (((x) >> S_LOFE3) & M_LOFE3) +#define A_MAC_PORT_RX_LINKA_H_COEFFICIENBT_BIST 0x328c + +#define S_HBISTMAN 12 +#define V_HBISTMAN(x) ((x) << S_HBISTMAN) +#define F_HBISTMAN V_HBISTMAN(1U) + +#define S_HBISTRES 11 +#define V_HBISTRES(x) ((x) << S_HBISTRES) +#define F_HBISTRES V_HBISTRES(1U) + +#define S_HBISTSP 8 +#define M_HBISTSP 0x7U +#define V_HBISTSP(x) ((x) << S_HBISTSP) +#define G_HBISTSP(x) (((x) >> S_HBISTSP) & M_HBISTSP) + +#define S_HBISTEN 7 +#define V_HBISTEN(x) ((x) << S_HBISTEN) +#define F_HBISTEN V_HBISTEN(1U) + +#define S_HBISTRST 6 +#define V_HBISTRST(x) ((x) << S_HBISTRST) +#define F_HBISTRST V_HBISTRST(1U) + +#define S_HCOMP 5 +#define V_HCOMP(x) ((x) << S_HCOMP) +#define F_HCOMP V_HCOMP(1U) + +#define S_HPASS 4 +#define V_HPASS(x) ((x) << S_HPASS) +#define F_HPASS V_HPASS(1U) + +#define S_HSEL 0 +#define M_HSEL 0xfU +#define V_HSEL(x) ((x) << S_HSEL) +#define G_HSEL(x) (((x) >> S_HSEL) & M_HSEL) + #define A_MAC_PORT_RX_LINKA_DFE_OFFSET_ODD3_ODD4 0x3290 #define S_LOFO4S_READWRITE 15 @@ -37445,6 +53527,30 @@ #define V_LOFO3(x) ((x) << S_LOFO3) #define G_LOFO3(x) (((x) >> S_LOFO3) & M_LOFO3) +#define A_MAC_PORT_RX_LINKA_AC_CAPACITOR_BIST 0x3290 + +#define S_RX_LINKA_ACCCMP_BIST 13 +#define V_RX_LINKA_ACCCMP_BIST(x) ((x) << S_RX_LINKA_ACCCMP_BIST) +#define F_RX_LINKA_ACCCMP_BIST V_RX_LINKA_ACCCMP_BIST(1U) + +#define S_ACCEN 12 +#define V_ACCEN(x) ((x) << S_ACCEN) +#define F_ACCEN V_ACCEN(1U) + +#define S_ACCRST 11 +#define V_ACCRST(x) ((x) << S_ACCRST) +#define F_ACCRST V_ACCRST(1U) + +#define S_ACCIND 8 +#define M_ACCIND 0x7U +#define V_ACCIND(x) ((x) << S_ACCIND) +#define G_ACCIND(x) (((x) >> S_ACCIND) & M_ACCIND) + +#define S_ACCRD 0 +#define M_ACCRD 0xffU +#define V_ACCRD(x) ((x) << S_ACCRD) +#define G_ACCRD(x) (((x) >> S_ACCRD) & M_ACCRD) + #define A_MAC_PORT_RX_LINKA_DFE_E0_AND_E1_OFFSET 0x3294 #define S_T5E1SN_READWRITE 15 @@ -37488,6 +53594,42 @@ #define V_T5LFSEL(x) ((x) << S_T5LFSEL) #define G_T5LFSEL(x) (((x) >> S_T5LFSEL) & M_T5LFSEL) +#define A_MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL_REGISTER 0x3298 + +#define S_LFREG 15 +#define V_LFREG(x) ((x) << S_LFREG) +#define F_LFREG V_LFREG(1U) + +#define S_LFRC 14 +#define V_LFRC(x) ((x) << S_LFRC) +#define F_LFRC V_LFRC(1U) + +#define S_LGIDLE 13 +#define V_LGIDLE(x) ((x) << S_LGIDLE) +#define F_LGIDLE V_LGIDLE(1U) + +#define S_LFTGT 8 +#define M_LFTGT 0x1fU +#define V_LFTGT(x) ((x) << S_LFTGT) +#define G_LFTGT(x) (((x) >> S_LFTGT) & M_LFTGT) + +#define S_LGTGT 7 +#define V_LGTGT(x) ((x) << S_LGTGT) +#define F_LGTGT V_LGTGT(1U) + +#define S_LRDY 6 +#define V_LRDY(x) ((x) << S_LRDY) +#define F_LRDY V_LRDY(1U) + +#define S_LIDLE 5 +#define V_LIDLE(x) ((x) << S_LIDLE) +#define F_LIDLE V_LIDLE(1U) + +#define S_LCURR 0 +#define M_LCURR 0x1fU +#define V_LCURR(x) ((x) << S_LCURR) +#define G_LCURR(x) (((x) >> S_LCURR) & M_LCURR) + #define A_MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL 0x329c #define S_OFFSN_READWRITE 14 @@ -37507,6 +53649,11 @@ #define V_SDACDC(x) ((x) << S_SDACDC) #define F_SDACDC V_SDACDC(1U) +#define S_OFFSN 13 +#define M_OFFSN 0x3U +#define V_OFFSN(x) ((x) << S_OFFSN) +#define G_OFFSN(x) (((x) >> S_OFFSN) & M_OFFSN) + #define A_MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH 0x32a0 #define S_T5_RX_SETHDIS 7 @@ -37538,6 +53685,43 @@ #define V_T5_RX_VTERM(x) ((x) << S_T5_RX_VTERM) #define G_T5_RX_VTERM(x) (((x) >> S_T5_RX_VTERM) & M_T5_RX_VTERM) +#define S_RX_OVRSUMPD 15 +#define V_RX_OVRSUMPD(x) ((x) << S_RX_OVRSUMPD) +#define F_RX_OVRSUMPD V_RX_OVRSUMPD(1U) + +#define S_RX_OVRKBPD 14 +#define V_RX_OVRKBPD(x) ((x) << S_RX_OVRKBPD) +#define F_RX_OVRKBPD V_RX_OVRKBPD(1U) + +#define S_RX_OVRDIVPD 13 +#define V_RX_OVRDIVPD(x) ((x) << S_RX_OVRDIVPD) +#define F_RX_OVRDIVPD V_RX_OVRDIVPD(1U) + +#define S_RX_OFFVGADIS 12 +#define V_RX_OFFVGADIS(x) ((x) << S_RX_OFFVGADIS) +#define F_RX_OFFVGADIS V_RX_OFFVGADIS(1U) + +#define S_RX_OFFACDIS 11 +#define V_RX_OFFACDIS(x) ((x) << S_RX_OFFACDIS) +#define F_RX_OFFACDIS V_RX_OFFACDIS(1U) + +#define S_RX_VTERM 10 +#define V_RX_VTERM(x) ((x) << S_RX_VTERM) +#define F_RX_VTERM V_RX_VTERM(1U) + +#define S_RX_DISSPY2D 8 +#define V_RX_DISSPY2D(x) ((x) << S_RX_DISSPY2D) +#define F_RX_DISSPY2D V_RX_DISSPY2D(1U) + +#define S_RX_OBSOVEN 7 +#define V_RX_OBSOVEN(x) ((x) << S_RX_OBSOVEN) +#define F_RX_OBSOVEN V_RX_OBSOVEN(1U) + +#define S_RX_LINKANLGSW 0 +#define M_RX_LINKANLGSW 0x7fU +#define V_RX_LINKANLGSW(x) ((x) << S_RX_LINKANLGSW) +#define G_RX_LINKANLGSW(x) (((x) >> S_RX_LINKANLGSW) & M_RX_LINKANLGSW) + #define A_MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET 0x32a4 #define S_ISTRIMS 14 @@ -37563,6 +53747,21 @@ #define V_INTDAC(x) ((x) << S_INTDAC) #define G_INTDAC(x) (((x) >> S_INTDAC) & M_INTDAC) +#define S_INTDACEGS 13 +#define M_INTDACEGS 0x7U +#define V_INTDACEGS(x) ((x) << S_INTDACEGS) +#define G_INTDACEGS(x) (((x) >> S_INTDACEGS) & M_INTDACEGS) + +#define S_INTDACE 8 +#define M_INTDACE 0x1fU +#define V_INTDACE(x) ((x) << S_INTDACE) +#define G_INTDACE(x) (((x) >> S_INTDACE) & M_INTDACE) + +#define S_INTDACGS 6 +#define M_INTDACGS 0x3U +#define V_INTDACGS(x) ((x) << S_INTDACGS) +#define G_INTDACGS(x) (((x) >> S_INTDACGS) & M_INTDACGS) + #define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL 0x32a8 #define S_MINWDTH 5 @@ -37599,6 +53798,29 @@ #define V_T5EMEN(x) ((x) << S_T5EMEN) #define F_T5EMEN V_T5EMEN(1U) +#define S_SMQM 13 +#define M_SMQM 0x7U +#define V_SMQM(x) ((x) << S_SMQM) +#define G_SMQM(x) (((x) >> S_SMQM) & M_SMQM) + +#define S_SMQ 5 +#define M_SMQ 0xffU +#define V_SMQ(x) ((x) << S_SMQ) +#define G_SMQ(x) (((x) >> S_SMQ) & M_SMQ) + +#define S_T6_EMMD 3 +#define M_T6_EMMD 0x3U +#define V_T6_EMMD(x) ((x) << S_T6_EMMD) +#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD) + +#define S_T6_EMBRDY 2 +#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY) +#define F_T6_EMBRDY V_T6_EMBRDY(1U) + +#define S_T6_EMBUMP 1 +#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP) +#define F_T6_EMBUMP V_T6_EMBUMP(1U) + #define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT 0x32b0 #define S_EMF8 15 @@ -37622,6 +53844,14 @@ #define V_EMCEN(x) ((x) << S_EMCEN) #define F_EMCEN V_EMCEN(1U) +#define S_EMSF 13 +#define V_EMSF(x) ((x) << S_EMSF) +#define F_EMSF V_EMSF(1U) + +#define S_EMDATA59 12 +#define V_EMDATA59(x) ((x) << S_EMDATA59) +#define F_EMDATA59 V_EMDATA59(1U) + #define A_MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x32b4 #define S_SM2RDY 15 @@ -37644,6 +53874,72 @@ #define V_SM0LEN(x) ((x) << S_SM0LEN) #define G_SM0LEN(x) (((x) >> S_SM0LEN) & M_SM0LEN) +#define A_MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_3 0x32bc + +#define S_FTIMEOUT 15 +#define V_FTIMEOUT(x) ((x) << S_FTIMEOUT) +#define F_FTIMEOUT V_FTIMEOUT(1U) + +#define S_FROTCAL4 14 +#define V_FROTCAL4(x) ((x) << S_FROTCAL4) +#define F_FROTCAL4 V_FROTCAL4(1U) + +#define S_FDCD2 13 +#define V_FDCD2(x) ((x) << S_FDCD2) +#define F_FDCD2 V_FDCD2(1U) + +#define S_FPRBSPOLTOG 12 +#define V_FPRBSPOLTOG(x) ((x) << S_FPRBSPOLTOG) +#define F_FPRBSPOLTOG V_FPRBSPOLTOG(1U) + +#define S_FPRBSOFF2 11 +#define V_FPRBSOFF2(x) ((x) << S_FPRBSOFF2) +#define F_FPRBSOFF2 V_FPRBSOFF2(1U) + +#define S_FDDCAL2 10 +#define V_FDDCAL2(x) ((x) << S_FDDCAL2) +#define F_FDDCAL2 V_FDDCAL2(1U) + +#define S_FDDCFLTR 9 +#define V_FDDCFLTR(x) ((x) << S_FDDCFLTR) +#define F_FDDCFLTR V_FDDCFLTR(1U) + +#define S_FDAC6 8 +#define V_FDAC6(x) ((x) << S_FDAC6) +#define F_FDAC6 V_FDAC6(1U) + +#define S_FDDC5 7 +#define V_FDDC5(x) ((x) << S_FDDC5) +#define F_FDDC5 V_FDDC5(1U) + +#define S_FDDC3456 6 +#define V_FDDC3456(x) ((x) << S_FDDC3456) +#define F_FDDC3456 V_FDDC3456(1U) + +#define S_FSPY2DATA 5 +#define V_FSPY2DATA(x) ((x) << S_FSPY2DATA) +#define F_FSPY2DATA V_FSPY2DATA(1U) + +#define S_FPHSLOCK 4 +#define V_FPHSLOCK(x) ((x) << S_FPHSLOCK) +#define F_FPHSLOCK V_FPHSLOCK(1U) + +#define S_FCLKALGN 3 +#define V_FCLKALGN(x) ((x) << S_FCLKALGN) +#define F_FCLKALGN V_FCLKALGN(1U) + +#define S_FCLKALDYN 2 +#define V_FCLKALDYN(x) ((x) << S_FCLKALDYN) +#define F_FCLKALDYN V_FCLKALDYN(1U) + +#define S_FDFE 1 +#define V_FDFE(x) ((x) << S_FDFE) +#define F_FDFE V_FDFE(1U) + +#define S_FPRBSOFF 0 +#define V_FPRBSOFF(x) ((x) << S_FPRBSOFF) +#define F_FPRBSOFF V_FPRBSOFF(1U) + #define A_MAC_PORT_RX_LINKA_DFE_TAP_ENABLE 0x32c0 #define S_H_EN 1 @@ -37651,7 +53947,21 @@ #define V_H_EN(x) ((x) << S_H_EN) #define G_H_EN(x) (((x) >> S_H_EN) & M_H_EN) +#define A_MAC_PORT_RX_LINKA_DFE_TAP_CONTROL 0x32c0 + +#define S_RX_LINKA_INDEX_DFE_TC 0 +#define M_RX_LINKA_INDEX_DFE_TC 0xfU +#define V_RX_LINKA_INDEX_DFE_TC(x) ((x) << S_RX_LINKA_INDEX_DFE_TC) +#define G_RX_LINKA_INDEX_DFE_TC(x) (((x) >> S_RX_LINKA_INDEX_DFE_TC) & M_RX_LINKA_INDEX_DFE_TC) + #define A_MAC_PORT_RX_LINKA_DFE_H1 0x32c4 +#define A_MAC_PORT_RX_LINKA_DFE_TAP 0x32c4 + +#define S_RX_LINKA_INDEX_DFE_TAP 0 +#define M_RX_LINKA_INDEX_DFE_TAP 0xfU +#define V_RX_LINKA_INDEX_DFE_TAP(x) ((x) << S_RX_LINKA_INDEX_DFE_TAP) +#define G_RX_LINKA_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKA_INDEX_DFE_TAP) & M_RX_LINKA_INDEX_DFE_TAP) + #define A_MAC_PORT_RX_LINKA_DFE_H2 0x32c8 #define S_H2OSN_READWRITE 14 @@ -37878,6 +54188,154 @@ #define V_H12MAG(x) ((x) << S_H12MAG) #define G_H12MAG(x) (((x) >> S_H12MAG) & M_H12MAG) +#define A_MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS_2 0x32e4 + +#define S_STNDBYSTAT 15 +#define V_STNDBYSTAT(x) ((x) << S_STNDBYSTAT) +#define F_STNDBYSTAT V_STNDBYSTAT(1U) + +#define S_CALSDONE 14 +#define V_CALSDONE(x) ((x) << S_CALSDONE) +#define F_CALSDONE V_CALSDONE(1U) + +#define S_ACISRCCMP 5 +#define V_ACISRCCMP(x) ((x) << S_ACISRCCMP) +#define F_ACISRCCMP V_ACISRCCMP(1U) + +#define S_PRBSOFFCMP 4 +#define V_PRBSOFFCMP(x) ((x) << S_PRBSOFFCMP) +#define F_PRBSOFFCMP V_PRBSOFFCMP(1U) + +#define S_CLKALGNCMP 3 +#define V_CLKALGNCMP(x) ((x) << S_CLKALGNCMP) +#define F_CLKALGNCMP V_CLKALGNCMP(1U) + +#define S_ROTFCMP 2 +#define V_ROTFCMP(x) ((x) << S_ROTFCMP) +#define F_ROTFCMP V_ROTFCMP(1U) + +#define S_DCDCMP 1 +#define V_DCDCMP(x) ((x) << S_DCDCMP) +#define F_DCDCMP V_DCDCMP(1U) + +#define S_QCCCMP 0 +#define V_QCCCMP(x) ((x) << S_QCCCMP) +#define F_QCCCMP V_QCCCMP(1U) + +#define A_MAC_PORT_RX_LINKA_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x32e8 + +#define S_FCSADJ 6 +#define V_FCSADJ(x) ((x) << S_FCSADJ) +#define F_FCSADJ V_FCSADJ(1U) + +#define S_CSIND 3 +#define M_CSIND 0x3U +#define V_CSIND(x) ((x) << S_CSIND) +#define G_CSIND(x) (((x) >> S_CSIND) & M_CSIND) + +#define S_CSVAL 0 +#define M_CSVAL 0x7U +#define V_CSVAL(x) ((x) << S_CSVAL) +#define G_CSVAL(x) (((x) >> S_CSVAL) & M_CSVAL) + +#define A_MAC_PORT_RX_LINKA_RECEIVER_DCD_CONTROL 0x32ec + +#define S_DCDTMDOUT 15 +#define V_DCDTMDOUT(x) ((x) << S_DCDTMDOUT) +#define F_DCDTMDOUT V_DCDTMDOUT(1U) + +#define S_DCDTOEN 14 +#define V_DCDTOEN(x) ((x) << S_DCDTOEN) +#define F_DCDTOEN V_DCDTOEN(1U) + +#define S_DCDLOCK 13 +#define V_DCDLOCK(x) ((x) << S_DCDLOCK) +#define F_DCDLOCK V_DCDLOCK(1U) + +#define S_DCDSTEP 11 +#define M_DCDSTEP 0x3U +#define V_DCDSTEP(x) ((x) << S_DCDSTEP) +#define G_DCDSTEP(x) (((x) >> S_DCDSTEP) & M_DCDSTEP) + +#define S_DCDALTWPDIS 10 +#define V_DCDALTWPDIS(x) ((x) << S_DCDALTWPDIS) +#define F_DCDALTWPDIS V_DCDALTWPDIS(1U) + +#define S_DCDOVRDEN 9 +#define V_DCDOVRDEN(x) ((x) << S_DCDOVRDEN) +#define F_DCDOVRDEN V_DCDOVRDEN(1U) + +#define S_DCCAOVRDEN 8 +#define V_DCCAOVRDEN(x) ((x) << S_DCCAOVRDEN) +#define F_DCCAOVRDEN V_DCCAOVRDEN(1U) + +#define S_DCDSIGN 6 +#define M_DCDSIGN 0x3U +#define V_DCDSIGN(x) ((x) << S_DCDSIGN) +#define G_DCDSIGN(x) (((x) >> S_DCDSIGN) & M_DCDSIGN) + +#define S_DCDAMP 0 +#define M_DCDAMP 0x3fU +#define V_DCDAMP(x) ((x) << S_DCDAMP) +#define G_DCDAMP(x) (((x) >> S_DCDAMP) & M_DCDAMP) + +#define A_MAC_PORT_RX_LINKA_RECEIVER_DCC_CONTROL 0x32f0 + +#define S_PRBSMODE 14 +#define M_PRBSMODE 0x3U +#define V_PRBSMODE(x) ((x) << S_PRBSMODE) +#define G_PRBSMODE(x) (((x) >> S_PRBSMODE) & M_PRBSMODE) + +#define S_RX_LINKA_DCCSTEP_RXCTL 10 +#define M_RX_LINKA_DCCSTEP_RXCTL 0x3U +#define V_RX_LINKA_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKA_DCCSTEP_RXCTL) +#define G_RX_LINKA_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKA_DCCSTEP_RXCTL) & M_RX_LINKA_DCCSTEP_RXCTL) + +#define S_DCCOVRDEN 9 +#define V_DCCOVRDEN(x) ((x) << S_DCCOVRDEN) +#define F_DCCOVRDEN V_DCCOVRDEN(1U) + +#define S_RX_LINKA_DCCLOCK_RXCTL 8 +#define V_RX_LINKA_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKA_DCCLOCK_RXCTL) +#define F_RX_LINKA_DCCLOCK_RXCTL V_RX_LINKA_DCCLOCK_RXCTL(1U) + +#define A_MAC_PORT_RX_LINKA_RECEIVER_QCC_CONTROL 0x32f4 + +#define S_DCCQCCMODE 15 +#define V_DCCQCCMODE(x) ((x) << S_DCCQCCMODE) +#define F_DCCQCCMODE V_DCCQCCMODE(1U) + +#define S_DCCQCCDYN 14 +#define V_DCCQCCDYN(x) ((x) << S_DCCQCCDYN) +#define F_DCCQCCDYN V_DCCQCCDYN(1U) + +#define S_DCCQCCHOLD 13 +#define V_DCCQCCHOLD(x) ((x) << S_DCCQCCHOLD) +#define F_DCCQCCHOLD V_DCCQCCHOLD(1U) + +#define S_QCCSTEP 10 +#define M_QCCSTEP 0x3U +#define V_QCCSTEP(x) ((x) << S_QCCSTEP) +#define G_QCCSTEP(x) (((x) >> S_QCCSTEP) & M_QCCSTEP) + +#define S_QCCOVRDEN 9 +#define V_QCCOVRDEN(x) ((x) << S_QCCOVRDEN) +#define F_QCCOVRDEN V_QCCOVRDEN(1U) + +#define S_QCCLOCK 8 +#define V_QCCLOCK(x) ((x) << S_QCCLOCK) +#define F_QCCLOCK V_QCCLOCK(1U) + +#define S_QCCSIGN 6 +#define M_QCCSIGN 0x3U +#define V_QCCSIGN(x) ((x) << S_QCCSIGN) +#define G_QCCSIGN(x) (((x) >> S_QCCSIGN) & M_QCCSIGN) + +#define S_QCDAMP 0 +#define M_QCDAMP 0x3fU +#define V_QCDAMP(x) ((x) << S_QCDAMP) +#define G_QCDAMP(x) (((x) >> S_QCDAMP) & M_QCDAMP) + #define A_MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_2 0x32f8 #define S_DFEDACLSSD 6 @@ -37908,6 +54366,12 @@ #define V_ACJZNT(x) ((x) << S_ACJZNT) #define F_ACJZNT V_ACJZNT(1U) +#define A_MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x32f8 + +#define S_TSTCMP 15 +#define V_TSTCMP(x) ((x) << S_TSTCMP) +#define F_TSTCMP V_TSTCMP(1U) + #define A_MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1 0x32fc #define S_PHSLOCK 10 @@ -37954,49 +54418,168 @@ #define V_MTHOLD(x) ((x) << S_MTHOLD) #define F_MTHOLD V_MTHOLD(1U) +#define S_CALMODEEDGE 14 +#define V_CALMODEEDGE(x) ((x) << S_CALMODEEDGE) +#define F_CALMODEEDGE V_CALMODEEDGE(1U) + +#define S_TESTCAP 13 +#define V_TESTCAP(x) ((x) << S_TESTCAP) +#define F_TESTCAP V_TESTCAP(1U) + +#define S_SNAPEN 12 +#define V_SNAPEN(x) ((x) << S_SNAPEN) +#define F_SNAPEN V_SNAPEN(1U) + +#define S_ASYNCDIR 11 +#define V_ASYNCDIR(x) ((x) << S_ASYNCDIR) +#define F_ASYNCDIR V_ASYNCDIR(1U) + #define A_MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE 0x3300 #define A_MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL 0x3304 #define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL 0x3308 #define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL 0x330c + +#define S_T6_TMSCAL 8 +#define M_T6_TMSCAL 0x3U +#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL) +#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL) + +#define S_T6_APADJ 7 +#define V_T6_APADJ(x) ((x) << S_T6_APADJ) +#define F_T6_APADJ V_T6_APADJ(1U) + +#define S_T6_RSEL 6 +#define V_T6_RSEL(x) ((x) << S_T6_RSEL) +#define F_T6_RSEL V_T6_RSEL(1U) + +#define S_T6_PHOFFS 0 +#define M_T6_PHOFFS 0x3fU +#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS) +#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS) + #define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1 0x3310 #define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2 0x3314 #define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3318 #define A_MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x331c #define A_MAC_PORT_RX_LINKB_DFE_CONTROL 0x3320 + +#define S_T6_SPIFMT 8 +#define M_T6_SPIFMT 0xfU +#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT) +#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT) + #define A_MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1 0x3324 #define A_MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2 0x3328 #define A_MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1 0x332c + +#define S_T6_WRAPSEL 15 +#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL) +#define F_T6_WRAPSEL V_T6_WRAPSEL(1U) + +#define S_T6_PEAK 9 +#define M_T6_PEAK 0x1fU +#define V_T6_PEAK(x) ((x) << S_T6_PEAK) +#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK) + #define A_MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2 0x3330 + +#define S_T6_T5VGAIN 0 +#define M_T6_T5VGAIN 0x7fU +#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN) +#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN) + #define A_MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3 0x3334 #define A_MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_1 0x3338 +#define A_MAC_PORT_RX_LINKB_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3338 +#define A_MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_1 0x333c #define A_MAC_PORT_RX_LINKB_RECEIVER_DQCC_CONTROL_3 0x3340 +#define A_MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_2 0x3340 +#define A_MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3344 #define A_MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN 0x3348 #define A_MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN_AND_DACAZ 0x334c +#define A_MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN 0x334c #define A_MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL 0x3350 +#define A_MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_CONTROL 0x3354 +#define A_MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_VALUE 0x3358 #define A_MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x335c +#define A_MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET 0x335c #define A_MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3360 +#define A_MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3360 #define A_MAC_PORT_RX_LINKB_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3364 +#define A_MAC_PORT_RX_LINKB_PEAKED_INTEGRATOR 0x3364 +#define A_MAC_PORT_RX_LINKB_CDR_ANALOG_SWITCH 0x3368 +#define A_MAC_PORT_RX_LINKB_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x336c #define A_MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3370 #define A_MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC 0x3374 + +#define S_T6_ODEC 0 +#define M_T6_ODEC 0xfU +#define V_T6_ODEC(x) ((x) << S_T6_ODEC) +#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC) + #define A_MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS 0x3378 + +#define S_RX_LINKB_ACCCMP_RIS 11 +#define V_RX_LINKB_ACCCMP_RIS(x) ((x) << S_RX_LINKB_ACCCMP_RIS) +#define F_RX_LINKB_ACCCMP_RIS V_RX_LINKB_ACCCMP_RIS(1U) + #define A_MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1 0x337c #define A_MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2 0x3380 #define A_MAC_PORT_RX_LINKB_DFE_OFFSET_EVN1_EVN2 0x3384 +#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_CHANNEL 0x3384 #define A_MAC_PORT_RX_LINKB_DFE_OFFSET_ODD1_ODD2 0x3388 +#define A_MAC_PORT_RX_LINKB_DFE_OFFSET_VALUE 0x3388 #define A_MAC_PORT_RX_LINKB_DFE_OFFSET_EVN3_EVN4 0x338c +#define A_MAC_PORT_RX_LINKB_H_COEFFICIENBT_BIST 0x338c #define A_MAC_PORT_RX_LINKB_DFE_OFFSET_ODD3_ODD4 0x3390 +#define A_MAC_PORT_RX_LINKB_AC_CAPACITOR_BIST 0x3390 + +#define S_RX_LINKB_ACCCMP_BIST 13 +#define V_RX_LINKB_ACCCMP_BIST(x) ((x) << S_RX_LINKB_ACCCMP_BIST) +#define F_RX_LINKB_ACCCMP_BIST V_RX_LINKB_ACCCMP_BIST(1U) + #define A_MAC_PORT_RX_LINKB_DFE_E0_AND_E1_OFFSET 0x3394 #define A_MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL 0x3398 +#define A_MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL_REGISTER 0x3398 #define A_MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL 0x339c #define A_MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH 0x33a0 #define A_MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET 0x33a4 #define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL 0x33a8 #define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS 0x33ac + +#define S_T6_EMMD 3 +#define M_T6_EMMD 0x3U +#define V_T6_EMMD(x) ((x) << S_T6_EMMD) +#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD) + +#define S_T6_EMBRDY 2 +#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY) +#define F_T6_EMBRDY V_T6_EMBRDY(1U) + +#define S_T6_EMBUMP 1 +#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP) +#define F_T6_EMBUMP V_T6_EMBUMP(1U) + #define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT 0x33b0 #define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x33b4 #define A_MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x33b8 +#define A_MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_3 0x33bc #define A_MAC_PORT_RX_LINKB_DFE_TAP_ENABLE 0x33c0 +#define A_MAC_PORT_RX_LINKB_DFE_TAP_CONTROL 0x33c0 + +#define S_RX_LINKB_INDEX_DFE_TC 0 +#define M_RX_LINKB_INDEX_DFE_TC 0xfU +#define V_RX_LINKB_INDEX_DFE_TC(x) ((x) << S_RX_LINKB_INDEX_DFE_TC) +#define G_RX_LINKB_INDEX_DFE_TC(x) (((x) >> S_RX_LINKB_INDEX_DFE_TC) & M_RX_LINKB_INDEX_DFE_TC) + #define A_MAC_PORT_RX_LINKB_DFE_H1 0x33c4 +#define A_MAC_PORT_RX_LINKB_DFE_TAP 0x33c4 + +#define S_RX_LINKB_INDEX_DFE_TAP 0 +#define M_RX_LINKB_INDEX_DFE_TAP 0xfU +#define V_RX_LINKB_INDEX_DFE_TAP(x) ((x) << S_RX_LINKB_INDEX_DFE_TAP) +#define G_RX_LINKB_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKB_INDEX_DFE_TAP) & M_RX_LINKB_INDEX_DFE_TAP) + #define A_MAC_PORT_RX_LINKB_DFE_H2 0x33c8 #define A_MAC_PORT_RX_LINKB_DFE_H3 0x33cc #define A_MAC_PORT_RX_LINKB_DFE_H4 0x33d0 @@ -38005,125 +54588,538 @@ #define A_MAC_PORT_RX_LINKB_DFE_H8_AND_H9 0x33dc #define A_MAC_PORT_RX_LINKB_DFE_H10_AND_H11 0x33e0 #define A_MAC_PORT_RX_LINKB_DFE_H12 0x33e4 +#define A_MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS_2 0x33e4 +#define A_MAC_PORT_RX_LINKB_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x33e8 +#define A_MAC_PORT_RX_LINKB_RECEIVER_DCD_CONTROL 0x33ec +#define A_MAC_PORT_RX_LINKB_RECEIVER_DCC_CONTROL 0x33f0 + +#define S_RX_LINKB_DCCSTEP_RXCTL 10 +#define M_RX_LINKB_DCCSTEP_RXCTL 0x3U +#define V_RX_LINKB_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKB_DCCSTEP_RXCTL) +#define G_RX_LINKB_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKB_DCCSTEP_RXCTL) & M_RX_LINKB_DCCSTEP_RXCTL) + +#define S_RX_LINKB_DCCLOCK_RXCTL 8 +#define V_RX_LINKB_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKB_DCCLOCK_RXCTL) +#define F_RX_LINKB_DCCLOCK_RXCTL V_RX_LINKB_DCCLOCK_RXCTL(1U) + +#define A_MAC_PORT_RX_LINKB_RECEIVER_QCC_CONTROL 0x33f4 #define A_MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_2 0x33f8 +#define A_MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x33f8 #define A_MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1 0x33fc #define A_MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE 0x3400 + +#define S_T6_T5_TX_RXLOOP 5 +#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP) +#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U) + +#define S_T6_T5_TX_BWSEL 2 +#define M_T6_T5_TX_BWSEL 0x3U +#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL) +#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL 0x3404 + +#define S_T6_ERROR 9 +#define V_T6_ERROR(x) ((x) << S_T6_ERROR) +#define F_T6_ERROR V_T6_ERROR(1U) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL 0x3408 #define A_MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL 0x340c #define A_MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3410 #define A_MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3414 #define A_MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3418 + +#define S_T6_CALSSTN 8 +#define M_T6_CALSSTN 0x3fU +#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN) +#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN) + +#define S_T6_CALSSTP 0 +#define M_T6_CALSSTP 0x3fU +#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP) +#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x341c + +#define S_T6_DRTOL 2 +#define M_T6_DRTOL 0x7U +#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL) +#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT 0x3420 + +#define S_T6_NXTT0 0 +#define M_T6_NXTT0 0x3fU +#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0) +#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT 0x3424 #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT 0x3428 + +#define S_T6_NXTT2 0 +#define M_T6_NXTT2 0x3fU +#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2) +#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2) + +#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_3_COEFFICIENT 0x342c #define A_MAC_PORT_TX_LINKC_TRANSMIT_AMPLITUDE 0x3430 #define A_MAC_PORT_TX_LINKC_TRANSMIT_POLARITY 0x3434 + +#define S_T6_NXTPOL 0 +#define M_T6_NXTPOL 0xfU +#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL) +#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3438 + +#define S_T6_C0UPDT 6 +#define M_T6_C0UPDT 0x3U +#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT) +#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT) + +#define S_T6_C2UPDT 2 +#define M_T6_C2UPDT 0x3U +#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT) +#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT) + +#define S_T6_C1UPDT 0 +#define M_T6_C1UPDT 0x3U +#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT) +#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x343c + +#define S_T6_C0STAT 6 +#define M_T6_C0STAT 0x3U +#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT) +#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT) + +#define S_T6_C2STAT 2 +#define M_T6_C2STAT 0x3U +#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT) +#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT) + +#define S_T6_C1STAT 0 +#define M_T6_C1STAT 0x3U +#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT) +#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3440 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3440 #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3444 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3444 #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3448 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3448 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x344c +#define A_MAC_PORT_TX_LINKC_TRANSMIT_APPLIED_TUNE_REGISTER 0x3450 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3458 #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3460 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_4X_SEGMENT_APPLIED 0x3460 #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3464 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_2X_SEGMENT_APPLIED 0x3464 #define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3468 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_1X_SEGMENT_APPLIED 0x3468 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x346c #define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3470 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3470 #define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3474 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3474 #define A_MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3478 #define A_MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x347c + +#define S_T6_XADDR 1 +#define M_T6_XADDR 0x1fU +#define V_T6_XADDR(x) ((x) << S_T6_XADDR) +#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3480 #define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3484 #define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3488 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3488 #define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL 0x348c +#define A_MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x348c #define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE 0x3490 #define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED 0x3494 #define A_MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT 0x3498 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL 0x349c +#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL 0x34a0 + +#define S_T6_DCCTIMEEN 13 +#define M_T6_DCCTIMEEN 0x3U +#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN) +#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN) + +#define S_T6_DCCLOCK 11 +#define M_T6_DCCLOCK 0x3U +#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK) +#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK) + +#define S_T6_DCCOFFSET 8 +#define M_T6_DCCOFFSET 0x7U +#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET) +#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET) + +#define S_TX_LINKC_DCCSTEP_CTL 6 +#define M_TX_LINKC_DCCSTEP_CTL 0x3U +#define V_TX_LINKC_DCCSTEP_CTL(x) ((x) << S_TX_LINKC_DCCSTEP_CTL) +#define G_TX_LINKC_DCCSTEP_CTL(x) (((x) >> S_TX_LINKC_DCCSTEP_CTL) & M_TX_LINKC_DCCSTEP_CTL) + +#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE 0x34a4 +#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED 0x34a8 +#define A_T6_MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT 0x34ac +#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_OVERRIDE 0x34c0 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_OVERRIDE 0x34c8 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X_OVERRIDE 0x34cc +#define A_MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_1X_OVERRIDE 0x34d0 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x34d8 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x34dc +#define A_MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x34e0 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_5 0x34ec #define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4 0x34f0 + +#define S_T6_SDOVRD 0 +#define M_T6_SDOVRD 0xffffU +#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD) +#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3 0x34f4 #define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2 0x34f8 #define A_MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1 0x34fc + +#define S_T6_SDOVRDEN 15 +#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN) +#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE 0x3500 + +#define S_T6_T5_TX_RXLOOP 5 +#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP) +#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U) + +#define S_T6_T5_TX_BWSEL 2 +#define M_T6_T5_TX_BWSEL 0x3U +#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL) +#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL 0x3504 + +#define S_T6_ERROR 9 +#define V_T6_ERROR(x) ((x) << S_T6_ERROR) +#define F_T6_ERROR V_T6_ERROR(1U) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL 0x3508 #define A_MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL 0x350c #define A_MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3510 #define A_MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3514 #define A_MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3518 + +#define S_T6_CALSSTN 8 +#define M_T6_CALSSTN 0x3fU +#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN) +#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN) + +#define S_T6_CALSSTP 0 +#define M_T6_CALSSTP 0x3fU +#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP) +#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x351c + +#define S_T6_DRTOL 2 +#define M_T6_DRTOL 0x7U +#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL) +#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT 0x3520 + +#define S_T6_NXTT0 0 +#define M_T6_NXTT0 0x3fU +#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0) +#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT 0x3524 #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT 0x3528 + +#define S_T6_NXTT2 0 +#define M_T6_NXTT2 0x3fU +#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2) +#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2) + +#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_3_COEFFICIENT 0x352c #define A_MAC_PORT_TX_LINKD_TRANSMIT_AMPLITUDE 0x3530 #define A_MAC_PORT_TX_LINKD_TRANSMIT_POLARITY 0x3534 + +#define S_T6_NXTPOL 0 +#define M_T6_NXTPOL 0xfU +#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL) +#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3538 + +#define S_T6_C0UPDT 6 +#define M_T6_C0UPDT 0x3U +#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT) +#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT) + +#define S_T6_C2UPDT 2 +#define M_T6_C2UPDT 0x3U +#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT) +#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT) + +#define S_T6_C1UPDT 0 +#define M_T6_C1UPDT 0x3U +#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT) +#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x353c + +#define S_T6_C0STAT 6 +#define M_T6_C0STAT 0x3U +#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT) +#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT) + +#define S_T6_C2STAT 2 +#define M_T6_C2STAT 0x3U +#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT) +#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT) + +#define S_T6_C1STAT 0 +#define M_T6_C1STAT 0x3U +#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT) +#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3540 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3540 #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3544 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3544 #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3548 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3548 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x354c +#define A_MAC_PORT_TX_LINKD_TRANSMIT_APPLIED_TUNE_REGISTER 0x3550 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3558 #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3560 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_4X_SEGMENT_APPLIED 0x3560 #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3564 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_2X_SEGMENT_APPLIED 0x3564 #define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3568 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_1X_SEGMENT_APPLIED 0x3568 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x356c #define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3570 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3570 #define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3574 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3574 #define A_MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3578 #define A_MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x357c + +#define S_T6_XADDR 1 +#define M_T6_XADDR 0x1fU +#define V_T6_XADDR(x) ((x) << S_T6_XADDR) +#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3580 #define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3584 #define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3588 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3588 #define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL 0x358c +#define A_MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x358c #define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE 0x3590 #define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED 0x3594 #define A_MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT 0x3598 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL 0x359c +#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL 0x35a0 + +#define S_T6_DCCTIMEEN 13 +#define M_T6_DCCTIMEEN 0x3U +#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN) +#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN) + +#define S_T6_DCCLOCK 11 +#define M_T6_DCCLOCK 0x3U +#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK) +#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK) + +#define S_T6_DCCOFFSET 8 +#define M_T6_DCCOFFSET 0x7U +#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET) +#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET) + +#define S_TX_LINKD_DCCSTEP_CTL 6 +#define M_TX_LINKD_DCCSTEP_CTL 0x3U +#define V_TX_LINKD_DCCSTEP_CTL(x) ((x) << S_TX_LINKD_DCCSTEP_CTL) +#define G_TX_LINKD_DCCSTEP_CTL(x) (((x) >> S_TX_LINKD_DCCSTEP_CTL) & M_TX_LINKD_DCCSTEP_CTL) + +#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE 0x35a4 +#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED 0x35a8 +#define A_T6_MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT 0x35ac +#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_OVERRIDE 0x35c0 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_OVERRIDE 0x35c8 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X_OVERRIDE 0x35cc +#define A_MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_1X_OVERRIDE 0x35d0 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x35d8 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x35dc +#define A_MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x35e0 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_5 0x35ec #define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4 0x35f0 + +#define S_T6_SDOVRD 0 +#define M_T6_SDOVRD 0xffffU +#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD) +#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3 0x35f4 #define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2 0x35f8 #define A_MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1 0x35fc + +#define S_T6_SDOVRDEN 15 +#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN) +#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U) + #define A_MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE 0x3600 #define A_MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL 0x3604 #define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL 0x3608 #define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL 0x360c + +#define S_T6_TMSCAL 8 +#define M_T6_TMSCAL 0x3U +#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL) +#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL) + +#define S_T6_APADJ 7 +#define V_T6_APADJ(x) ((x) << S_T6_APADJ) +#define F_T6_APADJ V_T6_APADJ(1U) + +#define S_T6_RSEL 6 +#define V_T6_RSEL(x) ((x) << S_T6_RSEL) +#define F_T6_RSEL V_T6_RSEL(1U) + +#define S_T6_PHOFFS 0 +#define M_T6_PHOFFS 0x3fU +#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS) +#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS) + #define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1 0x3610 #define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2 0x3614 #define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3618 #define A_MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x361c #define A_MAC_PORT_RX_LINKC_DFE_CONTROL 0x3620 + +#define S_T6_SPIFMT 8 +#define M_T6_SPIFMT 0xfU +#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT) +#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT) + #define A_MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1 0x3624 #define A_MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2 0x3628 #define A_MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1 0x362c + +#define S_T6_WRAPSEL 15 +#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL) +#define F_T6_WRAPSEL V_T6_WRAPSEL(1U) + +#define S_T6_PEAK 9 +#define M_T6_PEAK 0x1fU +#define V_T6_PEAK(x) ((x) << S_T6_PEAK) +#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK) + #define A_MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2 0x3630 + +#define S_T6_T5VGAIN 0 +#define M_T6_T5VGAIN 0x7fU +#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN) +#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN) + #define A_MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3 0x3634 #define A_MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_1 0x3638 +#define A_MAC_PORT_RX_LINKC_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3638 +#define A_MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_1 0x363c #define A_MAC_PORT_RX_LINKC_RECEIVER_DQCC_CONTROL_3 0x3640 +#define A_MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_2 0x3640 +#define A_MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3644 #define A_MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN 0x3648 #define A_MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN_AND_DACAZ 0x364c +#define A_MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN 0x364c #define A_MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL 0x3650 +#define A_MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_CONTROL 0x3654 +#define A_MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_VALUE 0x3658 #define A_MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x365c +#define A_MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET 0x365c #define A_MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3660 +#define A_MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3660 #define A_MAC_PORT_RX_LINKC_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3664 +#define A_MAC_PORT_RX_LINKC_PEAKED_INTEGRATOR 0x3664 +#define A_MAC_PORT_RX_LINKC_CDR_ANALOG_SWITCH 0x3668 +#define A_MAC_PORT_RX_LINKC_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x366c #define A_MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3670 #define A_MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC 0x3674 + +#define S_T6_ODEC 0 +#define M_T6_ODEC 0xfU +#define V_T6_ODEC(x) ((x) << S_T6_ODEC) +#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC) + #define A_MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS 0x3678 + +#define S_RX_LINKC_ACCCMP_RIS 11 +#define V_RX_LINKC_ACCCMP_RIS(x) ((x) << S_RX_LINKC_ACCCMP_RIS) +#define F_RX_LINKC_ACCCMP_RIS V_RX_LINKC_ACCCMP_RIS(1U) + #define A_MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1 0x367c #define A_MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2 0x3680 #define A_MAC_PORT_RX_LINKC_DFE_OFFSET_EVN1_EVN2 0x3684 +#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_CHANNEL 0x3684 #define A_MAC_PORT_RX_LINKC_DFE_OFFSET_ODD1_ODD2 0x3688 +#define A_MAC_PORT_RX_LINKC_DFE_OFFSET_VALUE 0x3688 #define A_MAC_PORT_RX_LINKC_DFE_OFFSET_EVN3_EVN4 0x368c +#define A_MAC_PORT_RX_LINKC_H_COEFFICIENBT_BIST 0x368c #define A_MAC_PORT_RX_LINKC_DFE_OFFSET_ODD3_ODD4 0x3690 +#define A_MAC_PORT_RX_LINKC_AC_CAPACITOR_BIST 0x3690 + +#define S_RX_LINKC_ACCCMP_BIST 13 +#define V_RX_LINKC_ACCCMP_BIST(x) ((x) << S_RX_LINKC_ACCCMP_BIST) +#define F_RX_LINKC_ACCCMP_BIST V_RX_LINKC_ACCCMP_BIST(1U) + #define A_MAC_PORT_RX_LINKC_DFE_E0_AND_E1_OFFSET 0x3694 #define A_MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL 0x3698 +#define A_MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL_REGISTER 0x3698 #define A_MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL 0x369c #define A_MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH 0x36a0 #define A_MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET 0x36a4 #define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL 0x36a8 #define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS 0x36ac + +#define S_T6_EMMD 3 +#define M_T6_EMMD 0x3U +#define V_T6_EMMD(x) ((x) << S_T6_EMMD) +#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD) + +#define S_T6_EMBRDY 2 +#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY) +#define F_T6_EMBRDY V_T6_EMBRDY(1U) + +#define S_T6_EMBUMP 1 +#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP) +#define F_T6_EMBUMP V_T6_EMBUMP(1U) + #define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT 0x36b0 #define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x36b4 #define A_MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x36b8 +#define A_MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_3 0x36bc #define A_MAC_PORT_RX_LINKC_DFE_TAP_ENABLE 0x36c0 +#define A_MAC_PORT_RX_LINKC_DFE_TAP_CONTROL 0x36c0 + +#define S_RX_LINKC_INDEX_DFE_TC 0 +#define M_RX_LINKC_INDEX_DFE_TC 0xfU +#define V_RX_LINKC_INDEX_DFE_TC(x) ((x) << S_RX_LINKC_INDEX_DFE_TC) +#define G_RX_LINKC_INDEX_DFE_TC(x) (((x) >> S_RX_LINKC_INDEX_DFE_TC) & M_RX_LINKC_INDEX_DFE_TC) + #define A_MAC_PORT_RX_LINKC_DFE_H1 0x36c4 +#define A_MAC_PORT_RX_LINKC_DFE_TAP 0x36c4 + +#define S_RX_LINKC_INDEX_DFE_TAP 0 +#define M_RX_LINKC_INDEX_DFE_TAP 0xfU +#define V_RX_LINKC_INDEX_DFE_TAP(x) ((x) << S_RX_LINKC_INDEX_DFE_TAP) +#define G_RX_LINKC_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKC_INDEX_DFE_TAP) & M_RX_LINKC_INDEX_DFE_TAP) + #define A_MAC_PORT_RX_LINKC_DFE_H2 0x36c8 #define A_MAC_PORT_RX_LINKC_DFE_H3 0x36cc #define A_MAC_PORT_RX_LINKC_DFE_H4 0x36d0 @@ -38132,51 +55128,170 @@ #define A_MAC_PORT_RX_LINKC_DFE_H8_AND_H9 0x36dc #define A_MAC_PORT_RX_LINKC_DFE_H10_AND_H11 0x36e0 #define A_MAC_PORT_RX_LINKC_DFE_H12 0x36e4 +#define A_MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS_2 0x36e4 +#define A_MAC_PORT_RX_LINKC_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x36e8 +#define A_MAC_PORT_RX_LINKC_RECEIVER_DCD_CONTROL 0x36ec +#define A_MAC_PORT_RX_LINKC_RECEIVER_DCC_CONTROL 0x36f0 + +#define S_RX_LINKC_DCCSTEP_RXCTL 10 +#define M_RX_LINKC_DCCSTEP_RXCTL 0x3U +#define V_RX_LINKC_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKC_DCCSTEP_RXCTL) +#define G_RX_LINKC_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKC_DCCSTEP_RXCTL) & M_RX_LINKC_DCCSTEP_RXCTL) + +#define S_RX_LINKC_DCCLOCK_RXCTL 8 +#define V_RX_LINKC_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKC_DCCLOCK_RXCTL) +#define F_RX_LINKC_DCCLOCK_RXCTL V_RX_LINKC_DCCLOCK_RXCTL(1U) + +#define A_MAC_PORT_RX_LINKC_RECEIVER_QCC_CONTROL 0x36f4 #define A_MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_2 0x36f8 +#define A_MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x36f8 #define A_MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1 0x36fc #define A_MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE 0x3700 #define A_MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL 0x3704 #define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL 0x3708 #define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL 0x370c + +#define S_T6_TMSCAL 8 +#define M_T6_TMSCAL 0x3U +#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL) +#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL) + +#define S_T6_APADJ 7 +#define V_T6_APADJ(x) ((x) << S_T6_APADJ) +#define F_T6_APADJ V_T6_APADJ(1U) + +#define S_T6_RSEL 6 +#define V_T6_RSEL(x) ((x) << S_T6_RSEL) +#define F_T6_RSEL V_T6_RSEL(1U) + +#define S_T6_PHOFFS 0 +#define M_T6_PHOFFS 0x3fU +#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS) +#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS) + #define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1 0x3710 #define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2 0x3714 #define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3718 #define A_MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x371c #define A_MAC_PORT_RX_LINKD_DFE_CONTROL 0x3720 + +#define S_T6_SPIFMT 8 +#define M_T6_SPIFMT 0xfU +#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT) +#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT) + #define A_MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1 0x3724 #define A_MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2 0x3728 #define A_MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1 0x372c + +#define S_T6_WRAPSEL 15 +#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL) +#define F_T6_WRAPSEL V_T6_WRAPSEL(1U) + +#define S_T6_PEAK 9 +#define M_T6_PEAK 0x1fU +#define V_T6_PEAK(x) ((x) << S_T6_PEAK) +#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK) + #define A_MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2 0x3730 + +#define S_T6_T5VGAIN 0 +#define M_T6_T5VGAIN 0x7fU +#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN) +#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN) + #define A_MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3 0x3734 #define A_MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_1 0x3738 +#define A_MAC_PORT_RX_LINKD_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3738 +#define A_MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_1 0x373c #define A_MAC_PORT_RX_LINKD_RECEIVER_DQCC_CONTROL_3 0x3740 +#define A_MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_2 0x3740 +#define A_MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3744 #define A_MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN 0x3748 #define A_MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN_AND_DACAZ 0x374c +#define A_MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN 0x374c #define A_MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL 0x3750 +#define A_MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_CONTROL 0x3754 +#define A_MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_VALUE 0x3758 #define A_MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x375c +#define A_MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET 0x375c #define A_MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3760 +#define A_MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3760 #define A_MAC_PORT_RX_LINKD_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3764 +#define A_MAC_PORT_RX_LINKD_PEAKED_INTEGRATOR 0x3764 +#define A_MAC_PORT_RX_LINKD_CDR_ANALOG_SWITCH 0x3768 +#define A_MAC_PORT_RX_LINKD_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x376c #define A_MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3770 #define A_MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC 0x3774 + +#define S_T6_ODEC 0 +#define M_T6_ODEC 0xfU +#define V_T6_ODEC(x) ((x) << S_T6_ODEC) +#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC) + #define A_MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS 0x3778 + +#define S_RX_LINKD_ACCCMP_RIS 11 +#define V_RX_LINKD_ACCCMP_RIS(x) ((x) << S_RX_LINKD_ACCCMP_RIS) +#define F_RX_LINKD_ACCCMP_RIS V_RX_LINKD_ACCCMP_RIS(1U) + #define A_MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1 0x377c #define A_MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2 0x3780 #define A_MAC_PORT_RX_LINKD_DFE_OFFSET_EVN1_EVN2 0x3784 +#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_CHANNEL 0x3784 #define A_MAC_PORT_RX_LINKD_DFE_OFFSET_ODD1_ODD2 0x3788 +#define A_MAC_PORT_RX_LINKD_DFE_OFFSET_VALUE 0x3788 #define A_MAC_PORT_RX_LINKD_DFE_OFFSET_EVN3_EVN4 0x378c +#define A_MAC_PORT_RX_LINKD_H_COEFFICIENBT_BIST 0x378c #define A_MAC_PORT_RX_LINKD_DFE_OFFSET_ODD3_ODD4 0x3790 +#define A_MAC_PORT_RX_LINKD_AC_CAPACITOR_BIST 0x3790 + +#define S_RX_LINKD_ACCCMP_BIST 13 +#define V_RX_LINKD_ACCCMP_BIST(x) ((x) << S_RX_LINKD_ACCCMP_BIST) +#define F_RX_LINKD_ACCCMP_BIST V_RX_LINKD_ACCCMP_BIST(1U) + #define A_MAC_PORT_RX_LINKD_DFE_E0_AND_E1_OFFSET 0x3794 #define A_MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL 0x3798 +#define A_MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL_REGISTER 0x3798 #define A_MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL 0x379c #define A_MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH 0x37a0 #define A_MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET 0x37a4 #define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL 0x37a8 #define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS 0x37ac + +#define S_T6_EMMD 3 +#define M_T6_EMMD 0x3U +#define V_T6_EMMD(x) ((x) << S_T6_EMMD) +#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD) + +#define S_T6_EMBRDY 2 +#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY) +#define F_T6_EMBRDY V_T6_EMBRDY(1U) + +#define S_T6_EMBUMP 1 +#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP) +#define F_T6_EMBUMP V_T6_EMBUMP(1U) + #define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT 0x37b0 #define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x37b4 #define A_MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x37b8 +#define A_MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_3 0x37bc #define A_MAC_PORT_RX_LINKD_DFE_TAP_ENABLE 0x37c0 +#define A_MAC_PORT_RX_LINKD_DFE_TAP_CONTROL 0x37c0 + +#define S_RX_LINKD_INDEX_DFE_TC 0 +#define M_RX_LINKD_INDEX_DFE_TC 0xfU +#define V_RX_LINKD_INDEX_DFE_TC(x) ((x) << S_RX_LINKD_INDEX_DFE_TC) +#define G_RX_LINKD_INDEX_DFE_TC(x) (((x) >> S_RX_LINKD_INDEX_DFE_TC) & M_RX_LINKD_INDEX_DFE_TC) + #define A_MAC_PORT_RX_LINKD_DFE_H1 0x37c4 +#define A_MAC_PORT_RX_LINKD_DFE_TAP 0x37c4 + +#define S_RX_LINKD_INDEX_DFE_TAP 0 +#define M_RX_LINKD_INDEX_DFE_TAP 0xfU +#define V_RX_LINKD_INDEX_DFE_TAP(x) ((x) << S_RX_LINKD_INDEX_DFE_TAP) +#define G_RX_LINKD_INDEX_DFE_TAP(x) (((x) >> S_RX_LINKD_INDEX_DFE_TAP) & M_RX_LINKD_INDEX_DFE_TAP) + #define A_MAC_PORT_RX_LINKD_DFE_H2 0x37c8 #define A_MAC_PORT_RX_LINKD_DFE_H3 0x37cc #define A_MAC_PORT_RX_LINKD_DFE_H4 0x37d0 @@ -38185,7 +55300,23 @@ #define A_MAC_PORT_RX_LINKD_DFE_H8_AND_H9 0x37dc #define A_MAC_PORT_RX_LINKD_DFE_H10_AND_H11 0x37e0 #define A_MAC_PORT_RX_LINKD_DFE_H12 0x37e4 +#define A_MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS_2 0x37e4 +#define A_MAC_PORT_RX_LINKD_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x37e8 +#define A_MAC_PORT_RX_LINKD_RECEIVER_DCD_CONTROL 0x37ec +#define A_MAC_PORT_RX_LINKD_RECEIVER_DCC_CONTROL 0x37f0 + +#define S_RX_LINKD_DCCSTEP_RXCTL 10 +#define M_RX_LINKD_DCCSTEP_RXCTL 0x3U +#define V_RX_LINKD_DCCSTEP_RXCTL(x) ((x) << S_RX_LINKD_DCCSTEP_RXCTL) +#define G_RX_LINKD_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINKD_DCCSTEP_RXCTL) & M_RX_LINKD_DCCSTEP_RXCTL) + +#define S_RX_LINKD_DCCLOCK_RXCTL 8 +#define V_RX_LINKD_DCCLOCK_RXCTL(x) ((x) << S_RX_LINKD_DCCLOCK_RXCTL) +#define F_RX_LINKD_DCCLOCK_RXCTL V_RX_LINKD_DCCLOCK_RXCTL(1U) + +#define A_MAC_PORT_RX_LINKD_RECEIVER_QCC_CONTROL 0x37f4 #define A_MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_2 0x37f8 +#define A_MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x37f8 #define A_MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1 0x37fc #define A_MAC_PORT_ANALOG_TEST_MUX 0x3814 #define A_MAC_PORT_BANDGAP_CONTROL 0x382c @@ -38195,6 +55326,52 @@ #define V_T5BGCTL(x) ((x) << S_T5BGCTL) #define G_T5BGCTL(x) (((x) >> S_T5BGCTL) & M_T5BGCTL) +#define A_MAC_PORT_PLLREFSEL_CONTROL 0x3854 + +#define S_REFSEL 0 +#define M_REFSEL 0x7U +#define V_REFSEL(x) ((x) << S_REFSEL) +#define G_REFSEL(x) (((x) >> S_REFSEL) & M_REFSEL) + +#define A_MAC_PORT_REFISINK_CONTROL 0x3858 + +#define S_REFISINK 0 +#define M_REFISINK 0x3fU +#define V_REFISINK(x) ((x) << S_REFISINK) +#define G_REFISINK(x) (((x) >> S_REFISINK) & M_REFISINK) + +#define A_MAC_PORT_REFISRC_CONTROL 0x385c + +#define S_REFISRC 0 +#define M_REFISRC 0x3fU +#define V_REFISRC(x) ((x) << S_REFISRC) +#define G_REFISRC(x) (((x) >> S_REFISRC) & M_REFISRC) + +#define A_MAC_PORT_REFVREG_CONTROL 0x3860 + +#define S_REFVREG 0 +#define M_REFVREG 0x3fU +#define V_REFVREG(x) ((x) << S_REFVREG) +#define G_REFVREG(x) (((x) >> S_REFVREG) & M_REFVREG) + +#define A_MAC_PORT_VBGENDOC_CONTROL 0x3864 + +#define S_BGCLKSEL 2 +#define V_BGCLKSEL(x) ((x) << S_BGCLKSEL) +#define F_BGCLKSEL V_BGCLKSEL(1U) + +#define S_VBGENDOC 0 +#define M_VBGENDOC 0x3U +#define V_VBGENDOC(x) ((x) << S_VBGENDOC) +#define G_VBGENDOC(x) (((x) >> S_VBGENDOC) & M_VBGENDOC) + +#define A_MAC_PORT_VREFTUNE_CONTROL 0x3868 + +#define S_VREFTUNE 0 +#define M_VREFTUNE 0xfU +#define V_VREFTUNE(x) ((x) << S_VREFTUNE) +#define G_VREFTUNE(x) (((x) >> S_VREFTUNE) & M_VREFTUNE) + #define A_MAC_PORT_RESISTOR_CALIBRATION_CONTROL 0x3880 #define S_RCCTL1 5 @@ -38221,6 +55398,24 @@ #define V_RCRST(x) ((x) << S_RCRST) #define F_RCRST V_RCRST(1U) +#define A_MAC_PORT_IMPEDENCE_CALIBRATION_CONTROL 0x3880 + +#define S_FRCCAL_COMP 6 +#define V_FRCCAL_COMP(x) ((x) << S_FRCCAL_COMP) +#define F_FRCCAL_COMP V_FRCCAL_COMP(1U) + +#define S_IC_FRCERR 5 +#define V_IC_FRCERR(x) ((x) << S_IC_FRCERR) +#define F_IC_FRCERR V_IC_FRCERR(1U) + +#define S_CAL_BISTENAB 4 +#define V_CAL_BISTENAB(x) ((x) << S_CAL_BISTENAB) +#define F_CAL_BISTENAB V_CAL_BISTENAB(1U) + +#define S_RCAL_RESET 0 +#define V_RCAL_RESET(x) ((x) << S_RCAL_RESET) +#define F_RCAL_RESET V_RCAL_RESET(1U) + #define A_MAC_PORT_RESISTOR_CALIBRATION_STATUS_1 0x3884 #define S_RCERR 1 @@ -38231,6 +55426,24 @@ #define V_RCCOMP(x) ((x) << S_RCCOMP) #define F_RCCOMP V_RCCOMP(1U) +#define A_MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_1 0x3884 + +#define S_RCALBENAB 3 +#define V_RCALBENAB(x) ((x) << S_RCALBENAB) +#define F_RCALBENAB V_RCALBENAB(1U) + +#define S_RCALBUSY 2 +#define V_RCALBUSY(x) ((x) << S_RCALBUSY) +#define F_RCALBUSY V_RCALBUSY(1U) + +#define S_RCALERR 1 +#define V_RCALERR(x) ((x) << S_RCALERR) +#define F_RCALERR V_RCALERR(1U) + +#define S_RCALCOMP 0 +#define V_RCALCOMP(x) ((x) << S_RCALCOMP) +#define F_RCALCOMP V_RCALCOMP(1U) + #define A_MAC_PORT_RESISTOR_CALIBRATION_STATUS_2 0x3888 #define S_RESREG2 0 @@ -38238,6 +55451,13 @@ #define V_RESREG2(x) ((x) << S_RESREG2) #define G_RESREG2(x) (((x) >> S_RESREG2) & M_RESREG2) +#define A_MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_2 0x3888 + +#define S_T6_RESREG2 0 +#define M_T6_RESREG2 0x3fU +#define V_T6_RESREG2(x) ((x) << S_T6_RESREG2) +#define G_T6_RESREG2(x) (((x) >> S_T6_RESREG2) & M_T6_RESREG2) + #define A_MAC_PORT_RESISTOR_CALIBRATION_STATUS_3 0x388c #define S_RESREG3 0 @@ -38245,6 +55465,69 @@ #define V_RESREG3(x) ((x) << S_RESREG3) #define G_RESREG3(x) (((x) >> S_RESREG3) & M_RESREG3) +#define A_MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_3 0x388c + +#define S_T6_RESREG3 0 +#define M_T6_RESREG3 0x3fU +#define V_T6_RESREG3(x) ((x) << S_T6_RESREG3) +#define G_T6_RESREG3(x) (((x) >> S_T6_RESREG3) & M_T6_RESREG3) + +#define A_MAC_PORT_INEQUALITY_CONTROL_AND_RESULT 0x38c0 + +#define S_ISGT 7 +#define V_ISGT(x) ((x) << S_ISGT) +#define F_ISGT V_ISGT(1U) + +#define S_ISLT 6 +#define V_ISLT(x) ((x) << S_ISLT) +#define F_ISLT V_ISLT(1U) + +#define S_ISEQ 5 +#define V_ISEQ(x) ((x) << S_ISEQ) +#define F_ISEQ V_ISEQ(1U) + +#define S_ISVAL 3 +#define M_ISVAL 0x3U +#define V_ISVAL(x) ((x) << S_ISVAL) +#define G_ISVAL(x) (((x) >> S_ISVAL) & M_ISVAL) + +#define S_GTORLT 1 +#define M_GTORLT 0x3U +#define V_GTORLT(x) ((x) << S_GTORLT) +#define G_GTORLT(x) (((x) >> S_GTORLT) & M_GTORLT) + +#define S_INEQ 0 +#define V_INEQ(x) ((x) << S_INEQ) +#define F_INEQ V_INEQ(1U) + +#define A_MAC_PORT_INEQUALITY_LOW_LIMIT 0x38c4 + +#define S_LLIM 0 +#define M_LLIM 0xffffU +#define V_LLIM(x) ((x) << S_LLIM) +#define G_LLIM(x) (((x) >> S_LLIM) & M_LLIM) + +#define A_MAC_PORT_INEQUALITY_LOW_LIMIT_MASK 0x38c8 + +#define S_LMSK 0 +#define M_LMSK 0xffffU +#define V_LMSK(x) ((x) << S_LMSK) +#define G_LMSK(x) (((x) >> S_LMSK) & M_LMSK) + +#define A_MAC_PORT_INEQUALITY_HIGH_LIMIT 0x38cc + +#define S_HLIM 0 +#define M_HLIM 0xffffU +#define V_HLIM(x) ((x) << S_HLIM) +#define G_HLIM(x) (((x) >> S_HLIM) & M_HLIM) + +#define A_MAC_PORT_INEQUALITY_HIGH_LIMIT_MASK 0x38d0 + +#define S_HMSK 0 +#define M_HMSK 0xffffU +#define V_HMSK(x) ((x) << S_HMSK) +#define G_HMSK(x) (((x) >> S_HMSK) & M_HMSK) + #define A_MAC_PORT_MACRO_TEST_CONTROL_6 0x38e8 #define S_LBIST 7 @@ -38310,85 +55593,335 @@ #define F_MACROTEST V_MACROTEST(1U) #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE 0x3900 + +#define S_T6_T5_TX_RXLOOP 5 +#define V_T6_T5_TX_RXLOOP(x) ((x) << S_T6_T5_TX_RXLOOP) +#define F_T6_T5_TX_RXLOOP V_T6_T5_TX_RXLOOP(1U) + +#define S_T6_T5_TX_BWSEL 2 +#define M_T6_T5_TX_BWSEL 0x3U +#define V_T6_T5_TX_BWSEL(x) ((x) << S_T6_T5_TX_BWSEL) +#define G_T6_T5_TX_BWSEL(x) (((x) >> S_T6_T5_TX_BWSEL) & M_T6_T5_TX_BWSEL) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL 0x3904 + +#define S_T6_ERROR 9 +#define V_T6_ERROR(x) ((x) << S_T6_ERROR) +#define F_T6_ERROR V_T6_ERROR(1U) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL 0x3908 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL 0x390c #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL 0x3910 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE 0x3914 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE 0x3918 + +#define S_T6_CALSSTN 8 +#define M_T6_CALSSTN 0x3fU +#define V_T6_CALSSTN(x) ((x) << S_T6_CALSSTN) +#define G_T6_CALSSTN(x) (((x) >> S_T6_CALSSTN) & M_T6_CALSSTN) + +#define S_T6_CALSSTP 0 +#define M_T6_CALSSTP 0x3fU +#define V_T6_CALSSTP(x) ((x) << S_T6_CALSSTP) +#define G_T6_CALSSTP(x) (((x) >> S_T6_CALSSTP) & M_T6_CALSSTP) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE 0x391c + +#define S_T6_DRTOL 2 +#define M_T6_DRTOL 0x7U +#define V_T6_DRTOL(x) ((x) << S_T6_DRTOL) +#define G_T6_DRTOL(x) (((x) >> S_T6_DRTOL) & M_T6_DRTOL) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT 0x3920 + +#define S_T6_NXTT0 0 +#define M_T6_NXTT0 0x3fU +#define V_T6_NXTT0(x) ((x) << S_T6_NXTT0) +#define G_T6_NXTT0(x) (((x) >> S_T6_NXTT0) & M_T6_NXTT0) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT 0x3924 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT 0x3928 + +#define S_T6_NXTT2 0 +#define M_T6_NXTT2 0x3fU +#define V_T6_NXTT2(x) ((x) << S_T6_NXTT2) +#define G_T6_NXTT2(x) (((x) >> S_T6_NXTT2) & M_T6_NXTT2) + +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_3_COEFFICIENT 0x392c #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AMPLITUDE 0x3930 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY 0x3934 + +#define S_T6_NXTPOL 0 +#define M_T6_NXTPOL 0xfU +#define V_T6_NXTPOL(x) ((x) << S_T6_NXTPOL) +#define G_T6_NXTPOL(x) (((x) >> S_T6_NXTPOL) & M_T6_NXTPOL) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND 0x3938 + +#define S_T6_C0UPDT 6 +#define M_T6_C0UPDT 0x3U +#define V_T6_C0UPDT(x) ((x) << S_T6_C0UPDT) +#define G_T6_C0UPDT(x) (((x) >> S_T6_C0UPDT) & M_T6_C0UPDT) + +#define S_T6_C2UPDT 2 +#define M_T6_C2UPDT 0x3U +#define V_T6_C2UPDT(x) ((x) << S_T6_C2UPDT) +#define G_T6_C2UPDT(x) (((x) >> S_T6_C2UPDT) & M_T6_C2UPDT) + +#define S_T6_C1UPDT 0 +#define M_T6_C1UPDT 0x3U +#define V_T6_C1UPDT(x) ((x) << S_T6_C1UPDT) +#define G_T6_C1UPDT(x) (((x) >> S_T6_C1UPDT) & M_T6_C1UPDT) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS 0x393c + +#define S_T6_C0STAT 6 +#define M_T6_C0STAT 0x3U +#define V_T6_C0STAT(x) ((x) << S_T6_C0STAT) +#define G_T6_C0STAT(x) (((x) >> S_T6_C0STAT) & M_T6_C0STAT) + +#define S_T6_C2STAT 2 +#define M_T6_C2STAT 0x3U +#define V_T6_C2STAT(x) ((x) << S_T6_C2STAT) +#define G_T6_C2STAT(x) (((x) >> S_T6_C2STAT) & M_T6_C2STAT) + +#define S_T6_C1STAT 0 +#define M_T6_C1STAT 0x3U +#define V_T6_C1STAT(x) ((x) << S_T6_C1STAT) +#define G_T6_C1STAT(x) (((x) >> S_T6_C1STAT) & M_T6_C1STAT) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_OVERRIDE 0x3940 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE 0x3940 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_OVERRIDE 0x3944 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE 0x3944 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_OVERRIDE 0x3948 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE 0x3948 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE 0x394c +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_APPLIED_TUNE_REGISTER 0x3950 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER 0x3958 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT_APPLIED 0x3960 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_4X_SEGMENT_APPLIED 0x3960 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT_APPLIED 0x3964 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_2X_SEGMENT_APPLIED 0x3964 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT_APPLIED 0x3968 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_1X_SEGMENT_APPLIED 0x3968 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED 0x396c #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_1 0x3970 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED 0x3970 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_DISABLE_APPLIED_2 0x3974 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_APPLIED_REGISTER 0x3974 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA 0x3978 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR 0x397c + +#define S_T6_XADDR 1 +#define M_T6_XADDR 0x1fU +#define V_T6_XADDR(x) ((x) << S_T6_XADDR) +#define G_T6_XADDR(x) (((x) >> S_T6_XADDR) & M_T6_XADDR) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0 0x3980 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2 0x3984 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTE_4 0x3988 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_5_4 0x3988 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL 0x398c +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_7_6 0x398c #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE 0x3990 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED 0x3994 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT 0x3998 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL 0x399c +#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL 0x39a0 + +#define S_T6_DCCTIMEEN 13 +#define M_T6_DCCTIMEEN 0x3U +#define V_T6_DCCTIMEEN(x) ((x) << S_T6_DCCTIMEEN) +#define G_T6_DCCTIMEEN(x) (((x) >> S_T6_DCCTIMEEN) & M_T6_DCCTIMEEN) + +#define S_T6_DCCLOCK 11 +#define M_T6_DCCLOCK 0x3U +#define V_T6_DCCLOCK(x) ((x) << S_T6_DCCLOCK) +#define G_T6_DCCLOCK(x) (((x) >> S_T6_DCCLOCK) & M_T6_DCCLOCK) + +#define S_T6_DCCOFFSET 8 +#define M_T6_DCCOFFSET 0x7U +#define V_T6_DCCOFFSET(x) ((x) << S_T6_DCCOFFSET) +#define G_T6_DCCOFFSET(x) (((x) >> S_T6_DCCOFFSET) & M_T6_DCCOFFSET) + +#define S_TX_LINK_BCST_DCCSTEP_CTL 6 +#define M_TX_LINK_BCST_DCCSTEP_CTL 0x3U +#define V_TX_LINK_BCST_DCCSTEP_CTL(x) ((x) << S_TX_LINK_BCST_DCCSTEP_CTL) +#define G_TX_LINK_BCST_DCCSTEP_CTL(x) (((x) >> S_TX_LINK_BCST_DCCSTEP_CTL) & M_TX_LINK_BCST_DCCSTEP_CTL) + +#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE 0x39a4 +#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED 0x39a8 +#define A_T6_MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT 0x39ac +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_OVERRIDE 0x39c0 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_OVERRIDE 0x39c8 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X_OVERRIDE 0x39cc +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_1X_OVERRIDE 0x39d0 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE 0x39d8 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE 0x39dc +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE 0x39e0 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_5 0x39ec #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4 0x39f0 + +#define S_T6_SDOVRD 0 +#define M_T6_SDOVRD 0xffffU +#define V_T6_SDOVRD(x) ((x) << S_T6_SDOVRD) +#define G_T6_SDOVRD(x) (((x) >> S_T6_SDOVRD) & M_T6_SDOVRD) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3 0x39f4 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2 0x39f8 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1 0x39fc + +#define S_T6_SDOVRDEN 15 +#define V_T6_SDOVRDEN(x) ((x) << S_T6_SDOVRDEN) +#define F_T6_SDOVRDEN V_T6_SDOVRDEN(1U) + #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE 0x3a00 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL 0x3a04 #define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL 0x3a08 #define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL 0x3a0c + +#define S_T6_TMSCAL 8 +#define M_T6_TMSCAL 0x3U +#define V_T6_TMSCAL(x) ((x) << S_T6_TMSCAL) +#define G_T6_TMSCAL(x) (((x) >> S_T6_TMSCAL) & M_T6_TMSCAL) + +#define S_T6_APADJ 7 +#define V_T6_APADJ(x) ((x) << S_T6_APADJ) +#define F_T6_APADJ V_T6_APADJ(1U) + +#define S_T6_RSEL 6 +#define V_T6_RSEL(x) ((x) << S_T6_RSEL) +#define F_T6_RSEL V_T6_RSEL(1U) + +#define S_T6_PHOFFS 0 +#define M_T6_PHOFFS 0x3fU +#define V_T6_PHOFFS(x) ((x) << S_T6_PHOFFS) +#define G_T6_PHOFFS(x) (((x) >> S_T6_PHOFFS) & M_T6_PHOFFS) + #define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1 0x3a10 #define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2 0x3a14 #define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1 0x3a18 #define A_MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2 0x3a1c #define A_MAC_PORT_RX_LINK_BCST_DFE_CONTROL 0x3a20 + +#define S_T6_SPIFMT 8 +#define M_T6_SPIFMT 0xfU +#define V_T6_SPIFMT(x) ((x) << S_T6_SPIFMT) +#define G_T6_SPIFMT(x) (((x) >> S_T6_SPIFMT) & M_T6_SPIFMT) + #define A_MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1 0x3a24 #define A_MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2 0x3a28 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1 0x3a2c + +#define S_T6_WRAPSEL 15 +#define V_T6_WRAPSEL(x) ((x) << S_T6_WRAPSEL) +#define F_T6_WRAPSEL V_T6_WRAPSEL(1U) + +#define S_T6_PEAK 9 +#define M_T6_PEAK 0x1fU +#define V_T6_PEAK(x) ((x) << S_T6_PEAK) +#define G_T6_PEAK(x) (((x) >> S_T6_PEAK) & M_T6_PEAK) + #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2 0x3a30 + +#define S_T6_T5VGAIN 0 +#define M_T6_T5VGAIN 0x7fU +#define V_T6_T5VGAIN(x) ((x) << S_T6_T5VGAIN) +#define G_T6_T5VGAIN(x) (((x) >> S_T6_T5VGAIN) & M_T6_T5VGAIN) + #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3 0x3a34 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_1 0x3a38 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_POWER_MANAGEMENT_CONTROL 0x3a38 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_1 0x3a3c #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DQCC_CONTROL_3 0x3a40 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_2 0x3a40 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN_SELECTION 0x3a44 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN 0x3a48 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN_AND_DACAZ 0x3a4c +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN 0x3a4c #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL 0x3a50 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_CONTROL 0x3a54 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_VALUE 0x3a58 #define A_MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD2_EVN2 0x3a5c +#define A_MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET 0x3a5c #define A_MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD3_EVN3 0x3a60 +#define A_MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET_VALUE 0x3a60 #define A_MAC_PORT_RX_LINK_BCST_DFE_H1_LOCAL_OFFSET_ODD4_EVN4 0x3a64 +#define A_MAC_PORT_RX_LINK_BCST_PEAKED_INTEGRATOR 0x3a64 +#define A_MAC_PORT_RX_LINK_BCST_CDR_ANALOG_SWITCH 0x3a68 +#define A_MAC_PORT_RX_LINK_BCST_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL 0x3a6c #define A_MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC 0x3a70 #define A_MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC 0x3a74 + +#define S_T6_ODEC 0 +#define M_T6_ODEC 0xfU +#define V_T6_ODEC(x) ((x) << S_T6_ODEC) +#define G_T6_ODEC(x) (((x) >> S_T6_ODEC) & M_T6_ODEC) + #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS 0x3a78 + +#define S_RX_LINK_BCST_ACCCMP_RIS 11 +#define V_RX_LINK_BCST_ACCCMP_RIS(x) ((x) << S_RX_LINK_BCST_ACCCMP_RIS) +#define F_RX_LINK_BCST_ACCCMP_RIS V_RX_LINK_BCST_ACCCMP_RIS(1U) + #define A_MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1 0x3a7c #define A_MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2 0x3a80 #define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN1_EVN2 0x3a84 +#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_CHANNEL 0x3a84 #define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD1_ODD2 0x3a88 +#define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_VALUE 0x3a88 #define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_EVN3_EVN4 0x3a8c +#define A_MAC_PORT_RX_LINK_BCST_H_COEFFICIENBT_BIST 0x3a8c #define A_MAC_PORT_RX_LINK_BCST_DFE_OFFSET_ODD3_ODD4 0x3a90 +#define A_MAC_PORT_RX_LINK_BCST_AC_CAPACITOR_BIST 0x3a90 + +#define S_RX_LINK_BCST_ACCCMP_BIST 13 +#define V_RX_LINK_BCST_ACCCMP_BIST(x) ((x) << S_RX_LINK_BCST_ACCCMP_BIST) +#define F_RX_LINK_BCST_ACCCMP_BIST V_RX_LINK_BCST_ACCCMP_BIST(1U) + #define A_MAC_PORT_RX_LINK_BCST_DFE_E0_AND_E1_OFFSET 0x3a94 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL 0x3a98 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL_REGISTER 0x3a98 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL 0x3a9c #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH 0x3aa0 #define A_MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET 0x3aa4 #define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL 0x3aa8 #define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS 0x3aac + +#define S_T6_EMMD 3 +#define M_T6_EMMD 0x3U +#define V_T6_EMMD(x) ((x) << S_T6_EMMD) +#define G_T6_EMMD(x) (((x) >> S_T6_EMMD) & M_T6_EMMD) + +#define S_T6_EMBRDY 2 +#define V_T6_EMBRDY(x) ((x) << S_T6_EMBRDY) +#define F_T6_EMBRDY V_T6_EMBRDY(1U) + +#define S_T6_EMBUMP 1 +#define V_T6_EMBUMP(x) ((x) << S_T6_EMBUMP) +#define F_T6_EMBUMP V_T6_EMBUMP(1U) + #define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT 0x3ab0 #define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT 0x3ab4 #define A_MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH 0x3ab8 +#define A_MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_3 0x3abc #define A_MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE 0x3ac0 +#define A_MAC_PORT_RX_LINK_BCST_DFE_TAP_CONTROL 0x3ac0 + +#define S_RX_LINK_BCST_INDEX_DFE_TC 0 +#define M_RX_LINK_BCST_INDEX_DFE_TC 0xfU +#define V_RX_LINK_BCST_INDEX_DFE_TC(x) ((x) << S_RX_LINK_BCST_INDEX_DFE_TC) +#define G_RX_LINK_BCST_INDEX_DFE_TC(x) (((x) >> S_RX_LINK_BCST_INDEX_DFE_TC) & M_RX_LINK_BCST_INDEX_DFE_TC) + #define A_MAC_PORT_RX_LINK_BCST_DFE_H1 0x3ac4 +#define A_MAC_PORT_RX_LINK_BCST_DFE_TAP 0x3ac4 + +#define S_RX_LINK_BCST_INDEX_DFE_TAP 0 +#define M_RX_LINK_BCST_INDEX_DFE_TAP 0xfU +#define V_RX_LINK_BCST_INDEX_DFE_TAP(x) ((x) << S_RX_LINK_BCST_INDEX_DFE_TAP) +#define G_RX_LINK_BCST_INDEX_DFE_TAP(x) (((x) >> S_RX_LINK_BCST_INDEX_DFE_TAP) & M_RX_LINK_BCST_INDEX_DFE_TAP) + #define A_MAC_PORT_RX_LINK_BCST_DFE_H2 0x3ac8 #define A_MAC_PORT_RX_LINK_BCST_DFE_H3 0x3acc #define A_MAC_PORT_RX_LINK_BCST_DFE_H4 0x3ad0 @@ -38397,13 +55930,39 @@ #define A_MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9 0x3adc #define A_MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11 0x3ae0 #define A_MAC_PORT_RX_LINK_BCST_DFE_H12 0x3ae4 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS_2 0x3ae4 +#define A_MAC_PORT_RX_LINK_BCST_AC_COUPLING_CURRENT_SOURCE_ADJUST 0x3ae8 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DCD_CONTROL 0x3aec +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_DCC_CONTROL 0x3af0 + +#define S_RX_LINK_BCST_DCCSTEP_RXCTL 10 +#define M_RX_LINK_BCST_DCCSTEP_RXCTL 0x3U +#define V_RX_LINK_BCST_DCCSTEP_RXCTL(x) ((x) << S_RX_LINK_BCST_DCCSTEP_RXCTL) +#define G_RX_LINK_BCST_DCCSTEP_RXCTL(x) (((x) >> S_RX_LINK_BCST_DCCSTEP_RXCTL) & M_RX_LINK_BCST_DCCSTEP_RXCTL) + +#define S_RX_LINK_BCST_DCCLOCK_RXCTL 8 +#define V_RX_LINK_BCST_DCCLOCK_RXCTL(x) ((x) << S_RX_LINK_BCST_DCCLOCK_RXCTL) +#define F_RX_LINK_BCST_DCCLOCK_RXCTL V_RX_LINK_BCST_DCCLOCK_RXCTL(1U) + +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_QCC_CONTROL 0x3af4 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_2 0x3af8 +#define A_MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2 0x3af8 #define A_MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1 0x3afc #define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0 0x3b00 #define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1 0x3b04 #define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2 0x3b08 #define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3 0x3b0c #define A_MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4 0x3b10 +#define A_MAC_PORT_PLLA_POWER_CONTROL 0x3b24 + +#define S_SPWRENA 1 +#define V_SPWRENA(x) ((x) << S_SPWRENA) +#define F_SPWRENA V_SPWRENA(1U) + +#define S_NPWRENA 0 +#define V_NPWRENA(x) ((x) << S_NPWRENA) +#define F_NPWRENA V_NPWRENA(1U) + #define A_MAC_PORT_PLLA_CHARGE_PUMP_CONTROL 0x3b28 #define S_T5CPISEL 0 @@ -38411,6 +55970,7 @@ #define V_T5CPISEL(x) ((x) << S_T5CPISEL) #define G_T5CPISEL(x) (((x) >> S_T5CPISEL) & M_T5CPISEL) +#define A_MAC_PORT_PLLA_PLL_MICELLANEOUS_CONTROL 0x3b38 #define A_MAC_PORT_PLLA_PCLK_CONTROL 0x3b3c #define S_SPEDIV 3 @@ -38472,6 +56032,15 @@ #define V_VBST(x) ((x) << S_VBST) #define G_VBST(x) (((x) >> S_VBST) & M_VBST) +#define S_PLLDIVA 4 +#define V_PLLDIVA(x) ((x) << S_PLLDIVA) +#define F_PLLDIVA V_PLLDIVA(1U) + +#define S_REFDIV 0 +#define M_REFDIV 0xfU +#define V_REFDIV(x) ((x) << S_REFDIV) +#define G_REFDIV(x) (((x) >> S_REFDIV) & M_REFDIV) + #define A_MAC_PORT_PLLA_MACRO_TEST_CONTROL_3 0x3bf4 #define S_RESYNC 6 @@ -38521,7 +56090,9 @@ #define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2 0x3c08 #define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3 0x3c0c #define A_MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4 0x3c10 +#define A_MAC_PORT_PLLB_POWER_CONTROL 0x3c24 #define A_MAC_PORT_PLLB_CHARGE_PUMP_CONTROL 0x3c28 +#define A_MAC_PORT_PLLB_PLL_MICELLANEOUS_CONTROL 0x3c38 #define A_MAC_PORT_PLLB_PCLK_CONTROL 0x3c3c #define A_MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL 0x3c40 #define A_MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1 0x3c44 @@ -38539,6 +56110,7 @@ #define V_STEP(x) ((x) << S_STEP) #define G_STEP(x) (((x) >> S_STEP) & M_STEP) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0 #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8 #define S_C0INIT 0 @@ -38546,6 +56118,16 @@ #define V_C0INIT(x) ((x) << S_C0INIT) #define G_C0INIT(x) (((x) >> S_C0INIT) & M_C0INIT) +#define S_C0PRESET 8 +#define M_C0PRESET 0x7fU +#define V_C0PRESET(x) ((x) << S_C0PRESET) +#define G_C0PRESET(x) (((x) >> S_C0PRESET) & M_C0PRESET) + +#define S_C0INIT1 0 +#define M_C0INIT1 0x7fU +#define V_C0INIT1(x) ((x) << S_C0INIT1) +#define G_C0INIT1(x) (((x) >> S_C0INIT1) & M_C0INIT1) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10 #define S_C0MAX 8 @@ -38558,6 +56140,18 @@ #define V_C0MIN(x) ((x) << S_C0MIN) #define G_C0MIN(x) (((x) >> S_C0MIN) & M_C0MIN) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10 + +#define S_T6_C0MAX 8 +#define M_T6_C0MAX 0x7fU +#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX) +#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX) + +#define S_T6_C0MIN 0 +#define M_T6_C0MIN 0x7fU +#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN) +#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18 #define S_C1INIT 0 @@ -38565,6 +56159,18 @@ #define V_C1INIT(x) ((x) << S_C1INIT) #define G_C1INIT(x) (((x) >> S_C1INIT) & M_C1INIT) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT_EXTENDED 0x18 + +#define S_C1PRESET 8 +#define M_C1PRESET 0x7fU +#define V_C1PRESET(x) ((x) << S_C1PRESET) +#define G_C1PRESET(x) (((x) >> S_C1PRESET) & M_C1PRESET) + +#define S_C1INIT1 0 +#define M_C1INIT1 0x7fU +#define V_C1INIT1(x) ((x) << S_C1INIT1) +#define G_C1INIT1(x) (((x) >> S_C1INIT1) & M_C1INIT1) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20 #define S_C1MAX 8 @@ -38577,6 +56183,7 @@ #define V_C1MIN(x) ((x) << S_C1MIN) #define G_C1MIN(x) (((x) >> S_C1MIN) & M_C1MIN) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20 #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28 #define S_C2INIT 0 @@ -38584,6 +56191,18 @@ #define V_C2INIT(x) ((x) << S_C2INIT) #define G_C2INIT(x) (((x) >> S_C2INIT) & M_C2INIT) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT_EXTENDED 0x28 + +#define S_C2PRESET 8 +#define M_C2PRESET 0x7fU +#define V_C2PRESET(x) ((x) << S_C2PRESET) +#define G_C2PRESET(x) (((x) >> S_C2PRESET) & M_C2PRESET) + +#define S_C2INIT1 0 +#define M_C2INIT1 0x7fU +#define V_C2INIT1(x) ((x) << S_C2INIT1) +#define G_C2INIT1(x) (((x) >> S_C2INIT1) & M_C2INIT1) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30 #define S_C2MAX 8 @@ -38596,6 +56215,18 @@ #define V_C2MIN(x) ((x) << S_C2MIN) #define G_C2MIN(x) (((x) >> S_C2MIN) & M_C2MIN) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30 + +#define S_T6_C2MAX 8 +#define M_T6_C2MAX 0x7fU +#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX) +#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX) + +#define S_T6_C2MIN 0 +#define M_T6_C2MIN 0x7fU +#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN) +#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN) + #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38 #define S_VMMAX 0 @@ -38603,6 +56234,7 @@ #define V_VMMAX(x) ((x) << S_VMMAX) #define G_VMMAX(x) (((x) >> S_VMMAX) & M_VMMAX) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38 #define A_MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40 #define S_V2MIN 0 @@ -38610,42 +56242,507 @@ #define V_V2MIN(x) ((x) << S_V2MIN) #define G_V2MIN(x) (((x) >> S_V2MIN) & M_V2MIN) +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT_EXTENDED 0x48 + +#define S_C3PRESET 8 +#define M_C3PRESET 0x7fU +#define V_C3PRESET(x) ((x) << S_C3PRESET) +#define G_C3PRESET(x) (((x) >> S_C3PRESET) & M_C3PRESET) + +#define S_C3INIT1 0 +#define M_C3INIT1 0x7fU +#define V_C3INIT1(x) ((x) << S_C3INIT1) +#define G_C3INIT1(x) (((x) >> S_C3INIT1) & M_C3INIT1) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50 + +#define S_C3MAX 8 +#define M_C3MAX 0x7fU +#define V_C3MAX(x) ((x) << S_C3MAX) +#define G_C3MAX(x) (((x) >> S_C3MAX) & M_C3MAX) + +#define S_C3MIN 0 +#define M_C3MIN 0x7fU +#define V_C3MIN(x) ((x) << S_C3MIN) +#define G_C3MIN(x) (((x) >> S_C3MIN) & M_C3MIN) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c + +#define S_C0INIT2 0 +#define M_C0INIT2 0x7fU +#define V_C0INIT2(x) ((x) << S_C0INIT2) +#define G_C0INIT2(x) (((x) >> S_C0INIT2) & M_C0INIT2) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60 + +#define S_C1INIT2 0 +#define M_C1INIT2 0x7fU +#define V_C1INIT2(x) ((x) << S_C1INIT2) +#define G_C1INIT2(x) (((x) >> S_C1INIT2) & M_C1INIT2) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68 + +#define S_C2INIT2 0 +#define M_C2INIT2 0x7fU +#define V_C2INIT2(x) ((x) << S_C2INIT2) +#define G_C2INIT2(x) (((x) >> S_C2INIT2) & M_C2INIT2) + +#define A_MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70 + +#define S_C3INIT2 0 +#define M_C3INIT2 0x7fU +#define V_C3INIT2(x) ((x) << S_C3INIT2) +#define G_C3INIT2(x) (((x) >> S_C3INIT2) & M_C3INIT2) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0 #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8 #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10 + +#define S_T6_C0MAX 8 +#define M_T6_C0MAX 0x7fU +#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX) +#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX) + +#define S_T6_C0MIN 0 +#define M_T6_C0MIN 0x7fU +#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN) +#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT_EXTENDED 0x18 #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20 #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT_EXTENDED 0x28 #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30 + +#define S_T6_C2MAX 8 +#define M_T6_C2MAX 0x7fU +#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX) +#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX) + +#define S_T6_C2MIN 0 +#define M_T6_C2MIN 0x7fU +#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN) +#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN) + #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38 #define A_MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT_EXTENDED 0x48 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68 +#define A_MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10 + +#define S_T6_C0MAX 8 +#define M_T6_C0MAX 0x7fU +#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX) +#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX) + +#define S_T6_C0MIN 0 +#define M_T6_C0MIN 0x7fU +#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN) +#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT_EXTENDED 0x18 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT_EXTENDED 0x28 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30 + +#define S_T6_C2MAX 8 +#define M_T6_C2MAX 0x7fU +#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX) +#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX) + +#define S_T6_C2MIN 0 +#define M_T6_C2MIN 0x7fU +#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN) +#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN) + #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38 #define A_MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT_EXTENDED 0x48 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68 +#define A_MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10 + +#define S_T6_C0MAX 8 +#define M_T6_C0MAX 0x7fU +#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX) +#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX) + +#define S_T6_C0MIN 0 +#define M_T6_C0MIN 0x7fU +#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN) +#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT_EXTENDED 0x18 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT_EXTENDED 0x28 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30 + +#define S_T6_C2MAX 8 +#define M_T6_C2MAX 0x7fU +#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX) +#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX) + +#define S_T6_C2MIN 0 +#define M_T6_C2MIN 0x7fU +#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN) +#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN) + #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38 #define A_MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT_EXTENDED 0x48 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68 +#define A_MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_STEP_SIZE_EXTENDED 0x0 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_STEP_SIZE_EXTENDED 0x0 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED 0x8 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_LIMIT_EXTENDED 0x10 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_LIMIT_EXTENDED 0x10 + +#define S_T6_C0MAX 8 +#define M_T6_C0MAX 0x7fU +#define V_T6_C0MAX(x) ((x) << S_T6_C0MAX) +#define G_T6_C0MAX(x) (((x) >> S_T6_C0MAX) & M_T6_C0MAX) + +#define S_T6_C0MIN 0 +#define M_T6_C0MIN 0x7fU +#define V_T6_C0MIN(x) ((x) << S_T6_C0MIN) +#define G_T6_C0MIN(x) (((x) >> S_T6_C0MIN) & M_T6_C0MIN) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_INIT_EXTENDED 0x18 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT_EXTENDED 0x18 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C1_LIMIT_EXTENDED 0x20 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_LIMIT_EXTENDED 0x20 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_INIT_EXTENDED 0x28 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT_EXTENDED 0x28 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C2_LIMIT_EXTENDED 0x30 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_LIMIT_EXTENDED 0x30 + +#define S_T6_C2MAX 8 +#define M_T6_C2MAX 0x7fU +#define V_T6_C2MAX(x) ((x) << S_T6_C2MAX) +#define G_T6_C2MAX(x) (((x) >> S_T6_C2MAX) & M_T6_C2MAX) + +#define S_T6_C2MIN 0 +#define M_T6_C2MIN 0x7fU +#define V_T6_C2MIN(x) ((x) << S_T6_C2MIN) +#define G_T6_C2MIN(x) (((x) >> S_T6_C2MIN) & M_T6_C2MIN) + #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_VM_LIMIT_EXTENDED 0x38 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_VM_LIMIT_EXTENDED 0x38 #define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_V2_LIMIT_EXTENDED 0x40 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT_EXTENDED 0x48 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_LIMIT_EXTENDED 0x50 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_INIT2_EXTENDED 0x5c +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT2_EXTENDED 0x60 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT2_EXTENDED 0x68 +#define A_MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT2_EXTENDED 0x70 +#define A_T6_MAC_PORT_RX_LINKA_DFE_TAP_ENABLE 0x2a00 + +#define S_RX_LINKA_INDEX_DFE_EN 1 +#define M_RX_LINKA_INDEX_DFE_EN 0x7fffU +#define V_RX_LINKA_INDEX_DFE_EN(x) ((x) << S_RX_LINKA_INDEX_DFE_EN) +#define G_RX_LINKA_INDEX_DFE_EN(x) (((x) >> S_RX_LINKA_INDEX_DFE_EN) & M_RX_LINKA_INDEX_DFE_EN) + +#define A_T6_MAC_PORT_RX_LINKA_DFE_H1 0x2a04 + +#define S_T6_H1OSN 13 +#define M_T6_H1OSN 0x7U +#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN) +#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN) + +#define S_T6_H1OMAG 8 +#define M_T6_H1OMAG 0x1fU +#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG) +#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG) + +#define A_T6_MAC_PORT_RX_LINKA_DFE_H2 0x2a08 +#define A_T6_MAC_PORT_RX_LINKA_DFE_H3 0x2a0c +#define A_T6_MAC_PORT_RX_LINKA_DFE_H4 0x2a10 + +#define S_H4SN 4 +#define M_H4SN 0x3U +#define V_H4SN(x) ((x) << S_H4SN) +#define G_H4SN(x) (((x) >> S_H4SN) & M_H4SN) + +#define S_H4MAG 0 +#define M_H4MAG 0xfU +#define V_H4MAG(x) ((x) << S_H4MAG) +#define G_H4MAG(x) (((x) >> S_H4MAG) & M_H4MAG) + +#define A_T6_MAC_PORT_RX_LINKA_DFE_H5 0x2a14 + +#define S_H5GS 6 +#define M_H5GS 0x3U +#define V_H5GS(x) ((x) << S_H5GS) +#define G_H5GS(x) (((x) >> S_H5GS) & M_H5GS) + +#define S_H5SN 4 +#define M_H5SN 0x3U +#define V_H5SN(x) ((x) << S_H5SN) +#define G_H5SN(x) (((x) >> S_H5SN) & M_H5SN) + +#define S_H5MAG 0 +#define M_H5MAG 0xfU +#define V_H5MAG(x) ((x) << S_H5MAG) +#define G_H5MAG(x) (((x) >> S_H5MAG) & M_H5MAG) + +#define A_T6_MAC_PORT_RX_LINKA_DFE_H6_AND_H7 0x2a18 + +#define S_H7SN 12 +#define M_H7SN 0x3U +#define V_H7SN(x) ((x) << S_H7SN) +#define G_H7SN(x) (((x) >> S_H7SN) & M_H7SN) + +#define S_H6SN 4 +#define M_H6SN 0x3U +#define V_H6SN(x) ((x) << S_H6SN) +#define G_H6SN(x) (((x) >> S_H6SN) & M_H6SN) + +#define A_T6_MAC_PORT_RX_LINKA_DFE_H8_AND_H9 0x2a1c + +#define S_H9SN 12 +#define M_H9SN 0x3U +#define V_H9SN(x) ((x) << S_H9SN) +#define G_H9SN(x) (((x) >> S_H9SN) & M_H9SN) + +#define S_H8SN 4 +#define M_H8SN 0x3U +#define V_H8SN(x) ((x) << S_H8SN) +#define G_H8SN(x) (((x) >> S_H8SN) & M_H8SN) + +#define A_T6_MAC_PORT_RX_LINKA_DFE_H10_AND_H11 0x2a20 + +#define S_H11SN 12 +#define M_H11SN 0x3U +#define V_H11SN(x) ((x) << S_H11SN) +#define G_H11SN(x) (((x) >> S_H11SN) & M_H11SN) + +#define S_H10SN 4 +#define M_H10SN 0x3U +#define V_H10SN(x) ((x) << S_H10SN) +#define G_H10SN(x) (((x) >> S_H10SN) & M_H10SN) + +#define A_MAC_PORT_RX_LINKA_DFE_H12_13 0x2a24 + +#define S_H13GS 13 +#define M_H13GS 0x7U +#define V_H13GS(x) ((x) << S_H13GS) +#define G_H13GS(x) (((x) >> S_H13GS) & M_H13GS) + +#define S_H13SN 10 +#define M_H13SN 0x7U +#define V_H13SN(x) ((x) << S_H13SN) +#define G_H13SN(x) (((x) >> S_H13SN) & M_H13SN) + +#define S_H13MAG 8 +#define M_H13MAG 0x3U +#define V_H13MAG(x) ((x) << S_H13MAG) +#define G_H13MAG(x) (((x) >> S_H13MAG) & M_H13MAG) + +#define S_H12SN 4 +#define M_H12SN 0x3U +#define V_H12SN(x) ((x) << S_H12SN) +#define G_H12SN(x) (((x) >> S_H12SN) & M_H12SN) + +#define A_MAC_PORT_RX_LINKA_DFE_H14_15 0x2a28 + +#define S_H15GS 13 +#define M_H15GS 0x7U +#define V_H15GS(x) ((x) << S_H15GS) +#define G_H15GS(x) (((x) >> S_H15GS) & M_H15GS) + +#define S_H15SN 10 +#define M_H15SN 0x7U +#define V_H15SN(x) ((x) << S_H15SN) +#define G_H15SN(x) (((x) >> S_H15SN) & M_H15SN) + +#define S_H15MAG 8 +#define M_H15MAG 0x3U +#define V_H15MAG(x) ((x) << S_H15MAG) +#define G_H15MAG(x) (((x) >> S_H15MAG) & M_H15MAG) + +#define S_H14GS 6 +#define M_H14GS 0x3U +#define V_H14GS(x) ((x) << S_H14GS) +#define G_H14GS(x) (((x) >> S_H14GS) & M_H14GS) + +#define S_H14SN 4 +#define M_H14SN 0x3U +#define V_H14SN(x) ((x) << S_H14SN) +#define G_H14SN(x) (((x) >> S_H14SN) & M_H14SN) + +#define S_H14MAG 0 +#define M_H14MAG 0xfU +#define V_H14MAG(x) ((x) << S_H14MAG) +#define G_H14MAG(x) (((x) >> S_H14MAG) & M_H14MAG) + +#define A_MAC_PORT_RX_LINKA_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2a2c + +#define S_H1ODELTA 8 +#define M_H1ODELTA 0x1fU +#define V_H1ODELTA(x) ((x) << S_H1ODELTA) +#define G_H1ODELTA(x) (((x) >> S_H1ODELTA) & M_H1ODELTA) + +#define S_H1EDELTA 0 +#define M_H1EDELTA 0x3fU +#define V_H1EDELTA(x) ((x) << S_H1EDELTA) +#define G_H1EDELTA(x) (((x) >> S_H1EDELTA) & M_H1EDELTA) + +#define A_T6_MAC_PORT_RX_LINKB_DFE_TAP_ENABLE 0x2b00 + +#define S_RX_LINKB_INDEX_DFE_EN 1 +#define M_RX_LINKB_INDEX_DFE_EN 0x7fffU +#define V_RX_LINKB_INDEX_DFE_EN(x) ((x) << S_RX_LINKB_INDEX_DFE_EN) +#define G_RX_LINKB_INDEX_DFE_EN(x) (((x) >> S_RX_LINKB_INDEX_DFE_EN) & M_RX_LINKB_INDEX_DFE_EN) + +#define A_T6_MAC_PORT_RX_LINKB_DFE_H1 0x2b04 + +#define S_T6_H1OSN 13 +#define M_T6_H1OSN 0x7U +#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN) +#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN) + +#define S_T6_H1OMAG 8 +#define M_T6_H1OMAG 0x1fU +#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG) +#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG) + +#define A_T6_MAC_PORT_RX_LINKB_DFE_H2 0x2b08 +#define A_T6_MAC_PORT_RX_LINKB_DFE_H3 0x2b0c +#define A_T6_MAC_PORT_RX_LINKB_DFE_H4 0x2b10 +#define A_T6_MAC_PORT_RX_LINKB_DFE_H5 0x2b14 +#define A_T6_MAC_PORT_RX_LINKB_DFE_H6_AND_H7 0x2b18 +#define A_T6_MAC_PORT_RX_LINKB_DFE_H8_AND_H9 0x2b1c +#define A_T6_MAC_PORT_RX_LINKB_DFE_H10_AND_H11 0x2b20 +#define A_MAC_PORT_RX_LINKB_DFE_H12_13 0x2b24 +#define A_MAC_PORT_RX_LINKB_DFE_H14_15 0x2b28 +#define A_MAC_PORT_RX_LINKB_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2b2c +#define A_T6_MAC_PORT_RX_LINKC_DFE_TAP_ENABLE 0x2e00 + +#define S_RX_LINKC_INDEX_DFE_EN 1 +#define M_RX_LINKC_INDEX_DFE_EN 0x7fffU +#define V_RX_LINKC_INDEX_DFE_EN(x) ((x) << S_RX_LINKC_INDEX_DFE_EN) +#define G_RX_LINKC_INDEX_DFE_EN(x) (((x) >> S_RX_LINKC_INDEX_DFE_EN) & M_RX_LINKC_INDEX_DFE_EN) + +#define A_T6_MAC_PORT_RX_LINKC_DFE_H1 0x2e04 + +#define S_T6_H1OSN 13 +#define M_T6_H1OSN 0x7U +#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN) +#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN) + +#define S_T6_H1OMAG 8 +#define M_T6_H1OMAG 0x1fU +#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG) +#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG) + +#define A_T6_MAC_PORT_RX_LINKC_DFE_H2 0x2e08 +#define A_T6_MAC_PORT_RX_LINKC_DFE_H3 0x2e0c +#define A_T6_MAC_PORT_RX_LINKC_DFE_H4 0x2e10 +#define A_T6_MAC_PORT_RX_LINKC_DFE_H5 0x2e14 +#define A_T6_MAC_PORT_RX_LINKC_DFE_H6_AND_H7 0x2e18 +#define A_T6_MAC_PORT_RX_LINKC_DFE_H8_AND_H9 0x2e1c +#define A_T6_MAC_PORT_RX_LINKC_DFE_H10_AND_H11 0x2e20 +#define A_MAC_PORT_RX_LINKC_DFE_H12_13 0x2e24 +#define A_MAC_PORT_RX_LINKC_DFE_H14_15 0x2e28 +#define A_MAC_PORT_RX_LINKC_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2e2c +#define A_T6_MAC_PORT_RX_LINKD_DFE_TAP_ENABLE 0x2f00 + +#define S_RX_LINKD_INDEX_DFE_EN 1 +#define M_RX_LINKD_INDEX_DFE_EN 0x7fffU +#define V_RX_LINKD_INDEX_DFE_EN(x) ((x) << S_RX_LINKD_INDEX_DFE_EN) +#define G_RX_LINKD_INDEX_DFE_EN(x) (((x) >> S_RX_LINKD_INDEX_DFE_EN) & M_RX_LINKD_INDEX_DFE_EN) + +#define A_T6_MAC_PORT_RX_LINKD_DFE_H1 0x2f04 + +#define S_T6_H1OSN 13 +#define M_T6_H1OSN 0x7U +#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN) +#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN) + +#define S_T6_H1OMAG 8 +#define M_T6_H1OMAG 0x1fU +#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG) +#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG) + +#define A_T6_MAC_PORT_RX_LINKD_DFE_H2 0x2f08 +#define A_T6_MAC_PORT_RX_LINKD_DFE_H3 0x2f0c +#define A_T6_MAC_PORT_RX_LINKD_DFE_H4 0x2f10 +#define A_T6_MAC_PORT_RX_LINKD_DFE_H5 0x2f14 +#define A_T6_MAC_PORT_RX_LINKD_DFE_H6_AND_H7 0x2f18 +#define A_T6_MAC_PORT_RX_LINKD_DFE_H8_AND_H9 0x2f1c +#define A_T6_MAC_PORT_RX_LINKD_DFE_H10_AND_H11 0x2f20 +#define A_MAC_PORT_RX_LINKD_DFE_H12_13 0x2f24 +#define A_MAC_PORT_RX_LINKD_DFE_H14_15 0x2f28 +#define A_MAC_PORT_RX_LINKD_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x2f2c +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE 0x3200 + +#define S_RX_LINK_BCST_INDEX_DFE_EN 1 +#define M_RX_LINK_BCST_INDEX_DFE_EN 0x7fffU +#define V_RX_LINK_BCST_INDEX_DFE_EN(x) ((x) << S_RX_LINK_BCST_INDEX_DFE_EN) +#define G_RX_LINK_BCST_INDEX_DFE_EN(x) (((x) >> S_RX_LINK_BCST_INDEX_DFE_EN) & M_RX_LINK_BCST_INDEX_DFE_EN) + +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H1 0x3204 + +#define S_T6_H1OSN 13 +#define M_T6_H1OSN 0x7U +#define V_T6_H1OSN(x) ((x) << S_T6_H1OSN) +#define G_T6_H1OSN(x) (((x) >> S_T6_H1OSN) & M_T6_H1OSN) + +#define S_T6_H1OMAG 8 +#define M_T6_H1OMAG 0x1fU +#define V_T6_H1OMAG(x) ((x) << S_T6_H1OMAG) +#define G_T6_H1OMAG(x) (((x) >> S_T6_H1OMAG) & M_T6_H1OMAG) + +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H2 0x3208 +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H3 0x320c +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H4 0x3210 +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H5 0x3214 +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7 0x3218 +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9 0x321c +#define A_T6_MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11 0x3220 +#define A_MAC_PORT_RX_LINK_BCST_DFE_H12_13 0x3224 +#define A_MAC_PORT_RX_LINK_BCST_DFE_H14_15 0x3228 +#define A_MAC_PORT_RX_LINK_BCST_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA 0x322c /* registers for module MC_0 */ #define MC_0_BASE_ADDR 0x40000 @@ -38686,6 +56783,28 @@ #define V_CMD_OPCODE0(x) ((x) << S_CMD_OPCODE0) #define G_CMD_OPCODE0(x) (((x) >> S_CMD_OPCODE0) & M_CMD_OPCODE0) +#define A_MC_LMC_MCSTAT 0x40040 + +#define S_INIT_COMPLETE 31 +#define V_INIT_COMPLETE(x) ((x) << S_INIT_COMPLETE) +#define F_INIT_COMPLETE V_INIT_COMPLETE(1U) + +#define S_SELF_REF_MODE 30 +#define V_SELF_REF_MODE(x) ((x) << S_SELF_REF_MODE) +#define F_SELF_REF_MODE V_SELF_REF_MODE(1U) + +#define S_IDLE 29 +#define V_IDLE(x) ((x) << S_IDLE) +#define F_IDLE V_IDLE(1U) + +#define S_T6_DFI_INIT_COMPLETE 28 +#define V_T6_DFI_INIT_COMPLETE(x) ((x) << S_T6_DFI_INIT_COMPLETE) +#define F_T6_DFI_INIT_COMPLETE V_T6_DFI_INIT_COMPLETE(1U) + +#define S_PREFILL_COMPLETE 27 +#define V_PREFILL_COMPLETE(x) ((x) << S_PREFILL_COMPLETE) +#define F_PREFILL_COMPLETE V_PREFILL_COMPLETE(1U) + #define A_MC_UPCTL_POWCTL 0x40044 #define A_MC_UPCTL_POWSTAT 0x40048 #define A_MC_UPCTL_CMDTSTAT 0x4004c @@ -38796,7 +56915,163 @@ #define V_CKE_OR_EN(x) ((x) << S_CKE_OR_EN) #define F_CKE_OR_EN V_CKE_OR_EN(1U) +#define A_MC_LMC_MCOPT1 0x40080 + +#define S_MC_PROTOCOL 31 +#define V_MC_PROTOCOL(x) ((x) << S_MC_PROTOCOL) +#define F_MC_PROTOCOL V_MC_PROTOCOL(1U) + +#define S_DM_ENABLE 30 +#define V_DM_ENABLE(x) ((x) << S_DM_ENABLE) +#define F_DM_ENABLE V_DM_ENABLE(1U) + +#define S_T6_ECC_EN 29 +#define V_T6_ECC_EN(x) ((x) << S_T6_ECC_EN) +#define F_T6_ECC_EN V_T6_ECC_EN(1U) + +#define S_ECC_COR 28 +#define V_ECC_COR(x) ((x) << S_ECC_COR) +#define F_ECC_COR V_ECC_COR(1U) + +#define S_RDIMM 27 +#define V_RDIMM(x) ((x) << S_RDIMM) +#define F_RDIMM V_RDIMM(1U) + +#define S_PMUM 25 +#define M_PMUM 0x3U +#define V_PMUM(x) ((x) << S_PMUM) +#define G_PMUM(x) (((x) >> S_PMUM) & M_PMUM) + +#define S_WIDTH0 24 +#define V_WIDTH0(x) ((x) << S_WIDTH0) +#define F_WIDTH0 V_WIDTH0(1U) + +#define S_PORT_ID_CHK_EN 23 +#define V_PORT_ID_CHK_EN(x) ((x) << S_PORT_ID_CHK_EN) +#define F_PORT_ID_CHK_EN V_PORT_ID_CHK_EN(1U) + +#define S_UIOS 22 +#define V_UIOS(x) ((x) << S_UIOS) +#define F_UIOS V_UIOS(1U) + +#define S_QUADCS_RDIMM 21 +#define V_QUADCS_RDIMM(x) ((x) << S_QUADCS_RDIMM) +#define F_QUADCS_RDIMM V_QUADCS_RDIMM(1U) + +#define S_ZQCL_EN 20 +#define V_ZQCL_EN(x) ((x) << S_ZQCL_EN) +#define F_ZQCL_EN V_ZQCL_EN(1U) + +#define S_WIDTH1 19 +#define V_WIDTH1(x) ((x) << S_WIDTH1) +#define F_WIDTH1 V_WIDTH1(1U) + +#define S_WD_DLY 18 +#define V_WD_DLY(x) ((x) << S_WD_DLY) +#define F_WD_DLY V_WD_DLY(1U) + +#define S_QDEPTH 16 +#define M_QDEPTH 0x3U +#define V_QDEPTH(x) ((x) << S_QDEPTH) +#define G_QDEPTH(x) (((x) >> S_QDEPTH) & M_QDEPTH) + +#define S_RWOO 15 +#define V_RWOO(x) ((x) << S_RWOO) +#define F_RWOO V_RWOO(1U) + +#define S_WOOO 14 +#define V_WOOO(x) ((x) << S_WOOO) +#define F_WOOO V_WOOO(1U) + +#define S_DCOO 13 +#define V_DCOO(x) ((x) << S_DCOO) +#define F_DCOO V_DCOO(1U) + +#define S_DEF_REF 12 +#define V_DEF_REF(x) ((x) << S_DEF_REF) +#define F_DEF_REF V_DEF_REF(1U) + +#define S_DEV_TYPE 11 +#define V_DEV_TYPE(x) ((x) << S_DEV_TYPE) +#define F_DEV_TYPE V_DEV_TYPE(1U) + +#define S_CA_PTY_DLY 10 +#define V_CA_PTY_DLY(x) ((x) << S_CA_PTY_DLY) +#define F_CA_PTY_DLY V_CA_PTY_DLY(1U) + +#define S_ECC_MUX 8 +#define M_ECC_MUX 0x3U +#define V_ECC_MUX(x) ((x) << S_ECC_MUX) +#define G_ECC_MUX(x) (((x) >> S_ECC_MUX) & M_ECC_MUX) + +#define S_CE_THRESHOLD 0 +#define M_CE_THRESHOLD 0xffU +#define V_CE_THRESHOLD(x) ((x) << S_CE_THRESHOLD) +#define G_CE_THRESHOLD(x) (((x) >> S_CE_THRESHOLD) & M_CE_THRESHOLD) + #define A_MC_UPCTL_PPCFG 0x40084 +#define A_MC_LMC_MCOPT2 0x40084 + +#define S_SELF_REF_EN 31 +#define V_SELF_REF_EN(x) ((x) << S_SELF_REF_EN) +#define F_SELF_REF_EN V_SELF_REF_EN(1U) + +#define S_XSR_PREVENT 30 +#define V_XSR_PREVENT(x) ((x) << S_XSR_PREVENT) +#define F_XSR_PREVENT V_XSR_PREVENT(1U) + +#define S_INIT_START 29 +#define V_INIT_START(x) ((x) << S_INIT_START) +#define F_INIT_START V_INIT_START(1U) + +#define S_MC_ENABLE 28 +#define V_MC_ENABLE(x) ((x) << S_MC_ENABLE) +#define F_MC_ENABLE V_MC_ENABLE(1U) + +#define S_CLK_DISABLE 24 +#define M_CLK_DISABLE 0xfU +#define V_CLK_DISABLE(x) ((x) << S_CLK_DISABLE) +#define G_CLK_DISABLE(x) (((x) >> S_CLK_DISABLE) & M_CLK_DISABLE) + +#define S_RESET_RANK 20 +#define M_RESET_RANK 0xfU +#define V_RESET_RANK(x) ((x) << S_RESET_RANK) +#define G_RESET_RANK(x) (((x) >> S_RESET_RANK) & M_RESET_RANK) + +#define S_MCIF_COMP_PTY_EN 19 +#define V_MCIF_COMP_PTY_EN(x) ((x) << S_MCIF_COMP_PTY_EN) +#define F_MCIF_COMP_PTY_EN V_MCIF_COMP_PTY_EN(1U) + +#define S_CKE_OE 17 +#define V_CKE_OE(x) ((x) << S_CKE_OE) +#define F_CKE_OE V_CKE_OE(1U) + +#define S_RESET_OE 16 +#define V_RESET_OE(x) ((x) << S_RESET_OE) +#define F_RESET_OE V_RESET_OE(1U) + +#define S_DFI_PHYUD_CNTL 14 +#define V_DFI_PHYUD_CNTL(x) ((x) << S_DFI_PHYUD_CNTL) +#define F_DFI_PHYUD_CNTL V_DFI_PHYUD_CNTL(1U) + +#define S_DFI_PHYUD_ACK 13 +#define V_DFI_PHYUD_ACK(x) ((x) << S_DFI_PHYUD_ACK) +#define F_DFI_PHYUD_ACK V_DFI_PHYUD_ACK(1U) + +#define S_T6_DFI_INIT_START 12 +#define V_T6_DFI_INIT_START(x) ((x) << S_T6_DFI_INIT_START) +#define F_T6_DFI_INIT_START V_T6_DFI_INIT_START(1U) + +#define S_PM_ENABLE 8 +#define M_PM_ENABLE 0xfU +#define V_PM_ENABLE(x) ((x) << S_PM_ENABLE) +#define G_PM_ENABLE(x) (((x) >> S_PM_ENABLE) & M_PM_ENABLE) + +#define S_RD_DEFREF_CNT 4 +#define M_RD_DEFREF_CNT 0xfU +#define V_RD_DEFREF_CNT(x) ((x) << S_RD_DEFREF_CNT) +#define G_RD_DEFREF_CNT(x) (((x) >> S_RD_DEFREF_CNT) & M_RD_DEFREF_CNT) + #define A_MC_UPCTL_MSTAT 0x40088 #define S_SELF_REFRESH 2 @@ -38883,6 +57158,26 @@ #define V_T_RTP0(x) ((x) << S_T_RTP0) #define G_T_RTP0(x) (((x) >> S_T_RTP0) & M_T_RTP0) +#define A_MC_LMC_CFGR0 0x40100 + +#define S_ROW_WIDTH 12 +#define M_ROW_WIDTH 0x7U +#define V_ROW_WIDTH(x) ((x) << S_ROW_WIDTH) +#define G_ROW_WIDTH(x) (((x) >> S_ROW_WIDTH) & M_ROW_WIDTH) + +#define S_ADDR_MODE 8 +#define M_ADDR_MODE 0xfU +#define V_ADDR_MODE(x) ((x) << S_ADDR_MODE) +#define G_ADDR_MODE(x) (((x) >> S_ADDR_MODE) & M_ADDR_MODE) + +#define S_MIRROR 4 +#define V_MIRROR(x) ((x) << S_MIRROR) +#define F_MIRROR V_MIRROR(1U) + +#define S_RANK_ENABLE 0 +#define V_RANK_ENABLE(x) ((x) << S_RANK_ENABLE) +#define F_RANK_ENABLE V_RANK_ENABLE(1U) + #define A_MC_UPCTL_TWR 0x40104 #define S_U_T_WR 0 @@ -38947,6 +57242,26 @@ #define V_T_CKESR(x) ((x) << S_T_CKESR) #define G_T_CKESR(x) (((x) >> S_T_CKESR) & M_T_CKESR) +#define A_MC_LMC_INITSEQ0 0x40140 + +#define S_INIT_ENABLE 31 +#define V_INIT_ENABLE(x) ((x) << S_INIT_ENABLE) +#define F_INIT_ENABLE V_INIT_ENABLE(1U) + +#define S_WAIT 16 +#define CXGBE_M_WAIT 0xfffU +#define CXGBE_V_WAIT(x) ((x) << S_WAIT) +#define G_WAIT(x) (((x) >> S_WAIT) & CXGBE_M_WAIT) + +#define S_EN_MULTI_RANK_SEL 4 +#define V_EN_MULTI_RANK_SEL(x) ((x) << S_EN_MULTI_RANK_SEL) +#define F_EN_MULTI_RANK_SEL V_EN_MULTI_RANK_SEL(1U) + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + #define A_MC_UPCTL_TDPD 0x40144 #define S_T_DPD 0 @@ -38954,7 +57269,90 @@ #define V_T_DPD(x) ((x) << S_T_DPD) #define G_T_DPD(x) (((x) >> S_T_DPD) & M_T_DPD) +#define A_MC_LMC_CMD0 0x40144 + +#define S_CMD 29 +#define M_CMD 0x7U +#define V_CMD(x) ((x) << S_CMD) +#define G_CMD(x) (((x) >> S_CMD) & M_CMD) + +#define S_CMD_ACTN 28 +#define V_CMD_ACTN(x) ((x) << S_CMD_ACTN) +#define F_CMD_ACTN V_CMD_ACTN(1U) + +#define S_BG1 23 +#define V_BG1(x) ((x) << S_BG1) +#define F_BG1 V_BG1(1U) + +#define S_BANK 20 +#define M_BANK 0x7U +#define V_BANK(x) ((x) << S_BANK) +#define G_BANK(x) (((x) >> S_BANK) & M_BANK) + +#define A_MC_LMC_INITSEQ1 0x40148 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD1 0x4014c +#define A_MC_LMC_INITSEQ2 0x40150 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD2 0x40154 +#define A_MC_LMC_INITSEQ3 0x40158 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD3 0x4015c +#define A_MC_LMC_INITSEQ4 0x40160 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD4 0x40164 +#define A_MC_LMC_INITSEQ5 0x40168 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD5 0x4016c +#define A_MC_LMC_INITSEQ6 0x40170 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD6 0x40174 +#define A_MC_LMC_INITSEQ7 0x40178 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD7 0x4017c #define A_MC_UPCTL_ECCCFG 0x40180 +#define A_MC_LMC_INITSEQ8 0x40180 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + #define A_MC_UPCTL_ECCTST 0x40184 #define S_ECC_TEST_MASK0 0 @@ -38962,8 +57360,65 @@ #define V_ECC_TEST_MASK0(x) ((x) << S_ECC_TEST_MASK0) #define G_ECC_TEST_MASK0(x) (((x) >> S_ECC_TEST_MASK0) & M_ECC_TEST_MASK0) +#define A_MC_LMC_CMD8 0x40184 #define A_MC_UPCTL_ECCCLR 0x40188 +#define A_MC_LMC_INITSEQ9 0x40188 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + #define A_MC_UPCTL_ECCLOG 0x4018c +#define A_MC_LMC_CMD9 0x4018c +#define A_MC_LMC_INITSEQ10 0x40190 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD10 0x40194 +#define A_MC_LMC_INITSEQ11 0x40198 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD11 0x4019c +#define A_MC_LMC_INITSEQ12 0x401a0 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD12 0x401a4 +#define A_MC_LMC_INITSEQ13 0x401a8 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD13 0x401ac +#define A_MC_LMC_INITSEQ14 0x401b0 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD14 0x401b4 +#define A_MC_LMC_INITSEQ15 0x401b8 + +#define S_T6_RANK 0 +#define M_T6_RANK 0xfU +#define V_T6_RANK(x) ((x) << S_T6_RANK) +#define G_T6_RANK(x) (((x) >> S_T6_RANK) & M_T6_RANK) + +#define A_MC_LMC_CMD15 0x401bc #define A_MC_UPCTL_DTUWACTL 0x40200 #define S_DTU_WR_ROW0 13 @@ -38971,6 +57426,18 @@ #define V_DTU_WR_ROW0(x) ((x) << S_DTU_WR_ROW0) #define G_DTU_WR_ROW0(x) (((x) >> S_DTU_WR_ROW0) & M_DTU_WR_ROW0) +#define A_MC_LMC_SDTR0 0x40200 + +#define S_REFI 16 +#define M_REFI 0xffffU +#define V_REFI(x) ((x) << S_REFI) +#define G_REFI(x) (((x) >> S_REFI) & M_REFI) + +#define S_T_RFC_XPR 0 +#define M_T_RFC_XPR 0xfffU +#define V_T_RFC_XPR(x) ((x) << S_T_RFC_XPR) +#define G_T_RFC_XPR(x) (((x) >> S_T_RFC_XPR) & M_T_RFC_XPR) + #define A_MC_UPCTL_DTURACTL 0x40204 #define S_DTU_RD_ROW0 13 @@ -38978,15 +57445,177 @@ #define V_DTU_RD_ROW0(x) ((x) << S_DTU_RD_ROW0) #define G_DTU_RD_ROW0(x) (((x) >> S_DTU_RD_ROW0) & M_DTU_RD_ROW0) +#define A_MC_LMC_SDTR1 0x40204 + +#define S_T_LEADOFF 31 +#define V_T_LEADOFF(x) ((x) << S_T_LEADOFF) +#define F_T_LEADOFF V_T_LEADOFF(1U) + +#define S_ODT_DELAY 30 +#define V_ODT_DELAY(x) ((x) << S_ODT_DELAY) +#define F_ODT_DELAY V_ODT_DELAY(1U) + +#define S_ODT_WIDTH 29 +#define V_ODT_WIDTH(x) ((x) << S_ODT_WIDTH) +#define F_ODT_WIDTH V_ODT_WIDTH(1U) + +#define S_T_WTRO 24 +#define M_T_WTRO 0xfU +#define V_T_WTRO(x) ((x) << S_T_WTRO) +#define G_T_WTRO(x) (((x) >> S_T_WTRO) & M_T_WTRO) + +#define S_T_RTWO 16 +#define M_T_RTWO 0xfU +#define V_T_RTWO(x) ((x) << S_T_RTWO) +#define G_T_RTWO(x) (((x) >> S_T_RTWO) & M_T_RTWO) + +#define S_T_RTW_ADJ 12 +#define M_T_RTW_ADJ 0xfU +#define V_T_RTW_ADJ(x) ((x) << S_T_RTW_ADJ) +#define G_T_RTW_ADJ(x) (((x) >> S_T_RTW_ADJ) & M_T_RTW_ADJ) + +#define S_T_WTWO 8 +#define M_T_WTWO 0xfU +#define V_T_WTWO(x) ((x) << S_T_WTWO) +#define G_T_WTWO(x) (((x) >> S_T_WTWO) & M_T_WTWO) + +#define S_T_RTRO 0 +#define M_T_RTRO 0xfU +#define V_T_RTRO(x) ((x) << S_T_RTRO) +#define G_T_RTRO(x) (((x) >> S_T_RTRO) & M_T_RTRO) + #define A_MC_UPCTL_DTUCFG 0x40208 +#define A_MC_LMC_SDTR2 0x40208 + +#define S_T6_T_CWL 28 +#define M_T6_T_CWL 0xfU +#define V_T6_T_CWL(x) ((x) << S_T6_T_CWL) +#define G_T6_T_CWL(x) (((x) >> S_T6_T_CWL) & M_T6_T_CWL) + +#define S_T_RCD0 24 +#define M_T_RCD0 0xfU +#define V_T_RCD0(x) ((x) << S_T_RCD0) +#define G_T_RCD0(x) (((x) >> S_T_RCD0) & M_T_RCD0) + +#define S_T_PL 20 +#define M_T_PL 0xfU +#define V_T_PL(x) ((x) << S_T_PL) +#define G_T_PL(x) (((x) >> S_T_PL) & M_T_PL) + +#define S_T_RP0 16 +#define M_T_RP0 0xfU +#define V_T_RP0(x) ((x) << S_T_RP0) +#define G_T_RP0(x) (((x) >> S_T_RP0) & M_T_RP0) + +#define S_T_RP1 15 +#define V_T_RP1(x) ((x) << S_T_RP1) +#define F_T_RP1 V_T_RP1(1U) + +#define S_T_RCD1 14 +#define V_T_RCD1(x) ((x) << S_T_RCD1) +#define F_T_RCD1 V_T_RCD1(1U) + +#define S_T6_T_RC 8 +#define M_T6_T_RC 0x3fU +#define V_T6_T_RC(x) ((x) << S_T6_T_RC) +#define G_T6_T_RC(x) (((x) >> S_T6_T_RC) & M_T6_T_RC) + #define A_MC_UPCTL_DTUECTL 0x4020c +#define A_MC_LMC_SDTR3 0x4020c + +#define S_T_WTR_S 28 +#define M_T_WTR_S 0xfU +#define V_T_WTR_S(x) ((x) << S_T_WTR_S) +#define G_T_WTR_S(x) (((x) >> S_T_WTR_S) & M_T_WTR_S) + +#define S_T6_T_WTR 24 +#define M_T6_T_WTR 0xfU +#define V_T6_T_WTR(x) ((x) << S_T6_T_WTR) +#define G_T6_T_WTR(x) (((x) >> S_T6_T_WTR) & M_T6_T_WTR) + +#define S_FAW_ADJ 20 +#define M_FAW_ADJ 0x3U +#define V_FAW_ADJ(x) ((x) << S_FAW_ADJ) +#define G_FAW_ADJ(x) (((x) >> S_FAW_ADJ) & M_FAW_ADJ) + +#define S_T6_T_RTP 16 +#define M_T6_T_RTP 0xfU +#define V_T6_T_RTP(x) ((x) << S_T6_T_RTP) +#define G_T6_T_RTP(x) (((x) >> S_T6_T_RTP) & M_T6_T_RTP) + +#define S_T_RRD_L 12 +#define M_T_RRD_L 0xfU +#define V_T_RRD_L(x) ((x) << S_T_RRD_L) +#define G_T_RRD_L(x) (((x) >> S_T_RRD_L) & M_T_RRD_L) + +#define S_T6_T_RRD 8 +#define M_T6_T_RRD 0xfU +#define V_T6_T_RRD(x) ((x) << S_T6_T_RRD) +#define G_T6_T_RRD(x) (((x) >> S_T6_T_RRD) & M_T6_T_RRD) + +#define S_T_XSDLL 0 +#define M_T_XSDLL 0xffU +#define V_T_XSDLL(x) ((x) << S_T_XSDLL) +#define G_T_XSDLL(x) (((x) >> S_T_XSDLL) & M_T_XSDLL) + #define A_MC_UPCTL_DTUWD0 0x40210 +#define A_MC_LMC_SDTR4 0x40210 + +#define S_T_RDDATA_EN 24 +#define M_T_RDDATA_EN 0x7fU +#define V_T_RDDATA_EN(x) ((x) << S_T_RDDATA_EN) +#define G_T_RDDATA_EN(x) (((x) >> S_T_RDDATA_EN) & M_T_RDDATA_EN) + +#define S_T_SYS_RDLAT 16 +#define M_T_SYS_RDLAT 0x3fU +#define V_T_SYS_RDLAT(x) ((x) << S_T_SYS_RDLAT) +#define G_T_SYS_RDLAT(x) (((x) >> S_T_SYS_RDLAT) & M_T_SYS_RDLAT) + +#define S_T_CCD_L 12 +#define M_T_CCD_L 0xfU +#define V_T_CCD_L(x) ((x) << S_T_CCD_L) +#define G_T_CCD_L(x) (((x) >> S_T_CCD_L) & M_T_CCD_L) + +#define S_T_CCD 8 +#define M_T_CCD 0x7U +#define V_T_CCD(x) ((x) << S_T_CCD) +#define G_T_CCD(x) (((x) >> S_T_CCD) & M_T_CCD) + +#define S_T_CPDED 5 +#define M_T_CPDED 0x7U +#define V_T_CPDED(x) ((x) << S_T_CPDED) +#define G_T_CPDED(x) (((x) >> S_T_CPDED) & M_T_CPDED) + +#define S_T6_T_MOD 0 +#define M_T6_T_MOD 0x1fU +#define V_T6_T_MOD(x) ((x) << S_T6_T_MOD) +#define G_T6_T_MOD(x) (((x) >> S_T6_T_MOD) & M_T6_T_MOD) + #define A_MC_UPCTL_DTUWD1 0x40214 +#define A_MC_LMC_SDTR5 0x40214 + +#define S_T_PHY_WRDATA 24 +#define M_T_PHY_WRDATA 0x7U +#define V_T_PHY_WRDATA(x) ((x) << S_T_PHY_WRDATA) +#define G_T_PHY_WRDATA(x) (((x) >> S_T_PHY_WRDATA) & M_T_PHY_WRDATA) + +#define S_T_PHY_WRLAT 16 +#define M_T_PHY_WRLAT 0x1fU +#define V_T_PHY_WRLAT(x) ((x) << S_T_PHY_WRLAT) +#define G_T_PHY_WRLAT(x) (((x) >> S_T_PHY_WRLAT) & M_T_PHY_WRLAT) + #define A_MC_UPCTL_DTUWD2 0x40218 #define A_MC_UPCTL_DTUWD3 0x4021c #define A_MC_UPCTL_DTUWDM 0x40220 #define A_MC_UPCTL_DTURD0 0x40224 #define A_MC_UPCTL_DTURD1 0x40228 +#define A_MC_LMC_DBG0 0x40228 + +#define S_T_SYS_RDLAT_DBG 16 +#define M_T_SYS_RDLAT_DBG 0x1fU +#define V_T_SYS_RDLAT_DBG(x) ((x) << S_T_SYS_RDLAT_DBG) +#define G_T_SYS_RDLAT_DBG(x) (((x) >> S_T_SYS_RDLAT_DBG) & M_T_SYS_RDLAT_DBG) + #define A_MC_UPCTL_DTURD2 0x4022c #define A_MC_UPCTL_DTURD3 0x40230 #define A_MC_UPCTL_DTULFSRWD 0x40234 @@ -39005,12 +57634,105 @@ #define V_TCTRL_DELAY(x) ((x) << S_TCTRL_DELAY) #define G_TCTRL_DELAY(x) (((x) >> S_TCTRL_DELAY) & M_TCTRL_DELAY) +#define A_MC_LMC_SMR0 0x40240 + +#define S_SMR0_RFU0 13 +#define M_SMR0_RFU0 0x7U +#define V_SMR0_RFU0(x) ((x) << S_SMR0_RFU0) +#define G_SMR0_RFU0(x) (((x) >> S_SMR0_RFU0) & M_SMR0_RFU0) + +#define S_PPD 12 +#define V_PPD(x) ((x) << S_PPD) +#define F_PPD V_PPD(1U) + +#define S_WR_RTP 9 +#define M_WR_RTP 0x7U +#define V_WR_RTP(x) ((x) << S_WR_RTP) +#define G_WR_RTP(x) (((x) >> S_WR_RTP) & M_WR_RTP) + +#define S_SMR0_DLL 8 +#define V_SMR0_DLL(x) ((x) << S_SMR0_DLL) +#define F_SMR0_DLL V_SMR0_DLL(1U) + +#define S_TM 7 +#define V_TM(x) ((x) << S_TM) +#define F_TM V_TM(1U) + +#define S_CL31 4 +#define M_CL31 0x7U +#define V_CL31(x) ((x) << S_CL31) +#define G_CL31(x) (((x) >> S_CL31) & M_CL31) + +#define S_RBT 3 +#define V_RBT(x) ((x) << S_RBT) +#define F_RBT V_RBT(1U) + +#define S_CL0 2 +#define V_CL0(x) ((x) << S_CL0) +#define F_CL0 V_CL0(1U) + +#define S_BL 0 +#define M_BL 0x3U +#define V_BL(x) ((x) << S_BL) +#define G_BL(x) (((x) >> S_BL) & M_BL) + #define A_MC_UPCTL_DFIODTCFG 0x40244 #define S_RANK3_ODT_WRITE_NSEL 26 #define V_RANK3_ODT_WRITE_NSEL(x) ((x) << S_RANK3_ODT_WRITE_NSEL) #define F_RANK3_ODT_WRITE_NSEL V_RANK3_ODT_WRITE_NSEL(1U) +#define A_MC_LMC_SMR1 0x40244 + +#define S_QOFF 12 +#define V_QOFF(x) ((x) << S_QOFF) +#define F_QOFF V_QOFF(1U) + +#define S_TDQS 11 +#define V_TDQS(x) ((x) << S_TDQS) +#define F_TDQS V_TDQS(1U) + +#define S_SMR1_RFU0 10 +#define V_SMR1_RFU0(x) ((x) << S_SMR1_RFU0) +#define F_SMR1_RFU0 V_SMR1_RFU0(1U) + +#define S_RTT_NOM0 9 +#define V_RTT_NOM0(x) ((x) << S_RTT_NOM0) +#define F_RTT_NOM0 V_RTT_NOM0(1U) + +#define S_SMR1_RFU1 8 +#define V_SMR1_RFU1(x) ((x) << S_SMR1_RFU1) +#define F_SMR1_RFU1 V_SMR1_RFU1(1U) + +#define S_WR_LEVEL 7 +#define V_WR_LEVEL(x) ((x) << S_WR_LEVEL) +#define F_WR_LEVEL V_WR_LEVEL(1U) + +#define S_RTT_NOM1 6 +#define V_RTT_NOM1(x) ((x) << S_RTT_NOM1) +#define F_RTT_NOM1 V_RTT_NOM1(1U) + +#define S_DIC0 5 +#define V_DIC0(x) ((x) << S_DIC0) +#define F_DIC0 V_DIC0(1U) + +#define S_AL 3 +#define M_AL 0x3U +#define V_AL(x) ((x) << S_AL) +#define G_AL(x) (((x) >> S_AL) & M_AL) + +#define S_RTT_NOM2 2 +#define V_RTT_NOM2(x) ((x) << S_RTT_NOM2) +#define F_RTT_NOM2 V_RTT_NOM2(1U) + +#define S_DIC1 1 +#define V_DIC1(x) ((x) << S_DIC1) +#define F_DIC1 V_DIC1(1U) + +#define S_SMR1_DLL 0 +#define V_SMR1_DLL(x) ((x) << S_SMR1_DLL) +#define F_SMR1_DLL V_SMR1_DLL(1U) + #define A_MC_UPCTL_DFIODTCFG1 0x40248 #define S_ODT_LEN_B8_R 24 @@ -39033,6 +57755,43 @@ #define V_ODT_LAT_W(x) ((x) << S_ODT_LAT_W) #define G_ODT_LAT_W(x) (((x) >> S_ODT_LAT_W) & M_ODT_LAT_W) +#define A_MC_LMC_SMR2 0x40248 + +#define S_WR_CRC 12 +#define V_WR_CRC(x) ((x) << S_WR_CRC) +#define F_WR_CRC V_WR_CRC(1U) + +#define S_RD_CRC 11 +#define V_RD_CRC(x) ((x) << S_RD_CRC) +#define F_RD_CRC V_RD_CRC(1U) + +#define S_RTT_WR 9 +#define M_RTT_WR 0x3U +#define V_RTT_WR(x) ((x) << S_RTT_WR) +#define G_RTT_WR(x) (((x) >> S_RTT_WR) & M_RTT_WR) + +#define S_SMR2_RFU0 8 +#define V_SMR2_RFU0(x) ((x) << S_SMR2_RFU0) +#define F_SMR2_RFU0 V_SMR2_RFU0(1U) + +#define S_SRT_ASR1 7 +#define V_SRT_ASR1(x) ((x) << S_SRT_ASR1) +#define F_SRT_ASR1 V_SRT_ASR1(1U) + +#define S_ASR0 6 +#define V_ASR0(x) ((x) << S_ASR0) +#define F_ASR0 V_ASR0(1U) + +#define S_CWL 3 +#define M_CWL 0x7U +#define V_CWL(x) ((x) << S_CWL) +#define G_CWL(x) (((x) >> S_CWL) & M_CWL) + +#define S_PASR 0 +#define M_PASR 0x7U +#define V_PASR(x) ((x) << S_PASR) +#define G_PASR(x) (((x) >> S_PASR) & M_PASR) + #define A_MC_UPCTL_DFIODTRANKMAP 0x4024c #define S_ODT_RANK_MAP3 12 @@ -39055,6 +57814,44 @@ #define V_ODT_RANK_MAP0(x) ((x) << S_ODT_RANK_MAP0) #define G_ODT_RANK_MAP0(x) (((x) >> S_ODT_RANK_MAP0) & M_ODT_RANK_MAP0) +#define A_MC_LMC_SMR3 0x4024c + +#define S_MPR_RD_FMT 11 +#define M_MPR_RD_FMT 0x3U +#define V_MPR_RD_FMT(x) ((x) << S_MPR_RD_FMT) +#define G_MPR_RD_FMT(x) (((x) >> S_MPR_RD_FMT) & M_MPR_RD_FMT) + +#define S_SMR3_RFU0 9 +#define M_SMR3_RFU0 0x3U +#define V_SMR3_RFU0(x) ((x) << S_SMR3_RFU0) +#define G_SMR3_RFU0(x) (((x) >> S_SMR3_RFU0) & M_SMR3_RFU0) + +#define S_FGR_MODE 6 +#define M_FGR_MODE 0x7U +#define V_FGR_MODE(x) ((x) << S_FGR_MODE) +#define G_FGR_MODE(x) (((x) >> S_FGR_MODE) & M_FGR_MODE) + +#define S_MRS_RDO 5 +#define V_MRS_RDO(x) ((x) << S_MRS_RDO) +#define F_MRS_RDO V_MRS_RDO(1U) + +#define S_DRAM_ADR 4 +#define V_DRAM_ADR(x) ((x) << S_DRAM_ADR) +#define F_DRAM_ADR V_DRAM_ADR(1U) + +#define S_GD_MODE 3 +#define V_GD_MODE(x) ((x) << S_GD_MODE) +#define F_GD_MODE V_GD_MODE(1U) + +#define S_MPR 2 +#define V_MPR(x) ((x) << S_MPR) +#define F_MPR V_MPR(1U) + +#define S_MPR_SEL 0 +#define M_MPR_SEL 0x3U +#define V_MPR_SEL(x) ((x) << S_MPR_SEL) +#define G_MPR_SEL(x) (((x) >> S_MPR_SEL) & M_MPR_SEL) + #define A_MC_UPCTL_DFITPHYWRDATA 0x40250 #define S_TPHY_WRDATA 0 @@ -39062,6 +57859,53 @@ #define V_TPHY_WRDATA(x) ((x) << S_TPHY_WRDATA) #define G_TPHY_WRDATA(x) (((x) >> S_TPHY_WRDATA) & M_TPHY_WRDATA) +#define A_MC_LMC_SMR4 0x40250 + +#define S_WR_PRE 12 +#define V_WR_PRE(x) ((x) << S_WR_PRE) +#define F_WR_PRE V_WR_PRE(1U) + +#define S_RD_PRE 11 +#define V_RD_PRE(x) ((x) << S_RD_PRE) +#define F_RD_PRE V_RD_PRE(1U) + +#define S_RPT_MODE 10 +#define V_RPT_MODE(x) ((x) << S_RPT_MODE) +#define F_RPT_MODE V_RPT_MODE(1U) + +#define S_FESR_MODE 9 +#define V_FESR_MODE(x) ((x) << S_FESR_MODE) +#define F_FESR_MODE V_FESR_MODE(1U) + +#define S_CS_LAT_MODE 6 +#define M_CS_LAT_MODE 0x7U +#define V_CS_LAT_MODE(x) ((x) << S_CS_LAT_MODE) +#define G_CS_LAT_MODE(x) (((x) >> S_CS_LAT_MODE) & M_CS_LAT_MODE) + +#define S_ALERT_STAT 5 +#define V_ALERT_STAT(x) ((x) << S_ALERT_STAT) +#define F_ALERT_STAT V_ALERT_STAT(1U) + +#define S_IVM_MODE 4 +#define V_IVM_MODE(x) ((x) << S_IVM_MODE) +#define F_IVM_MODE V_IVM_MODE(1U) + +#define S_TCR_MODE 3 +#define V_TCR_MODE(x) ((x) << S_TCR_MODE) +#define F_TCR_MODE V_TCR_MODE(1U) + +#define S_TCR_RANGE 2 +#define V_TCR_RANGE(x) ((x) << S_TCR_RANGE) +#define F_TCR_RANGE V_TCR_RANGE(1U) + +#define S_MPD_MODE 1 +#define V_MPD_MODE(x) ((x) << S_MPD_MODE) +#define F_MPD_MODE V_MPD_MODE(1U) + +#define S_SMR4_RFU 0 +#define V_SMR4_RFU(x) ((x) << S_SMR4_RFU) +#define F_SMR4_RFU V_SMR4_RFU(1U) + #define A_MC_UPCTL_DFITPHYWRLAT 0x40254 #define S_TPHY_WRLAT 0 @@ -39069,6 +57913,63 @@ #define V_TPHY_WRLAT(x) ((x) << S_TPHY_WRLAT) #define G_TPHY_WRLAT(x) (((x) >> S_TPHY_WRLAT) & M_TPHY_WRLAT) +#define A_MC_LMC_SMR5 0x40254 + +#define S_RD_DBI 11 +#define V_RD_DBI(x) ((x) << S_RD_DBI) +#define F_RD_DBI V_RD_DBI(1U) + +#define S_WR_DBI 10 +#define V_WR_DBI(x) ((x) << S_WR_DBI) +#define F_WR_DBI V_WR_DBI(1U) + +#define S_DM_MODE 9 +#define V_DM_MODE(x) ((x) << S_DM_MODE) +#define F_DM_MODE V_DM_MODE(1U) + +#define S_RTT_PARK 6 +#define M_RTT_PARK 0x7U +#define V_RTT_PARK(x) ((x) << S_RTT_PARK) +#define G_RTT_PARK(x) (((x) >> S_RTT_PARK) & M_RTT_PARK) + +#define S_SMR5_RFU 5 +#define V_SMR5_RFU(x) ((x) << S_SMR5_RFU) +#define F_SMR5_RFU V_SMR5_RFU(1U) + +#define S_PAR_ERR_STAT 4 +#define V_PAR_ERR_STAT(x) ((x) << S_PAR_ERR_STAT) +#define F_PAR_ERR_STAT V_PAR_ERR_STAT(1U) + +#define S_CRC_CLEAR 3 +#define V_CRC_CLEAR(x) ((x) << S_CRC_CLEAR) +#define F_CRC_CLEAR V_CRC_CLEAR(1U) + +#define S_PAR_LAT_MODE 0 +#define M_PAR_LAT_MODE 0x7U +#define V_PAR_LAT_MODE(x) ((x) << S_PAR_LAT_MODE) +#define G_PAR_LAT_MODE(x) (((x) >> S_PAR_LAT_MODE) & M_PAR_LAT_MODE) + +#define A_MC_LMC_SMR6 0x40258 + +#define S_TCCD_L 10 +#define M_TCCD_L 0x7U +#define V_TCCD_L(x) ((x) << S_TCCD_L) +#define G_TCCD_L(x) (((x) >> S_TCCD_L) & M_TCCD_L) + +#define S_SRM6_RFU 7 +#define M_SRM6_RFU 0x7U +#define V_SRM6_RFU(x) ((x) << S_SRM6_RFU) +#define G_SRM6_RFU(x) (((x) >> S_SRM6_RFU) & M_SRM6_RFU) + +#define S_VREF_DQ_RANGE 6 +#define V_VREF_DQ_RANGE(x) ((x) << S_VREF_DQ_RANGE) +#define F_VREF_DQ_RANGE V_VREF_DQ_RANGE(1U) + +#define S_VREF_DQ_VALUE 0 +#define M_VREF_DQ_VALUE 0x3fU +#define V_VREF_DQ_VALUE(x) ((x) << S_VREF_DQ_VALUE) +#define G_VREF_DQ_VALUE(x) (((x) >> S_VREF_DQ_VALUE) & M_VREF_DQ_VALUE) + #define A_MC_UPCTL_DFITRDDATAEN 0x40260 #define S_TRDDATA_EN 0 @@ -39118,6 +58019,16 @@ #define V_TCTRLUPD_MIN(x) ((x) << S_TCTRLUPD_MIN) #define G_TCTRLUPD_MIN(x) (((x) >> S_TCTRLUPD_MIN) & M_TCTRLUPD_MIN) +#define A_MC_LMC_ODTR0 0x40280 + +#define S_RK0W 25 +#define V_RK0W(x) ((x) << S_RK0W) +#define F_RK0W V_RK0W(1U) + +#define S_RK0R 24 +#define V_RK0R(x) ((x) << S_RK0R) +#define F_RK0R V_RK0R(1U) + #define A_MC_UPCTL_DFITCTRLUPDMAX 0x40284 #define S_TCTRLUPD_MAX 0 @@ -39315,6 +58226,17 @@ #define A_MC_UPCTL_DFITRWRLVLRESP0 0x40300 #define A_MC_UPCTL_DFITRWRLVLRESP1 0x40304 +#define A_MC_LMC_CALSTAT 0x40304 + +#define S_PHYUPD_ERR 28 +#define M_PHYUPD_ERR 0xfU +#define V_PHYUPD_ERR(x) ((x) << S_PHYUPD_ERR) +#define G_PHYUPD_ERR(x) (((x) >> S_PHYUPD_ERR) & M_PHYUPD_ERR) + +#define S_PHYUPD_BUSY 27 +#define V_PHYUPD_BUSY(x) ((x) << S_PHYUPD_BUSY) +#define F_PHYUPD_BUSY V_PHYUPD_BUSY(1U) + #define A_MC_UPCTL_DFITRWRLVLRESP2 0x40308 #define S_DFI_WRLVL_RESP2 0 @@ -39350,7 +58272,9 @@ #define G_DFI_RDLVL_DELAY2(x) (((x) >> S_DFI_RDLVL_DELAY2) & M_DFI_RDLVL_DELAY2) #define A_MC_UPCTL_DFITRRDLVLGATEDELAY0 0x40330 +#define A_MC_LMC_T_PHYUPD0 0x40330 #define A_MC_UPCTL_DFITRRDLVLGATEDELAY1 0x40334 +#define A_MC_LMC_T_PHYUPD1 0x40334 #define A_MC_UPCTL_DFITRRDLVLGATEDELAY2 0x40338 #define S_DFI_RDLVL_GATE_DELAY2 0 @@ -39358,6 +58282,7 @@ #define V_DFI_RDLVL_GATE_DELAY2(x) ((x) << S_DFI_RDLVL_GATE_DELAY2) #define G_DFI_RDLVL_GATE_DELAY2(x) (((x) >> S_DFI_RDLVL_GATE_DELAY2) & M_DFI_RDLVL_GATE_DELAY2) +#define A_MC_LMC_T_PHYUPD2 0x40338 #define A_MC_UPCTL_DFITRCMD 0x4033c #define S_DFITRCMD_START 31 @@ -39374,6 +58299,7 @@ #define V_DFITRCMD_OPCODE(x) ((x) << S_DFITRCMD_OPCODE) #define G_DFITRCMD_OPCODE(x) (((x) >> S_DFITRCMD_OPCODE) & M_DFITRCMD_OPCODE) +#define A_MC_LMC_T_PHYUPD3 0x4033c #define A_MC_UPCTL_IPVR 0x403f8 #define A_MC_UPCTL_IPTR 0x403fc #define A_MC_P_DDRPHY_RST_CTRL 0x41300 @@ -39399,7 +58325,47 @@ #define V_CTL_RST_N(x) ((x) << S_CTL_RST_N) #define F_CTL_RST_N V_CTL_RST_N(1U) +#define S_PHY_CAL_REQ 21 +#define V_PHY_CAL_REQ(x) ((x) << S_PHY_CAL_REQ) +#define F_PHY_CAL_REQ V_PHY_CAL_REQ(1U) + +#define S_T6_PHY_DRAM_WL 17 +#define M_T6_PHY_DRAM_WL 0xfU +#define V_T6_PHY_DRAM_WL(x) ((x) << S_T6_PHY_DRAM_WL) +#define G_T6_PHY_DRAM_WL(x) (((x) >> S_T6_PHY_DRAM_WL) & M_T6_PHY_DRAM_WL) + #define A_MC_P_PERFORMANCE_CTRL 0x41304 + +#define S_BUF_USE_TH 12 +#define M_BUF_USE_TH 0x7U +#define V_BUF_USE_TH(x) ((x) << S_BUF_USE_TH) +#define G_BUF_USE_TH(x) (((x) >> S_BUF_USE_TH) & M_BUF_USE_TH) + +#define S_MC_IDLE_TH 8 +#define M_MC_IDLE_TH 0xfU +#define V_MC_IDLE_TH(x) ((x) << S_MC_IDLE_TH) +#define G_MC_IDLE_TH(x) (((x) >> S_MC_IDLE_TH) & M_MC_IDLE_TH) + +#define S_RMW_DEFER_EN 7 +#define V_RMW_DEFER_EN(x) ((x) << S_RMW_DEFER_EN) +#define F_RMW_DEFER_EN V_RMW_DEFER_EN(1U) + +#define S_DDR3_BRBC_MODE 6 +#define V_DDR3_BRBC_MODE(x) ((x) << S_DDR3_BRBC_MODE) +#define F_DDR3_BRBC_MODE V_DDR3_BRBC_MODE(1U) + +#define S_RMW_DWRITE_EN 5 +#define V_RMW_DWRITE_EN(x) ((x) << S_RMW_DWRITE_EN) +#define F_RMW_DWRITE_EN V_RMW_DWRITE_EN(1U) + +#define S_RMW_MERGE_EN 4 +#define V_RMW_MERGE_EN(x) ((x) << S_RMW_MERGE_EN) +#define F_RMW_MERGE_EN V_RMW_MERGE_EN(1U) + +#define S_SYNC_PAB_EN 3 +#define V_SYNC_PAB_EN(x) ((x) << S_SYNC_PAB_EN) +#define F_SYNC_PAB_EN V_SYNC_PAB_EN(1U) + #define A_MC_P_ECC_CTRL 0x41308 #define A_MC_P_PAR_ENABLE 0x4130c #define A_MC_P_PAR_CAUSE 0x41310 @@ -39435,6 +58401,18 @@ #define V_STATIC_LAT(x) ((x) << S_STATIC_LAT) #define F_STATIC_LAT V_STATIC_LAT(1U) +#define S_STATIC_PP64 26 +#define V_STATIC_PP64(x) ((x) << S_STATIC_PP64) +#define F_STATIC_PP64 V_STATIC_PP64(1U) + +#define S_STATIC_PPEN 25 +#define V_STATIC_PPEN(x) ((x) << S_STATIC_PPEN) +#define F_STATIC_PPEN V_STATIC_PPEN(1U) + +#define S_STATIC_OOOEN 24 +#define V_STATIC_OOOEN(x) ((x) << S_STATIC_OOOEN) +#define F_STATIC_OOOEN V_STATIC_OOOEN(1U) + #define A_MC_P_CORE_PCTL_STAT 0x41328 #define A_MC_P_DEBUG_CNT 0x4132c #define A_MC_CE_ERR_DATA_RDATA 0x41330 @@ -39456,6 +58434,38 @@ #define A_MC_P_FPGA_BONUS 0x413bc #define A_MC_P_DEBUG_CFG 0x413c0 #define A_MC_P_DEBUG_RPT 0x413c4 +#define A_MC_P_PHY_ADR_CK_EN 0x413c8 + +#define S_ADR_CK_EN 0 +#define V_ADR_CK_EN(x) ((x) << S_ADR_CK_EN) +#define F_ADR_CK_EN V_ADR_CK_EN(1U) + +#define A_MC_CE_ERR_ECC_DATA0 0x413d0 +#define A_MC_CE_ERR_ECC_DATA1 0x413d4 +#define A_MC_UE_ERR_ECC_DATA0 0x413d8 +#define A_MC_UE_ERR_ECC_DATA1 0x413dc +#define A_MC_P_RMW_PRIO 0x413f0 + +#define S_WR_HI_TH 24 +#define M_WR_HI_TH 0xffU +#define V_WR_HI_TH(x) ((x) << S_WR_HI_TH) +#define G_WR_HI_TH(x) (((x) >> S_WR_HI_TH) & M_WR_HI_TH) + +#define S_WR_MID_TH 16 +#define M_WR_MID_TH 0xffU +#define V_WR_MID_TH(x) ((x) << S_WR_MID_TH) +#define G_WR_MID_TH(x) (((x) >> S_WR_MID_TH) & M_WR_MID_TH) + +#define S_RD_HI_TH 8 +#define M_RD_HI_TH 0xffU +#define V_RD_HI_TH(x) ((x) << S_RD_HI_TH) +#define G_RD_HI_TH(x) (((x) >> S_RD_HI_TH) & M_RD_HI_TH) + +#define S_RD_MID_TH 0 +#define M_RD_MID_TH 0xffU +#define V_RD_MID_TH(x) ((x) << S_RD_MID_TH) +#define G_RD_MID_TH(x) (((x) >> S_RD_MID_TH) & M_RD_MID_TH) + #define A_MC_P_BIST_CMD 0x41400 #define S_BURST_LEN 16 @@ -39467,7 +58477,9 @@ #define A_MC_P_BIST_CMD_LEN 0x41408 #define A_MC_P_BIST_DATA_PATTERN 0x4140c #define A_MC_P_BIST_USER_WDATA0 0x41414 +#define A_MC_P_BIST_USER_WMASK0 0x41414 #define A_MC_P_BIST_USER_WDATA1 0x41418 +#define A_MC_P_BIST_USER_WMASK1 0x41418 #define A_MC_P_BIST_USER_WDATA2 0x4141c #define S_USER_DATA_MASK 8 @@ -39475,6 +58487,21 @@ #define V_USER_DATA_MASK(x) ((x) << S_USER_DATA_MASK) #define G_USER_DATA_MASK(x) (((x) >> S_USER_DATA_MASK) & M_USER_DATA_MASK) +#define A_MC_P_BIST_USER_WMASK2 0x4141c + +#define S_MASK_128_1 9 +#define V_MASK_128_1(x) ((x) << S_MASK_128_1) +#define F_MASK_128_1 V_MASK_128_1(1U) + +#define S_MASK_128_0 8 +#define V_MASK_128_0(x) ((x) << S_MASK_128_0) +#define F_MASK_128_0 V_MASK_128_0(1U) + +#define S_USER_MASK_ECC 0 +#define M_USER_MASK_ECC 0xffU +#define V_USER_MASK_ECC(x) ((x) << S_USER_MASK_ECC) +#define G_USER_MASK_ECC(x) (((x) >> S_USER_MASK_ECC) & M_USER_MASK_ECC) + #define A_MC_P_BIST_NUM_ERR 0x41480 #define A_MC_P_BIST_ERR_FIRST_ADDR 0x41484 #define A_MC_P_BIST_STATUS_RDATA 0x41488 @@ -39521,6 +58548,14 @@ #define V_MRS_CMD_DATA_N3(x) ((x) << S_MRS_CMD_DATA_N3) #define F_MRS_CMD_DATA_N3 V_MRS_CMD_DATA_N3(1U) +#define S_DP18_WRAPSEL 5 +#define V_DP18_WRAPSEL(x) ((x) << S_DP18_WRAPSEL) +#define F_DP18_WRAPSEL V_DP18_WRAPSEL(1U) + +#define S_HW_VALUE 4 +#define V_HW_VALUE(x) ((x) << S_HW_VALUE) +#define F_HW_VALUE V_HW_VALUE(1U) + #define A_MC_DDRPHY_DP18_DATA_BIT_DIR0 0x44008 #define S_DATA_BIT_DIR_0_15 0 @@ -39774,6 +58809,16 @@ #define V_WR_DEBUG_SEL(x) ((x) << S_WR_DEBUG_SEL) #define G_WR_DEBUG_SEL(x) (((x) >> S_WR_DEBUG_SEL) & M_WR_DEBUG_SEL) +#define S_DP18_HS_PROBE_A_SEL 11 +#define M_DP18_HS_PROBE_A_SEL 0x1fU +#define V_DP18_HS_PROBE_A_SEL(x) ((x) << S_DP18_HS_PROBE_A_SEL) +#define G_DP18_HS_PROBE_A_SEL(x) (((x) >> S_DP18_HS_PROBE_A_SEL) & M_DP18_HS_PROBE_A_SEL) + +#define S_DP18_HS_PROBE_B_SEL 6 +#define M_DP18_HS_PROBE_B_SEL 0x1fU +#define V_DP18_HS_PROBE_B_SEL(x) ((x) << S_DP18_HS_PROBE_B_SEL) +#define G_DP18_HS_PROBE_B_SEL(x) (((x) >> S_DP18_HS_PROBE_B_SEL) & M_DP18_HS_PROBE_B_SEL) + #define A_MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR 0x44030 #define S_OFFSET_BITS1_7 8 @@ -39837,6 +58882,10 @@ #define V_PER_RDCLK_UPDATE_DIS(x) ((x) << S_PER_RDCLK_UPDATE_DIS) #define F_PER_RDCLK_UPDATE_DIS V_PER_RDCLK_UPDATE_DIS(1U) +#define S_DQS_ALIGN_BY_QUAD 4 +#define V_DQS_ALIGN_BY_QUAD(x) ((x) << S_DQS_ALIGN_BY_QUAD) +#define F_DQS_ALIGN_BY_QUAD V_DQS_ALIGN_BY_QUAD(1U) + #define A_MC_DDRPHY_DP18_DQS_GATE_DELAY_RP 0x4404c #define S_DQS_GATE_DELAY_N0 12 @@ -39991,6 +59040,38 @@ #define V_MIN_EYE_MASK(x) ((x) << S_MIN_EYE_MASK) #define F_MIN_EYE_MASK V_MIN_EYE_MASK(1U) +#define A_MC_DDRPHY_DP18_WRCLK_CNTL 0x44058 + +#define S_PRBS_WAIT 14 +#define M_PRBS_WAIT 0x3U +#define V_PRBS_WAIT(x) ((x) << S_PRBS_WAIT) +#define G_PRBS_WAIT(x) (((x) >> S_PRBS_WAIT) & M_PRBS_WAIT) + +#define S_PRBS_SYNC_EARLY 13 +#define V_PRBS_SYNC_EARLY(x) ((x) << S_PRBS_SYNC_EARLY) +#define F_PRBS_SYNC_EARLY V_PRBS_SYNC_EARLY(1U) + +#define S_RD_DELAY_EARLY 12 +#define V_RD_DELAY_EARLY(x) ((x) << S_RD_DELAY_EARLY) +#define F_RD_DELAY_EARLY V_RD_DELAY_EARLY(1U) + +#define S_SS_QUAD_CAL 10 +#define V_SS_QUAD_CAL(x) ((x) << S_SS_QUAD_CAL) +#define F_SS_QUAD_CAL V_SS_QUAD_CAL(1U) + +#define S_SS_QUAD 8 +#define M_SS_QUAD 0x3U +#define V_SS_QUAD(x) ((x) << S_SS_QUAD) +#define G_SS_QUAD(x) (((x) >> S_SS_QUAD) & M_SS_QUAD) + +#define S_SS_RD_DELAY 7 +#define V_SS_RD_DELAY(x) ((x) << S_SS_RD_DELAY) +#define F_SS_RD_DELAY V_SS_RD_DELAY(1U) + +#define S_FORCE_HI_Z 6 +#define V_FORCE_HI_Z(x) ((x) << S_FORCE_HI_Z) +#define F_FORCE_HI_Z V_FORCE_HI_Z(1U) + #define A_MC_DDRPHY_DP18_WR_LVL_STATUS0 0x4405c #define S_CLK_LEVEL 14 @@ -40026,6 +59107,10 @@ #define V_ZERO_DETECTED(x) ((x) << S_ZERO_DETECTED) #define F_ZERO_DETECTED V_ZERO_DETECTED(1U) +#define S_WR_LVL_DONE 12 +#define V_WR_LVL_DONE(x) ((x) << S_WR_LVL_DONE) +#define F_WR_LVL_DONE V_WR_LVL_DONE(1U) + #define A_MC_DDRPHY_DP18_WR_CNTR_STATUS0 0x44060 #define S_BIT_CENTERED 11 @@ -40057,6 +59142,10 @@ #define V_DDONE(x) ((x) << S_DDONE) #define F_DDONE V_DDONE(1U) +#define S_WR_CNTR_DONE 5 +#define V_WR_CNTR_DONE(x) ((x) << S_WR_CNTR_DONE) +#define F_WR_CNTR_DONE V_WR_CNTR_DONE(1U) + #define A_MC_DDRPHY_DP18_WR_CNTR_STATUS1 0x44064 #define S_FW_LEFT_SIDE 5 @@ -40163,6 +59252,18 @@ #define V_OFFSET_ERR_MASK(x) ((x) << S_OFFSET_ERR_MASK) #define F_OFFSET_ERR_MASK V_OFFSET_ERR_MASK(1U) +#define S_DQS_REC_LOW_POWER 11 +#define V_DQS_REC_LOW_POWER(x) ((x) << S_DQS_REC_LOW_POWER) +#define F_DQS_REC_LOW_POWER V_DQS_REC_LOW_POWER(1U) + +#define S_DQ_REC_LOW_POWER 10 +#define V_DQ_REC_LOW_POWER(x) ((x) << S_DQ_REC_LOW_POWER) +#define F_DQ_REC_LOW_POWER V_DQ_REC_LOW_POWER(1U) + +#define S_ADVANCE_PR_VALUE 0 +#define V_ADVANCE_PR_VALUE(x) ((x) << S_ADVANCE_PR_VALUE) +#define F_ADVANCE_PR_VALUE V_ADVANCE_PR_VALUE(1U) + #define A_MC_DDRPHY_DP18_DFT_WRAP_STATUS 0x44074 #define S_CHECKER_RESET 14 @@ -40179,7 +59280,38 @@ #define V_ERROR(x) ((x) << S_ERROR) #define G_ERROR(x) (((x) >> S_ERROR) & M_ERROR) +#define S_CHECKER_ENABLE 15 +#define V_CHECKER_ENABLE(x) ((x) << S_CHECKER_ENABLE) +#define F_CHECKER_ENABLE V_CHECKER_ENABLE(1U) + +#define S_DP18_DFT_ERROR 0 +#define M_DP18_DFT_ERROR 0x3fU +#define V_DP18_DFT_ERROR(x) ((x) << S_DP18_DFT_ERROR) +#define G_DP18_DFT_ERROR(x) (((x) >> S_DP18_DFT_ERROR) & M_DP18_DFT_ERROR) + #define A_MC_DDRPHY_DP18_RD_DIA_CONFIG0 0x44078 + +#define S_SYSCLK_RDCLK_OFFSET 8 +#define M_SYSCLK_RDCLK_OFFSET 0x7fU +#define V_SYSCLK_RDCLK_OFFSET(x) ((x) << S_SYSCLK_RDCLK_OFFSET) +#define G_SYSCLK_RDCLK_OFFSET(x) (((x) >> S_SYSCLK_RDCLK_OFFSET) & M_SYSCLK_RDCLK_OFFSET) + +#define S_SYSCLK_DQSCLK_OFFSET 0 +#define M_SYSCLK_DQSCLK_OFFSET 0x7fU +#define V_SYSCLK_DQSCLK_OFFSET(x) ((x) << S_SYSCLK_DQSCLK_OFFSET) +#define G_SYSCLK_DQSCLK_OFFSET(x) (((x) >> S_SYSCLK_DQSCLK_OFFSET) & M_SYSCLK_DQSCLK_OFFSET) + +#define S_T6_SYSCLK_DQSCLK_OFFSET 8 +#define M_T6_SYSCLK_DQSCLK_OFFSET 0x7fU +#define V_T6_SYSCLK_DQSCLK_OFFSET(x) ((x) << S_T6_SYSCLK_DQSCLK_OFFSET) +#define G_T6_SYSCLK_DQSCLK_OFFSET(x) (((x) >> S_T6_SYSCLK_DQSCLK_OFFSET) & M_T6_SYSCLK_DQSCLK_OFFSET) + +#define S_T6_SYSCLK_RDCLK_OFFSET 0 +#define M_T6_SYSCLK_RDCLK_OFFSET 0x7fU +#define V_T6_SYSCLK_RDCLK_OFFSET(x) ((x) << S_T6_SYSCLK_RDCLK_OFFSET) +#define G_T6_SYSCLK_RDCLK_OFFSET(x) (((x) >> S_T6_SYSCLK_RDCLK_OFFSET) & M_T6_SYSCLK_RDCLK_OFFSET) + +#define A_MC_DDRPHY_DP18_WRCLK_AUX_CNTL 0x4407c #define A_MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR 0x440c0 #define S_DQSCLK_ROT_CLK_N0_N2 8 @@ -40320,7 +59452,64 @@ #define G_MEMINTD23_POS(x) (((x) >> S_MEMINTD23_POS) & M_MEMINTD23_POS) #define A_MC_DDRPHY_DP18_RD_DIA_CONFIG1 0x440d4 + +#define S_DQS_ALIGN_SM 11 +#define M_DQS_ALIGN_SM 0x1fU +#define V_DQS_ALIGN_SM(x) ((x) << S_DQS_ALIGN_SM) +#define G_DQS_ALIGN_SM(x) (((x) >> S_DQS_ALIGN_SM) & M_DQS_ALIGN_SM) + +#define S_DQS_ALIGN_CNTR 7 +#define M_DQS_ALIGN_CNTR 0xfU +#define V_DQS_ALIGN_CNTR(x) ((x) << S_DQS_ALIGN_CNTR) +#define G_DQS_ALIGN_CNTR(x) (((x) >> S_DQS_ALIGN_CNTR) & M_DQS_ALIGN_CNTR) + +#define S_ITERATION_CNTR 6 +#define V_ITERATION_CNTR(x) ((x) << S_ITERATION_CNTR) +#define F_ITERATION_CNTR V_ITERATION_CNTR(1U) + +#define S_DQS_ALIGN_ITER_CNTR 0 +#define M_DQS_ALIGN_ITER_CNTR 0x3fU +#define V_DQS_ALIGN_ITER_CNTR(x) ((x) << S_DQS_ALIGN_ITER_CNTR) +#define G_DQS_ALIGN_ITER_CNTR(x) (((x) >> S_DQS_ALIGN_ITER_CNTR) & M_DQS_ALIGN_ITER_CNTR) + #define A_MC_DDRPHY_DP18_RD_DIA_CONFIG2 0x440d8 + +#define S_CALIBRATE_BIT 13 +#define M_CALIBRATE_BIT 0x7U +#define V_CALIBRATE_BIT(x) ((x) << S_CALIBRATE_BIT) +#define G_CALIBRATE_BIT(x) (((x) >> S_CALIBRATE_BIT) & M_CALIBRATE_BIT) + +#define S_DQS_ALIGN_QUAD 11 +#define M_DQS_ALIGN_QUAD 0x3U +#define V_DQS_ALIGN_QUAD(x) ((x) << S_DQS_ALIGN_QUAD) +#define G_DQS_ALIGN_QUAD(x) (((x) >> S_DQS_ALIGN_QUAD) & M_DQS_ALIGN_QUAD) + +#define S_DQS_QUAD_CONFIG 8 +#define M_DQS_QUAD_CONFIG 0x7U +#define V_DQS_QUAD_CONFIG(x) ((x) << S_DQS_QUAD_CONFIG) +#define G_DQS_QUAD_CONFIG(x) (((x) >> S_DQS_QUAD_CONFIG) & M_DQS_QUAD_CONFIG) + +#define S_OPERATE_MODE 4 +#define M_OPERATE_MODE 0xfU +#define V_OPERATE_MODE(x) ((x) << S_OPERATE_MODE) +#define G_OPERATE_MODE(x) (((x) >> S_OPERATE_MODE) & M_OPERATE_MODE) + +#define S_EN_DQS_OFFSET 3 +#define V_EN_DQS_OFFSET(x) ((x) << S_EN_DQS_OFFSET) +#define F_EN_DQS_OFFSET V_EN_DQS_OFFSET(1U) + +#define S_DQS_ALIGN_JITTER 2 +#define V_DQS_ALIGN_JITTER(x) ((x) << S_DQS_ALIGN_JITTER) +#define F_DQS_ALIGN_JITTER V_DQS_ALIGN_JITTER(1U) + +#define S_DIS_CLK_GATE 1 +#define V_DIS_CLK_GATE(x) ((x) << S_DIS_CLK_GATE) +#define F_DIS_CLK_GATE V_DIS_CLK_GATE(1U) + +#define S_MAX_DQS_ITER 0 +#define V_MAX_DQS_ITER(x) ((x) << S_MAX_DQS_ITER) +#define F_MAX_DQS_ITER V_MAX_DQS_ITER(1U) + #define A_MC_DDRPHY_DP18_DQSCLK_OFFSET 0x440dc #define S_DQS_OFFSET 8 @@ -40394,6 +59583,80 @@ #define G_INITIAL_DQS_ROT_N1_N3(x) (((x) >> S_INITIAL_DQS_ROT_N1_N3) & M_INITIAL_DQS_ROT_N1_N3) #define A_MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR 0x44174 +#define A_MC_DDRPHY_DP18_WRCLK_STATUS 0x44178 + +#define S_WRCLK_CALIB_DONE 15 +#define V_WRCLK_CALIB_DONE(x) ((x) << S_WRCLK_CALIB_DONE) +#define F_WRCLK_CALIB_DONE V_WRCLK_CALIB_DONE(1U) + +#define S_VALUE_UPDATED 14 +#define V_VALUE_UPDATED(x) ((x) << S_VALUE_UPDATED) +#define F_VALUE_UPDATED V_VALUE_UPDATED(1U) + +#define S_FAIL_PASS_V 13 +#define V_FAIL_PASS_V(x) ((x) << S_FAIL_PASS_V) +#define F_FAIL_PASS_V V_FAIL_PASS_V(1U) + +#define S_PASS_FAIL_V 12 +#define V_PASS_FAIL_V(x) ((x) << S_PASS_FAIL_V) +#define F_PASS_FAIL_V V_PASS_FAIL_V(1U) + +#define S_FP_PF_EDGE_NF 11 +#define V_FP_PF_EDGE_NF(x) ((x) << S_FP_PF_EDGE_NF) +#define F_FP_PF_EDGE_NF V_FP_PF_EDGE_NF(1U) + +#define S_NON_SYMETRIC 10 +#define V_NON_SYMETRIC(x) ((x) << S_NON_SYMETRIC) +#define F_NON_SYMETRIC V_NON_SYMETRIC(1U) + +#define S_FULL_RANGE 8 +#define V_FULL_RANGE(x) ((x) << S_FULL_RANGE) +#define F_FULL_RANGE V_FULL_RANGE(1U) + +#define S_QUAD3_EDGES 7 +#define V_QUAD3_EDGES(x) ((x) << S_QUAD3_EDGES) +#define F_QUAD3_EDGES V_QUAD3_EDGES(1U) + +#define S_QUAD2_EDGES 6 +#define V_QUAD2_EDGES(x) ((x) << S_QUAD2_EDGES) +#define F_QUAD2_EDGES V_QUAD2_EDGES(1U) + +#define S_QUAD1_EDGES 5 +#define V_QUAD1_EDGES(x) ((x) << S_QUAD1_EDGES) +#define F_QUAD1_EDGES V_QUAD1_EDGES(1U) + +#define S_QUAD0_EDGES 4 +#define V_QUAD0_EDGES(x) ((x) << S_QUAD0_EDGES) +#define F_QUAD0_EDGES V_QUAD0_EDGES(1U) + +#define S_QUAD3_CAVEAT 3 +#define V_QUAD3_CAVEAT(x) ((x) << S_QUAD3_CAVEAT) +#define F_QUAD3_CAVEAT V_QUAD3_CAVEAT(1U) + +#define S_QUAD2_CAVEAT 2 +#define V_QUAD2_CAVEAT(x) ((x) << S_QUAD2_CAVEAT) +#define F_QUAD2_CAVEAT V_QUAD2_CAVEAT(1U) + +#define S_QUAD1_CAVEAT 1 +#define V_QUAD1_CAVEAT(x) ((x) << S_QUAD1_CAVEAT) +#define F_QUAD1_CAVEAT V_QUAD1_CAVEAT(1U) + +#define S_QUAD0_CAVEAT 0 +#define V_QUAD0_CAVEAT(x) ((x) << S_QUAD0_CAVEAT) +#define F_QUAD0_CAVEAT V_QUAD0_CAVEAT(1U) + +#define A_MC_DDRPHY_DP18_WRCLK_EDGE 0x4417c + +#define S_FAIL_PASS_VALUE 8 +#define M_FAIL_PASS_VALUE 0x7fU +#define V_FAIL_PASS_VALUE(x) ((x) << S_FAIL_PASS_VALUE) +#define G_FAIL_PASS_VALUE(x) (((x) >> S_FAIL_PASS_VALUE) & M_FAIL_PASS_VALUE) + +#define S_PASS_FAIL_VALUE 0 +#define M_PASS_FAIL_VALUE 0xffU +#define V_PASS_FAIL_VALUE(x) ((x) << S_PASS_FAIL_VALUE) +#define G_PASS_FAIL_VALUE(x) (((x) >> S_PASS_FAIL_VALUE) & M_PASS_FAIL_VALUE) + #define A_MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR 0x44180 #define S_RD_EYE_SIZE_BITS2_7 8 @@ -40418,7 +59681,45 @@ #define A_MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR 0x441a8 #define A_MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR 0x441ac #define A_MC_DDRPHY_DP18_RD_DIA_CONFIG3 0x441b4 + +#define S_DESIRED_EDGE_CNTR_TARGET_HIGH 8 +#define M_DESIRED_EDGE_CNTR_TARGET_HIGH 0xffU +#define V_DESIRED_EDGE_CNTR_TARGET_HIGH(x) ((x) << S_DESIRED_EDGE_CNTR_TARGET_HIGH) +#define G_DESIRED_EDGE_CNTR_TARGET_HIGH(x) (((x) >> S_DESIRED_EDGE_CNTR_TARGET_HIGH) & M_DESIRED_EDGE_CNTR_TARGET_HIGH) + +#define S_DESIRED_EDGE_CNTR_TARGET_LOW 0 +#define M_DESIRED_EDGE_CNTR_TARGET_LOW 0xffU +#define V_DESIRED_EDGE_CNTR_TARGET_LOW(x) ((x) << S_DESIRED_EDGE_CNTR_TARGET_LOW) +#define G_DESIRED_EDGE_CNTR_TARGET_LOW(x) (((x) >> S_DESIRED_EDGE_CNTR_TARGET_LOW) & M_DESIRED_EDGE_CNTR_TARGET_LOW) + #define A_MC_DDRPHY_DP18_RD_DIA_CONFIG4 0x441b8 + +#define S_APPROACH_ALIGNMENT 15 +#define V_APPROACH_ALIGNMENT(x) ((x) << S_APPROACH_ALIGNMENT) +#define F_APPROACH_ALIGNMENT V_APPROACH_ALIGNMENT(1U) + +#define A_MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL 0x441bc + +#define S_QUAD0_PWR_CTL 12 +#define M_QUAD0_PWR_CTL 0xfU +#define V_QUAD0_PWR_CTL(x) ((x) << S_QUAD0_PWR_CTL) +#define G_QUAD0_PWR_CTL(x) (((x) >> S_QUAD0_PWR_CTL) & M_QUAD0_PWR_CTL) + +#define S_QUAD1_PWR_CTL 8 +#define M_QUAD1_PWR_CTL 0xfU +#define V_QUAD1_PWR_CTL(x) ((x) << S_QUAD1_PWR_CTL) +#define G_QUAD1_PWR_CTL(x) (((x) >> S_QUAD1_PWR_CTL) & M_QUAD1_PWR_CTL) + +#define S_QUAD2_PWR_CTL 4 +#define M_QUAD2_PWR_CTL 0xfU +#define V_QUAD2_PWR_CTL(x) ((x) << S_QUAD2_PWR_CTL) +#define G_QUAD2_PWR_CTL(x) (((x) >> S_QUAD2_PWR_CTL) & M_QUAD2_PWR_CTL) + +#define S_QUAD3_PWR_CTL 0 +#define M_QUAD3_PWR_CTL 0xfU +#define V_QUAD3_PWR_CTL(x) ((x) << S_QUAD3_PWR_CTL) +#define G_QUAD3_PWR_CTL(x) (((x) >> S_QUAD3_PWR_CTL) & M_QUAD3_PWR_CTL) + #define A_MC_DDRPHY_DP18_READ_TIMING_REFERENCE0 0x441c0 #define S_REFERENCE_BITS1_7 8 @@ -40550,6 +59851,28 @@ #define G_DQ_WR_OFFSET_N3(x) (((x) >> S_DQ_WR_OFFSET_N3) & M_DQ_WR_OFFSET_N3) #define A_MC_DDRPHY_DP18_POWERDOWN_1 0x441fc + +#define S_EYEDAC_PD 13 +#define V_EYEDAC_PD(x) ((x) << S_EYEDAC_PD) +#define F_EYEDAC_PD V_EYEDAC_PD(1U) + +#define S_ANALOG_OUTPUT_STAB 9 +#define V_ANALOG_OUTPUT_STAB(x) ((x) << S_ANALOG_OUTPUT_STAB) +#define F_ANALOG_OUTPUT_STAB V_ANALOG_OUTPUT_STAB(1U) + +#define S_DP18_RX_PD 2 +#define M_DP18_RX_PD 0x3U +#define V_DP18_RX_PD(x) ((x) << S_DP18_RX_PD) +#define G_DP18_RX_PD(x) (((x) >> S_DP18_RX_PD) & M_DP18_RX_PD) + +#define S_DELAY_LINE_CTL_OVERRIDE 4 +#define V_DELAY_LINE_CTL_OVERRIDE(x) ((x) << S_DELAY_LINE_CTL_OVERRIDE) +#define F_DELAY_LINE_CTL_OVERRIDE V_DELAY_LINE_CTL_OVERRIDE(1U) + +#define S_VCC_REG_PD 0 +#define V_VCC_REG_PD(x) ((x) << S_VCC_REG_PD) +#define F_VCC_REG_PD V_VCC_REG_PD(1U) + #define A_MC_ADR_DDRPHY_ADR_BIT_ENABLE 0x45000 #define S_BIT_ENABLE_0_11 4 @@ -40884,6 +60207,40 @@ #define V_ADR_LANE_12_15_PD(x) ((x) << S_ADR_LANE_12_15_PD) #define G_ADR_LANE_12_15_PD(x) (((x) >> S_ADR_LANE_12_15_PD) & M_ADR_LANE_12_15_PD) +#define A_T6_MC_ADR_DDRPHY_ADR_BIT_ENABLE 0x45800 +#define A_T6_MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE 0x45804 +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY0 0x45810 +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY1 0x45814 +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY2 0x45818 +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY3 0x4581c +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY4 0x45820 +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY5 0x45824 +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY6 0x45828 +#define A_T6_MC_ADR_DDRPHY_ADR_DELAY7 0x4582c +#define A_T6_MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL 0x45830 + +#define S_ADR_TEST_MODE 5 +#define M_ADR_TEST_MODE 0x3U +#define V_ADR_TEST_MODE(x) ((x) << S_ADR_TEST_MODE) +#define G_ADR_TEST_MODE(x) (((x) >> S_ADR_TEST_MODE) & M_ADR_TEST_MODE) + +#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0 0x45840 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1 0x45844 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2 0x45848 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3 0x4584c +#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0 0x45850 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1 0x45854 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2 0x45858 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3 0x4585c +#define A_T6_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE 0x45860 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE 0x45868 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0 0x45880 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1 0x45884 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0 0x458a0 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1 0x458a4 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0 0x458a8 +#define A_T6_MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1 0x458ac +#define A_T6_MC_ADR_DDRPHY_ADR_POWERDOWN_2 0x458b0 #define A_MC_DDRPHY_ADR_PLL_VREG_CONFIG_0 0x460c0 #define S_PLL_TUNE_0_2 13 @@ -40911,6 +60268,7 @@ #define V_PLL_PLLXTR_0_1(x) ((x) << S_PLL_PLLXTR_0_1) #define G_PLL_PLLXTR_0_1(x) (((x) >> S_PLL_PLLXTR_0_1) & M_PLL_PLLXTR_0_1) +#define A_MC_DDRPHY_AD32S_PLL_VREG_CONFIG_0 0x460c0 #define A_MC_DDRPHY_ADR_PLL_VREG_CONFIG_1 0x460c4 #define S_PLL_TUNETDIV_0_2 13 @@ -40950,6 +60308,7 @@ #define V_ANALOG_WRAPON(x) ((x) << S_ANALOG_WRAPON) #define F_ANALOG_WRAPON V_ANALOG_WRAPON(1U) +#define A_MC_DDRPHY_AD32S_PLL_VREG_CONFIG_1 0x460c4 #define A_MC_DDRPHY_ADR_SYSCLK_CNTL_PR 0x460c8 #define S_SYSCLK_ENABLE 15 @@ -40990,6 +60349,7 @@ #define V_CE0DLTVCC(x) ((x) << S_CE0DLTVCC) #define G_CE0DLTVCC(x) (((x) >> S_CE0DLTVCC) & M_CE0DLTVCC) +#define A_MC_DDRPHY_AD32S_SYSCLK_CNTL_PR 0x460c8 #define A_MC_DDRPHY_ADR_MCCLK_WRCLK_PR_STATIC_OFFSET 0x460cc #define S_TSYS_WRCLK 8 @@ -40997,6 +60357,7 @@ #define V_TSYS_WRCLK(x) ((x) << S_TSYS_WRCLK) #define G_TSYS_WRCLK(x) (((x) >> S_TSYS_WRCLK) & M_TSYS_WRCLK) +#define A_MC_DDRPHY_AD32S_MCCLK_WRCLK_PR_STATIC_OFFSET 0x460cc #define A_MC_DDRPHY_ADR_SYSCLK_PR_VALUE_RO 0x460d0 #define S_SLEW_LATE_SAMPLE 15 @@ -41026,6 +60387,7 @@ #define V_SLEW_CNTL(x) ((x) << S_SLEW_CNTL) #define G_SLEW_CNTL(x) (((x) >> S_SLEW_CNTL) & M_SLEW_CNTL) +#define A_MC_DDRPHY_AD32S_SYSCLK_PR_VALUE_RO 0x460d0 #define A_MC_DDRPHY_ADR_GMTEST_ATEST_CNTL 0x460d4 #define S_FLUSH 15 @@ -41066,6 +60428,22 @@ #define V_ATEST1CTL3(x) ((x) << S_ATEST1CTL3) #define F_ATEST1CTL3 V_ATEST1CTL3(1U) +#define A_MC_DDRPHY_AD32S_OUTPUT_FORCE_ATEST_CNTL 0x460d4 + +#define S_FORCE_EN 14 +#define V_FORCE_EN(x) ((x) << S_FORCE_EN) +#define F_FORCE_EN V_FORCE_EN(1U) + +#define S_AD32S_HS_PROBE_A_SEL 8 +#define M_AD32S_HS_PROBE_A_SEL 0xfU +#define V_AD32S_HS_PROBE_A_SEL(x) ((x) << S_AD32S_HS_PROBE_A_SEL) +#define G_AD32S_HS_PROBE_A_SEL(x) (((x) >> S_AD32S_HS_PROBE_A_SEL) & M_AD32S_HS_PROBE_A_SEL) + +#define S_AD32S_HS_PROBE_B_SEL 4 +#define M_AD32S_HS_PROBE_B_SEL 0xfU +#define V_AD32S_HS_PROBE_B_SEL(x) ((x) << S_AD32S_HS_PROBE_B_SEL) +#define G_AD32S_HS_PROBE_B_SEL(x) (((x) >> S_AD32S_HS_PROBE_B_SEL) & M_AD32S_HS_PROBE_B_SEL) + #define A_MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A0 0x460d8 #define S_GIANT_MUX_TEST_RESULTS 0 @@ -41073,7 +60451,15 @@ #define V_GIANT_MUX_TEST_RESULTS(x) ((x) << S_GIANT_MUX_TEST_RESULTS) #define G_GIANT_MUX_TEST_RESULTS(x) (((x) >> S_GIANT_MUX_TEST_RESULTS) & M_GIANT_MUX_TEST_RESULTS) +#define A_MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE0 0x460d8 + +#define S_OUTPUT_DRIVER_FORCE_VALUE 0 +#define M_OUTPUT_DRIVER_FORCE_VALUE 0xffffU +#define V_OUTPUT_DRIVER_FORCE_VALUE(x) ((x) << S_OUTPUT_DRIVER_FORCE_VALUE) +#define G_OUTPUT_DRIVER_FORCE_VALUE(x) (((x) >> S_OUTPUT_DRIVER_FORCE_VALUE) & M_OUTPUT_DRIVER_FORCE_VALUE) + #define A_MC_DDRPHY_ADR_GIANT_MUX_RESULTS_A1 0x460dc +#define A_MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE1 0x460dc #define A_MC_DDRPHY_ADR_POWERDOWN_1 0x460e0 #define S_MASTER_PD_CNTL 15 @@ -41109,6 +60495,7 @@ #define V_DVCC_REG_PD(x) ((x) << S_DVCC_REG_PD) #define F_DVCC_REG_PD V_DVCC_REG_PD(1U) +#define A_MC_DDRPHY_AD32S_POWERDOWN_1 0x460e0 #define A_MC_DDRPHY_ADR_SLEW_CAL_CNTL 0x460e4 #define S_SLEW_CAL_ENABLE 15 @@ -41133,6 +60520,7 @@ #define V_SLEW_TARGET_PR_OFFSET(x) ((x) << S_SLEW_TARGET_PR_OFFSET) #define G_SLEW_TARGET_PR_OFFSET(x) (((x) >> S_SLEW_TARGET_PR_OFFSET) & M_SLEW_TARGET_PR_OFFSET) +#define A_MC_DDRPHY_AD32S_SLEW_CAL_CNTL 0x460e4 #define A_MC_DDRPHY_PC_DP18_PLL_LOCK_STATUS 0x47000 #define S_DP18_PLL_LOCK 1 @@ -41285,18 +60673,18 @@ #define V_PER_ENA_SYSCLK_ALIGN(x) ((x) << S_PER_ENA_SYSCLK_ALIGN) #define F_PER_ENA_SYSCLK_ALIGN V_PER_ENA_SYSCLK_ALIGN(1U) -#define S_ENA_PER_RDCLK_ALIGN 9 +#define S_ENA_PER_READ_CTR 9 +#define V_ENA_PER_READ_CTR(x) ((x) << S_ENA_PER_READ_CTR) +#define F_ENA_PER_READ_CTR V_ENA_PER_READ_CTR(1U) + +#define S_ENA_PER_RDCLK_ALIGN 8 #define V_ENA_PER_RDCLK_ALIGN(x) ((x) << S_ENA_PER_RDCLK_ALIGN) #define F_ENA_PER_RDCLK_ALIGN V_ENA_PER_RDCLK_ALIGN(1U) -#define S_ENA_PER_DQS_ALIGN 8 +#define S_ENA_PER_DQS_ALIGN 7 #define V_ENA_PER_DQS_ALIGN(x) ((x) << S_ENA_PER_DQS_ALIGN) #define F_ENA_PER_DQS_ALIGN V_ENA_PER_DQS_ALIGN(1U) -#define S_ENA_PER_READ_CTR 7 -#define V_ENA_PER_READ_CTR(x) ((x) << S_ENA_PER_READ_CTR) -#define F_ENA_PER_READ_CTR V_ENA_PER_READ_CTR(1U) - #define S_PER_NEXT_RANK_PAIR 5 #define M_PER_NEXT_RANK_PAIR 0x3U #define V_PER_NEXT_RANK_PAIR(x) ((x) << S_PER_NEXT_RANK_PAIR) @@ -41314,6 +60702,14 @@ #define V_START_PER_CAL(x) ((x) << S_START_PER_CAL) #define F_START_PER_CAL V_START_PER_CAL(1U) +#define S_ABORT_ON_ERR_EN 1 +#define V_ABORT_ON_ERR_EN(x) ((x) << S_ABORT_ON_ERR_EN) +#define F_ABORT_ON_ERR_EN V_ABORT_ON_ERR_EN(1U) + +#define S_ENA_PER_RD_CTR 9 +#define V_ENA_PER_RD_CTR(x) ((x) << S_ENA_PER_RD_CTR) +#define F_ENA_PER_RD_CTR V_ENA_PER_RD_CTR(1U) + #define A_MC_DDRPHY_PC_CONFIG0 0x47030 #define S_PROTOCOL_DDR 12 @@ -41354,6 +60750,19 @@ #define V_DDR4_VLEVEL_BANK_GROUP(x) ((x) << S_DDR4_VLEVEL_BANK_GROUP) #define F_DDR4_VLEVEL_BANK_GROUP V_DDR4_VLEVEL_BANK_GROUP(1U) +#define S_DDRPHY_PROTOCOL 12 +#define M_DDRPHY_PROTOCOL 0xfU +#define V_DDRPHY_PROTOCOL(x) ((x) << S_DDRPHY_PROTOCOL) +#define G_DDRPHY_PROTOCOL(x) (((x) >> S_DDRPHY_PROTOCOL) & M_DDRPHY_PROTOCOL) + +#define S_SPAM_EN 10 +#define V_SPAM_EN(x) ((x) << S_SPAM_EN) +#define F_SPAM_EN V_SPAM_EN(1U) + +#define S_DDR4_IPW_LOOP_DIS 2 +#define V_DDR4_IPW_LOOP_DIS(x) ((x) << S_DDR4_IPW_LOOP_DIS) +#define F_DDR4_IPW_LOOP_DIS V_DDR4_IPW_LOOP_DIS(1U) + #define A_MC_DDRPHY_PC_CONFIG1 0x47034 #define S_WRITE_LATENCY_OFFSET 12 @@ -41378,6 +60787,19 @@ #define V_DISABLE_MEMCTL_CAL(x) ((x) << S_DISABLE_MEMCTL_CAL) #define F_DISABLE_MEMCTL_CAL V_DISABLE_MEMCTL_CAL(1U) +#define S_MEMCTL_CIS_IGNORE 6 +#define V_MEMCTL_CIS_IGNORE(x) ((x) << S_MEMCTL_CIS_IGNORE) +#define F_MEMCTL_CIS_IGNORE V_MEMCTL_CIS_IGNORE(1U) + +#define S_MEMORY_TYPE 2 +#define M_MEMORY_TYPE 0x7U +#define V_MEMORY_TYPE(x) ((x) << S_MEMORY_TYPE) +#define G_MEMORY_TYPE(x) (((x) >> S_MEMORY_TYPE) & M_MEMORY_TYPE) + +#define S_DDR4_PDA_MODE 1 +#define V_DDR4_PDA_MODE(x) ((x) << S_DDR4_PDA_MODE) +#define F_DDR4_PDA_MODE V_DDR4_PDA_MODE(1U) + #define A_MC_DDRPHY_PC_RESETS 0x47038 #define S_PLL_RESET 15 @@ -41443,6 +60865,30 @@ #define V_RANK_GROUPING(x) ((x) << S_RANK_GROUPING) #define G_RANK_GROUPING(x) (((x) >> S_RANK_GROUPING) & M_RANK_GROUPING) +#define S_ADDR_MIRROR_A3_A4 5 +#define V_ADDR_MIRROR_A3_A4(x) ((x) << S_ADDR_MIRROR_A3_A4) +#define F_ADDR_MIRROR_A3_A4 V_ADDR_MIRROR_A3_A4(1U) + +#define S_ADDR_MIRROR_A5_A6 4 +#define V_ADDR_MIRROR_A5_A6(x) ((x) << S_ADDR_MIRROR_A5_A6) +#define F_ADDR_MIRROR_A5_A6 V_ADDR_MIRROR_A5_A6(1U) + +#define S_ADDR_MIRROR_A7_A8 3 +#define V_ADDR_MIRROR_A7_A8(x) ((x) << S_ADDR_MIRROR_A7_A8) +#define F_ADDR_MIRROR_A7_A8 V_ADDR_MIRROR_A7_A8(1U) + +#define S_ADDR_MIRROR_A11_A13 2 +#define V_ADDR_MIRROR_A11_A13(x) ((x) << S_ADDR_MIRROR_A11_A13) +#define F_ADDR_MIRROR_A11_A13 V_ADDR_MIRROR_A11_A13(1U) + +#define S_ADDR_MIRROR_BA0_BA1 1 +#define V_ADDR_MIRROR_BA0_BA1(x) ((x) << S_ADDR_MIRROR_BA0_BA1) +#define F_ADDR_MIRROR_BA0_BA1 V_ADDR_MIRROR_BA0_BA1(1U) + +#define S_ADDR_MIRROR_BG0_BG1 0 +#define V_ADDR_MIRROR_BG0_BG1(x) ((x) << S_ADDR_MIRROR_BG0_BG1) +#define F_ADDR_MIRROR_BG0_BG1 V_ADDR_MIRROR_BG0_BG1(1U) + #define A_MC_DDRPHY_PC_ERROR_STATUS0 0x47048 #define S_RC_ERROR 15 @@ -41535,6 +60981,19 @@ #define V_VREFDQ1D(x) ((x) << S_VREFDQ1D) #define G_VREFDQ1D(x) (((x) >> S_VREFDQ1D) & M_VREFDQ1D) +#define S_EN_ANALOG_PD 3 +#define V_EN_ANALOG_PD(x) ((x) << S_EN_ANALOG_PD) +#define F_EN_ANALOG_PD V_EN_ANALOG_PD(1U) + +#define S_ANALOG_PD_DLY 2 +#define V_ANALOG_PD_DLY(x) ((x) << S_ANALOG_PD_DLY) +#define F_ANALOG_PD_DLY V_ANALOG_PD_DLY(1U) + +#define S_ANALOG_PD_DIV 0 +#define M_ANALOG_PD_DIV 0x3U +#define V_ANALOG_PD_DIV(x) ((x) << S_ANALOG_PD_DIV) +#define G_ANALOG_PD_DIV(x) (((x) >> S_ANALOG_PD_DIV) & M_ANALOG_PD_DIV) + #define A_MC_DDRPHY_PC_INIT_CAL_CONFIG0 0x47058 #define S_ENA_WR_LEVEL 15 @@ -41669,6 +61128,10 @@ #define V_INIT_CAL_COMPLETE(x) ((x) << S_INIT_CAL_COMPLETE) #define G_INIT_CAL_COMPLETE(x) (((x) >> S_INIT_CAL_COMPLETE) & M_INIT_CAL_COMPLETE) +#define S_PER_CAL_ABORT 6 +#define V_PER_CAL_ABORT(x) ((x) << S_PER_CAL_ABORT) +#define F_PER_CAL_ABORT V_PER_CAL_ABORT(1U) + #define A_MC_DDRPHY_PC_INIT_CAL_MASK 0x47068 #define S_ERROR_WR_LEVEL_MASK 15 @@ -41777,6 +61240,34 @@ #define V_MR_MASK_EN(x) ((x) << S_MR_MASK_EN) #define G_MR_MASK_EN(x) (((x) >> S_MR_MASK_EN) & M_MR_MASK_EN) +#define S_PARITY_DLY 9 +#define V_PARITY_DLY(x) ((x) << S_PARITY_DLY) +#define F_PARITY_DLY V_PARITY_DLY(1U) + +#define S_FORCE_RESERVED 7 +#define V_FORCE_RESERVED(x) ((x) << S_FORCE_RESERVED) +#define F_FORCE_RESERVED V_FORCE_RESERVED(1U) + +#define S_HALT_ROTATION 6 +#define V_HALT_ROTATION(x) ((x) << S_HALT_ROTATION) +#define F_HALT_ROTATION V_HALT_ROTATION(1U) + +#define S_FORCE_MPR 5 +#define V_FORCE_MPR(x) ((x) << S_FORCE_MPR) +#define F_FORCE_MPR V_FORCE_MPR(1U) + +#define S_IPW_SIDEAB_SEL 2 +#define V_IPW_SIDEAB_SEL(x) ((x) << S_IPW_SIDEAB_SEL) +#define F_IPW_SIDEAB_SEL V_IPW_SIDEAB_SEL(1U) + +#define S_PARITY_A17_MASK 1 +#define V_PARITY_A17_MASK(x) ((x) << S_PARITY_A17_MASK) +#define F_PARITY_A17_MASK V_PARITY_A17_MASK(1U) + +#define S_X16_DEVICE 0 +#define V_X16_DEVICE(x) ((x) << S_X16_DEVICE) +#define F_X16_DEVICE V_X16_DEVICE(1U) + #define A_MC_DDRPHY_SEQ_RESERVED_ADDR0 0x4720c #define A_MC_DDRPHY_SEQ_RESERVED_ADDR1 0x47210 #define A_MC_DDRPHY_SEQ_RESERVED_ADDR2 0x47214 @@ -41921,6 +61412,11 @@ #define V_TMRSC_CYCLES(x) ((x) << S_TMRSC_CYCLES) #define G_TMRSC_CYCLES(x) (((x) >> S_TMRSC_CYCLES) & M_TMRSC_CYCLES) +#define S_MRS_CMD_SPACE 0 +#define M_MRS_CMD_SPACE 0xfU +#define V_MRS_CMD_SPACE(x) ((x) << S_MRS_CMD_SPACE) +#define G_MRS_CMD_SPACE(x) (((x) >> S_MRS_CMD_SPACE) & M_MRS_CMD_SPACE) + #define A_MC_DDRPHY_RC_CONFIG0 0x47400 #define S_GLOBAL_PHY_OFFSET 12 @@ -41960,6 +61456,10 @@ #define V_STAGGERED_PATTERN(x) ((x) << S_STAGGERED_PATTERN) #define F_STAGGERED_PATTERN V_STAGGERED_PATTERN(1U) +#define S_ERS_MODE 10 +#define V_ERS_MODE(x) ((x) << S_ERS_MODE) +#define F_ERS_MODE V_ERS_MODE(1U) + #define A_MC_DDRPHY_RC_CONFIG1 0x47404 #define S_OUTER_LOOP_CNT 2 @@ -41983,6 +61483,10 @@ #define V_ALLOW_RD_FIFO_AUTO_R_ESET(x) ((x) << S_ALLOW_RD_FIFO_AUTO_R_ESET) #define F_ALLOW_RD_FIFO_AUTO_R_ESET V_ALLOW_RD_FIFO_AUTO_R_ESET(1U) +#define S_DIS_LOW_PWR_PER_CAL 3 +#define V_DIS_LOW_PWR_PER_CAL(x) ((x) << S_DIS_LOW_PWR_PER_CAL) +#define F_DIS_LOW_PWR_PER_CAL V_DIS_LOW_PWR_PER_CAL(1U) + #define A_MC_DDRPHY_RC_ERROR_STATUS0 0x47414 #define S_RD_CNTL_ERROR 15 @@ -42067,6 +61571,15 @@ #define V_FW_RD_WR(x) ((x) << S_FW_RD_WR) #define G_FW_RD_WR(x) (((x) >> S_FW_RD_WR) & M_FW_RD_WR) +#define S_EN_RESET_WR_DELAY_WL 0 +#define V_EN_RESET_WR_DELAY_WL(x) ((x) << S_EN_RESET_WR_DELAY_WL) +#define F_EN_RESET_WR_DELAY_WL V_EN_RESET_WR_DELAY_WL(1U) + +#define S_TWR_MPR 2 +#define M_TWR_MPR 0xfU +#define V_TWR_MPR(x) ((x) << S_TWR_MPR) +#define G_TWR_MPR(x) (((x) >> S_TWR_MPR) & M_TWR_MPR) + #define A_MC_DDRPHY_WC_ERROR_STATUS0 0x4760c #define S_WR_CNTL_ERROR 15 @@ -42251,6 +61764,69 @@ #define V_ATEST_CNTL(x) ((x) << S_ATEST_CNTL) #define G_ATEST_CNTL(x) (((x) >> S_ATEST_CNTL) & M_ATEST_CNTL) +#define A_MC_DDRPHY_APB_MTCTL_REG0 0x47820 + +#define S_MT_DATA_MUX4_1MODE 15 +#define V_MT_DATA_MUX4_1MODE(x) ((x) << S_MT_DATA_MUX4_1MODE) +#define F_MT_DATA_MUX4_1MODE V_MT_DATA_MUX4_1MODE(1U) + +#define S_MT_PLL_RESET 14 +#define V_MT_PLL_RESET(x) ((x) << S_MT_PLL_RESET) +#define F_MT_PLL_RESET V_MT_PLL_RESET(1U) + +#define S_MT_SYSCLK_RESET 13 +#define V_MT_SYSCLK_RESET(x) ((x) << S_MT_SYSCLK_RESET) +#define F_MT_SYSCLK_RESET V_MT_SYSCLK_RESET(1U) + +#define S_MT_GLOBAL_PHY_OFFSET 9 +#define M_MT_GLOBAL_PHY_OFFSET 0xfU +#define V_MT_GLOBAL_PHY_OFFSET(x) ((x) << S_MT_GLOBAL_PHY_OFFSET) +#define G_MT_GLOBAL_PHY_OFFSET(x) (((x) >> S_MT_GLOBAL_PHY_OFFSET) & M_MT_GLOBAL_PHY_OFFSET) + +#define S_MT_DQ_SEL_QUAD 7 +#define M_MT_DQ_SEL_QUAD 0x3U +#define V_MT_DQ_SEL_QUAD(x) ((x) << S_MT_DQ_SEL_QUAD) +#define G_MT_DQ_SEL_QUAD(x) (((x) >> S_MT_DQ_SEL_QUAD) & M_MT_DQ_SEL_QUAD) + +#define S_MT_PERFORM_RDCLK_ALIGN 6 +#define V_MT_PERFORM_RDCLK_ALIGN(x) ((x) << S_MT_PERFORM_RDCLK_ALIGN) +#define F_MT_PERFORM_RDCLK_ALIGN V_MT_PERFORM_RDCLK_ALIGN(1U) + +#define S_MT_ALIGN_ON_EVEN_CYCLES 5 +#define V_MT_ALIGN_ON_EVEN_CYCLES(x) ((x) << S_MT_ALIGN_ON_EVEN_CYCLES) +#define F_MT_ALIGN_ON_EVEN_CYCLES V_MT_ALIGN_ON_EVEN_CYCLES(1U) + +#define S_MT_WRCLK_CAL_START 4 +#define V_MT_WRCLK_CAL_START(x) ((x) << S_MT_WRCLK_CAL_START) +#define F_MT_WRCLK_CAL_START V_MT_WRCLK_CAL_START(1U) + +#define A_MC_DDRPHY_APB_MTCTL_REG1 0x47824 + +#define S_MT_WPRD_ENABLE 15 +#define V_MT_WPRD_ENABLE(x) ((x) << S_MT_WPRD_ENABLE) +#define F_MT_WPRD_ENABLE V_MT_WPRD_ENABLE(1U) + +#define S_MT_PVTP 10 +#define M_MT_PVTP 0x1fU +#define V_MT_PVTP(x) ((x) << S_MT_PVTP) +#define G_MT_PVTP(x) (((x) >> S_MT_PVTP) & M_MT_PVTP) + +#define S_MT_PVTN 5 +#define M_MT_PVTN 0x1fU +#define V_MT_PVTN(x) ((x) << S_MT_PVTN) +#define G_MT_PVTN(x) (((x) >> S_MT_PVTN) & M_MT_PVTN) + +#define A_MC_DDRPHY_APB_MTSTAT_REG0 0x47828 +#define A_MC_DDRPHY_APB_MTSTAT_REG1 0x4782c + +#define S_MT_ADR32_PLL_LOCK_SUM 1 +#define V_MT_ADR32_PLL_LOCK_SUM(x) ((x) << S_MT_ADR32_PLL_LOCK_SUM) +#define F_MT_ADR32_PLL_LOCK_SUM V_MT_ADR32_PLL_LOCK_SUM(1U) + +#define S_MT_DP18_PLL_LOCK_SUM 0 +#define V_MT_DP18_PLL_LOCK_SUM(x) ((x) << S_MT_DP18_PLL_LOCK_SUM) +#define F_MT_DP18_PLL_LOCK_SUM V_MT_DP18_PLL_LOCK_SUM(1U) + /* registers for module MC_1 */ #define MC_1_BASE_ADDR 0x48000 @@ -42289,6 +61865,19 @@ #define A_EDC_H_INT_ENABLE 0x50074 #define A_EDC_H_INT_CAUSE 0x50078 + +#define S_ECC_UE_INT0_CAUSE 5 +#define V_ECC_UE_INT0_CAUSE(x) ((x) << S_ECC_UE_INT0_CAUSE) +#define F_ECC_UE_INT0_CAUSE V_ECC_UE_INT0_CAUSE(1U) + +#define S_ECC_CE_INT0_CAUSE 4 +#define V_ECC_CE_INT0_CAUSE(x) ((x) << S_ECC_CE_INT0_CAUSE) +#define F_ECC_CE_INT0_CAUSE V_ECC_CE_INT0_CAUSE(1U) + +#define S_PERR_INT0_CAUSE 3 +#define V_PERR_INT0_CAUSE(x) ((x) << S_PERR_INT0_CAUSE) +#define F_PERR_INT0_CAUSE V_PERR_INT0_CAUSE(1U) + #define A_EDC_H_ECC_STATUS 0x5007c #define A_EDC_H_ECC_ERR_SEL 0x50080 @@ -42378,3 +61967,936 @@ #define A_HMA_PAR_ENABLE 0x51300 #define A_HMA_INT_ENABLE 0x51304 #define A_HMA_INT_CAUSE 0x51308 + +/* registers for module EDC_T60 */ +#define EDC_T60_BASE_ADDR 0x50000 + +#define S_QDR_CLKPHASE 24 +#define M_QDR_CLKPHASE 0x7U +#define V_QDR_CLKPHASE(x) ((x) << S_QDR_CLKPHASE) +#define G_QDR_CLKPHASE(x) (((x) >> S_QDR_CLKPHASE) & M_QDR_CLKPHASE) + +#define S_MAXOPSPERTRC 21 +#define M_MAXOPSPERTRC 0x7U +#define V_MAXOPSPERTRC(x) ((x) << S_MAXOPSPERTRC) +#define G_MAXOPSPERTRC(x) (((x) >> S_MAXOPSPERTRC) & M_MAXOPSPERTRC) + +#define S_NUMPIPESTAGES 19 +#define M_NUMPIPESTAGES 0x3U +#define V_NUMPIPESTAGES(x) ((x) << S_NUMPIPESTAGES) +#define G_NUMPIPESTAGES(x) (((x) >> S_NUMPIPESTAGES) & M_NUMPIPESTAGES) + +#define A_EDC_H_DBG_MA_CMD_INTF 0x50300 + +#define S_MCMDADDR 12 +#define M_MCMDADDR 0xfffffU +#define V_MCMDADDR(x) ((x) << S_MCMDADDR) +#define G_MCMDADDR(x) (((x) >> S_MCMDADDR) & M_MCMDADDR) + +#define S_MCMDLEN 5 +#define M_MCMDLEN 0x7fU +#define V_MCMDLEN(x) ((x) << S_MCMDLEN) +#define G_MCMDLEN(x) (((x) >> S_MCMDLEN) & M_MCMDLEN) + +#define S_MCMDNRE 4 +#define V_MCMDNRE(x) ((x) << S_MCMDNRE) +#define F_MCMDNRE V_MCMDNRE(1U) + +#define S_MCMDNRB 3 +#define V_MCMDNRB(x) ((x) << S_MCMDNRB) +#define F_MCMDNRB V_MCMDNRB(1U) + +#define S_MCMDWR 2 +#define V_MCMDWR(x) ((x) << S_MCMDWR) +#define F_MCMDWR V_MCMDWR(1U) + +#define S_MCMDRDY 1 +#define V_MCMDRDY(x) ((x) << S_MCMDRDY) +#define F_MCMDRDY V_MCMDRDY(1U) + +#define S_MCMDVLD 0 +#define V_MCMDVLD(x) ((x) << S_MCMDVLD) +#define F_MCMDVLD V_MCMDVLD(1U) + +#define A_EDC_H_DBG_MA_WDATA_INTF 0x50304 + +#define S_MWDATAVLD 31 +#define V_MWDATAVLD(x) ((x) << S_MWDATAVLD) +#define F_MWDATAVLD V_MWDATAVLD(1U) + +#define S_MWDATARDY 30 +#define V_MWDATARDY(x) ((x) << S_MWDATARDY) +#define F_MWDATARDY V_MWDATARDY(1U) + +#define S_MWDATA 0 +#define M_MWDATA 0x3fffffffU +#define V_MWDATA(x) ((x) << S_MWDATA) +#define G_MWDATA(x) (((x) >> S_MWDATA) & M_MWDATA) + +#define A_EDC_H_DBG_MA_RDATA_INTF 0x50308 + +#define S_MRSPVLD 31 +#define V_MRSPVLD(x) ((x) << S_MRSPVLD) +#define F_MRSPVLD V_MRSPVLD(1U) + +#define S_MRSPRDY 30 +#define V_MRSPRDY(x) ((x) << S_MRSPRDY) +#define F_MRSPRDY V_MRSPRDY(1U) + +#define S_MRSPDATA 0 +#define M_MRSPDATA 0x3fffffffU +#define V_MRSPDATA(x) ((x) << S_MRSPDATA) +#define G_MRSPDATA(x) (((x) >> S_MRSPDATA) & M_MRSPDATA) + +#define A_EDC_H_DBG_BIST_CMD_INTF 0x5030c + +#define S_BCMDADDR 9 +#define M_BCMDADDR 0x7fffffU +#define V_BCMDADDR(x) ((x) << S_BCMDADDR) +#define G_BCMDADDR(x) (((x) >> S_BCMDADDR) & M_BCMDADDR) + +#define S_BCMDLEN 3 +#define M_BCMDLEN 0x3fU +#define V_BCMDLEN(x) ((x) << S_BCMDLEN) +#define G_BCMDLEN(x) (((x) >> S_BCMDLEN) & M_BCMDLEN) + +#define S_BCMDWR 2 +#define V_BCMDWR(x) ((x) << S_BCMDWR) +#define F_BCMDWR V_BCMDWR(1U) + +#define S_BCMDRDY 1 +#define V_BCMDRDY(x) ((x) << S_BCMDRDY) +#define F_BCMDRDY V_BCMDRDY(1U) + +#define S_BCMDVLD 0 +#define V_BCMDVLD(x) ((x) << S_BCMDVLD) +#define F_BCMDVLD V_BCMDVLD(1U) + +#define A_EDC_H_DBG_BIST_WDATA_INTF 0x50310 + +#define S_BWDATAVLD 31 +#define V_BWDATAVLD(x) ((x) << S_BWDATAVLD) +#define F_BWDATAVLD V_BWDATAVLD(1U) + +#define S_BWDATARDY 30 +#define V_BWDATARDY(x) ((x) << S_BWDATARDY) +#define F_BWDATARDY V_BWDATARDY(1U) + +#define S_BWDATA 0 +#define M_BWDATA 0x3fffffffU +#define V_BWDATA(x) ((x) << S_BWDATA) +#define G_BWDATA(x) (((x) >> S_BWDATA) & M_BWDATA) + +#define A_EDC_H_DBG_BIST_RDATA_INTF 0x50314 + +#define S_BRSPVLD 31 +#define V_BRSPVLD(x) ((x) << S_BRSPVLD) +#define F_BRSPVLD V_BRSPVLD(1U) + +#define S_BRSPRDY 30 +#define V_BRSPRDY(x) ((x) << S_BRSPRDY) +#define F_BRSPRDY V_BRSPRDY(1U) + +#define S_BRSPDATA 0 +#define M_BRSPDATA 0x3fffffffU +#define V_BRSPDATA(x) ((x) << S_BRSPDATA) +#define G_BRSPDATA(x) (((x) >> S_BRSPDATA) & M_BRSPDATA) + +#define A_EDC_H_DBG_EDRAM_CMD_INTF 0x50318 + +#define S_EDRAMADDR 16 +#define M_EDRAMADDR 0xffffU +#define V_EDRAMADDR(x) ((x) << S_EDRAMADDR) +#define G_EDRAMADDR(x) (((x) >> S_EDRAMADDR) & M_EDRAMADDR) + +#define S_EDRAMDWSN 8 +#define M_EDRAMDWSN 0xffU +#define V_EDRAMDWSN(x) ((x) << S_EDRAMDWSN) +#define G_EDRAMDWSN(x) (((x) >> S_EDRAMDWSN) & M_EDRAMDWSN) + +#define S_EDRAMCRA 5 +#define M_EDRAMCRA 0x7U +#define V_EDRAMCRA(x) ((x) << S_EDRAMCRA) +#define G_EDRAMCRA(x) (((x) >> S_EDRAMCRA) & M_EDRAMCRA) + +#define S_EDRAMREFENLO 4 +#define V_EDRAMREFENLO(x) ((x) << S_EDRAMREFENLO) +#define F_EDRAMREFENLO V_EDRAMREFENLO(1U) + +#define S_EDRAM1WRENLO 3 +#define V_EDRAM1WRENLO(x) ((x) << S_EDRAM1WRENLO) +#define F_EDRAM1WRENLO V_EDRAM1WRENLO(1U) + +#define S_EDRAM1RDENLO 2 +#define V_EDRAM1RDENLO(x) ((x) << S_EDRAM1RDENLO) +#define F_EDRAM1RDENLO V_EDRAM1RDENLO(1U) + +#define S_EDRAM0WRENLO 1 +#define V_EDRAM0WRENLO(x) ((x) << S_EDRAM0WRENLO) +#define F_EDRAM0WRENLO V_EDRAM0WRENLO(1U) + +#define S_EDRAM0RDENLO 0 +#define V_EDRAM0RDENLO(x) ((x) << S_EDRAM0RDENLO) +#define F_EDRAM0RDENLO V_EDRAM0RDENLO(1U) + +#define A_EDC_H_DBG_EDRAM_WDATA_INTF 0x5031c + +#define S_EDRAMWDATA 9 +#define M_EDRAMWDATA 0x7fffffU +#define V_EDRAMWDATA(x) ((x) << S_EDRAMWDATA) +#define G_EDRAMWDATA(x) (((x) >> S_EDRAMWDATA) & M_EDRAMWDATA) + +#define S_EDRAMWBYTEEN 0 +#define M_EDRAMWBYTEEN 0x1ffU +#define V_EDRAMWBYTEEN(x) ((x) << S_EDRAMWBYTEEN) +#define G_EDRAMWBYTEEN(x) (((x) >> S_EDRAMWBYTEEN) & M_EDRAMWBYTEEN) + +#define A_EDC_H_DBG_EDRAM0_RDATA_INTF 0x50320 +#define A_EDC_H_DBG_EDRAM1_RDATA_INTF 0x50324 +#define A_EDC_H_DBG_MA_WR_REQ_CNT 0x50328 +#define A_EDC_H_DBG_MA_WR_EXP_DAT_CYC_CNT 0x5032c +#define A_EDC_H_DBG_MA_WR_DAT_CYC_CNT 0x50330 +#define A_EDC_H_DBG_MA_RD_REQ_CNT 0x50334 +#define A_EDC_H_DBG_MA_RD_EXP_DAT_CYC_CNT 0x50338 +#define A_EDC_H_DBG_MA_RD_DAT_CYC_CNT 0x5033c +#define A_EDC_H_DBG_BIST_WR_REQ_CNT 0x50340 +#define A_EDC_H_DBG_BIST_WR_EXP_DAT_CYC_CNT 0x50344 +#define A_EDC_H_DBG_BIST_WR_DAT_CYC_CNT 0x50348 +#define A_EDC_H_DBG_BIST_RD_REQ_CNT 0x5034c +#define A_EDC_H_DBG_BIST_RD_EXP_DAT_CYC_CNT 0x50350 +#define A_EDC_H_DBG_BIST_RD_DAT_CYC_CNT 0x50354 +#define A_EDC_H_DBG_EDRAM0_WR_REQ_CNT 0x50358 +#define A_EDC_H_DBG_EDRAM0_RD_REQ_CNT 0x5035c +#define A_EDC_H_DBG_EDRAM0_RMW_CNT 0x50360 +#define A_EDC_H_DBG_EDRAM1_WR_REQ_CNT 0x50364 +#define A_EDC_H_DBG_EDRAM1_RD_REQ_CNT 0x50368 +#define A_EDC_H_DBG_EDRAM1_RMW_CNT 0x5036c +#define A_EDC_H_DBG_EDRAM_REF_BURST_CNT 0x50370 +#define A_EDC_H_DBG_FIFO_STATUS 0x50374 + +#define S_RDTAG_NOTFULL 17 +#define V_RDTAG_NOTFULL(x) ((x) << S_RDTAG_NOTFULL) +#define F_RDTAG_NOTFULL V_RDTAG_NOTFULL(1U) + +#define S_RDTAG_NOTEMPTY 16 +#define V_RDTAG_NOTEMPTY(x) ((x) << S_RDTAG_NOTEMPTY) +#define F_RDTAG_NOTEMPTY V_RDTAG_NOTEMPTY(1U) + +#define S_INP_CMDQ_NOTFULL_ARB 15 +#define V_INP_CMDQ_NOTFULL_ARB(x) ((x) << S_INP_CMDQ_NOTFULL_ARB) +#define F_INP_CMDQ_NOTFULL_ARB V_INP_CMDQ_NOTFULL_ARB(1U) + +#define S_INP_CMDQ_NOTEMPTY 14 +#define V_INP_CMDQ_NOTEMPTY(x) ((x) << S_INP_CMDQ_NOTEMPTY) +#define F_INP_CMDQ_NOTEMPTY V_INP_CMDQ_NOTEMPTY(1U) + +#define S_INP_WRDQ_WRRDY 13 +#define V_INP_WRDQ_WRRDY(x) ((x) << S_INP_WRDQ_WRRDY) +#define F_INP_WRDQ_WRRDY V_INP_WRDQ_WRRDY(1U) + +#define S_INP_WRDQ_NOTEMPTY 12 +#define V_INP_WRDQ_NOTEMPTY(x) ((x) << S_INP_WRDQ_NOTEMPTY) +#define F_INP_WRDQ_NOTEMPTY V_INP_WRDQ_NOTEMPTY(1U) + +#define S_INP_BEQ_WRRDY_OPEN 11 +#define V_INP_BEQ_WRRDY_OPEN(x) ((x) << S_INP_BEQ_WRRDY_OPEN) +#define F_INP_BEQ_WRRDY_OPEN V_INP_BEQ_WRRDY_OPEN(1U) + +#define S_INP_BEQ_NOTEMPTY 10 +#define V_INP_BEQ_NOTEMPTY(x) ((x) << S_INP_BEQ_NOTEMPTY) +#define F_INP_BEQ_NOTEMPTY V_INP_BEQ_NOTEMPTY(1U) + +#define S_RDDQ_NOTFULL_OPEN 9 +#define V_RDDQ_NOTFULL_OPEN(x) ((x) << S_RDDQ_NOTFULL_OPEN) +#define F_RDDQ_NOTFULL_OPEN V_RDDQ_NOTFULL_OPEN(1U) + +#define S_RDDQ_RDCNT 4 +#define M_RDDQ_RDCNT 0x1fU +#define V_RDDQ_RDCNT(x) ((x) << S_RDDQ_RDCNT) +#define G_RDDQ_RDCNT(x) (((x) >> S_RDDQ_RDCNT) & M_RDDQ_RDCNT) + +#define S_RDSIDEQ_NOTFULL 3 +#define V_RDSIDEQ_NOTFULL(x) ((x) << S_RDSIDEQ_NOTFULL) +#define F_RDSIDEQ_NOTFULL V_RDSIDEQ_NOTFULL(1U) + +#define S_RDSIDEQ_NOTEMPTY 2 +#define V_RDSIDEQ_NOTEMPTY(x) ((x) << S_RDSIDEQ_NOTEMPTY) +#define F_RDSIDEQ_NOTEMPTY V_RDSIDEQ_NOTEMPTY(1U) + +#define S_STG_CMDQ_NOTEMPTY 1 +#define V_STG_CMDQ_NOTEMPTY(x) ((x) << S_STG_CMDQ_NOTEMPTY) +#define F_STG_CMDQ_NOTEMPTY V_STG_CMDQ_NOTEMPTY(1U) + +#define S_STG_WRDQ_NOTEMPTY 0 +#define V_STG_WRDQ_NOTEMPTY(x) ((x) << S_STG_WRDQ_NOTEMPTY) +#define F_STG_WRDQ_NOTEMPTY V_STG_WRDQ_NOTEMPTY(1U) + +#define A_EDC_H_DBG_FSM_STATE 0x50378 + +#define S_CMDSPLITFSM 3 +#define V_CMDSPLITFSM(x) ((x) << S_CMDSPLITFSM) +#define F_CMDSPLITFSM V_CMDSPLITFSM(1U) + +#define S_CMDFSM 0 +#define M_CMDFSM 0x7U +#define V_CMDFSM(x) ((x) << S_CMDFSM) +#define G_CMDFSM(x) (((x) >> S_CMDFSM) & M_CMDFSM) + +#define A_EDC_H_DBG_STALL_CYCLES 0x5037c + +#define S_STALL_RMW 19 +#define V_STALL_RMW(x) ((x) << S_STALL_RMW) +#define F_STALL_RMW V_STALL_RMW(1U) + +#define S_STALL_EDC_CMD 18 +#define V_STALL_EDC_CMD(x) ((x) << S_STALL_EDC_CMD) +#define F_STALL_EDC_CMD V_STALL_EDC_CMD(1U) + +#define S_DEAD_CYCLE0 17 +#define V_DEAD_CYCLE0(x) ((x) << S_DEAD_CYCLE0) +#define F_DEAD_CYCLE0 V_DEAD_CYCLE0(1U) + +#define S_DEAD_CYCLE1 16 +#define V_DEAD_CYCLE1(x) ((x) << S_DEAD_CYCLE1) +#define F_DEAD_CYCLE1 V_DEAD_CYCLE1(1U) + +#define S_DEAD_CYCLE0_BBI 15 +#define V_DEAD_CYCLE0_BBI(x) ((x) << S_DEAD_CYCLE0_BBI) +#define F_DEAD_CYCLE0_BBI V_DEAD_CYCLE0_BBI(1U) + +#define S_DEAD_CYCLE1_BBI 14 +#define V_DEAD_CYCLE1_BBI(x) ((x) << S_DEAD_CYCLE1_BBI) +#define F_DEAD_CYCLE1_BBI V_DEAD_CYCLE1_BBI(1U) + +#define S_DEAD_CYCLE0_MAX_OP 13 +#define V_DEAD_CYCLE0_MAX_OP(x) ((x) << S_DEAD_CYCLE0_MAX_OP) +#define F_DEAD_CYCLE0_MAX_OP V_DEAD_CYCLE0_MAX_OP(1U) + +#define S_DEAD_CYCLE1_MAX_OP 12 +#define V_DEAD_CYCLE1_MAX_OP(x) ((x) << S_DEAD_CYCLE1_MAX_OP) +#define F_DEAD_CYCLE1_MAX_OP V_DEAD_CYCLE1_MAX_OP(1U) + +#define S_DEAD_CYCLE0_PRE_REF 11 +#define V_DEAD_CYCLE0_PRE_REF(x) ((x) << S_DEAD_CYCLE0_PRE_REF) +#define F_DEAD_CYCLE0_PRE_REF V_DEAD_CYCLE0_PRE_REF(1U) + +#define S_DEAD_CYCLE1_PRE_REF 10 +#define V_DEAD_CYCLE1_PRE_REF(x) ((x) << S_DEAD_CYCLE1_PRE_REF) +#define F_DEAD_CYCLE1_PRE_REF V_DEAD_CYCLE1_PRE_REF(1U) + +#define S_DEAD_CYCLE0_POST_REF 9 +#define V_DEAD_CYCLE0_POST_REF(x) ((x) << S_DEAD_CYCLE0_POST_REF) +#define F_DEAD_CYCLE0_POST_REF V_DEAD_CYCLE0_POST_REF(1U) + +#define S_DEAD_CYCLE1_POST_REF 8 +#define V_DEAD_CYCLE1_POST_REF(x) ((x) << S_DEAD_CYCLE1_POST_REF) +#define F_DEAD_CYCLE1_POST_REF V_DEAD_CYCLE1_POST_REF(1U) + +#define S_DEAD_CYCLE0_RMW 7 +#define V_DEAD_CYCLE0_RMW(x) ((x) << S_DEAD_CYCLE0_RMW) +#define F_DEAD_CYCLE0_RMW V_DEAD_CYCLE0_RMW(1U) + +#define S_DEAD_CYCLE1_RMW 6 +#define V_DEAD_CYCLE1_RMW(x) ((x) << S_DEAD_CYCLE1_RMW) +#define F_DEAD_CYCLE1_RMW V_DEAD_CYCLE1_RMW(1U) + +#define S_DEAD_CYCLE0_BBI_RMW 5 +#define V_DEAD_CYCLE0_BBI_RMW(x) ((x) << S_DEAD_CYCLE0_BBI_RMW) +#define F_DEAD_CYCLE0_BBI_RMW V_DEAD_CYCLE0_BBI_RMW(1U) + +#define S_DEAD_CYCLE1_BBI_RMW 4 +#define V_DEAD_CYCLE1_BBI_RMW(x) ((x) << S_DEAD_CYCLE1_BBI_RMW) +#define F_DEAD_CYCLE1_BBI_RMW V_DEAD_CYCLE1_BBI_RMW(1U) + +#define S_DEAD_CYCLE0_PRE_REF_RMW 3 +#define V_DEAD_CYCLE0_PRE_REF_RMW(x) ((x) << S_DEAD_CYCLE0_PRE_REF_RMW) +#define F_DEAD_CYCLE0_PRE_REF_RMW V_DEAD_CYCLE0_PRE_REF_RMW(1U) + +#define S_DEAD_CYCLE1_PRE_REF_RMW 2 +#define V_DEAD_CYCLE1_PRE_REF_RMW(x) ((x) << S_DEAD_CYCLE1_PRE_REF_RMW) +#define F_DEAD_CYCLE1_PRE_REF_RMW V_DEAD_CYCLE1_PRE_REF_RMW(1U) + +#define S_DEAD_CYCLE0_POST_REF_RMW 1 +#define V_DEAD_CYCLE0_POST_REF_RMW(x) ((x) << S_DEAD_CYCLE0_POST_REF_RMW) +#define F_DEAD_CYCLE0_POST_REF_RMW V_DEAD_CYCLE0_POST_REF_RMW(1U) + +#define S_DEAD_CYCLE1_POST_REF_RMW 0 +#define V_DEAD_CYCLE1_POST_REF_RMW(x) ((x) << S_DEAD_CYCLE1_POST_REF_RMW) +#define F_DEAD_CYCLE1_POST_REF_RMW V_DEAD_CYCLE1_POST_REF_RMW(1U) + +#define A_EDC_H_DBG_CMD_QUEUE 0x50380 + +#define S_ECMDNRE 31 +#define V_ECMDNRE(x) ((x) << S_ECMDNRE) +#define F_ECMDNRE V_ECMDNRE(1U) + +#define S_ECMDNRB 30 +#define V_ECMDNRB(x) ((x) << S_ECMDNRB) +#define F_ECMDNRB V_ECMDNRB(1U) + +#define S_ECMDWR 29 +#define V_ECMDWR(x) ((x) << S_ECMDWR) +#define F_ECMDWR V_ECMDWR(1U) + +#define S_ECMDLEN 22 +#define M_ECMDLEN 0x7fU +#define V_ECMDLEN(x) ((x) << S_ECMDLEN) +#define G_ECMDLEN(x) (((x) >> S_ECMDLEN) & M_ECMDLEN) + +#define S_ECMDADDR 0 +#define M_ECMDADDR 0x3fffffU +#define V_ECMDADDR(x) ((x) << S_ECMDADDR) +#define G_ECMDADDR(x) (((x) >> S_ECMDADDR) & M_ECMDADDR) + +#define A_EDC_H_DBG_REFRESH 0x50384 + +#define S_REFDONE 12 +#define V_REFDONE(x) ((x) << S_REFDONE) +#define F_REFDONE V_REFDONE(1U) + +#define S_REFCNTEXPR 11 +#define V_REFCNTEXPR(x) ((x) << S_REFCNTEXPR) +#define F_REFCNTEXPR V_REFCNTEXPR(1U) + +#define S_REFPTR 8 +#define M_REFPTR 0x7U +#define V_REFPTR(x) ((x) << S_REFPTR) +#define G_REFPTR(x) (((x) >> S_REFPTR) & M_REFPTR) + +#define S_REFCNT 0 +#define M_REFCNT 0xffU +#define V_REFCNT(x) ((x) << S_REFCNT) +#define G_REFCNT(x) (((x) >> S_REFCNT) & M_REFCNT) + +/* registers for module EDC_T61 */ +#define EDC_T61_BASE_ADDR 0x50800 + +/* registers for module HMA_T6 */ +#define HMA_T6_BASE_ADDR 0x51000 + +#define S_TPH 12 +#define M_TPH 0x3U +#define V_TPH(x) ((x) << S_TPH) +#define G_TPH(x) (((x) >> S_TPH) & M_TPH) + +#define S_TPH_V 11 +#define V_TPH_V(x) ((x) << S_TPH_V) +#define F_TPH_V V_TPH_V(1U) + +#define S_DCA 0 +#define M_DCA 0x7ffU +#define V_DCA(x) ((x) << S_DCA) +#define G_DCA(x) (((x) >> S_DCA) & M_DCA) + +#define A_HMA_CFG 0x51020 + +#define S_OP_MODE 31 +#define V_OP_MODE(x) ((x) << S_OP_MODE) +#define F_OP_MODE V_OP_MODE(1U) + +#define A_HMA_TLB_ACCESS 0x51028 + +#define S_INV_ALL 29 +#define V_INV_ALL(x) ((x) << S_INV_ALL) +#define F_INV_ALL V_INV_ALL(1U) + +#define S_LOCK_ENTRY 28 +#define V_LOCK_ENTRY(x) ((x) << S_LOCK_ENTRY) +#define F_LOCK_ENTRY V_LOCK_ENTRY(1U) + +#define S_E_SEL 0 +#define M_E_SEL 0x1fU +#define V_E_SEL(x) ((x) << S_E_SEL) +#define G_E_SEL(x) (((x) >> S_E_SEL) & M_E_SEL) + +#define A_HMA_TLB_BITS 0x5102c + +#define S_VA 12 +#define M_VA 0xfffffU +#define V_VA(x) ((x) << S_VA) +#define G_VA(x) (((x) >> S_VA) & M_VA) + +#define S_VALID_E 4 +#define V_VALID_E(x) ((x) << S_VALID_E) +#define F_VALID_E V_VALID_E(1U) + +#define S_LOCK_HMA 3 +#define V_LOCK_HMA(x) ((x) << S_LOCK_HMA) +#define F_LOCK_HMA V_LOCK_HMA(1U) + +#define S_T6_USED 2 +#define V_T6_USED(x) ((x) << S_T6_USED) +#define F_T6_USED V_T6_USED(1U) + +#define S_REGION 0 +#define M_REGION 0x3U +#define V_REGION(x) ((x) << S_REGION) +#define G_REGION(x) (((x) >> S_REGION) & M_REGION) + +#define A_HMA_TLB_DESC_0_H 0x51030 +#define A_HMA_TLB_DESC_0_L 0x51034 +#define A_HMA_TLB_DESC_1_H 0x51038 +#define A_HMA_TLB_DESC_1_L 0x5103c +#define A_HMA_TLB_DESC_2_H 0x51040 +#define A_HMA_TLB_DESC_2_L 0x51044 +#define A_HMA_TLB_DESC_3_H 0x51048 +#define A_HMA_TLB_DESC_3_L 0x5104c +#define A_HMA_TLB_DESC_4_H 0x51050 +#define A_HMA_TLB_DESC_4_L 0x51054 +#define A_HMA_TLB_DESC_5_H 0x51058 +#define A_HMA_TLB_DESC_5_L 0x5105c +#define A_HMA_TLB_DESC_6_H 0x51060 +#define A_HMA_TLB_DESC_6_L 0x51064 +#define A_HMA_TLB_DESC_7_H 0x51068 +#define A_HMA_TLB_DESC_7_L 0x5106c +#define A_HMA_REG0_MIN 0x51070 + +#define S_ADDR0_MIN 12 +#define M_ADDR0_MIN 0xfffffU +#define V_ADDR0_MIN(x) ((x) << S_ADDR0_MIN) +#define G_ADDR0_MIN(x) (((x) >> S_ADDR0_MIN) & M_ADDR0_MIN) + +#define A_HMA_REG0_MAX 0x51074 + +#define S_ADDR0_MAX 12 +#define M_ADDR0_MAX 0xfffffU +#define V_ADDR0_MAX(x) ((x) << S_ADDR0_MAX) +#define G_ADDR0_MAX(x) (((x) >> S_ADDR0_MAX) & M_ADDR0_MAX) + +#define A_HMA_REG0_MASK 0x51078 + +#define S_PAGE_SIZE0 12 +#define M_PAGE_SIZE0 0xfffffU +#define V_PAGE_SIZE0(x) ((x) << S_PAGE_SIZE0) +#define G_PAGE_SIZE0(x) (((x) >> S_PAGE_SIZE0) & M_PAGE_SIZE0) + +#define A_HMA_REG0_BASE 0x5107c +#define A_HMA_REG1_MIN 0x51080 + +#define S_ADDR1_MIN 12 +#define M_ADDR1_MIN 0xfffffU +#define V_ADDR1_MIN(x) ((x) << S_ADDR1_MIN) +#define G_ADDR1_MIN(x) (((x) >> S_ADDR1_MIN) & M_ADDR1_MIN) + +#define A_HMA_REG1_MAX 0x51084 + +#define S_ADDR1_MAX 12 +#define M_ADDR1_MAX 0xfffffU +#define V_ADDR1_MAX(x) ((x) << S_ADDR1_MAX) +#define G_ADDR1_MAX(x) (((x) >> S_ADDR1_MAX) & M_ADDR1_MAX) + +#define A_HMA_REG1_MASK 0x51088 + +#define S_PAGE_SIZE1 12 +#define M_PAGE_SIZE1 0xfffffU +#define V_PAGE_SIZE1(x) ((x) << S_PAGE_SIZE1) +#define G_PAGE_SIZE1(x) (((x) >> S_PAGE_SIZE1) & M_PAGE_SIZE1) + +#define A_HMA_REG1_BASE 0x5108c +#define A_HMA_REG2_MIN 0x51090 + +#define S_ADDR2_MIN 12 +#define M_ADDR2_MIN 0xfffffU +#define V_ADDR2_MIN(x) ((x) << S_ADDR2_MIN) +#define G_ADDR2_MIN(x) (((x) >> S_ADDR2_MIN) & M_ADDR2_MIN) + +#define A_HMA_REG2_MAX 0x51094 + +#define S_ADDR2_MAX 12 +#define M_ADDR2_MAX 0xfffffU +#define V_ADDR2_MAX(x) ((x) << S_ADDR2_MAX) +#define G_ADDR2_MAX(x) (((x) >> S_ADDR2_MAX) & M_ADDR2_MAX) + +#define A_HMA_REG2_MASK 0x51098 + +#define S_PAGE_SIZE2 12 +#define M_PAGE_SIZE2 0xfffffU +#define V_PAGE_SIZE2(x) ((x) << S_PAGE_SIZE2) +#define G_PAGE_SIZE2(x) (((x) >> S_PAGE_SIZE2) & M_PAGE_SIZE2) + +#define A_HMA_REG2_BASE 0x5109c +#define A_HMA_REG3_MIN 0x510a0 + +#define S_ADDR3_MIN 12 +#define M_ADDR3_MIN 0xfffffU +#define V_ADDR3_MIN(x) ((x) << S_ADDR3_MIN) +#define G_ADDR3_MIN(x) (((x) >> S_ADDR3_MIN) & M_ADDR3_MIN) + +#define A_HMA_REG3_MAX 0x510a4 + +#define S_ADDR3_MAX 12 +#define M_ADDR3_MAX 0xfffffU +#define V_ADDR3_MAX(x) ((x) << S_ADDR3_MAX) +#define G_ADDR3_MAX(x) (((x) >> S_ADDR3_MAX) & M_ADDR3_MAX) + +#define A_HMA_REG3_MASK 0x510a8 + +#define S_PAGE_SIZE3 12 +#define M_PAGE_SIZE3 0xfffffU +#define V_PAGE_SIZE3(x) ((x) << S_PAGE_SIZE3) +#define G_PAGE_SIZE3(x) (((x) >> S_PAGE_SIZE3) & M_PAGE_SIZE3) + +#define A_HMA_REG3_BASE 0x510ac +#define A_HMA_SW_SYNC 0x510b0 + +#define S_ENTER_SYNC 31 +#define V_ENTER_SYNC(x) ((x) << S_ENTER_SYNC) +#define F_ENTER_SYNC V_ENTER_SYNC(1U) + +#define S_EXIT_SYNC 30 +#define V_EXIT_SYNC(x) ((x) << S_EXIT_SYNC) +#define F_EXIT_SYNC V_EXIT_SYNC(1U) + +#define S_IDTF_INT_ENABLE 5 +#define V_IDTF_INT_ENABLE(x) ((x) << S_IDTF_INT_ENABLE) +#define F_IDTF_INT_ENABLE V_IDTF_INT_ENABLE(1U) + +#define S_OTF_INT_ENABLE 4 +#define V_OTF_INT_ENABLE(x) ((x) << S_OTF_INT_ENABLE) +#define F_OTF_INT_ENABLE V_OTF_INT_ENABLE(1U) + +#define S_RTF_INT_ENABLE 3 +#define V_RTF_INT_ENABLE(x) ((x) << S_RTF_INT_ENABLE) +#define F_RTF_INT_ENABLE V_RTF_INT_ENABLE(1U) + +#define S_PCIEMST_INT_ENABLE 2 +#define V_PCIEMST_INT_ENABLE(x) ((x) << S_PCIEMST_INT_ENABLE) +#define F_PCIEMST_INT_ENABLE V_PCIEMST_INT_ENABLE(1U) + +#define S_MAMST_INT_ENABLE 1 +#define V_MAMST_INT_ENABLE(x) ((x) << S_MAMST_INT_ENABLE) +#define F_MAMST_INT_ENABLE V_MAMST_INT_ENABLE(1U) + +#define S_IDTF_INT_CAUSE 5 +#define V_IDTF_INT_CAUSE(x) ((x) << S_IDTF_INT_CAUSE) +#define F_IDTF_INT_CAUSE V_IDTF_INT_CAUSE(1U) + +#define S_OTF_INT_CAUSE 4 +#define V_OTF_INT_CAUSE(x) ((x) << S_OTF_INT_CAUSE) +#define F_OTF_INT_CAUSE V_OTF_INT_CAUSE(1U) + +#define S_RTF_INT_CAUSE 3 +#define V_RTF_INT_CAUSE(x) ((x) << S_RTF_INT_CAUSE) +#define F_RTF_INT_CAUSE V_RTF_INT_CAUSE(1U) + +#define S_PCIEMST_INT_CAUSE 2 +#define V_PCIEMST_INT_CAUSE(x) ((x) << S_PCIEMST_INT_CAUSE) +#define F_PCIEMST_INT_CAUSE V_PCIEMST_INT_CAUSE(1U) + +#define S_MAMST_INT_CAUSE 1 +#define V_MAMST_INT_CAUSE(x) ((x) << S_MAMST_INT_CAUSE) +#define F_MAMST_INT_CAUSE V_MAMST_INT_CAUSE(1U) + +#define A_HMA_MA_MST_ERR 0x5130c +#define A_HMA_RTF_ERR 0x51310 +#define A_HMA_OTF_ERR 0x51314 +#define A_HMA_IDTF_ERR 0x51318 +#define A_HMA_EXIT_TF 0x5131c + +#define S_RTF 30 +#define V_RTF(x) ((x) << S_RTF) +#define F_RTF V_RTF(1U) + +#define S_OTF 29 +#define V_OTF(x) ((x) << S_OTF) +#define F_OTF V_OTF(1U) + +#define S_IDTF 28 +#define V_IDTF(x) ((x) << S_IDTF) +#define F_IDTF V_IDTF(1U) + +#define A_HMA_LOCAL_DEBUG_CFG 0x51320 +#define A_HMA_LOCAL_DEBUG_RPT 0x51324 +#define A_HMA_DEBUG_FSM_0 0xa000 + +#define S_EDC_FSM 18 +#define M_EDC_FSM 0x1fU +#define V_EDC_FSM(x) ((x) << S_EDC_FSM) +#define G_EDC_FSM(x) (((x) >> S_EDC_FSM) & M_EDC_FSM) + +#define S_RAS_FSM_SLV 15 +#define M_RAS_FSM_SLV 0x7U +#define V_RAS_FSM_SLV(x) ((x) << S_RAS_FSM_SLV) +#define G_RAS_FSM_SLV(x) (((x) >> S_RAS_FSM_SLV) & M_RAS_FSM_SLV) + +#define S_FC_FSM 10 +#define M_FC_FSM 0x1fU +#define V_FC_FSM(x) ((x) << S_FC_FSM) +#define G_FC_FSM(x) (((x) >> S_FC_FSM) & M_FC_FSM) + +#define S_COOKIE_ARB_FSM 8 +#define M_COOKIE_ARB_FSM 0x3U +#define V_COOKIE_ARB_FSM(x) ((x) << S_COOKIE_ARB_FSM) +#define G_COOKIE_ARB_FSM(x) (((x) >> S_COOKIE_ARB_FSM) & M_COOKIE_ARB_FSM) + +#define S_PCIE_CHUNK_FSM 6 +#define M_PCIE_CHUNK_FSM 0x3U +#define V_PCIE_CHUNK_FSM(x) ((x) << S_PCIE_CHUNK_FSM) +#define G_PCIE_CHUNK_FSM(x) (((x) >> S_PCIE_CHUNK_FSM) & M_PCIE_CHUNK_FSM) + +#define S_WTRANSFER_FSM 4 +#define M_WTRANSFER_FSM 0x3U +#define V_WTRANSFER_FSM(x) ((x) << S_WTRANSFER_FSM) +#define G_WTRANSFER_FSM(x) (((x) >> S_WTRANSFER_FSM) & M_WTRANSFER_FSM) + +#define S_WD_FSM 2 +#define M_WD_FSM 0x3U +#define V_WD_FSM(x) ((x) << S_WD_FSM) +#define G_WD_FSM(x) (((x) >> S_WD_FSM) & M_WD_FSM) + +#define S_RD_FSM 0 +#define M_RD_FSM 0x3U +#define V_RD_FSM(x) ((x) << S_RD_FSM) +#define G_RD_FSM(x) (((x) >> S_RD_FSM) & M_RD_FSM) + +#define A_HMA_DEBUG_FSM_1 0xa001 + +#define S_SYNC_FSM 11 +#define M_SYNC_FSM 0x3ffU +#define V_SYNC_FSM(x) ((x) << S_SYNC_FSM) +#define G_SYNC_FSM(x) (((x) >> S_SYNC_FSM) & M_SYNC_FSM) + +#define S_OCHK_FSM 9 +#define M_OCHK_FSM 0x3U +#define V_OCHK_FSM(x) ((x) << S_OCHK_FSM) +#define G_OCHK_FSM(x) (((x) >> S_OCHK_FSM) & M_OCHK_FSM) + +#define S_TLB_FSM 5 +#define M_TLB_FSM 0xfU +#define V_TLB_FSM(x) ((x) << S_TLB_FSM) +#define G_TLB_FSM(x) (((x) >> S_TLB_FSM) & M_TLB_FSM) + +#define S_PIO_FSM 0 +#define M_PIO_FSM 0x1fU +#define V_PIO_FSM(x) ((x) << S_PIO_FSM) +#define G_PIO_FSM(x) (((x) >> S_PIO_FSM) & M_PIO_FSM) + +#define A_HMA_DEBUG_PCIE_INTF 0xa002 + +#define S_T6_H_REQVLD 28 +#define V_T6_H_REQVLD(x) ((x) << S_T6_H_REQVLD) +#define F_T6_H_REQVLD V_T6_H_REQVLD(1U) + +#define S_H_REQFULL 27 +#define V_H_REQFULL(x) ((x) << S_H_REQFULL) +#define F_H_REQFULL V_H_REQFULL(1U) + +#define S_H_REQSOP 26 +#define V_H_REQSOP(x) ((x) << S_H_REQSOP) +#define F_H_REQSOP V_H_REQSOP(1U) + +#define S_H_REQEOP 25 +#define V_H_REQEOP(x) ((x) << S_H_REQEOP) +#define F_H_REQEOP V_H_REQEOP(1U) + +#define S_T6_H_RSPVLD 24 +#define V_T6_H_RSPVLD(x) ((x) << S_T6_H_RSPVLD) +#define F_T6_H_RSPVLD V_T6_H_RSPVLD(1U) + +#define S_H_RSPFULL 23 +#define V_H_RSPFULL(x) ((x) << S_H_RSPFULL) +#define F_H_RSPFULL V_H_RSPFULL(1U) + +#define S_H_RSPSOP 22 +#define V_H_RSPSOP(x) ((x) << S_H_RSPSOP) +#define F_H_RSPSOP V_H_RSPSOP(1U) + +#define S_H_RSPEOP 21 +#define V_H_RSPEOP(x) ((x) << S_H_RSPEOP) +#define F_H_RSPEOP V_H_RSPEOP(1U) + +#define S_H_RSPERR 20 +#define V_H_RSPERR(x) ((x) << S_H_RSPERR) +#define F_H_RSPERR V_H_RSPERR(1U) + +#define S_PCIE_CMD_AVAIL 19 +#define V_PCIE_CMD_AVAIL(x) ((x) << S_PCIE_CMD_AVAIL) +#define F_PCIE_CMD_AVAIL V_PCIE_CMD_AVAIL(1U) + +#define S_PCIE_CMD_RDY 18 +#define V_PCIE_CMD_RDY(x) ((x) << S_PCIE_CMD_RDY) +#define F_PCIE_CMD_RDY V_PCIE_CMD_RDY(1U) + +#define S_PCIE_WNR 17 +#define V_PCIE_WNR(x) ((x) << S_PCIE_WNR) +#define F_PCIE_WNR V_PCIE_WNR(1U) + +#define S_PCIE_LEN 9 +#define M_PCIE_LEN 0xffU +#define V_PCIE_LEN(x) ((x) << S_PCIE_LEN) +#define G_PCIE_LEN(x) (((x) >> S_PCIE_LEN) & M_PCIE_LEN) + +#define S_PCIE_TRWDAT_RDY 8 +#define V_PCIE_TRWDAT_RDY(x) ((x) << S_PCIE_TRWDAT_RDY) +#define F_PCIE_TRWDAT_RDY V_PCIE_TRWDAT_RDY(1U) + +#define S_PCIE_TRWDAT_AVAIL 7 +#define V_PCIE_TRWDAT_AVAIL(x) ((x) << S_PCIE_TRWDAT_AVAIL) +#define F_PCIE_TRWDAT_AVAIL V_PCIE_TRWDAT_AVAIL(1U) + +#define S_PCIE_TRWSOP 6 +#define V_PCIE_TRWSOP(x) ((x) << S_PCIE_TRWSOP) +#define F_PCIE_TRWSOP V_PCIE_TRWSOP(1U) + +#define S_PCIE_TRWEOP 5 +#define V_PCIE_TRWEOP(x) ((x) << S_PCIE_TRWEOP) +#define F_PCIE_TRWEOP V_PCIE_TRWEOP(1U) + +#define S_PCIE_TRRDAT_RDY 4 +#define V_PCIE_TRRDAT_RDY(x) ((x) << S_PCIE_TRRDAT_RDY) +#define F_PCIE_TRRDAT_RDY V_PCIE_TRRDAT_RDY(1U) + +#define S_PCIE_TRRDAT_AVAIL 3 +#define V_PCIE_TRRDAT_AVAIL(x) ((x) << S_PCIE_TRRDAT_AVAIL) +#define F_PCIE_TRRDAT_AVAIL V_PCIE_TRRDAT_AVAIL(1U) + +#define S_PCIE_TRRSOP 2 +#define V_PCIE_TRRSOP(x) ((x) << S_PCIE_TRRSOP) +#define F_PCIE_TRRSOP V_PCIE_TRRSOP(1U) + +#define S_PCIE_TRREOP 1 +#define V_PCIE_TRREOP(x) ((x) << S_PCIE_TRREOP) +#define F_PCIE_TRREOP V_PCIE_TRREOP(1U) + +#define S_PCIE_TRRERR 0 +#define V_PCIE_TRRERR(x) ((x) << S_PCIE_TRRERR) +#define F_PCIE_TRRERR V_PCIE_TRRERR(1U) + +#define A_HMA_DEBUG_PCIE_ADDR_INTERNAL_LO 0xa003 +#define A_HMA_DEBUG_PCIE_ADDR_INTERNAL_HI 0xa004 +#define A_HMA_DEBUG_PCIE_REQ_DATA_EXTERNAL 0xa005 + +#define S_REQDATA2 24 +#define M_REQDATA2 0xffU +#define V_REQDATA2(x) ((x) << S_REQDATA2) +#define G_REQDATA2(x) (((x) >> S_REQDATA2) & M_REQDATA2) + +#define S_REQDATA1 21 +#define M_REQDATA1 0x7U +#define V_REQDATA1(x) ((x) << S_REQDATA1) +#define G_REQDATA1(x) (((x) >> S_REQDATA1) & M_REQDATA1) + +#define S_REQDATA0 0 +#define M_REQDATA0 0x1fffffU +#define V_REQDATA0(x) ((x) << S_REQDATA0) +#define G_REQDATA0(x) (((x) >> S_REQDATA0) & M_REQDATA0) + +#define A_HMA_DEBUG_PCIE_RSP_DATA_EXTERNAL 0xa006 + +#define S_RSPDATA3 24 +#define M_RSPDATA3 0xffU +#define V_RSPDATA3(x) ((x) << S_RSPDATA3) +#define G_RSPDATA3(x) (((x) >> S_RSPDATA3) & M_RSPDATA3) + +#define S_RSPDATA2 16 +#define M_RSPDATA2 0xffU +#define V_RSPDATA2(x) ((x) << S_RSPDATA2) +#define G_RSPDATA2(x) (((x) >> S_RSPDATA2) & M_RSPDATA2) + +#define S_RSPDATA1 8 +#define M_RSPDATA1 0xffU +#define V_RSPDATA1(x) ((x) << S_RSPDATA1) +#define G_RSPDATA1(x) (((x) >> S_RSPDATA1) & M_RSPDATA1) + +#define S_RSPDATA0 0 +#define M_RSPDATA0 0xffU +#define V_RSPDATA0(x) ((x) << S_RSPDATA0) +#define G_RSPDATA0(x) (((x) >> S_RSPDATA0) & M_RSPDATA0) + +#define A_HMA_DEBUG_MA_SLV_CTL 0xa007 + +#define S_MA_CMD_AVAIL 19 +#define V_MA_CMD_AVAIL(x) ((x) << S_MA_CMD_AVAIL) +#define F_MA_CMD_AVAIL V_MA_CMD_AVAIL(1U) + +#define S_MA_CLNT 15 +#define M_MA_CLNT 0xfU +#define V_MA_CLNT(x) ((x) << S_MA_CLNT) +#define G_MA_CLNT(x) (((x) >> S_MA_CLNT) & M_MA_CLNT) + +#define S_MA_WNR 14 +#define V_MA_WNR(x) ((x) << S_MA_WNR) +#define F_MA_WNR V_MA_WNR(1U) + +#define S_MA_LEN 6 +#define M_MA_LEN 0xffU +#define V_MA_LEN(x) ((x) << S_MA_LEN) +#define G_MA_LEN(x) (((x) >> S_MA_LEN) & M_MA_LEN) + +#define S_MA_MST_RD 5 +#define V_MA_MST_RD(x) ((x) << S_MA_MST_RD) +#define F_MA_MST_RD V_MA_MST_RD(1U) + +#define S_MA_MST_VLD 4 +#define V_MA_MST_VLD(x) ((x) << S_MA_MST_VLD) +#define F_MA_MST_VLD V_MA_MST_VLD(1U) + +#define S_MA_MST_ERR 3 +#define V_MA_MST_ERR(x) ((x) << S_MA_MST_ERR) +#define F_MA_MST_ERR V_MA_MST_ERR(1U) + +#define S_MAS_TLB_REQ 2 +#define V_MAS_TLB_REQ(x) ((x) << S_MAS_TLB_REQ) +#define F_MAS_TLB_REQ V_MAS_TLB_REQ(1U) + +#define S_MAS_TLB_ACK 1 +#define V_MAS_TLB_ACK(x) ((x) << S_MAS_TLB_ACK) +#define F_MAS_TLB_ACK V_MAS_TLB_ACK(1U) + +#define S_MAS_TLB_ERR 0 +#define V_MAS_TLB_ERR(x) ((x) << S_MAS_TLB_ERR) +#define F_MAS_TLB_ERR V_MAS_TLB_ERR(1U) + +#define A_HMA_DEBUG_MA_SLV_ADDR_INTERNAL 0xa008 +#define A_HMA_DEBUG_TLB_HIT_ENTRY 0xa009 +#define A_HMA_DEBUG_TLB_HIT_CNT 0xa00a +#define A_HMA_DEBUG_TLB_MISS_CNT 0xa00b +#define A_HMA_DEBUG_PAGE_TBL_LKP_CTL 0xa00c + +#define S_LKP_REQ_VLD 4 +#define V_LKP_REQ_VLD(x) ((x) << S_LKP_REQ_VLD) +#define F_LKP_REQ_VLD V_LKP_REQ_VLD(1U) + +#define S_LKP_DESC_SEL 1 +#define M_LKP_DESC_SEL 0x7U +#define V_LKP_DESC_SEL(x) ((x) << S_LKP_DESC_SEL) +#define G_LKP_DESC_SEL(x) (((x) >> S_LKP_DESC_SEL) & M_LKP_DESC_SEL) + +#define S_LKP_RSP_VLD 0 +#define V_LKP_RSP_VLD(x) ((x) << S_LKP_RSP_VLD) +#define F_LKP_RSP_VLD V_LKP_RSP_VLD(1U) + +#define A_HMA_DEBUG_PAGE_TBL_LKP_REQ_ADDR 0xa00d +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_0 0xa00e +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_1 0xa00f +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_2 0xa010 +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_3 0xa011 +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_4 0xa012 +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_5 0xa013 +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_6 0xa014 +#define A_HMA_DEBUG_PAGE_TBL_LKP_RSP_7 0xa015 +#define A_HMA_DEBUG_PHYS_DESC_INTERNAL_LO 0xa016 +#define A_HMA_DEBUG_PCIE_RD_REQ_CNT_LO 0xa017 +#define A_HMA_DEBUG_PCIE_RD_REQ_CNT_HI 0xa018 +#define A_HMA_DEBUG_PCIE_WR_REQ_CNT_LO 0xa019 +#define A_HMA_DEBUG_PCIE_WR_REQ_CNT_HI 0xa01a +#define A_HMA_DEBUG_PCIE_RD_DATA_CYC_CNT_LO 0xa01b +#define A_HMA_DEBUG_PCIE_RD_DATA_CYC_CNT_HI 0xa01c +#define A_HMA_DEBUG_PCIE_WR_DATA_CYC_CNT_LO 0xa01d +#define A_HMA_DEBUG_PCIE_WR_DATA_CYC_CNT_HI 0xa01e +#define A_HMA_DEBUG_PCIE_SOP_EOP_CNT 0xa01f + +#define S_WR_EOP_CNT 16 +#define M_WR_EOP_CNT 0xffU +#define V_WR_EOP_CNT(x) ((x) << S_WR_EOP_CNT) +#define G_WR_EOP_CNT(x) (((x) >> S_WR_EOP_CNT) & M_WR_EOP_CNT) + +#define S_RD_SOP_CNT 8 +#define M_RD_SOP_CNT 0xffU +#define V_RD_SOP_CNT(x) ((x) << S_RD_SOP_CNT) +#define G_RD_SOP_CNT(x) (((x) >> S_RD_SOP_CNT) & M_RD_SOP_CNT) + +#define S_RD_EOP_CNT 0 +#define M_RD_EOP_CNT 0xffU +#define V_RD_EOP_CNT(x) ((x) << S_RD_EOP_CNT) +#define G_RD_EOP_CNT(x) (((x) >> S_RD_EOP_CNT) & M_RD_EOP_CNT) diff --git a/sys/dev/cxgbe/common/t4_regs_values.h b/sys/dev/cxgbe/common/t4_regs_values.h index 40dabf1e9..bb1f61f3b 100644 --- a/sys/dev/cxgbe/common/t4_regs_values.h +++ b/sys/dev/cxgbe/common/t4_regs_values.h @@ -1,5 +1,5 @@ /*- - * Copyright (c) 2011 Chelsio Communications, Inc. + * Copyright (c) 2011, 2016 Chelsio Communications, Inc. * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -82,6 +82,16 @@ #define X_INGPCIEBOUNDARY_2048B 6 #define X_INGPCIEBOUNDARY_4096B 7 +#define X_T6_INGPADBOUNDARY_SHIFT 3 +#define X_T6_INGPADBOUNDARY_8B 0 +#define X_T6_INGPADBOUNDARY_16B 1 +#define X_T6_INGPADBOUNDARY_32B 2 +#define X_T6_INGPADBOUNDARY_64B 3 +#define X_T6_INGPADBOUNDARY_128B 4 +#define X_T6_INGPADBOUNDARY_256B 5 +#define X_T6_INGPADBOUNDARY_512B 6 +#define X_T6_INGPADBOUNDARY_1024B 7 + #define X_INGPADBOUNDARY_SHIFT 5 #define X_INGPADBOUNDARY_32B 0 #define X_INGPADBOUNDARY_64B 1 @@ -102,6 +112,17 @@ #define X_EGRPCIEBOUNDARY_2048B 6 #define X_EGRPCIEBOUNDARY_4096B 7 +/* CONTROL2 register */ +#define X_INGPACKBOUNDARY_SHIFT 5 // *most* of the values ... +#define X_INGPACKBOUNDARY_16B 0 // Note weird value! +#define X_INGPACKBOUNDARY_64B 1 +#define X_INGPACKBOUNDARY_128B 2 +#define X_INGPACKBOUNDARY_256B 3 +#define X_INGPACKBOUNDARY_512B 4 +#define X_INGPACKBOUNDARY_1024B 5 +#define X_INGPACKBOUNDARY_2048B 6 +#define X_INGPACKBOUNDARY_4096B 7 + /* GTS register */ #define SGE_TIMERREGS 6 #define X_TIMERREG_COUNTER0 0 @@ -177,6 +198,52 @@ #define X_RSPD_TYPE_CPL 1 #define X_RSPD_TYPE_INTR 2 +/* + * Context field definitions. This is by no means a complete list of SGE + * Context fields. In the vast majority of cases the firmware initializes + * things the way they need to be set up. But in a few small cases, we need + * to compute new values and ship them off to the firmware to be applied to + * the SGE Conexts ... + */ + +/* + * Congestion Manager Definitions. + */ +#define S_CONMCTXT_CNGTPMODE 19 +#define M_CONMCTXT_CNGTPMODE 0x3 +#define V_CONMCTXT_CNGTPMODE(x) ((x) << S_CONMCTXT_CNGTPMODE) +#define G_CONMCTXT_CNGTPMODE(x) \ + (((x) >> S_CONMCTXT_CNGTPMODE) & M_CONMCTXT_CNGTPMODE) +#define S_CONMCTXT_CNGCHMAP 0 +#define M_CONMCTXT_CNGCHMAP 0xffff +#define V_CONMCTXT_CNGCHMAP(x) ((x) << S_CONMCTXT_CNGCHMAP) +#define G_CONMCTXT_CNGCHMAP(x) \ + (((x) >> S_CONMCTXT_CNGCHMAP) & M_CONMCTXT_CNGCHMAP) + +#define X_CONMCTXT_CNGTPMODE_DISABLE 0 +#define X_CONMCTXT_CNGTPMODE_QUEUE 1 +#define X_CONMCTXT_CNGTPMODE_CHANNEL 2 +#define X_CONMCTXT_CNGTPMODE_BOTH 3 + +/* + * T5 and later support a new BAR2-based doorbell mechanism for Egress Queues. + * The User Doorbells are each 128 bytes in length with a Simple Doorbell at + * offsets 8x and a Write Combining single 64-byte Egress Queue Unit + * (X_IDXSIZE_UNIT) Gather Buffer interface at offset 64. For Ingress Queues, + * we have a Going To Sleep register at offsets 8x+4. + * + * As noted above, we have many instances of the Simple Doorbell and Going To + * Sleep registers at offsets 8x and 8x+4, respectively. We want to use a + * non-64-byte aligned offset for the Simple Doorbell in order to attempt to + * avoid buffering of the writes to the Simple Doorbell and we want to use a + * non-contiguous offset for the Going To Sleep writes in order to avoid + * possible combining between them. + */ +#define SGE_UDB_SIZE 128 +#define SGE_UDB_KDOORBELL 8 +#define SGE_UDB_GTS 20 +#define SGE_UDB_WCDOORBELL 64 + /* * CIM definitions. * ================ @@ -188,6 +255,7 @@ #define X_MBOWNER_NONE 0 #define X_MBOWNER_FW 1 #define X_MBOWNER_PL 2 +#define X_MBOWNER_FW_DEFERRED 3 /* * PCI-E definitions. @@ -208,6 +276,9 @@ * selects for a particular field being present. These fields, when present * in the Compressed Filter Tuple, have the following widths in bits. */ +#define S_FT_FIRST S_FCOE +#define S_FT_LAST S_FRAGMENTATION + #define W_FT_FCOE 1 #define W_FT_PORT 3 #define W_FT_VNIC_ID 17 diff --git a/sys/dev/cxgbe/common/t4_tcb.h b/sys/dev/cxgbe/common/t4_tcb.h index 774b05882..a09b13b50 100644 --- a/sys/dev/cxgbe/common/t4_tcb.h +++ b/sys/dev/cxgbe/common/t4_tcb.h @@ -1,5 +1,5 @@ /*- - * Copyright (c) 2011 Chelsio Communications, Inc. + * Copyright (c) 2011, 2016 Chelsio Communications, Inc. * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -332,12 +332,19 @@ #define M_TCB_PDU_HDR_LEN 0xffULL #define V_TCB_PDU_HDR_LEN(x) ((x) << S_TCB_PDU_HDR_LEN) -/* 1023:1001 */ +/* 1019:1001 */ #define W_TCB_AUX1_SLUSH1 31 #define S_TCB_AUX1_SLUSH1 9 -#define M_TCB_AUX1_SLUSH1 0x7fffffULL +#define M_TCB_AUX1_SLUSH1 0x7ffffULL #define V_TCB_AUX1_SLUSH1(x) ((x) << S_TCB_AUX1_SLUSH1) +/* 1023:1020 */ +#define W_TCB_ULP_EXT 31 +#define S_TCP_ULP_EXT 28 +#define M_TCB_ULP_EXT 0xfULL +#define V_TCB_ULP_EXT(x) ((x) << S_TCP_ULP_EXT) + + /* 840:832 */ #define W_TCB_IRS_ULP 26 #define S_TCB_IRS_ULP 0 diff --git a/sys/dev/cxgbe/firmware/t4fw-1.14.4.0.bin.uu b/sys/dev/cxgbe/firmware/t4fw-1.14.4.0.bin.uu deleted file mode 100644 index e3f3f779d..000000000 --- a/sys/dev/cxgbe/firmware/t4fw-1.14.4.0.bin.uu +++ /dev/null @@ -1,9495 +0,0 @@ -/*- - * Copyright (c) 2015 Chelsio Communications, Inc. - * All rights reserved. - * - * Redistribution and use in source and binary forms, with or without - * modification, are permitted provided that the following conditions - * are met: - * 1. Redistributions of source code must retain the above copyright - * notice, this list of conditions and the following disclaimer. - * 2. Redistributions in binary form must reproduce the above copyright - * notice, this list of conditions and the following disclaimer in the - * documentation and/or other materials provided with the distribution. - * - * THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND - * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE - * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE - * ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE - * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL - * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS - * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) - * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT - * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY - * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF - * SUCH DAMAGE. - */ -begin-base64 644 t4fw -AAAEHgEOBAAAAQkEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAABAEEEAQYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAENoZWxzaW8gRlcgUlVOTUVNIERFQlVHPTAgKEJ1aWx0IFRodSBKdWwgMjMgMDA6 -MzA6MTAgUERUIDIwMTUgb24gY2xlb3BhdHJhLmFzaWNkZXNpZ25lcnMuY29tOi9ob21lL2Zpcm13 -YXJlL2N2cy9mdy1yZWxlYXNlKSwgVmVyc2lvbiBUNHh4IDAxLjBlLjA0LjAwAAAAAAAAABlSRz1g -AMgA4QB78AAQAADhADC4eP///x/84UCAAAAB4QB7cAAAEAAf//2U4QGUcCAAAADhAZwE4QB5AAAC -AEDhAHmAAAYAQAACAAoABgAK4QB5BAAMAACAAAEC4QB7POEAe0ThAHvk4gAAAAABAADhAHuQIAAA -AAAAgADhAHsAAABAAeEAe5wAAEAAREREQuAAAADjAARzREREQOMACAAgAAJcAAAAAB//koAAAAAA -H/+ShAAAAAAf/5KIAAAAAB//kowf/8AAAAAAAAAAAADAABL/zRP/zZMgEv/NE//NhCAEMwGTIBH/ -zBL/zJIQEf/MEv/MkhAR/8wB9DER/8siCv+SEADkMQAFMQECABL/yALnMQIWABH/x4EQAQFfwCEC -EQHJERH/xBL/xJIQEf/EEv/EkhBgAA8R/78S/8OSEBH/vxL/wpIQgRAR/8HAIJIREv/AkhLAIJIT -Ev+/khCCEALyUGUv9xH/vccvkhAR/7ySEBL/vBP/vJMgwDKTIRP/u5MigiIS/7oT/7qTICMiIRT/ -uQQzAck4E/+4gzADgxQIMxEU/7akM5MhE/+qkyJgAAjCMJMhE/+nkyIS/7GQIJAhkCKQI5AkkCWQ -JpAnkCiQKZAqkCuQLJAtkC6QLyAmECAmEYIiEv+kwDAtNzAtNzQtNzgtNzwjPQFyM+0AAgAS/6HA -MC83AC83EC83IC83MCM9AXIz7QACABL/l8AwKDcwKDc0KDc4KDc8Iz0BcjPtEv+VwDAnNwAnNxAn -NyAnNzAjPQFyM+0S/5AV/5AW/5HAMNcgBWYBYAAZAAAAAAAAAAQ2BQACANMP0w8FMwxuOxQHRxQH -BEN2MeYENgUFMwxvO+0AAgAS/4MV/4EjCgACJwIHBEMEPgUFMwwHRxRvO/ADAgAS/33JLoMghCGF -IrwidDsOhlC0VZYwtDN0M/Rj/+YAZT/iZV/fEv9xwDIDLgUDAgAS/2jAMCg3QCg3RCg3SCg3TCM9 -AXIz7QACABL/ay0nAMARAUkxAEgxAQIAwAAU/2gE0jEV/2eUUBT/ZwTTMRX/ZpRQFP9mBNQxFf9m -lFAU/2UE1TEV/2WUUBD/ZQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAf/AAA -H/wAAOMACfgf/AAAH/wAAOMACfgf/AAAH/wAAOMACfgf/4AAH/+J8OMACfgf/4nwH/+J8OMAE+gf -/4nwH/+J8OMAE+gf/4nwH/+LjOMAE+gf/4uMH/+SdOMAFYQf/5KAH/+0DOMAHHgf/7QMH/+0DOMA -PgQf/8AAH//+geMAPgQgAAAAIAABauMAfIggAAF4IAABfOMAffQgAAF8IAABheMAffggAAGYIAAB -nOMAfgQgAAGcIAABpeMAfgggAAG4IAABvOMAfhQgAAG8IAABxeMAfhggAAHYIAAB2OMAfiQgAAHc -IAAB4uMAfiQgAAH4IAAB+OMAfiwgAAH8IAAB/OMAfiwgAAIYIAACGOMAfiwgAAIcIAACHOMAfiwg -AAI4IAACOOMAfiwgAAI8IAACPOMAfiwgAAJYIAACWOMAfiwgAAJcIAACYuMAfiwgAAJ4IAACeOMA -fjQgAAJ8IAACguMAfjQgAAKYIAHzYuMAfjwgAwAAIAMUmOMCbwggAxSYIAMUmOMCg6AgAxSYIAbL -jOMCg6AgBsuQIAbRUOMGOpggCAAAIAgOQOMGQFggCA5AIAkkNuMGTpggCSRAIAklDOMHZJggCwAA -IAsAAOMHZWQgCwAAIAsAAOMHZWQgCwAAIAuan+MHZWQAAAAAAAAAAAAAAAAgABFWIAARSCAAFTog -ABFIIAAUtSAAEUggABH9IAAUTSAAE9IgABFIIAATfSAAEzQgABLJIAARNSAAEnQgABFIIAARSCAA -EUggABIcAAAAAAEQGAEABAAAAAAAAAAAAAD///////8P/P//8P///wD8IACtgyAAruogAK8aIACu -4CAArqEgAK6XIACuYSAArlcgAK5GIACt8iAArxggAK3oIACtuyAArxogAK2xAAAAAAAAAAoAAAAK -AAAAFAAAAAoAAAAKAAAACgAAAAoAAAAKAAAACgAAAAAAAAAAAAAAAAABAAEAAQABAAEAAQABAAEA -AQACAAMABAAFAAYABwAIAAkACgAOABEAFQAZAB4AIwAtADwAUABkAMgBLAGQAfQAAAAAAAAAAAAA -AAAAAAAAAAAAAQABAAIAAgADAAMAAwADAAQABAAEAAQABAAFAAUABQAFAAUABQAGAAYABwAHAAAA -AgAAAAYAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKA -AAADgAAABQEAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAA -AcAAAAKAAAADgAD/AAECAgAAAAAAAAAAAAAAECBAAAAAAAAAAAAAAAAAAAQAAgABAACAAEAAIAAQ -AAggQIAAAAAAAAAAAAAAAAAAIAingCAIp4AgCKc5IAinCCAIptogCKauIAimriAIqF4gCKheIAim -riAIqF4gCKheIAimriAIpq4gCKZiIAioXiAIqF4gCKheIAioXiAIqF4gCKheIAioXiAIqF4gCKhe -IAioXiAIqF4gCKheIAioXiAIqF4gCKheIAioXiAIppEgAwoIAAAAASADDjgAAAD/IAMH4AAAAP8A -AAAAAAAAACADCfQAAAACIAMJ+AAAAAMgAwoAAAAABwAAAAAAAAAAIAMJ2AAAAAEgAwncAAAAAiAD -CeQAAAAEIAMOOAAAAP8gAwfgAAAA/wAAAAAAAAAAIAMH4AAAAAAgAw44AAAAACADCPAAAAABIAMI -+AAAAAQgAwkAAAAACCADCQwAAAAgIAMJHAAAAEAgAwkkAAAAgCADCSwAAAEAIAMJNAAAAgAgAwlI -AAAEACADCVwAAAgAIAMJdAAAEAAgAwmIAAAgACADCZgAAEAAIAMJpAAAgAAgAwm4AAEAACADCcgA -AgAAIAMI3AAAABAgAwjkAAAAESADCMQAAAAAIAMIyAAAAAEgAwjMAAAAAiADCNQAAAADAAAAAAAA -//8AAAAAAAD//yADCEQAAAEAIAMIUAAAAIAgAwhgAAAAQCADCHAAAAAgIAMIgAAAABAgAwiQAAAA -CCADCJwAAAAEIAMIqAAAAAIgAwi0AAAAAQAAAAAAAAAAAAAAAQAAAAEAAAABAAAAAQAAAAEAAAAB -AAAAAQAAAAEAAAABAAAAAQAAAAEAAAABAAAAAQAAAAEAAAABAAAAAQAAAAcAAAAHAAAABgAAAAYA -DDUAABBGqgAUWFUAGGoAAAAraAAAI4MAABhqAAANBgAACyoAAAAAAAAAAAAAAAAAAGgrAABoKwAA -bIIAAG+cAABKaAAASmgAAE0pAABKaAAATuoAAEyYAABSPQAAT7gAAYagAAGGoAACCNYAAgjWAAII -1QACCNUAAosLAAKLCwACCNUAArZyAAK2cgADDUAABAYHAAAAAAAAAAAAAAAAAAICBQUICAsLDg4R -ERQUFxcaGh0dICAjIyYmKSksLC8vMjI1NTg4OzsAAAAAAAAAAQMREQgIEAkDAQAAAAAAACAE1awg -AayUIAA3KCABfCAgAajgIAGjVCABWTAgA+JkH//qRCAAmXAgAK/YH//dGCAAaEQgAFmwAAAAAAAA -AAAgAX3QIACIMAAAAAAAAAAAH//V+B//xXwf/8KUH//AMCAAVLAgAEzUIABJFCAApSQf/+P4IAai -2AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgAbQMIAGUGCAAuYggALi8 -H//xIB//0KAf/8w4IACFqCAFM2AgAS8QIAEPHCAA99AgAOuAIADe3CAA0VQgALxgIATYmCAEFYQg -ASRgIAQ5WCAB4OwgAGgEAAAAACAAueQgBaxEIACs8CABhnQgAAKYIACe2AAAAAAAAAAAH//0aCAA -uaQgBBg0AAAAAAAAAAAgA1r0IAAmrCAAHMwgACWYAAAAACAAMiAgAC98IAAsEAAAAAAgADboIAEo -IAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAANHggBNVMAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAgADYoIANjwCAANTAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAQA -AAAICwAAACADEewIAAAAIAMR+AgAAAAgAxIECgAAACADEhAMAAAAIAMSHBIAAAAgAxIsDQAAACAD -EkAOAAAAIAMSUBMAAAAgAxJgCgAAACADEnQOAAAAIAMSgBgAAAAgAxKQDQAAACADEqwOAAAAIAMS -vBAAAAAgAxLMEgAAACADEuAOAAAAIAMS9BAAAAAgAxMEEQAAACADExgKAAAAIAMTLAsAAAAgAxM4 -DQAAACADE0QUAAAAIAMTVAoAAAAgAxNsDwAAACADE3gGAAAAIAMTiAYAAAAgAxOQBgAAACADE5gG -AAAAIAMToAYAAAAgAxOoCQAAACADE7AGAAAAIAMTvAQAAAAgAxPEBgAAACADE8wLAAAAIAMT1AsA -AAAgAxPgBAAAACADE8QEAAAAIAMT7AkAAAAgAxP0CQAAACADFAAAAAAAAAAAAA0AAAAgAxQMCgAA -ACADFBwGAAAAIAMUKAIAAAAgAxQwAwAAACADDgwBAAAAIAMUNAAAAAAAAAAA12qkeOjHt1YkIHDb -wb3O7vV8D69Hh8YqqDBGE/1GlQFpgJjYi0T3r///W7GJXNe+a5ARIv2YcZOmeUOOSbQIIfYeJWLA -QLNAJl5aUem2x6rWLxBdAkQUU9ih5oHn0/vIIeHN5sM3B9b01Q2HRVoU7anj6QX876P4Z28C2Y0q -TIr/+jlCh3H2gW2dYSL95TgMpL7qREvez6n2u0tgvr+8cCibfsbqoSf61O8whQSIHQXZ1NA55tuZ -5R+ifPjErFZl9CkiREMq/5erlCOn/JOgOWVbWcOPDMyS/+/0fYWEXdFvqH5P/izm4KMBQxROCBGh -91N+gr068jUq19K764bTkQcMERYHDBEWBwwRFgcMERYFCQ4UBQkOFAUJDhQFCQ4UBAsQFwQLEBcE -CxAXBAsQFwYKDxUGCg8VBgoPFQYKDxUf/8AAAAQAICAG0VAgBtUQH/zeACAG0ZAf/6tEH/+sVB// -r6ADgAAAgQAAAB//r5AA//gAAQAAAAAQAACBBAEAgQQAAAEEAAABBAEAgAAAAAAF//8f/4UgBgAA -ACoAAAAf/8/4IARThAIAAACAEAAAQUAAAEFAAQCDAAAB//+//7////8f/5g8BAAACCADDCiBgAAA -DAAAAB//ktD//wAA//8A/wABAAAAAP//H/+wkB//qCQP///////QFP//0xAf/2XsH/zg6CAGzyz/ -/8EQH/9l4B//ZmQf/60EH/+eNB/84gAAAAho4P/+AOEBkgAf/5iwAP///x//nMwf/60UBEEACAQB -AAilAAAAwAAAAMAEAAAwAAAAH/+tkAAAHKAAAP+AIAbLkCALXTDhAC4AH/+thB//qWwf/65gH/+p -0B//rbDgAACg4QAwuAAAgADhAGAQAABAAOECEADhAjAA4QJQAOECcADhABAIH/zhQOEAe3Af/7PM -H/+zxB/84Agf/7PIH/+z5B//s9wf/7PgH/+z/B//s/Qf/7P4IAbRkB//sJAf/6tEH/zeAB//rFQf -/6zQH/+c3B//rlwAAP+AAAAdgB//ktAf/65oH/+uZB//rsgEAAAIBQAAAIP/AACBAAAAABAAACoA -AAAgAAeoIAMLSB//iTAf/4UgH/+voGdFIwHvzauJmLrc/hAyVHYf/4AAAAA/KCADDgzP////IAsG -MBAAAAA/////AgAAAEAAAAD//3//IAsHUB//r5AgACFwIAsH8AgAAAAA////IAsIYCALB4D3//// -IAsKUCAAHhj//v//IAsVIIAAAAAgAwwgDAAAAAAAQAAAAP//AACAAA0AAAAgACQ4//v//w/2gAAA -A///AAAn/yALGJAgCxjAAAEAAAAEAAAgADIgIAA0NCAAL3wgCxlQIAsZ8CAALBAgCxpAIAsa0AQB -AAjgAAAAH/+s/FMAAABSAAAAUQAAACAB68Qf/6ncIAsdcCALHdAgCx2gIAsgUB//rRQgCyCgH/+c -1B//rMQgCyJwFAAAAIAAAAJ4AAAAgAAABoAAsAAAAAoAAOMwkv//8ACAALEA4QGaAAACAAAgCyIw -H/+aZAAAfkAA/8AAH/+TVAEAAAAoAAAAJgAAACALIqAf/69EH/+pcCALJGAf/7BoOwAACA4AAADA -AAAA0AAAAB//gFAAAB+aAACJFB/84HQAAAhQH/+TkAYAAAAFgAAAIAtxoB//qTQrAAAAIABPmB// -qsQ1AAAAA4AAAAMAAAAH////AD///4BAAAAID///H////yAAAAAAAMAAH/+s4D0AAAAf/5jcBwAA -AIEEAQCBBAAAH/+rJAAAOpjDAAAAAAAP/wBDAAAAAAgABAAAACALcgAf/7NAH/+wsB//mDwABgAA -4QB6AB//mKwgoAAAH/+rZB//nOQf/5zQIAtyMAADB4AgC3KgH/+atAAgAAAAQAAAAAAJAAAAMAL/ -/Ph/o/+7AKP/ugDgAwAAg/+2AA////8P//gA/wAAACALcuAgCyVQIAslgCALc3AADwAAAAoAAP// -AA8f/6zoA//AAIP/wAAgC3PwIAt0YB//rcAf/7Eg/2DwAB//sQAf/5KQBIAACB//gGAARAAA/x// -/wDAAAABgMIAAACBAPAAAACBgAAA/3///x//qCT/v/////8AAACAAAAAAIbdH/+eLB/84gAf/5OA -7gAAAAAACWwf/OIMDwAAACALJcAf/61cAAAIbB//rlQf/56oH/+Y2B//gHAgBs2AAAAwAAAAJxAf -/9yIIAt8EB//rbQAAP/+H/+cxN6tvu8gAwZQNAAAAD8AAAAf/64oAACJBgCZAAAQAAcCAcCAAJkA -AAAf/7EkAIgACIKAAAEf/7C4H/+vxAMVAAADEQAAAA8D/yALKcAgCyogIAsqcCALKtAgCynwIADm -BCALLHAgCyygIAss8CALLVAgAOvIKQAAACAA8nwgC3xgIAt8wCALfTDw8PDw/wD/AKqqqqrMzMzM -H/+zMAAAIGAf/7E4IAEDmCALfcAgC34wAA9CQCAEPogf/61AH/+toAAJAAAAAEgAggAAACABKCgg -C36wIAt/IAAAH0AgCzVgIAs1gCALNaAACQAIH/+wLDAAAAAf/7EsH/+uACALN9AgCzew///3/yAL -ODAgBETMAACD/yAG01gVoAAAIAbUUCADB5Af/6twAAAIBgAAiMx/AAAAAAAP/gAMAAAf/7B4IAuD -kCALg9AgC4KwIAuDQAAA4AAgC4HQ//wAACALgxAf/5poAAQD/woAAAAf/69UH/+t8B//sBQf/53Q -g/+3AIP/tiAgCzhg4QAAADMAAAAf/7CAH/+xdAP/4AB///8AAD/2kAAAH6gD//AAIAtw8CALcLAg -C3EQH/+ygCALOOAaAAAAIAs5MCABckAf/7B8AA///x//sDAf/6s8H/+t9CALhFAf/50EH/+stB// -qYgf/6t8IABsaCAGzQQgAAWIH/+n0B//mfgf/5h4IAuEkB//qdQgCzwwwAQAAB//q4gf/7BkH/+w -8CALhbAgCzxwIAMM0CAAbUjgAQAAH/+eMCALhvAgCzywIACp2B//niggAKb4IAuGcCALhsAf/5qI -IAs+YOD//gAgC2FQH/+eNCALSZAf/6z4H/+UzCALVIAgC1UQH/+rbB//sDQgC1gwIAtYgCALV8Ag -C1fwSAAAACABvNgf/6rUIAG+3B//mSwf/6lsH/+oZB//q1Qf/6i8AAAX4AAAFewf/6uUIAbRhB// -qUThAC4AH/+roOEAXgDhAg4A//+//+EADgDhAY4A//++/x//nMwf/6m4IAHD8CAB0BjgBQAAA/8A -AB//qQQgAwwoPAAAAAAF//+DAAAAH/+obCAB5bAf/63EIAtgcAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAIGAAAAAAAAA/////////////////////x///Igf//yIH//8UB///FAf//xQH//8UB// -9eAf//kIH//3ZB//92Qf//dkIAakyAAAAAAAAAAAAAAAAAAAAAAgBqgQIAaoEAAAAAAAAAAAAAAA -AAAAAAAgBqTIIAakyB//+fwf//n8H//5/B//+fwf//n8H//5/AAAAAAgAcUIAAAAAAAAAAAAAAAA -AAAAAAIBAAAAAAAAAAAAAAAAAAAEAAAAAAAAAIGAAAAAAAAQBQAAAAAAAAQAAAAAAAAAAAAAAAAA -AAAAgQAAAAAAABgFAAAAgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAoAEfJ7E/J70w8D5jEBAgAW8nkX8np3awaQ -YLRmd2P4VA8zVcKsDxQAY//5AAAAbBAIKCAFJyAHKTEF+CCGFeAZRQD5AA6FYXcBAIoiFvJs/eTY -BeAMlQDxV7wN4A+lAOryaRvHAoAApogrgp4KeQopkr/9YA2DogCdACiCnQmIAegWBSQNUYAAKNII -9wANqJIAnQArYq7s8l0VirmAACpirSzCf+yrAQRz/QAA/UAKHiIAnQAu1gj9QAoeIgCdAC8gFKT/ -Dw9HLyQU9eAMXdIAnQAZ8k8uIhYsISmIFR/yTa7Mn4DqIh4uZ8KAAP0AZhWgDSUA/QBGFeALZQDt -8kYdVgKAAAuqAosUmoHpABUEQEEAAIkVCACKKDwQ/SDGFeBMBQD9IOYVoAxFAOy7NgTIgQAAbbkF -CACGCQJh7vI3FaCHgACIFe4ABQ3PAoAAqYjojCAlpDUAAAvJDG2ZAggCYS0hKdMP6iEoJugFAAAN -DU8tJSn9QAd0YgCdAP/kUAXgDBUA+iCoFeAe5QDqIh4uzUKAAPggJhXgCAUA+CAGFaANBQDoFgIl -2YEAAFiE8P7gABc3xQEA98AARzANlQDt5p0oBAqAAPmABVlSAJ0AwCDRDwAAAOokAAnYBIAA7EQA -CugEgABYhtnSoNEPAAAAAMCwD4k06dYILfYuAAD6QGgdoBvFAPwAAh2gDRUAWIomY//BAADqJAAK -2ASAAFiIWtKg0Q8A//lYDaAIBQDqJAAD2GEAAPwAAh2gDZUAWIoaY/+RwKBZvTYd8e6I2Pkf8giQ -D6UAY/+k2iBYC5Bj/nAAAAAAAPwAAh3gCgUA+kUkHa/8KgCKJ+tEAApoBIAA+0QAFaAMBQBYgGnS -oNEPAAAAbBAGLiIQlBHlIRoq0ASAACYgB/ZBKBXgH8UA6hYAKsfCgAD/AlIN4WYBAAcJQvEgcA3i -hQEAZIHy1PApIAX8QEgVoBuFAP6eAA2wGkUA+yAPlSIAnQDn8cceC9YAAOXxwxtPAoAA9MAMEhIA -nQClmS2SngdqCiqiv/egEbtSAJ0AKZKdCpkB7pQABI5JgACMKYsqDAc+DLsM92AJA+IAnQAqIE4t -IEyxqPugDewgCxUAKCROKjABKSIY80AN9pIAnQArIActIST4YCQVoAxVAP1ABAUwuxEA4KoRDdqC -gAALqgIb8asKiAIqIQcsIQkL3QL7BgAMeqoBAOvxph1TAoAACswCKiEimOCIIJzjneQLqgKa4v0A -ABQwCmUACogCmOEtIhCd5SwgOBvxm/gAIh2gTRUA+cEmFaDMGQAM2Dkd8ZeU6Ay9OfmmAA6wCwUA -m+cY8Yqd5u3xixTgBQAALCYYm+uZ6ugAFQdIwQAACQCK7eYOIchBAADv5g8neQEAAAkghg8CYwkA -hg8CYQxuEaXu6uadI4CxgACIKS8gOKeIKCYJ8+AIF5IAnQCJEAkJR/kgBwFSAJ0AwCDRD54S6iQA -C9gEgABYiVSOEv9NEA3gH8UA7BIBKVAEgADtEgAp2ASAAFiGNdKg0Q8AHvFhiuj3QAY4kgCdAAxp -EaWZK5Ke92AGi1IAnQApkp0Haworsr8LmQFkkL+wrJzo7pQADPN+AABgACwAAAAAAPPf8EhSAJ0A -CVQM9J0AFa/4AgAAAAAAAOsSAClQBIAAWIeq0qDRDwDqJAADWGEAAPwAIh2gDWUAWIlsY/9pjSLA -5A7dAvxARhXv/X4AAAAA+EOoFaTZAQAA0QQAvBoMiAL4Q6YVr/jGAIonjRHAwOqsIC7YBIAAWH++ -0qDRD9ogWIXLY/75AAD/9zwNoAkFAMCgWbx0HvEriuj5X/l4kB/FAP/9KA2gCQUAwJDAugurNPvB -BhXv/O4AAAAAbBAOlRwoIhAuIgknITUpIAcjFg4qMgL+YIgV4A0VAPxgaB2ntQEA4yEaJdv5AAAL -2zn6IaYV4ZkBAOf/Ngm3woAA/sJSDeOqAQAODkLxwHAN4tMBAGTUYyYgBcHo+f4ADzAXRQD2wCQd -YgCdAIciix705fwN4A6FACuwAZ8R82AhJxIAnQCZEJkamhQY8P7t8P8SMA0AAOYWCyyvAoAA6FUI -DN+CgACtu+sWCSJwBQAAiRqeGI0b9SAGqhIAnQArUp6GGf1gCiPiAJ0AL1KdJmK/Bv8BZPQ5GfDs -iZj3IAmYkgCdACqCrmShUhrw6SOCrSqifx3w5Qo7AesWBSTb/QAA+mAJ5iIAnQCb2PpgH2YiAJ0A -hymGKgwDPgdmDPLACaviAJ0AKRoA8yIyDeD69QArIBacE/tgCf0iAJ0AixGGwyogOPfg5hWgBgUA -9+EmFaBHBQD74QYV4KoZAAp2ORfw15YWLSIXFvDUnfotIhud+4nECnY5lhf5YBFK4gCdAIYdnh/s -FgMjDdmAAGABCBnwvomYapEuixsqUp6PGXujSy1SnS/yvw/dAZ0VhhXr8LYU0/0AAO9kAAMB6YAA -mrhl/xNgA0qeH/wgZhWgCgUAWbv1GfCtiZgY8KqME+4SDySO2wAA//9cDaAPBQDAoPogphWv/uYA -HfCkwLoLmzT7oQYV7/72AAAAAAD/+xQNoA8FAJ4fLxYQ/CBmFaAKBQBZu+EZ8JmMEy8SEImYjh8Y -8JX5P/VwkgCdAGACvcCgmhWLFRbwkcDaDZ007WYILfYmAABgAqSeHy8WEJwT6iQACdgEgABYiHKM -Ey8SEO4SDyV1oYAAYAJanh8vFhD6QPAVoAwFAFiISIwTLxIQ7hIPLXVmAABgA8eOF4sTiBSMEfYg -yBWvigUAKiQ7DJkMCGYCmbSs2AbuAi0iEOgmGylQBIAAWIbUjh+MExjwbvqzphWhCQUAc5sNKyAW -Kgr/+2AETSIAnQCKKSsgOA8CAKOq6iYJKAQKgADzYAQ/kgCdACYhNS/CBCshGikiEOb/Ng3vwoAA -f9sLCgpCZKAFCw1CZNBz/iAmFeAdhQD5/gAO8AoVAPohphWgBjUA9iGGFa/1xgCKJy8WEIse6qwg -KmAEgABYg3wvEhCaEy0iG/lAiBXv/N4AAAAAAAAA6iAHKeAEgABYh+EY8EOME/4h6BWv/Z4A2iBY -hNsY8D6ME44f+kEoFa/9tgBxnogKvwz//QAV7/4KAIsUihYtIhAZ8EQLqgIb8EQKaAKGHRrwOwmI -AunwOhsLPgAAIxYRJRYSJiAHhcAjIQf2QSQV4GYRAOtVAQsygoAA9qYACrozAQDmISIpmwKAAAN3 -AgpVAiMhJAlmApXwhSCX85by/eCmFeOYAQDo9gYsygKAAAk5AuMSESJT+QAA6fYEKq4CgADl5QIG -QIEAAOX2ASfIwQAA5RISIhQ1AABtqQUIAIYJAmEmIBSkZgYGRyYkFPTACDXSAJ0AiBj4s6YVoQcF -APLh8g3g+fUAKyAW+WAIzWIAnQAqIhexquomFyGAqYAAjCkrIDijzJwp82AHn5IAnQCNHWXQz8Ag -0Q8vIE5k+9kOeAL4QEYVoACGAAAAAAAA8x/cwFIAnQAOPwz//QAV7+42AAAAAAAA6xIOKVAEgADt -EgwqYASAAFiEu9Kg0Q8A6xIMKVAEgABYhkbSoNEPAPpAaB2gG8UA/AAiHaANFQBYiAhj/8GLEPpA -aB2gDBUA7RILJdhhAABYiAJj/6kAACYgO2RgceokAA5YBIAA/CAoFa+JBQDpJDsscASAAFiGM/oh -BhWgCwUAKyYb+kdmHe/7zgAAAAAA6xIFKVAEgABYCW1j/u+KJ+tEAApoBIAA+0QAFaAMBQBYfkvS -oNEPANogWIRZY/8I6iAHKeAEgABYh1dj/twAACchCSUWEiMWESQWEyYgB4TAIyEk9EDkFeBmEQDr -RAELMoKAAAZEAgpEAvZERBWqtQEA6yIHLdMCgAAKdwIJZgIqsRWaEpTwhSCX85by/eCmFeNIAQDo -9gYqIgKAAAQzAuP2BCXYgQAA9CJoFa/DBQADuwEjEhHrqQgKrgKAAAXlAuX2ASZggQAA5RISJMkB -AADpy0N+QASAAC1M/gzWEaaG5pM7d+DBAAD0n+/xEgCdAG3ZBQgAhgwCYWP97YsQ+kBoHaAMFQD7 -YkAV4A0FAFiHr2P+WgAAAPuPAAw//vYACJ0MDUkUbZkFCCCGDAJj79oIBcEBAADpTAwFSMEAAPWf -7UESAJ0AK8z+bbkFCECGCQJlY/2UAABsEAYoIAUc73H33t4F4BpFAPsACp0iAJ0AKyBOiciwuysk -TvcgCMiSAJ0ALnKu7e9pFxFxgAArcq0t0n8NuwHmtAAFkSmAALCe7sYIJYg5gAAvIBSz/w8PRy8k -FPXgEMXSAJ0ALiBz+EOoFe/69QD7wAQA0AsVAOC4GgdoBQAA+xcADTTdAQAtJHMJiAEIuDkKmQHp -Jh0sBw4AAIoifKcEKCBOyY99pwgrIEwpIE57kxTMbCwgFO0iAi5YHAAAZNHJwCDRDwCOJ8fzD68B -7yYCJ1DBAABYdQzj700VATmAACigANMP0w8DiAooghDsoActWASAAPpAaB2gDUUAC4AAZa/ciSfT -D2SfpiqZFMqniplkr5wooAADiAooghDsoActWASAAPpAaB2gDTUAC4AAZa/gY/96AAD//1QNoAoF -AMCgWbpqHO8hicj5P/bokgCdAMBgKSAUs5n4QoYd7/weAAAAAAAAAADqJAAK2ASAAFiFeNKg0Q8A -KiAFKyAHwdT9QA5lYbsBAAUFR/igDnlSAJ0AkxCNNp0S7e8NHZgEgAD1YAmKEgCdAAy6EaeqLqKe -98ANnNIAnQAqop0NvAoswr8MqgFkoT36QAgV4AwVAFgiWx3vASkhCRjvASshIi8gBx7vCywhJPlm -AA2w/xEA6BICL/qCgAAPzAIOzAIspgCOIJui+UCmFaAPJQDppgMvdgKAAA/uAv9AJhWgCQUA7QAV -BVBhAACxmeqDHgyP6AAADDkR9yAARPAIpQAolp30v/K5EgCdAIon60QACmgEgAD7RAAVoAwFAFh9 -Z2P+Ov/3bA2gCwUAwLoLmzTrxggrbwYAAGP+2+okAAtYBIAAWAh5/d2kBa/3dgCKJ8Cw+0QAFaAM -FQBYhfwZ7t6ZoP5ACBWgG8UA+d24BaAMFQD5QEYVoA0VAP3AABcwCBUA6O4CDXgEgADu9gEpUASA -AFiG6cAg0Q+JyPcgBKCSAJ0ADDoRp6ouop73wAVU0gCdACqinQ0+Ci7ivw6qAWSgmLCfn8hlrsGI -IusWASQE4YAA+L/sOVIAnQCKJysKAPtEABWgDBUAWIHYixAe7rqeoIwgHe66naL9gAAWMA0VAA3M -Apyhi7b7QGYV7/VKAAAAAOtUAAlQBIAAWIT+Y/08jzP+IEYV7/jWAP/5ZA2gCgUA+iAmFeAKBQBZ -udwc7pSJyIsRHe6T+T/6uJIAnQD//cgNoAoFAADAoMCKCJg0+YEGFa/9igAAAADqJAAF2GEAAPwA -Ih2gDaUAWIauY/9RAABsEAQoIBTvix5qGASAAIon+mBoHeAMBQDqrCAp6ASAAFh9BdKg0Q8AiyJz -vksU7neKSGqhRxvudCyyrsrHHe51LLKtLdJ/DcsBfcAbsK6eSH3AIS8gFO8kFC/a0AAA2iBYCBFj -/6fAsMCKCKg06EYILf8WAACJIsmVwCDRD8CgWbmrikhroa1j/+oAAAAAAAD6QGgdoBvFAPwAIh2g -DRUAWIaEwCDRDwBsEAgsIg8vIAcoITQnMgf+Q0QVp9UBAPm/wBXgCxUA6bk5CbAEgADrIgkqUASA -APgghhXgBBUA6Hc2D0/CgAD3IlIN4f8BAAsJQvEgcA3ijgEAZIJywbTsex8OlHQAACwgT+kgTSZg -BQAADAxHLCRP/SAUo6IAnQAsIAX7gBL9YgCdAI0i+iAGFa/7NQD9YA5Y4gCdACgiGY4y+cAU1SIA -nQCJOBjuMxzuMOSSYm/fgoAAmhCYEay76O4qFUgNAACZE+sWAi+nAoAAqET14A0iEgCdAIsTKkKe -+0AbG+IAnQCKEilCndMPKqK/CpkB7pQABJJxgACMKYsqDAU+0w8Muwz1YAnb4gCdAC0aAPWh8g3g -+PUAKyAW+WAZdSIAnQAsISIZ7iUoIQcqISQrIQn8QPAV6ogBAOmqAgxDAoAACLsCGe4eGO4PDS1A -6cwCDuqCgAAI3QKd4IkgnOKa5PvAZhXgClUA6+4WHM4CgAAKmQKZ4YgvmOUsIDj3wQYV4A0FAP3A -5hXgCiUA/dwcBeBJJQD4wIgVoMwZAAyaOZjpDNs5jWWd6h3uCPzAyBWgCQUA7OYLI4fhgAAKnBAN -zAKc7IwRiWiX75nuiGko5hCNai3mEewAFQdJIQAACQCKiGf44AujogCdAB/t7Qq9Ag/dAp3mwMX8 -k6YVoQkFAPUh8g3g+PUAKyAW+WATpSIAnQAsIhmKKSsgTykgOOWqCAZgBQAA7CYZJdv9AAArJE+a -KfMgD4+SAJ0AixRlse/AINEPnxWfFp4X6iQACtgEgABYha+OF+8SBSV1kYAAjBRlz9uKJ9sw7BIA -JVDBAABYgLLAINEPGu28iqj3QBCIkgCdAIwTK0Ke/WARQ6IAnQCLEilCnSuyvx3tswuZAeSSFGVj -/QAAnNjulAAM8mYAAGAAjiggOfEf+A4SAJ0A//vkDaAJFQAAAAAA85/sSFIAnQAJ5wz2/YAV7/X6 -AAAAAMGze8kUKSA6mhD+IMYV4Az1AP0gEP0iAJ0A6iQACtgEgABYg/rSoNEPAPAAGA2gGtUAwKGM -NyshCY04jjLrrxEN3QKAAA+7AuS7AglQBIAAWIPewCDRDwAAAP//WA2gGoUA6iQAB9hhAAD8IGgV -4AwVAFiFrmP/BQAACrgCmObA1fyTphXhDAUAdcsNKyAWKQr/+WAONWIAnQCKFGShXYtqjGeKaat7 -B8wMnGf3YNIN4A4FALGqjGWbaotmmmms6qt7d7sBsaqOKZtmmmUtIDil7p4p86AKN5IAnQCJJyiZ -FMqCi5nJvhntdyiwAJ8VnxYJiAooghAssAf6QGgdoA01AAuAAI8ViyLHo/tf8oDiAJ0AKCE0h2cu -IRqKL4sp6Hc2D2fCgAB3ywoLCULIlA4LQmSwtMHU+v4ADrAMFQD8IIYVr/KuANogWIHqY/4Kiifq -rDArWASAAFhr3dKg0Q8AAP/yqA2gCQUAAACfFZ8Wnhf6QPAVoAwFAFiFCo4X7xIFLWX+AADqJAAH -2EkAAPwAIh2gDQUAWIVlY/3gAAAAAADqIAcq4ASAAFiE02P9gZ8V/iDGFeAKBQBZuHoa7TKKqI8V -+V/u2JIAnQD/9+ANoAkFAMCQHO0swLoLqzT7gQYV7/eaAAAAAADzX/o4UgCdAAnnDPb9gBXv/PIA -iiefFe8WBinYBIAA7BIAJVDBAABYgBLWoP4gqBXv+hYAnxXvFgYpUASAAFiBsv4gqBXv+rYAAADB -U/pAaB2gCwUA/AACHaANNQBYekUrIAWPFooQ9X/ahWIAnQBj/SGfFZ8W6iAHKuAEgABYhKT+IKgV -7/iuAAAAAAAAAABsEA6THJUaiC+KKS4hGichNC8yBPhA8BXntQEA+3/AFeANFQAL2znrFgsp4ASA -APfhAA/xmQEA5yAFLzfCgAD+wfIN4qoBAPFAcA3ibgEAZGRFwaQI+o364CNtIgCdAI4iixz1xJwN -4AqFACuwAZ8S82AgdxIAnQCZEZkYGOzj6+zkEmgNAADtFgksrwKAAOhVCAzXgoAAq6rqFgcicAUA -AIYYnhaLGfTABfoSAJ0AKlKehhf7QAmD4gCdAC9SnSZivwb/AWT0JRns0YmY9yAJAJIAnQAqgq7T -D2ShOxrszSOCrSqifx3syQo7AesWAyTb/QAA+mAJLiIAnQCb2PpgHrYiAJ0AhymGKgwDPgdmDPLA -CPPiAJ0AKRoA8yIyDeD69QArIBacEPtgCTUiAJ0AG+zPKSA4+9mYBaAGBQD34OYVoEcFAP2AiBXg -mRkACXY5Cbo5ixKaFJYV/WAR4uIAnQCGG2Rh1WABBRnsqImYapEwixkqUp6PF3ujTS1SnS/yvw/d -AZ0ThhPr7KAU0/0AAO9kAAMB+YAAmrhl/ylgA0wAAJ4d/CAGFaAKBQBZt98Z7JeJmIwQGOyU7hIN -JI7TAAD//1QNoA8FAMCg+iBmFa/+3gAd7I7AugubNPuhBhXv/u4AAAAAAP/7ZA2gDwUAAJ4dnx78 -IAYVoAoFAFm3yxnsg4wQjx6JmI4dGOx/+T/2GJIAnQBgAr7AoJoTixMW7HvA2g2dNO1mCC323gAA -YAKlnh2fHpwQ6iQACdgEgABYhFyMEI8e7hINJXZpgABgAl2eHZ8e+kDwFaAMBQBYhDOMEI8e7hIN -LXY+AABgA8ScEP4hphWviQUA6SQ7JjhBAAAHAIbnEgInsIEAAAYCYY3Hl/iKxInGrX0HqgyaxHfb -CZ4d7BYAJMgFAACMEosQhhWOFJm2nbcG7gLtIg8pUASAAFiCto4dGOxQjBD6s6YVoQcFAHN7CCsg -FikK/3m5foopKyA4o6rqJgkoBAqAAPNgBCeSAJ0AJiE0j8QrIRqJL+b/Ng3vwoAAf9sKCgpCyKQL -DUJk0HP+IEYV4B1FAPn+AA7wChUA+iFmFaAGNQD2IUYVr/W2AAAAAAAAnh2KJ58eixzqrCAqYASA -AFh/Xu8SDi1gBIAA/iGoFa/8UgDqIAcp4ASAAFiDxYwQGOwm/iGoFa/9tgDaIFiAv4wQGOwijh36 -QSgVr/3CAHGeiAq/DP/9gBXv/goAF+wkG+wkHewpBqkChhsa7CjtmQIGQEEAAOVhVGfogQAAJRYQ -kx8lIAeDwAUlQOozAQqqgoAABTMCBzMCJyEHKiEiJiEJ9EHoFep3AQDrqgILuwKAAAdmAichJJPw -gyCW85n2mvL14KYV46kBAOUSEC1SAoAACncC5/YEKZ4CgAAD4wLj9gEiU/0AAOMSDyIMNQAAbakF -CACGDQJhJiAUpGYGBkcmJBT0wAgd0gCdAIgW+LOmFaEHBQDy4fIN4Pn1ACsgFvlgCLViAJ0AyD+L -KSogOKO7mynzQAffkgCdAIwbZcDXwCDRDy0gTmTb7wroAvhARhWgAIYAAAAAAADzH92wUgCdAArv -DP/9gBXv7q4AAAAAAADrEgwpUASAAO0SCipgBIAAWICl0qDRDwDrEgopUASAAFiCMNKg0Q8A+kBo -HaAbxQD8ACIdoA0VAFiD8mP/wYsR+kBoHaAMFQDtEgkl2GEAAFiD7GP/qQAAAAAAJiA7ZGB3K/qA -KyQ77BICLlgEgAAIIIYNAmPs9ggs8ASAAO0iDylQBIAAWIIZ+iDGFaAMBQD8R2Ydr/vKAOsSAylQ -BIAAWAVVY/7yiifrRAAKaASAAPtEABWgDAUAWHoz0qDRDwDaIFiAQWP/AOogByngBIAAWIM/Y/7f -AAAAJyEHJBYRJiAHhMCTH/JERBXgZhEA6kQBCzKCgAAGRAIW66AsISSKJwZEAiYhCfpmAAn6dwEA -66EVK7sCgAAHZgKHL5TwhCCX9ZPy9+BmFaM5AQDp9gYpmgKAAAPMAuMSDyomAoAABOQC7PYEJVCB -AAD14CYVr8wFAAyqAaq85BIRJmEBAADsiz18SASAALBIDIYRppZ2wzb0n/CIkgCdAG2JBQlAhg0C -ZWP+AIsR+kBoHaAMFQD7YkAV4A0FAFiDm2P+YgAAAPsPAAz//w4ACcwMDEgUbYkFCWCGDQJn780I -BUkBAADoTAwGwIEAAPWf7diSAJ0AsM5t6QUJgIYIAmlj/agAAABsEAYoIAUjIAckCgP9D0BEUTMB -ACggImSAbwIqAlh3/P1MwIDQDRUALCAhGOtTDwIA7DMRBn1WgACoMykyng8CAG6TRSsynWSwP/pA -CBWg/uUADs4B/cYADvAPBQD8RCYd4AkFAPggBhXgDAUA+CAmFeAOlQD4IEYV4A0FAFh+GvRzphWg -AgUA0Q/AINEPAABsEAoqIAX4QPAV4AwVAPhgaB2ntQEA6BYAJdv5AADryzkKGASAAOsWBSwgBIAA -/UHABFGZAQDBw/1AICUiAJ0AjSLv6ysenBYAAOvrJxGwEQAA5hYELPeCgACv7u4WAyzXAoAAq6rq -FgcswASAAIcX9QAEIhIAnQCKFCdynoYTjxf64AdbogCdACZivy/ynQb/Ae8WBieaEYAAJSEbikKH -KYYqBaU29U8ADnELBQB8swHVoJgaB2YM9MAF4+IAnQAqGgD1QjIN4Pz1ACsgFpga/WAF/SIAnQCK -QvqgDnqiAJ0AjBUb6xyHQ5ga63cBBgj5gABgALYAABrq/Yqo6BYKJQzfgACLF4wUhhMrsp6PFyZi -v3yzQy/ynRzq9Ab/AeTwOWVb/QAAm8jvFgYv+24AAGACowAAAAD4IWYV4AoFAFm2Mhrq6oqoiRvo -EgolDt8AAP//TA2gDwUAwPAc6uTAugurNPuBBhXv/wYAAAAAAP/8eA2gDwUAmRvqJAAK2ASAAFiC -xIkb6BIKJXmpgABgAjUAmRv6QPAVoAwFAFiCm4kb6BIKLXmWAABgAxrw4ASIUgCdAC0hGowplxj4 -IUYVou0BAOkWCy8EFgAAlxj4IUYVouwBAOkWCycDgYAAmBrpFgsu/8KAAHX7Xg7VDPnVtAWgt+kA -5kIDLdyCgAALeQKZGAhmAfaAZhWgAQIAiieZGysSAOqsICngBIAAWH3iiRv4IUgVoAslAOukAi0g -BIAA6qICKAQKgADy//u4UgCdAIwplxiYGpkbjhiPFuWtDApYBIAA5cwICVAEgADtRgIq6ASAAOwm -CSngBIAAWH39iBqJG48X+/OmFaEOBQB16wgrIBYmCv92uQzAofogphWv93YAAAAA6iAHKuAEgABY -gi+JG/ghSBWv/4oAjykY6quJFqX/nymMQ4tAjRXnxAAEyIEAAPwOAAU36wEA7hYBLojmAAAnIAcH -B0EIdwoncp/urRANU8KAAO2qAgJAQQAA6ncBAdP9AADnxwIBjD0AAG2pBQgAhgkCYYtAwICYEhnq -lhrqlS8hGoYWHuqSJCEHGOqP/CAoFaHXMQD/oABGukQBAO3QgConAoAA7MwPJnBBAAD4hgAKNMwd -AORmACZgBQAADDwMFOpqDV0M6CIAL/oCgACfZpdnnmOdZQykOQmJAulmBCxGAoAA5GYCIdAFAAAI -qAKYYSYgFONmCA0gBIAA5iQUKAQKgADzYAQakgCdAIgX9ROmFaEHBQD04fIN4Pn1ACsgFvlgBR1i -AJ0AiBLSgNEPAIoVZKCjwCDRDwAAAAAAAADqJAAE2GEAAPwgiBXgDBUAWIJqY//Ziif8ISYVp9tB -AOqsICgECoAA9aAEYdIAnQCMFisKAezMICnoBIAAWHjAmhL6gAgV7/vOAACLFuxNEQlQBIAA/WAA -RfAMFQBYdqT0gGAVr/2iAGW7/Plf38jSAJ0ALyAg8f/fd5IAnQBj/3MAAAAAAAAA6iAHKuAEgABY -gb2IEtKA0Q+KJ9ww6xIAJVCBAABYfUrAsvtARh3gAgUA0Q8AAAAA6zQADjgEgAD8YGgd4AwFAFh4 -ndtA7DQACugEgADqFgIr8ASAAO8SBilQBIAAWH1p+oAIFe/7FgDqJAAE2EkAAPwAIh2gDQUAWIIu -Y/7pAABsEAiSFJMVGeoRiED4IEYVr8sFAOsqAQJwIQAA+iBmFaeIQQDkgcBiUBEAAI8TLSEFqYws -wACv3wTMC+/8QC5YBIAA/4ANiuIAnQD6ICYVoGgBAP4AIh3gDQUABv04C98L690KB9ghAACCFZ4Q -+QAARXAMJQDyQQAV4A8FAPJAgBWgAg4AjRQOVQz/4CAVoAMFAO/kAARABQAA8Q5gDeB+AQCGEyKg -AC3RBQQiC+bWCAlYBIAA4hYGIzEBAAD2QAZ6ogCdAAgGQPIAIh2gDQUABi04C9IL690KAVghAAD3 -IBAVoAIVAAcjOIcVB2YLF+nopzcncKAGMgoGMwvsfAgBmCEAAI7QCwCJBe42LiYAAwCLItIA6qwB -JMgFAAD0X/sj4gCdAAUpDA4qDPugBhWgBxUA9WAoFeAGBQAJdjgIaAgisgAF5QgltgF+WwIiLAHi -tgAmfRKAABbpz4sSHunO5rYBB5AFAAAGIgKGFe67AQxuAoAADbsCkmD6gAYV4SwdANEPixD8ICgV -7/1SAAAA/E8ADf/8xgCFFRnpnwXFC/gAChXgAgUAsSLlgx4JD+gAAB3puIYSGum47W0BB9gFAAAN -uwKNFepmAQxOAoAACWYC69YAJhAFAAD2gAYVoSIdANEPAAAAAOoWAS1oBIAA+8BoHe/51gD9jwAN -//k+AGwQDPhASBWgCgUA6yAHKcgEgADygGgd58UBAP2fwBWgBBUA7Ew5DLgEgAD8ISYVobsBAPMb -XA3gDAUAmhacFZkTmxSbGxjpcR7pchXplJUY5eluHe+CgAAO3QgtFgouIBbt6Y8dpwKAAPSAAEJw -//UAf+ETAioCWC0eGOlkHemI6hYIJSoRgABgABcAAGZjy/jAHyiQ+vUAKSAW+yAZTSIAnQCJiPcg -BhCSAJ0AK1KuHOlZZLDRLMJ/K1KtDLsBZLDHsJmZiBzpd2SzSyzAgCzMN/4haBWkzB0ArDzrFgIm -YB0AAPXABYISAJ0ALkKe/cAIK6IAnQCMGitCnSzCvwy7AesWACWZUYAAKnEMiXeZEf1ADqxiAJ0A -LHAQ63IDJglBgAD5n/so0gCdAC5yA2Tg0I8WZfGwhhGPGI0U7hIAKVAEgADm/zYL2ASAAO8WASng -BIAAWCrUGOktHelR568ubTAEgABgAvIAAMCgWbRvGOkniYgd6Ur5P/mIkgCdAP/9CA2gCwUAwLDA -qgqZNPkBBhXv/M4AAGqRJCtCnnyzQYwaK0KdLMK/DLsB5LA1ZPP9AAD/AQYVr/0qAAAAAAD8IaYV -oAoFAFm0WBjpEImIHek07BINJI8TAAD//IwNoAsFAMCwwPoPnzT/AQYV7/xSAAAAAAAAAP/8GA2g -CwUAAAAAihjAsZsW+V/5KuIAnQDA4J4W+V/4yuIAnQDrdAAJUASAAO0SCSngBIAAWCsQ/gAiHeAH -FQDnFgktOASAAP9AZhXv+7oAZLBJjxX+ACIdoAwFAA/sOGTAjIgRhhjqJAAL2ASAAO0SBCngBIAA -6GY2CPAEgADmFgEg+BEAAFgrnOjo4h0wBIAA/dIKBe/3/gAAAACLGA8CAA8CAPlhNg3gDAUAeaMB -wMH4ACId4A4FAAyeOOwWBSd8kYAA63QACVAEgADtEgkp4ASAAFgsOvdAaB3gCxUA+iEmFeAKFQD6 -4GYVr/2eAIsQFejnKiEHiXAc6OP/0ZQF6qoBAP9AABU4mQEA7KoCBMA9AAD8ISgVpIgdAOq2ACRA -CQAACDgMjiCZs+hfOQGz/QAA77YCL3YCgADubgIFqEEAAO62AS4O5gAAiBPojCAhlFUAAOo8/irI -BIAAbakFCACGCQJhKzz+DLsRq1ubECggFCwgBKOI9YAImRIAnQAICUcpJBT1IAouUgCdAIhyKCYc -iXHoFgctqASAAPMgCjBSAJ0A8TX4DeAHBQCnZiZGnSogFisK/3uhCusSASlQBIAAWC8MjBllwOPA -INEP6xIBKVAEgABYLwcuIBYY6I390WIF4P/1AP/f5RxiAJ0AY/yHiBllj9IqcBDbcPxgaB2gCRUA -+1/gFaANBQDqnTgJUASAAFgpxsAg0Q8AAAD6QGgdoBvFAPwAIh2gDRUAWICiY/+9AAAd6J0t0IDr -EgQm6N0AAPpAaB2k3R0A/GAARvAMFQDt3Acl2GEAAFiAl2P/jy4gFi8K///f+vRiAJ0A6xIBKVAE -gABYLt/AINEPixAMbBGsu/ogBhXv+5YAKCQUjXDxv/i6kgCdAPpAaB2gDAUAWHTS9sBgFa/8EgCK -J+s0AAnoBIAA+0QAFaAMBQBYdt/SoNEPAAAAAAAAAOsSAilQBIAAWAH1+iAIFe/6wgAAAAAAAOok -AAxgBIAAWAN4iBeJcZoc56QADV8CgADrVQgE9U2AAOtUAAlQBIAA/QBoHeAMBQBYAz33QABD//o6 -AIon/KBoHaALJQDqrCAp6ASAAFgpuCtwEPl/8TjSAJ0AKXAVCQhFZI4ZK3EJHOhWKnEML3ARjicM -qgyr/w+ICf3CpBWvzQUA7uwgJHiJAADt7gEEQEkAAAr4Oah9rs7u7EAm6IEAAO7bWn7QBIAADuow -G+hGLaEB/UAEFaH5MQAL/worIhfv8p8uZAKAAAzdAgvuDA/uLK7dqF79wCQd792BAP3ABB3v9nYA -ixT6QGgdoAwVAPtiQBXgDQUAWIA2Y/4NAAD9rwANP/6aAGwQBCMgACQK7XQxBiIhA7wi0Q+EIYYg -8kBoFaAIJQD3ZAACsJRxAPkPAAxzNgEA9GAAQfNmgQDl6CMcAQqAAABmGvZgAQG9RAEA5SIBAag5 -AADlIgwBmGkAAAQkLAQzKKMi0Q9sEAiKIicgB4kwlRX4QtAVoXcBAPFdTA3omQEA+CAmFeD89QB8 -gR0FC0f7f8AV4AkVAOubOQlQBIAAWC6G81MwDeD89QAa596IqBbn3PcADZiSAJ0ALmKuGefcZOHb -KZJ/JWKtCVUBZFHRKIz/KKYI6VQAAo2BgAAb5/glsIDt59ESqN0AAPggBhXkVR0A5UUIC88CgADm -mQgCqA0AAPTgCJISAJ0AKJKe9QATO+IAnQAlkp0NeAoogr8IVQFkUYgpIBb9IyYNoOvVACowEPtA -ElRiAJ0AKzELvLvaIFguMSggFCwgBKSI9YAMQReYAQApJBT1IA4uUgCdAIoVHufPjREoIQcc57MZ -58v/oeAV6ogBAP8AABQ0/x0A6YgCB/gFAAAPTwyYUIsgD+w5/KBmFeeqAQDsVgIt3gKAAOtLAgLI -QQAA61YBIcBBAAD5QAlxUgCdAOhBDWJT/QAAbakFCACGCQJhwICYFOkgBCJb/QAADLsRq1v1IAkJ -EgCdAIgyKCYc6TIBJdhBAACbEygWAvMgCbhQBQUAZpFQpUyIFAx9Eabd7NadLBAEgADRDwAAAAAA -9wAOkJIAnQAMeRGmmS6SnvXADvviAJ0AJZKdDXsKK7K/C1UBZFHNsI2dqGVe3WAAYwAAAAAAAADq -JAAJ2ASAAO0SBSpgBIAAWHxD0qDRDwDAoFmythrnbYio+R/yGJD89QD/+VgNoAUFAAAAAAAAAPpA -aB2gG8UA/AAiHaANFQBYf4xj/7HAUMDqDog0+UEGFa/4rgAd54Mt0IAt3Df64wAV5N0dAO1NCAlQ -BIAA/aBgFeAMFQBYf39j/3sAAAAA+EKGHa/6DgAAAACKJ/0gaB2gCxUA6qwgKmgEgABYddb6IIYV -r/tKAIsw82AIopIAnQDiEgQr5wKAAKbMJMad0Q8AAAAAAAAA6xIAKVAEgABYAOVj/jAAAOokAAxg -BIAAWAJqiTGLE4gS7KwRDSgEgADsuwgE9Z2AANog/QBoHeAMBQBYAjGIFKWlpUwMfRGm3ezWnSwQ -BIAA0Q8AAAAAAP/2lA2gBQUAjTWMNB7nUPpg6BXgCSUA/HAAB7CtcQD7LwAMu4whAPsgBADTzAEA -6MwID/gKgAD/gAEGfd0BAO67AQZwOQAA7rsMBmBpAAANvSwNzCj9YABFv/W6AAAAAOokAAPYSQAA -/AAiHaANBQBYfzhj/mHAoFmyVBrnDIioHecM+R/xCJD89QD/+PANoAUFAMBQwLoLizT7QQYV7/i2 -ALBLDLsR61sICVAEgAD7YgAV4AwFAFhzdLNM4hIEK+8CgACm3SzWndEPAGwQBIk3F+cfKzAW+c4s -BaMqBQAKKigLtgnoqAgLNwKAAKhmGOcZp2fkcr8pAQqAAP74CBWgDBUA6GYIDmgKgADmQRh0wCEA -AIsymOCek5aSDbsCKHbAmzLRDwAAH+cMr68p8r0AsQTt8sEucAqAAA6ZAvn3phXv/vUADt0DDZkB -HucE5XLAKW8CgACu3ZnQjzKYUOaGACkBCoAA5YYBLiAKgAAE/wIodsCfMtEPAAAAbBAKGeb4CSkK -KJJ/4hYIKWgEgAD7AAQA0AYVAOYWCisoCoAA+CCGFeBVTQAS5u8b5u8c5uAY5r31zdwFoyoFAOra -KA6/AoAA6ZJ/Juu5AACdFaSkqHesrJwWKHK5JEKf66oIBMv9AAD6IOYVo5kBAPghJhXgYwUA+IAE -AjAAbgAAihrAsP3/4h2gYwUA7FUDBQLxgACbGi1yuAReAQ7dAZ0QAQCHAzZgaD7VihiLF40ViBbj -OQkB8oEAAO4WCSzPAoAA+QAARH//9QDijAgEQv8AAODhBARCkQAA6IKfK0gKgAAPmQMJRAELgABj -/6eKGYsUsaoKCkMqtn/RDwAAAGwQBBvmvCoiAA8CACuyfx7muvtPAA1zLwUAD68oDv4IKeK/KOK+ -/c1qBe/79QALmQPpiwENZwKAAP2AAEZ/9PUA/YAIFaADFQD5DuAd4A0FABnmqxjmmPkAAEZ/LAEA -7PwIBf1EgADLKQjqMCnCvy/iwAmIDOj7E36BCoAAL+K+ADgaBIgDCP8BL+a+/aAgFeG7HQDksCxm -YMEAAH+3FGP/xAAACeow+ZfmFe//hgAAAAAAAP2gIBXhux0A5b/cZmDBAABYLtXAINEPAGwQBCYh -CfhCkBXv+AUAJyAV6JgBCzYCgADomQwLuQKAAAdmAvhChh3gBwUAJzQA+GBmHaAEFQAEZgKWMRXm -SSRWrdEPAAAAAGwQBBbmexXmU9MPpiIFNQIlJoAkIoBnQAttCAUoIoBngAJj//PRDwBsEAQT5nIi -Nopj//wAAAAAbBAEKCAFJSAH+mCoFa/01QD6QEgV4AMlAP0BIBHRVQEAwCDRDwCIKZorDwIA+wAI -PCIAnQAa5mIKWgnpofwlUAsAACqhAPsgBLOiAJ0A82AEcBIAnQACKgJYcrgrIgIPAgADugFkr7iK -JwS7AesmAiVQwQAAWGvq4+YrFQE5gAAooADTD9MPA4gKKIIQ7KAHLVgEgAD6QGgdoA1FAAuAAGWv -3Ikn0w9kn3YqmRTKp4qZZK9sKKAAA4gKKIIQ7KAHLVgEgAD6QGgdoA01AAuAAGWv4GP/SgAA//9U -DaAKBQDaIFhypSsgIuq7DAlQBIAAWHPv2lD6ACId4AwFAFh1nIsiA7oB83/65mIAnQAvIAfaIPwA -Ih2gDQUA9WAEBzG/AQDuJgIl2H0AAFh+GMAg0Q8AAAAAAAAA6yAiKVAEgABYc9sqIAXBg3ihDGio -KYsi82AEBX/8RgApIDrAv3uZ6vpAaB2gCwUA/AACHaANJQBYcxJj/9cAAPpAaB2gCwUA/AACHaAN -JQBYcotj/78AAGwQCogrHeX7LiAhizf8YMgVoP/lAA/uAS4kIQ3MAQy7DOuJCHjIBIAAwCDRDwMA -hgkCYZsVKCAFJSAH+CEGFe/01QD8QEgV4AMlAP0bQEHRVQEAiikc5fabK/tACBRiAJ0ADFwJ68H8 -JmALAAAswQD9YASjogCdAPOgBGASAJ0A2iBYckqLIgO6AWSvm4onBLsB6yYCJVDBAABYa30KqwLj -5b0VASmAACiwANMPA4gKKIIQLLAH+kBoHaANRQALgADrpAANfx4AAIknZJ9aKpkUK5IJyqhkv08o -sAADiAooghAssAf6QGgdoA01AAuAAOukAA1/LgAAY/8tAAAAAAD//0gNoAsFANogWHI3KyAi6rsM -CVAEgABYc4HaUPoAIh3gDAUAWHUuiyIDugHzf/rOYgCdAC8gB9og/AAiHaANBQD1YAQHMb8BAO4m -AiXYfQAAWH2qwCDRDwAAAAAAAADrICIpUASAAFhzbSogBcGDeKEMaKgpiyLzYAQFf/w6ACkgOsC/ -e5nq+kBoHaALBQD8AAIdoA0lAFhypGP/1wAA+kBoHaALBQD8AAIdoA0lAFhyHWP/vwAAbBAEHOWe -KzIEKTAW/WAEBbWZHQD1IAgAkgCdAOrlmRSIgYAA/8swBa/95QDk5WwUpLUAACyhfmmVHXyzCirM -BPtgCKOiAJ0AKyAGsLsLC0frJAYlgtGAAMAg0Q8ALKF+0w/sswx2eBEAAP9gB9PiAJ0AKCAGsIgI -CEfoJAYsftYAAIkniyIqmRQNuwGbIouZZKC0KLAABIgKKIIQ2iD9YPAVoA01AAuAAMAg0Q8AiyKK -Jw8CAA27AesmAiVQwQAAWGsFya0ooAAEiAooghDsoActWASAAPpAaB2gDUUAC4AAZa/giSdkn24q -mRRkoGCKmWSvYyigAASICiiCEOygBy1YBIAA+kBoHaANNQALgABlr+Bj/0EAAOokAAnYBIAA7EQA -CugEgABYcyXAINEPAOokAAnYBIAA7EQACugEgABb/0HAINEPAP/9HA2gCwUA//50DaAKBQCINyLi -fwmIEfhAAEE/+5IAiDci4n8JiBH4QABBP/v6AGwQBBrlKSiiy2SACwnqMCuizAuZDGeQAdEPWHVE -0Q8AbBAEHeU7JyAHHOU6/kEEFeDnEQAO3DmcMIgg+8n+BeAKJQD6YEYV4BkFAOk2AyxGAoAACokC -+GAmFeF3AQDmIHkrvAKAAPfmAA9wDQUA/AQCHaALNQDp5SgTAjmAAJ01nDMLigIW5SWaMRrlJQbu -AiYhCZ40mjYEZgIiIAedOZU7+MYAC3EiAQDmNgopFAKAAAL/Agn/Au82CC2QBIAA0Q8sIQgrIQmd -NZU3B8wCBLsCCbsCCcwCnDTrNgYtEASAANEPAGwQBBjk9R7lCSwgBx3lCRnlDPpBBBXg/BEA/80A -DvHMAQDtNgAuZAKAAAy7Agm7AuOAgCmwBIAAHeTH/EAIFaAOBQCeZe1mAiG43QAA+sCGFeR3HQDq -fP8uZgKAAOx8Ag1XAoAA7GYBJVPhAACaYwIEiZlmI2YHBiCLJSEJ9MFmFaQzHQDlZgorkASAAOiA -BQMowQAAbTkCBQJh0Q8AAABsEAYd5OgLKxGtsyoyfxnk5hfkxIigwED44ABE8AYVAOm5CAQBqYAA -LDJ4LzJ7+YAFfGIAnQBl8RQsNnwrMnkrNnvdQA3kFgECAJSgDeQWwMn8QAXcIgCdAC8ye8HA7eTT -F4ORgAAiMnwqIQSOIPPh/g2mugEAJDZ89G9mFaAAHgAuNnztrwEFw/0AAAj/Au8lBCWMWQAAIjJ8 -sMzvMnshAPGAAMnGY/+/2iBYdV9loMIqIQT/QQAMFpoBAMiX0Q/aIFh1UtEP2iBYdRTRDwAAAAAA -APpAaB2gCwUAWHXi0Q8uLPjq0ogvAQqAAPzAAQXf/PUADLsDC6oBKtaIWbTIJDZ8JDZ7+m/oFa/8 -4gAAABXkZC9QYWTwalmrLVh0yyhyy9MPyIFYdKApUGFknylYdJrIrhXknyxSfrDM7FZ+JgLJgABY -dCFj/w4AAAAAHOSZ/m+IFaAKVQD8b0gV4AtFAO0WACFr5QAAWbL3+m/oFa/7MgAuMnviNnwveh4A -ACI2e9EPH+SNL/KucfaL9qwmHa/+IgAAAAAAWar0+q/GFa/+kgBsEAQU5IUZ5H/o5F0ZXsKAAKS0 -I0J/qYjouAgBgiGAACoyAHipAipCexzkdysxBCpGfwy6Aeo1BCnQBIAAWHURzqkpMQT/IQAMFtkB -AMjX0Q/aMFh1BdEP2jBYdMfRDwD6QGgdoAsFAFh1ltEPI0Z/0Q8AAGwQBPBg4A3v+fUAiCIJOQMJ -iAGYIoonKqwwWGnq4+QqFQEZgAAooAADiAooghDsoActWASAAPpAaB2gDUUAC4AAZa/giSfLkiqZ -FMqlipnJrSigAAOICiiCEOygBy1YBIAA+kBoHaANNQALgABlr+DRDwAA//9cDaAKBQDRDwAAbBAI -FuRJG+RJHeQg9cf8BaAYxQDjLOgl04EAAPhADcwnMwEADDURpFXoUp4pZsKAAKbEKUB/+QAQU+IA -nQAoUp1kgf+bEeoLHg1IBIAAmRAKIIYLAmULAmMNAIcJAmEJAmHtxwgJAQqAAP/IYgXgDhUA4+P1 -H3AKgACeE6/P/iCGFe//9QD/1wAPcAZFAP4gRhWgAMIAAAAAipnJrSigAAOICiiCEOygBy1YBIAA -+kBoHaANNQALgABlr+ApQiBkkO8tQHwsQH0e5BsN2wkHuwru3ggF2F8AAIqyLuCAZKE4/cf+DaAI -FQAvCgANjzgP/wkH/wov/Rcv/Jwv8hssCgEM3APx4SAN58wBAAzLCQe7CuxEfCXYXwAAwND8j6Yd -4AwFAI2w71KeJvP/AAAu4P//4AR7ogCdAC9Snfbf4BWg+PUA8efADedmAQB4YXTqEgQmQAUAAOhE -fSbj4QAAWHSWiRPSoOsSAiSAYYAAiqILqgEqJgKKJyqsMFhpcsmtKKAAA4gKKIIQ7KAHLVgEgAD6 -QGgdoA1FAAuAAGWv4IknZJ8XKpkUZa7u//u0DaAKBQCMEYsQDICGDGCGCwJpCwJn0Q+PEY0QLkR/ -D8CGD6CGDQJtDQJr0Q+bEeoHHg1ABIAAmBAKAIYLAmMLAmEN4IcIAm/o7AAJ0ASAAFmqV2Svr+3j -ohmvAoAA5FUICWbCgAD3gABCP/kyAMCx+7cADfAMBQD8j6Ydp7sBACtEfAu7CfdgAQXwDAUA+2Lg -Je/7mgAAAAtghgtAhgoCZwoCZdEPAABsEAQY42sCA0cMMxGoMysyhBnjeCiwAIqxCYgKCiGMAgo+ -KIIQAwI+/EBoHaANJQALgAAiNoTRD2wQBBTjXQIDRwwzEQQzCCQyhCpCASZAAChACPqYaB2gqSUA -AgU+AwI+eYEjGONkCGgKKIIQ6lQAClgEgAD8QGgdoA0lAAuAACI2hNEPAAAA6yQAClAEgABYdF3z -QGgdr/82AAAAAAAAbBAEWa23EuNCE+NjDAIAKSKCCRqOA6gKiIQLgABj/+sS44kD6DAE7jAFsTCT -IJQhlSIS44UT40WEIAQzApMgEuODwDAoN0AoN0QoN0goN0wjPQFyM+0S437AMJMgxy8T430DIwMS -43yEIAQ0AZQgEuN7hCAENAGUIBLjeYQgBDQBlCAS43iEIAQ0AZQgxy/AMQMjAxLjdYQgBDQBlCBj -//wAAAAS43KDIAMTFA8zEZMgEuNvwDAjJgBX/9kQ426RAJIBkwKUAxHjbIIQAeowohEB8DHAQATk -FgACABHjaIIQIxoAAyICkhAR42XAIZIQBOQxhAODAoIBgQAA0jABIwAAAAAQ42CRAJIBkwKUAxHj -XoIQAeowohEB8THAQATkFgACABHjVoIQIyoAAyICkhAR41bAIZIQBOQxhAODAoIBgQAA0zABMwAA -AAAQ41GRAJIBkwKUAxHjT4IQAeowohEB8jHAQATkFgACABHjRIIQI0oAAyICkhAR40fAIZIQBOQx -hAODAoIBgQAA1DABQwAAAAAAXJQBXZQCXpQDX5QAQwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFyQAV2QAl6QA1+QAFMAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACclAAdkAGdlAKelAOflAQIlAUJlAYKlAcL -lABDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnJABnZACnpAHHZADn5AEeJAF -eZAGepAHe5AAUwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAANyUAB2QAd2UAt6U -A9+UBASUBQWUBgaUBweUCAiUCQmUCgqUCwuUAEMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADckAHd -kALekAsdkAPfkAS0kAW1kAa2kAe3kAi4kAm5kAq6kAu7kABTAAAAH//9rADSMRD//goAAAAAAB// -/fQA0zEQ//4KAAAAAAAf//48ANQxEP/+CgAAAAAAAPQwCgAAAAAA9DAKAAAAAAD0MAoAAAAAbBAI -JyAHiCIW4j79xHQF4XcBAOWA8WvXAoAAGOI3LoCA5qoIB3DdAAD9U8gVpO4dAK5O6+IyF3AJAAD/ -gAhLoAmlACqinQt8CizCvwyqAeoWAiUH+YAAiNj3AAjwkgCdAC9iruziKBeFwYAAKmKtLMJ/7KsB -BHP9AAD9QAUmIgCdAJ7Y/UAFLiIAnQAvIBSk/w8PRy8kFPXgB65SAJ0A+CBIFeeFAQD5AAdxUgCd -AOg8ECIMPQAAsEptqQUIAIYJAmHAUIgSjTLtJhwiS/0AAOsyASzPAoAAqYiYE/NgCkBQCgUA6hYA -LYtKAACLEOtLCAvnAoAA5swIBdv9AADrxp0qkASAANEP6iQACdgEgADsRAAK6ASAAFh2Z9Kg0Q8A -wLAJjDTs1ggt+x4AAI0iZd/V+kBoHaAbxQD8ACIdoA0VAFh5tGP/v//8DA2gCgUAAACOImXvsC2A -gC3cN/rjABXk3R0A7U0ICVAEgAD9oEAV4AwVAFh5p2P/jMCgWazDHeHdiNj5H/bAkAmlAGP/nNog -W/sdY/8GAI4nnhGI6fvCpBXvyQUA5ekUJ2CBAAAJyQHpvQgKVwKAAOpVDARAQQAAmOkIVTLl5RQm -6QEAAP0ACDriAJ0AaKs9qKsrvPD7oATT4gCdAO8SAiIMdQAAsE5t6QUIAIYPAmErwgELqwjlyQQl -28EAAP1gBsxiAJ0A68YBLcAEgABkUKn1AGgd7/qWAAAAAAAA6iQADuAEgADsFgQsWASAAFv8fIsx -iBONFOoWAC1nAoAA7IgIBfT9gADaIPsAaB3gDAUAW/xDjRDtrQgKkASAAO1NCAv3AoAA5u4IBuv9 -AAAt5p3RDwjdDPogSBXk/R0A0w9t+QUIIIYLAmMrEgLvTwwEwQEAAO27CAeMQQAALvz/bekFCECG -CwJlL8kEDagMqJgojDDoxgEv+tYAACqcQJrB+4AGFaAIBQD5gIQdr/0WAAuIDPnBJhWv+9oAKJxA -+YAmFa/8sgBsEAQc4YUa4YUPAgAtwnYqoX4swpCj3eo6DA7uQoAA/YAARnALBQArxAQrxAVZFMH6 -QGgdoAsFAFv87tEPAAAAbBAEhSODIBThdvhAhBWhVQEA6uF0Gq7CgADkVAgBgLmAAPsABAQ2mDkA -CYgCKCUEIkJ/0Q8d4WsT4Wwf4WwmQn4rIQQuQn+SYJYho//9YAQF9ss5AAy7Aq9f7yYAJygFAAAl -Rn8iRn7rJQQqkASAANEPAABsEASCIwICQdEPAABsEASKIGWgUB3hWuoiAyn2woAArt39r+gV4AwV -APyAQAYxqgEABqoCDcgsCN0oJyUF7cwMBFgFAAD9bQAMP/vFAOuqAQxOQoAACVkCA6oCmiMJiAIo -JQTRD48jG+FGDw9BC/4Rq+stsn8ssn5y2RnZwPMj3g2gDAUAwMAstn8stn76QAgVoABGANjA8wwm -DaAMBQBy0RyNIZrQjiApsn2d4Zwg7CYBJMv9AAD5b6YV7/1mABnhLRjhLamIqOh4oR/qtn8vgSYA -AM2sKrJ9aqIXLbJ7/W/mFeAARgAAAAAAAOy2fyf/MYAA+kAIFa/+qgAc4R4Z4R6IIayZqen5DwAM -8AwFAAnIOPlvxhWv/iIAAGwQBPXCMgXgBhUA9EBoHaACNQD2gEADMAAaALAiKFJ/6GP3cquBAADR -DwAAbBAE9EJIFaQjQQDyWgAJP1OBAAQiCoQmgiEFRCgKRBGkItEPbBAEKSISIyAH1FD4PgAEcAU1 -APUACIiRMwEAF+D098HoBaGJ4QD1AAhwkgCdAPRgBjISAJ0ADDkRppkqkp4HOworsr/3QAiB0gCd -ACqSnQuqAfFPAA3nRAEAKCEHGeDv/8HgBaqIAQDr4O8cRwKAAAmIApigGODs/EAIFeA8BQCcp/tA -phXgGYUAmaP5QEYVoAgFAJim7t0CDv4CgACdpAX/Ap+hLyISD49B6KYIL/8CgAAvpgnt4N0Z9wKA -AAbuCCXmnSwiEioiEA3MAuwmEilYBIAAWNG8aEIYiif6ACId4AwFAPtEABWgDRUAWG7m0qDRD8Ag -0Q8c4LuLyGqxXww5EaaZLZKebtNqKpKdBz0KLdK/DaoB5KBdZfP9AAD/gQYVr/y+AAAvGgAPmQL4 -QkYV7/umAAAAKCoACJgC+EJGFa/7sgCJIsucaEJQwCDRDwAAAAAAAAD/+9gNoAoFAMCgWauGHOCf -i8hrsZL/+4QNoAoFAADAoMDaDb00/YEGFe/7RgAAAADqJAAB2GEAAPwAAh2gDTUAWHhaaUKujCcv -yRSKyf+EABWvyAUA6O4BB/hBAADvxRQlU8EAAOrGCSdxAQAAfqsqKcEVHeCZqpqayZ2gjCD7wS4F -4A0VAOumAi5mAoAADcwC/UAmFaACBQDRDx3gj52gjCD7wRwF4A0VAOumAi5mAoAADcwC/UAmFaAC -BQDRDwBsEBiSEI4gFeCGiSOLIYoiKhYiKxYk+CRmFeAEFQD0IOYVoAgFAPggphWgDEUA/CFGFaAN -NQAtFgn0IMYV4A8lAC8WCPXA8AXgD6UA/iIGFeANtQD8IiYV4AzFAPwiRhWgCIUA+CHGFaAElQD0 -IeYVoAl1APghphXgC1UA+iFmFeAKZQD6IYYVoAvVAPoiZhXgCuUAKhYU9cDIBaAJ9QD4IqYV4AhF -AJgUhhYrEiSJFSdhfiwSIiJhfweZKC9QgAkpCPiciBWjmQEAA5kK7pIAL1AEgAAmYj4tEiMI7ggL -YACOFywSJC0SIgfuKC9Qga4u+JyoFaPuAQAD7gqO4CoWFuoSIy1YBIAAqO4LYACOGCwSFi0SJAfu -KC9Qgq4u+JzIFaPuAQAD7gqO4CoWF+oSIi1YBIAACO4IC2AAjhksEhctEhYH7igvUIOuLvic6BWj -7gEAA+4KjuAqFhjqEiQtWASAAKjuC2AAjhosEhgtEhcH7igvUISuLvidCBWj7gEAA+4KjuAqFhnq -EhYtWASAAKjuC2AAjhssEhktEhgH7igvUIWuLvidKBWj7gEAA+4KjuAqFhrqEhctWASAAAjuCAtg -AI4cLBIaLRIZB+4oL1CGri74nUgVo+4BAAPuCo7gKhYb6hIYLVgEgACo7gtgAI4dLBIbLRIaB+4o -L1CHri74nWgVo+4BAAPuCo7gKhYc6hIZLVgEgACo7gtgAI4eLBIcLRIbB+4oL1CIDi4I+J2IFaPu -AQAD7gou4gAqFh3qEhotWASAAAjuCAtgAI4fLBIdLRIcB+4oL1CJri74nagVo+4BAAPuCi7iACoW -HuoSGy1YBIAACO4IC2AALhIQLBIeLRIdB+4oL1CKri74ncgVo+4BAAPuCo7gKhYf6hIcLVgEgAAI -7ggLYAAuEhEsEh8tEh4H7igvUIuuLvid6BWj7gEAA+4KjuAqFiDqEh0tWASAAKjuC2AALhISLBIg -LRIfB+4oL1CMri74nggVo+4BAAPuCo7gKhYh6hIeLVgEgACo7gtgACwSIS4SEy0SIC9QjQfuKChC -8a4u+iBmFaPuAQAD7gqO4OoSHy1YBIAAmxGo7gtgAIwRLhIULRIhL1COB+4oKELyri76IEYVo+4B -AAPuCo7g6hIgLVgEgAArFiOo7gtgAC4SFSwSI40TB+4oL1CPri74nmgVo+4BAAPuCo7g6hIhLTgE -gAAnFiLo7ggL2ASAAAtgAI4RKhYkKRISLRIVjxUrEhMsEhToEhEn+EEAAO8WBSXYQQAA6xYTJmBB -AADsFhQkQEEAAOgWESboQQAA7RYVJMhBAAApFhKNH4kciBuMHosd7xIQJEBBAADoFgsmYEEAAOwW -DiXYQQAA6xYNJ/hBAADvFhAkyEEAAOkWDCboQQAAnR+JFo0ZjxrrEgciIQEAAOwSCCboQQAA7RYJ -J/hBAADvFgoiqEEAAO8SBCZgQQAA7BYIJdhBAADrFgckyCEAAOkWBif7/QAA7xYEL+IWAACJEI8T -ixKOkIiTjJKNkauIp8yq3a/unpCdkZySmJPRDwBsEAQpIhX4oAAE8Dh1AOmMDAEgQQAA8yAART+L -BQDrpBAlUEUAAPkABdNiAJ0AKwoAWadLLCIVKyIU7c0RCUAEgAD8QkYV7oA9AP1rAA2wCTUA+kJm -FeAKJQBtqgyOhA4Oju6GBCRAEQAADwIA0w/TD22aIemCBCRAQQAAioGLgoyDCQmOCgqOCwuODAyO -mYCagZuCnIPrRAAJUASAAFv+tYogiCKJIY8jCAiOCQmODw+OCgqOmiCfIykmAegmAilABIAAGd83 -AgCGAwJh+AAKFeAJtQBtmgIIAIrRDwAAAAAAAAD9gQAVoAsFAFmnHPhAaB2gCUUA0w9tmiHpggQk -QEEAAIqBi4KMgwkJjgoKjgsLjgwMjpmAmoGbgpyD6iQAClgEgABb/pPaQP/7/A2gPIUAAGwQBiki -FfhCiBWgRgUA0w/4gABFdZkBAAlmDHSrAbGIKiYVBioM6CYUJVFBAAD2gAezogCdAOs0AAtgBIAA -Wabw+EBoHaAJRQDTD9MPbZoh6YIEJEBBAACKgYuCjIMJCY4KCo4LC44MDI6ZgJqBm4KcgyUsEOok -AArYBIAAW/5wBkcM9uAFjtIAnQDmNAgK0ASAAPbgaB2gAwUA5BYAKkAEgAD4yGgdoAlFAAoCZwhA -hgoCZQgghgoCYwgAhuoMAAlABIAAbZoh6YIEJEBBAACKgYuCjIMJCY4KCo4LC44MDI6ZgJqBm4Kc -g+okAArYBIAAW/5T6lQAAZgFAADmbMAiIQEAAO9tmmpABIAAixAKPBELywjsfAwK0ASAAFmmuNEP -AAAAAAAA6zQACmAEgABZprPRDwAAAPZgAEYwAwUA/CAGFa//JgBsEAQY3ssZ3ska3scT3sqTI5gi -mSH6QAYVoAsFACsmFSsmFNEPAAAAbBAG3iDk4hAqYASAAOdCByvQBIAA+71wBeAYNQDjQhUpkASA -AOdyDiL76QAAePsnGN64CPgKiICaE5wS7hYBLAAigAAAkxAqspXsVAAJWASAAFmocWSlz/KCphXg -AgUA0Q8AAAAAK+ISC5tS7hYBJf9BgAAa3qHjFgApWASAAOqityrgBIAAWahkZKWCGt6a2yDqorkq -4ASAAFmoX2Sm4Breltsg6qK7KuAEgABZqFr3SOAN43bFABrekNsg6qK9KuAEgABZqFRkpuEa3ovb -IOqivyrgBIAAWahPI30D7wIAAZoBAAD7QENwEgCdACsw2cFY9WAsCGIAnQBptyElNNmLEPqCphXg -AgUA0Q+TECqyjexUAAlYBIAAWag/ZKcfixD6gqYV4AIFANEPkxAqspvsVAAJWASAAFmoN2WvGPog -aB2gC7UAWM3F+gAiHeADBQDqszgFAOGAAOoSAitYBIAAWaa8yKkc3muNEQysNizWF2UzK40Q/IKm -FeACBQDRDwAAAC5AbmTuzZMQKrKx7FQACVgEgABZqCBlrrr6IGgdoBtlAFjNrfoAIh3gAgUA6rI4 -BQCpgADqEgIrWASAAFmmpCx9AyrFKGUi1I0Q/IKmFeACBQDRDwCTECqyo+xUAAlYBIAAWagMZKK7 -Gt5D2yDqoo8q4ASAAFmoB2WuWPogaB2gC1UAWM2V+gAiHeACBQDqsjgFKBmAAOoSAitYBIAAWaaM -LEBv8YAnbtIAnQBkpOWKE/oAoh3gDNUAWM1x0qDRD5MQKrKp7FQACVgEgABZp/Flrsj6IGgdoBsl -AFjNf2SiOytAbtMPZLeS6hICK1gEgABZpncsQhYKzDYsRhaLEPqCphXgAgUA0Q+TECqyp+xUAAlY -BIAAWaffZKI3Gt4WAisCDwIA6qKhKuAEgABZp9llrmj6IGgdoAvlAFjNZ2Sh2+oSAitYBIAAWaZh -K30CKrUUixD6gqYV4AIFANEPkxAqspnsVAAJWASAAFmnymSiKhreANsg6qKlKuAEgABZp8Vko4ca -3fzbINMP6qKTKuAEgABZp8BlrgL6IGgdoAt1AFjNTWShdStAbmS3Ahrd8YsS6qLfK2AEgABZp7Zl -pmQrQG/AyAy7AitEb4sQ+oKmFeACBQDRDwAAkxAqsq/sVAAJWASAAFmnq2Sh7xrd4tsg0w/qopEq -4ASAAFmnpmWtmvogaB2gC2UAWM0zZKENK0BuZLaJGt3XixLqot8rYASAAFmnnGSmmCtAbywK/Qy7 -AStEb4sQ+oKmFeACBQDRDwCTECqyl+xUAAlYBIAAWaeRZKG3Gt3I2yDTD+qiiyrgBIAAWaeMZKLa -Gt3C2yDqoqsq4ASAAFmnh2SsVxrdvtsg6qKzKuAEgABZp4JlrEQa3bmLEuqizStgBIAAWad9ZaRq -ixErshILmVLImWiSB/kgD2HSAJ0AjBErxhLygqYV4AIFANEPkxAqsofsVAAJWASAAFmncGShehrd -ptsg6qKJKuAEgABZp2tlrK/6IGgdoAslAFjM+MqiGt2eixLqot8rYASAAFmnY2Wsj4oT+gBCHeAM -1QBYzNrSoNEPwCDRDwAAAPogaB2gC/UAWMzqZK/q6hICK1gEgABZpeXrEgAj4AsAACrFFfqCphXg -AgUA0Q8AAPogaB2gGxUAWMzeZK+6LUBuZNUkKUBv8T/hb5IAnQDxP+Ev0gCdAOoSAitYBIAAWaXT -LkIXCu42LkYXixD6gqYV4AIFANEPAPogaB2gC6UAWMzMZK9yL0Bu0w9k9JXqEgIrWASAAFmlxShB -NPsADwKiAJ0AihP6AUId4AzVAFjMq9Kg0Q8AAAD6IGgdoBtVAFjMvGSvMuoSASpYBIAA7BICK2gE -gABYzESLEPqCphXgAgUA0Q8AAAD6IGgdoAuVAFjMsGSvAilAbmSUOBrdVYsS6qLfK2AEgABZpxll -onMrQG+NEPyCphXgDBUADLsC+o3mHeACBQDRDwAAAAAAAAD6IGgdoAsVAFjMnmSuuhrdRIsS0w/q -ot8rYASAAFmnCGWrJIoT+gAiHeAM1QBYzH/SoNEPAAAAAOoSAitYBIAAWaWO9UAWspIAnQDHL9EP -APogaB2gC4UAWMyK+gAiHeACBQDqsjgFAUmAACxAbg8CAGTDoRrdM+sSAitgBIAAWabwZaKGLUBv -wOgO3QItRG9lLjWPEP6CphXgAgUA0Q8A6hIBKlgEgABYzH9lr5wrMNlj+m4AAAAA+iBoHaAbBQBY -zHBkrgIoQG7TD2SDFOoSAitYBIAAWaVpKUIYixArRhUKmTb4gwYV4AIFANEPAAD6IGgdoAs1AFjM -YmStyhrdCIsS0w/qosUrYASAAFmmzOPdDR0H5gAAixErshILyVHImWiSB/k/+RHSAJ0AjhGMEAO9 -AS3mEvyCphWgAgUA0Q9lLYSPEP6CphXgAgUA0Q/qEgIrWASAAFmlSCpFNIIQ8oKmFaACBQDRDyN9 -A/JvgBXgCwUA+mBoHaCMBQBZpNnqEgIp2ASAAFjMmSN9AyM8gCsw2cDEDLsC+n9mHae7AQD6eyYd -7+XSACN9AyM8gCgw2fogSBWgCSUACYgC6DTZK1gEgABZpS0rMNn6ewYdr+UqAAAAihJZmXoofQMo -gPx4qRqKElmZduykAAPYEwAA6hICJdv1AABZppFkobnAov25pAWgOwUAWar2xy/RDwAa3MSLEuqi -xytgBIAAWaaIZa4nixErshILyVFokQpokgf5P/Cx0gCdAB7cxAO9AQ7dAo4RjBAt5hL8gqYVoAIF -ANEPihP6ASId4AzVAFjL89Kg0Q8AAAAA+iBoHaALRQBYzARkrFIa3KqLEtMP6qLFK2AEgABZpm7j -3LIdBWYAAIsRK7ISC+lRyJlokgf5P+1R0gCdAI4RjBADvQEt5hL8gqYVoAIFANEPGtyZixLqos8r -YASAAFmmXmWtfosRK7ISC5lSaJEKaJIH+T/radIAnQAf3JyCEe+/AgPoDwAA7yYSJuoBAAAs0NnA -4Q7MAizU2fKCphXgAgUA0Q+KE/oBAh3gDNUAWMvF0qDRDyN9AyM8gCsw2cDBDLsCCwtH+nsmHe/f -ngAAABrceosS6qLHK2AEgABZpj5lrP+LESuyEgvpUWiRCmiSB/k/53HSAJ0AHdx9A7wBDcwCjREs -1hKLEPqCphXgAgUA0Q8AAAAAAPbgAEKwCwUA+qBoHaCMBQBZpFTBYOoSAirYBIAAWMwUKzDZBrsC -+n9mHae7AQD6eyYd792eAAAAI30DIzyAKzDZwMgMuwILC0f6eyYd790yAIoT+gDiHeAM1QBYy5TS -oNEPAIoT+gICHeAMxQBYy4/SoNEPihP6AUId4AzFAFjLi9Kg0Q+KE/oBIh3gDMUAWMuH0qDRD4oT -+gECHeAMxQBYy4LSoNEPAIoT+gJCHeAMxQBYy37SoNEPihP6AiId4AzFAFjLetKg0Q8AihP6AMId -4AzFAFjLddKg0Q+KE/oA4h3gDMUAWMtx0qDRD4oT+gDCHeAM1QBYy23SoNEPAABsEAQkIhBkQGwp -MBAqMBEsMBrrMBIszgKAAAqZAuowEyzOAoAAC5kC6zAZLM4CgAAKmQLqMBgkhREAAAiqEQuqAusw -Gy1WAoAADKoCCKoRC6oCsarqJhYkhI0AACkiEuvcHxTAKIAAC5sBKyYSLEAFLQqVfcFJwCDRDwAu -MBQvMBXoMBYvdgKAAA/uAu8wFy92AoAACO4CCO4RD+4C/ddgAFCNBQAvIhJ49yTApf24GAWgOwUA -WaorwCDRDwAAAAD6gGgdoAtlAFjmlMAg0Q8AjCcpyRSLyfmEABWvygUA6ogBBMkBAADpxRQl2wEA -AOvGCSRBAQAAeLsGLsEVq+ubyRjb+Nmw+AAIHaAPRQBt+gIJAmEc29+csIog/UAAFTAMRQAMqgKa -sSkwFCowFR7b7u8wFizOAoAACpkC6jAXLM4CgAAPmQLutgIszgKAAAqZAum2BCHAIQAA6AYABfhh -AAAPAIoqIhKJIg2qAuomEiz35gAA+kBoHaANNQAL4ABj/ukAbBAGJCIQL0Bu9eAG2JCcVQAc29WN -II42LzEL+GPwFaAKVQD4IAYVoDsFAFmp7RrbzyQiGCwxC4gsiUqFR/0AAEQwCwUA+EGGFaANBQDl -Ug4kkFaAAC1GHgqeAv6BRhWgACoAAAAAK0Ie61oIAdiBAABZo5AvQh4uMQuNQK/uLkYeKlAELFAF -GNu661AGLVYCgAAMqgLpUActVgKAAAuqAuzbtR0uAoAACVUCCFUB9KBgFe/4xQD4oAQCsDsFAP6g -aB3gClUAWanGKiITKTELK0IeqpnpJhMiwMEAAHixQcAg0Q8AAAAAACtABXyx8BzbovxACBXgCiUA -/oAIFaA7BQBZqbf6gGgdoA0lAPxMhh3gCxUAWOYgwCDRDwAAAAAAAB/blo5KD+4B7kYKKVAEgABY -42L6QGgdoAsFAPwAAh2gDSUAWAGJwCDRDwBsEAYc24wtIgAuMgX0QOgVp1UBAP6/wBXgCBUAD485 -9IKCFaAKVQD0IAYVoDsFAFmpmYkiZZCYJiAHF9tRBgZB6jIFK0cCgACniCuCniSsH/m2lgXkRB0A -dLN8KIKdCWsKK7K/C4gB7YQABAOpgAAc22kMAIdtSQIIAmGINB7bS57QiSAc203q1gMm2EEAAOzW -AizOAoAA6UkCAeCBAADp1gEpUASAAAuAAAxvEaf/5PadIpR1AACKJ/oBQh3gDAUA+0QAFaANpQBY -aVPSoNEPwCDRDwAAAAD//iwNoAgFAOokAApoBIAA+sMAFeAMBQBYcujAINEPAGwQBIcnKnkUH9tF -+OKkFe/NBQDocgglUAcAAOxyCyVSgQAA6pN3c9iBAAANuwGrmejBdHTJAQAALo0B6nUUJ1KBAADq -k3F8MASAAHmhfZp47wAFCzgEgAAHAmEHAmEHAmEHAmEHAmEHAmEHAmEHAmEHAmEHAmEX2xSXYIUg -k2WUZPO2XgWgB6UA4mYCKq4CgAAHVQLlZgErEASAANEPwCDRDwAAAAAAAPeAaB2gCAUA+OFmFa/+ -cgAImgwKugwqrQEqrOD64QYVr/4iACy8QPzhBhWv/fYAAGwQBMePCFgDCDgCCEgDqGjoIggLgQqA -AAIiGKIy0Q8AbBAEBDgDCFgDqGjoIggLgQqAAAIiGKIy0Q8AAGwQBAQ4AwhYAQhIA6ho6CIIC4EK -gAACIhiiMtEPAAAAbBAEBUgDCDgBCFgDqGjoIggLgQqAAAIiGKIy0Q8AAABsEAQjIhAoMAX4QkgV -4JQlAHSJSP8ooAMQjQUAeJ89cJ8Z6trzFL1YgAAKmgH6QkYVoAIFANEPAAAAAAD9tdwFoApVAPxg -CBXgOwUAWaj+KzBu0w9psQUsMAV0wQbAINEPAAAA+mBoHaANJQD8TIYd4AsVAFjlYsAg0Q8AjCcv -yRSLyf+EABWvyAUA6O4BB/kBAADvxRQl2wEAAOvGCSdxAQAAfrsGKcEVq5ubyRzaxtmw/AAIHaAK -RQBtqgIJAmEc2q2csIkgHtrB+//iHaAMRQDqtgQszgKAAAyZApmxKCISjyKesg2IAugmEi/7hgAA -+kBoHaANNQAL4ADAINEPbBAEE9q9AyIC0Q8AbBAGJiAHiCIc2oj0QggV4WYBAOWCe2tPAoAArJko -kp4a2oH3ABOK0gCdACSSnQpoCiiCvwhEAejakBIS6YAAJyISiin4QUgV4HdBAJcQCHcKJ3KA6pkM -A9jBAAD7IA+z4gCdACsgFigK/3ixEvpA8BWgDAUAWHHP7NpsFRGhgAArIQcd2nT5tTQF6rsBAO/a -dB3fAoAADbsCm0CIIPu1LAXgSgUA+oBmFaAGVQDvRgIsdgKAAAbuAp5BLSISjhAvIRr7tRgFot1R -AOruEQ7tgoAADe4CC+4C+wYADDHeMQCp3S3QgOhGBC/6AoAAn0YNfQzuRgcm6MEAAJ1FKiIViyn3 -QABFf+kFAOe7CAVQwQAA6iYVJdjBAADrJgkiUIEAAPiAC2xiAJ0AG9pkxJD6AAgd4A0FAAoCYQoC -YQoCYSlEIC1EJC1EJy1EJvyEph3vigUAKkQhL1IR/odmHej/HQD+h0Yd6P8dAP6HJh3o/x0AL0Q4 -LiIW/ofmHajuHQD+h8YdqO4dAP6Hph2o7h0ALkQ8ijQY2lrv2k0R8GEAAPVABhgQ+fUA7gYAAlCh -AAAKAIopRDAoRDH+hkYd7/71AC5EM4o0LUQj+obmHaj9HQD+hEYd6OodAP6Gxh2o7h0A/oamHaju -HQAuRDTrAAUCSQEAAAkCYSggBwgIQQyIEayIJoadKyAWLwr/f7EK+kDwFaA8BQBYcTyINGiAJ4on -+gCCHeAMBQD7RAAVoA1FAFhoJCsiEiz6fwy7AfpCRhXgAgUA0Q8e2i4tIhIO3QL8QkYV7/8uANog -WHF47NnzFXApgABgACuIXhnaIbGImF4JiAL4hmYdqPgdAP6GRh3o/x0A/oYmHej/HQD+hgYd7/ze -AMAg0Q8AAOtsGClQBIAA/AAiHaANVQBYcaTAINEPAOtsEilQBIAA/AAiHaANBQBYcZ7AINEPAGwQ -BCoiFCkiExzZ1f2zqAXnNQEA6pkMAZQdAABkkIAqIAcKCkEMqxGsuy6ynm7ieCuynQ2uCi7ivx/Z -6BjZ1/9gBAWwFAUA7tnRFYLxgAAtIQcNDUrvnwIO7wKAAA7dAh7Z952wjSCftZiylLMY2dH/pgAP -MAQlAO62BC7uAoAABN0CnbHoABUF2GEAAAsAigyvEaz/JPadLiIUqe4uJhRpMhDAINEPAACIIsqB -aDI1wCDRD4on+gAiHeAMBQD7RAAVoA0VAFhnzNKg0Q8AAAD7QwAV4AwVAPpAaB2gDSUAWHFkaTLJ -jCctyRSKyfuEABXvzgUA7rsBBuhBAADtxRQlU8EAAOrGCSXZAQAAe6sqL8EVGdmjqvqayZmgiCDz -s5AFoAkVAOKmAixGAoAACYgC+UAmFaACBQDRDxnZmZmgiCDzs34FoAkVAOKmAixGAoAACYgC+UAm -FaACBQDRDwBsEAYvIhgv8HQoCo548XkoIAXp2bUUA5mAABjZsiQiEghEASQmEo05KzELLiIRfZh+ -KSITq5kpJhPygAUeUgCdAGTgxiriDH2nPijiC/vAaB2gCwUA/AACHaANJQALgAArIhQqIhMZ2aEL -qgzs2aEVWQMAAHuTGPuCtg2gCQUA6SYRLJAEgADRD8Ag0Q8AAAD6QGgdoAsFAPwAAh2gDSUAW/9/ -wJDpJhEskASAANEPAP2zIgWgCiUA/kAIFaA7BQBZp5QqIhAtoAUuCpV+0bnA8v5Mhh3gCxUAWOP8 -wCDRDxzZho3g/8CwFaAKVQD0IAYVoDsFAFmnhygiEin6vwmIAfhCRhWv/W4AAADaIFjhOGP/TABs -EAguIhgq4HQoCo54oQ0pIAUY2XXs2XUcgEYAAMAg0Q8kIhIpIhEIRALkJhIiNPqAAI0siTYmMCAl -MQr+EWId7/jFAP0opg3ltgEAKiIQK6AFLAqVfLHEwNL8TIYd4AsVAFjj1cAg0Q8AAADkka5h0IEA -AImXiZ75JgAV4AtlAMAgbbkFCgCGCQJh0Q8FBU4lXNsIVQH/QBNUYDcVAAxEAqXY+EGGFaAqJQD0 -QkYVoC0VAP1iRg3gLFUAfLEKd7EH+2AkPSIAnQAvMDAqIhAlMDEpMDLooHAv/gKAAAX/AuUwMy/+ -AoAACf8C6P8RBEAZAADl/wIMAgqAAA8JGfUgH1ZVXwEACpgRCFUCGNj6KYJ+KIKQpZUp4HTpFgUq -rkKAAKWFJSYR/qCwFaCItQD5IBiVIgCdACkKmvnAGDxgmLUA+cAX/CCZZQD5wBe8YJiVAPnAHPUi -AJ0A/WAGvGAGRQD9YAZ8IgCdACgwQS4wQC8wPCkwPeQwPi92AoAA6O4CD/4CgAAJ/wIoMD/pMEIv -/gKAAAT/AuQwQy92AoAA6e4CD/4CgADo/wIPdgKAAATuAg/pDGqQISSiEn9BCAT4DGaAAi+mEi+i -E9MP0w9+8QgP6QxmkAIuphOKXAaqAppcfLFp92AK5GIAnQD9YBEEYgCdAMLC/WAY1CIAnQAtEBBk -3hiKVyk8IPtByBWgDjUAbeoFCSCGCgJjwCDRD4nnKzELiZ6/uwtLS+W+UmTIwQAAY/3nKjAjwOEK -6jkqVEEqFBB8sQf6QggVr/yCAC8wIX/3jy4wQSswQCwwPC8wPe0wPi3eAoAA7rsCDmYCgAAPzAIu -MD/vMEIuZgKAAA3MAu0wQy3eAoAA77sCDmYCgADuzAIN3gKAAA27Agy4DOoiECQEi4AALaISfNEI -Dc4MZuACLKYSLKITe8EIDL8MZvACK6YTKjA4KzA5iVzsMDotVgKAAAuqAuswOy1WAoAADKoC6NjD -HVYCgAALqgLmmQIFUAUAAComFplc+KFmFa/7/gAA+ABiHaOU4QD5H+x2YgCdACgwJCkwJeowJixG -AoAACYgC6TAnLEYCgAAKiAIIiBHpiAIC0BEAAPlNAAq/9X4AACkwIfEgEI4SAJ0AKjAkKzAl7DAm -LVYCgAALqgLrMCctVgKAAAyqAgiqEQuqAmWh5yswTCwwTe0wTi3eAoAADLsC7DBPLd4CgAANuwLq -IhAt3gKAAAy7AmS8sCyiGPuf5VPiAJ0AK1YSLjBIKDBJ6TBKL3YCgAAI7gLoMEsvdgKAAAnuAu/Y -ix92AoAACO4CLlYUKDA2LTA0KjA1/mbwFaAJBQDpVhUu7gKAAArdAulWEy7uAoAACN0C71YLLu4C -gAAO3QL8oaYV7/fKACgwOCkwOeowOixGAoAACYgC6TA7LEYCgAAKiAIIiBEJiALv2HEUQAUAACgm -Fv6hZhXv9vYAjxUc2G38oAgV4ApVAPQgBhWgOwUAWaZpLSIS+kIIFaBOBQAO3QItJhIuMEErMEAs -MDwvMD3tMD4t3gKAAO67Ag5mAoAAD8wCLjA/7zBCLmYCgAANzALtMEMt3gKAAO+7Ag5mAoAA7swC -Dd4CgAANuwIMuQz3P9sAEgCdAC2iEnzRCA3PDGbwAiymEiyiE/uf2jRiAJ0ADLgMZos7+0JmFeAC -BQDRDwD/8HANr/X1AOzYQx9oBIAA/qAIFaAKJQD2IAYVoDsFAFmmPGP7OQAAKjA4KzA57DA6LVYC -gAALqgLrMDstVgKAAAyqAgiqEQuqAunYMxVQBQAAKiYW+KFmFe/y/gAAAAD1wGgd4AsFAPpCJhXv -8rIAKiIQY/rsAABsEAYrIgckIAcPAgAouRQFDUf1YcgV4UQBAOOyCSQU0YAA+aAWkVIAnQAc2B6I -IP9gSBWgClUA/b/AFeAJFQDtnTkJeASAAPggBhWgOwUAWaYTHNgV/GAQFeAKVQD+ShAVoDsFAFmm -DRfXx+zXxxowBIAA9IASShIAnQAMSRGsmSqSng8CAPdAFDJSAJ0AKZKdB0oKKqK/CpkBZJJoLyAH -FNfIKiBA/bACBeHvAQDn2AAfdAKAAPVAEbCQ/xEA9UAPcRIAnQD1YA8ykgCdAMCwKCEIKiEHCv8Q -/wYADDqqAQDniAINVwKAAA+qAg2qApqQjiCYlJeW9SBGFaA/BQD/IGYV4AQFAPUgphWgDUUA5JYH -L3YCgAD9xgAPcA8lAO6WAS32AoAA7+4CBNCBAAADIIYKAmMDAIYKAmH/ISYVr5t1AOUTHgTJAQAA -CQJpBWCGCQJnBUCGCQJl9a+sBe+aZQDoIhIrTwKAAKyZ7ZadITkhAAD3AAXUb2lFACYiEqlmI2Io -K2SBLGInnDAoYicvYiuTgSRmJyRmKCNSi+pkgSf8rIAAK2IxLjImC4pE+1oADTAMBQD/QAEFMA0V -APtACBWvu4EAWGUcJGYxKGImK2IfJGYr/wQAFe/JBQAJ/wHkhRQn+QEAAJ+Jn4guUnP6YigVoAwF -AP9vAA2wDRUAWGUO/kJIFe+aZQD8YkgV75t1AP4AIh2vaUUA9+8AD/AMBQDv7DgG6/0AAO02EiZ6 -cYAAiCwrJAUjUovqJAUkfLSAACsiEi4yJtMPC4pE+1oADTAMBQD/QAEFMA0VAPtACBWvu4EAWGT0 -JCYSiCeLIJQs/wQAFe/JBQAJ/wHkhRQn+QEAAC+GCS+GCC5Sc/piKBWgDAUA/28ADbANFQBYZOYq -MhKwqvpiRhWgAgUA0Q8A+qBQFe/4cgD/9ZgNoAMFABvXL4q4aqFPDGkRrJktkp5u1F4pkp0HbQot -0r8NmQFkkFGwrp64ZZ2zYAAZAAAAAPoQQh3v93IALyBACP8Q/mAGFe/0ogCIIsuFwCDRDwAA//YY -DaAJBQDAoFmh/hvXF4q47NcZFQ6LAAD//uANoAkFAMCQwNoNrTT9YQYV7/6mAI8w60wYKVAEgAD/ -rrYFp//BAP5IBh3gDBUA/mAGFaANRQBYbs7AINEPAABsEAaJJyMgByiZFAMDQeeSCSQLkYAABQhH -+QANUVIAnQAqIEEV1v32AIIdoAQFAPFaLA3gDAUAG9b5DDoR9GAKWhIAnQCrqi2invegELOiAJ0A -KaKdBT0KLdK/DZkB6pQABI2BgAAuIEHsFgAvDn4AABjW+B/XMy4hByUgBxnXMfxBBBXq7gEA9CAA -AnBVEQDqVRAPdwKAAOXuAgokAoAABN0CCd0CD+4CnqCPIJ2k+UBGFaAEBQCUpZSn+UDGFeA1BQCV -o/3gABewBUUA5f8CDnYCgADl1xwVSIEAAP9AJhXgDyUAByCGCQJjBwCGCQJhD+4CnqkMPREL3Qgm -1p3+QYgV75l1ACkkBfKxaBXvmGUA6CQFJ/ysgAArIhIuMiYLikT7WgANMAwFAP9AAQUwDRUA+0AI -Fa+7gQBYZGQkJhKIJ4sglCz/BAAV78kFAAn/AeSFFCf5AQAAn4mfiC5Sc/piKBWgDAUA/28ADbAN -FQBYZFYqMhKwqvpiRhWgAgUA0Q8A//o4DaAHBQAe1qGN6PegBriSAJ0ADDoRq6ovop734AdrogCd -ACqinQU/Ci/yvw+qAeSg22bD/QAAmOj5QGgd7/puACogQAiqEPrgBhWv+UIAiJ4kgAQrgAUW1rjq -gAYqJgKAAAtEAuiAByomAoAACkQCCEQRCEQCBkQBJkxn9o4ACzCMlQD2wIAVr/imAIlw7WQACVAE -gAD5rZoFp5nBAOkkQCHYYQAA+OAGFaAMFQBYbj7AINEPAO1EAAJhIQAA60xnKXAEgAD+4Ggd5Lsd -AFmkkRvWcfwgCBWv+E4AAAD/99gNoAkFAPwgBhWgCgUAWaFMHtZmjegb1miMEPm/+KCSAJ0A//zI -DaAKBQAAwKDA+g/fNP/BBhXv/IoAAAAAbBAEKSIHIyAHKJkUAwNB55IJJArpgAAU1lf3rK4Fp4UB -APkADBFSAJ0A9GAKUhIAnQAMOREGmQgqkp73QA1KUgCdACmSnQQ6CiqivwqZAWSRYyogByghBx/W -VhvWkPlAAAQwyhEA6swQDEcCgAAMiAILiAKYkIwg/yBGFeA+BQD/IGYVoA1FAO7Whh5mAoAADcwC -nJErIEH8QQQVoAQFAP8gxhWh+gEA5JYFL/wCgADvzAIE0IEAAO7MAg2JJgAAwLCUl/0ghhWgBSUA -ByCGCgJjBwCGCgJhCL8RBf8CFdZxn5kMPhGm7i3mnfhBiBWvnHUALCQF8rFoFe+aZQDqJAUkfKyA -ACsiEi4yJguKRPtaAA0wDAUA/0ABBTANFQD7QAgVr7uBAFhjwCQmEogniyCULP8EABXvyQUACf8B -5IUUJ/kBAACfiZ+ILlJz+mIoFaAMBQD/bwANsA0VAFhjsioyErCq+mJGFaACBQDRDwD/+owNoAcF -ABXV/YpYaqFvDDkRppkrkp5utHYpkp0EOworsr8LmQHkkGllY/0AAJxYZZ6tYAAOAC0gQAjdEPzg -BhXv+eIAj3DrPBgpUASAAP+seAWn/8EA/kgGHeAMFQD+4AYVoA1FAFhtrMAg0Q8A+hEiHe/7egD/ -+YwNoAkFAMCgWaDEilhroYX//owNoAkFAMCQwIoIqDT4oQYVr/5SAAAAAGwQBIoqjq8Y1iboJgsh -SIEAAOnmACV44QAA7yYIKVgEgAD+QSYVoAwFAPlB5hXvmIUA+ECmHaANJQBYbdXAINEPAAAAbBAE -G9YXKjEMK7J/HNX6+GIQFeAUZQD7Q9YN4AUFAHyhFuokAArYBIAA7DQACmgEgABY38zAINEPaJFI -aJIoaJQKwED//2gNoAUFAAB8odF7q87aMFjf+NWg//8QDaAEBQAAAAAAAAD9QOYNoBRlAHujAmAA -AcBA2jBY4A3//oQNoAUFANowWOAf5aQABQERgAD9q+gFoApVAPxgKBXgOwUAWaPk//3kDaAEBQAA -AAD//bgNoATFAGwQBCkwE/EmsA3g9YUAaJEEwCDRDwCEJ4ROHNXl7TARIkgPAAD9P4Yd4ApVAO4w -EiJAEwAA/xumHaA7BQBZo8/rPBgiUBMAAOwwESVT9QAAWOAt5TsIAlAXAADsMBIlU3kAAFjgKeok -AApYBIAAWOGuwCDRD4QnDwIAhE4c1c4tMBEtRAL+YlAVoApVAP6AZh2gOwUAWaO56zwYIlALAADs -MBElUyEAAFjgF+U7CAJQDwAA7DASJVKhAABY4BPAINEPAABsEAT0QGAl6LMdACNUV/qqxh3gRDUA -9KqmHaAIdQD4qoYdoAkFAPiqZh3gSgUAKlRS0Q8AbBAEjzj9q1wFoApVAPxiEBXgOwUA/+BoHaH/ -8QBZo5kpMBDq1agUjJEAAGiSWWmUEog2IqJ/CYgRqCIoIAUpCpV5gV7AINEPAAAA2jBY4jb/XwAN -4Al1AIuni74sso4MnVb9I0Ad6Oy5AMDTftAQ+2BAJeAMBQBY4gLAINEPAABY4WvAINEPAIs2KqJ/ -CbsR+0AARXALBQBY4OrAINEPAAAAAMCl/asQBaA7BQBZo3X6QGgdoAslAFjf4MAg0Q8AbBAEiC4j -LDhziQXAINEPAACLLoiz7EQACugEgADrvOApUASAAAuAAIwi7SAFLn7uAABk39WOLnPp1mP/zQAA -AGwQFiUWF4c1JjEPKyAHiDTjFhoqSASAAJkc/iNIFeAKFQCaH/uq1AWgyFkA/CNmFaG7AQD6IsYV -4/71APtPxBWgtnkA+iJmFeB3+QD547AV7EgdAPqPAA0wOFEA+CAGHe+qAQDqFhQkVFaAAAYMSfwh -xhWgADIAAAAAAAAAnh4uEhco8T0oFhIv8h/+IgYV5+4BAC4WFfXAPCESAJ0AiiL7QEGgkgCdAPDl -YA3gDQUA7RYRI4BJgADaQFjqXfQAAh3gBgUALxIb2nD+AGIdoAwlAO/sOQnYBIAAWOpF90AAQzAI -9QB2gFX0IWYVpJYdAOMWCiTIBQAA+CMGFeABPgAAACoSEmSnYysSGou1fbaf+iJIFeAMFQDsFhEq -UASAAOwSECjoBIAAWOpyZqeR/ABiHeAFBQAK1TpkV3vDYJQb8iFGFeTmHQAuFhgoEhYc1MUe1Mbj -1MccIASAAPUACTISAJ0A6hIYLDcCgACjZiling6ICiiCv/sgQOuiAJ0AJmKdCGYB22DmtAAFvXmA -AI/ImxX34D3gkgCdACkyrurVDBS7eYAALjKtLaJj7esBB9P9AAD9wDreYgCdAJrI/cA69mIAnQCN -HCwgFNMPrcwMDEcsJBT1gDx+UgCdAC4SGykSFPHAwA3gCDUA+QA9iOIAnQBkUM6KGg8CAMihZFBj -62QACVAEgAD8AGIdoB2FAFjqZu4SDi14BIAA5hILIq+ZgAAc1OwtEhP5qToF4AoFAJrymvOa9Jr1 -6WkCD0QCgADp9gAu6IKAAOjdAgr2woAA/6YADrAbxQD94CYV4ApVAFmiyCsSFflgOClSAJ0AwCDs -EhgqbwKAAKPdLNad0Q8AAAAAAACPyPfgOOCSAJ0A6RIYKjcCgACjZihinvkAOTviAJ0AK2KdDk0K -LdK/DbsB5rQABbjZgACw/p7I+sBoHe/7GgAvEhHTD2Twd+sSBSlQBIAA/ABiHaAdhQBY6jMZ1L4W -1LuOGygQACZinAnuAhnUkAgfFOaGCw/7AoAA6f8CBHyggAAsEhDtEhIjW/8AACiyPyuxfZ6gn6Gd -opyjm6T5QKYVoAByAAAsEhAtEhIrYQWIY56gn6GbopijnaScpSasGC0SE4weAt0Q7RYHLmQCgADs -Fggrpv4AABrUUPghaBWgDwUA/iDGFeAPJQCfHQqIAigWCetkAAlQBIAA/ABiHaAdhQBY6giNGS8S -GokWKxIaj/XxNdAN4/71ABzUj4u0/0BGFaCPmQD9QAYV4G+JAP1AJhWg36EA8NAAEzDPkQDu1Ice -6UKAAO6mAyxBAoAA/QYADHm7AQDrpgQuYMKAAAxmAghmAiamBSwSDemcASUwYQAA6RYGJmP9AADs -Fg0ue6YAAOtkAAlQBIAA/ABiHaAdhQBY6eQW1HKJF48Y+iEoFeANBQCdEZ0SnROdFJ2k/UCmFe/+ -9QCeop6jm6AuEhrp/wIKxsKAAOj/AgDgMQAA5v8CANghAADtHBAlMGEAAO+mASDQEQAAWOi0+UBo -HeAMFQDqyTkNKASAAOkWHiUgCYAAJBYf/gAiHaANBQAJ7TjlFiAm6uGAABPUUogYjBca1FErEhuE -GxXUIfF4ABSwDhUA6+s5CieCgAD0hgAKdg8FAAuvOf0mAAywDTUA+SYADDAMJQAL3DksFh0J+QIp -Fhn55gAPsAUFAP4jhhXgAwoAAA9WUP4YAAXwz8kA/CEoFeCPsQD9QAYV4O95AOzuEQxEAoAA68wQ -DdqCgADsuwILM8KAAPjGAAswz4EA/YgAFjGPaQDs7gIMRQKAAAjuAhzT+5yhKBAABu4C+8YAD3Bv -uQD0yAATO78BAPfGAA8wBiUA5u4CDd0CgADupgQsQgKAAAuIApilG9Qcm6IY1Bz5QGYVr/mOAJmh -lKCeop6jnqSepZ2mnaedqJ2pLxId5VwBJTChAAD+oBGcYgCdAOtkAAlQBIAA/ACCHaAthQBY6Xnk -UFFqzsKAAPSgCmCSAJ0AKxIcx+/7JgAM8A0FAOOZAgv9LgAAjRMsEhqOEo8Ri8wswhCZoZup9UAG -FaAIBQCYopimn6OepJ2nnKWMFP1BBhWv/iYALRIbLBIZG9P1DJkC65kCBoQZgADw4kAN7/71AJmh -lKCeop6jnqT/QKYVoA0FAJ2mnaedqP1BJhXv/TYALxIaIhYhK/IWJvE4IvE6LPIV6PE5KzQCgAAG -IgIm8Tst8hvu8hosRAKAAAhmAijyFy/yGZ+inqOdpJymm6eYqJalmaGUoJKp8iQoFa/79gAAAAAA -AAAA8OJADe/79QCZoZSgm6Kbo5uk+0CmFeAIBQCYppinmKj5QSYVr/s6ACwSGo0SL8E7JsE5KME4 -LsE668IYKzQCgADm/wIMRAKAAAjuAibCFIjMLMIQm6SYp5aomaGdopSgnKOfpZ6pjBT9QMYVr/oa -ACsSG+wSGSWDUYAAG9Ozx+/9JgAMsA0FAOuZAgOA8YAAmaGUoJ6inqOepJ6lnaadp52o/UEmFe/5 -MgAuEhoiFiEt4hIs4hMr4hiI7YbuL+IUgu8u4hGeop2jnKSbpZimlqefqZmhlKCSqPIkKBWv+FYA -KxIcx9/7JgAM8AwFAOOZAgOA8YAAmaGUoJ2inaOdpJ2lnKacp5yo/UEmFa/3mgCZoZSgjhP+ICgV -4AgFAJiimKOYpJimmKeYqJ+l/0EmFa/3CgAqEhoZ04WKpRPTISUSIOQSHyVMMIAA49MdE4O5gAAc -03+LGwy7Avs/RhXv56IAhR/A0vetAAr/6CYAwKX9pvIFoBvFAO5OEQpoBIAAWaFUY/hnAAD6IogV -oA4FAJ4RnhKeE54UWOe4JBYf5RYgJWJhgAD6IogVoAsFAFjnqyQWH/QkBhXv8NoALxIQZfiVY/g6 -GtM9iBsKiAL5P0YVr+XWAMCgWVscyKcb018rsIBksFoqEhRY56TpEh4tX04AAPoiiBWgCxUAWOeY -+CPIFe/vWgAAAP/gJA2gNgUA6xISKlAEgADsEhAo6ASAAFjoZ2P4VwAAKxIajBztEhcpUASAAFhn -UNKg0Q8AAAAA+6aOBaFLFQBZjB4sGgAMrAL7poQFoUsVAFmMHmP/hQAAwLDA2g39NO3GCC3FVgAA -+kBoHaAbxQD8AAIdoA0VAFhqlGP/oQAAAAArEhb6QGgdoAwFAO0SGCXYYQAAWGqNY/+EwKBZnakc -0sOPyPn/wdCSAJ0AY/+32iBb7ANj+GyKJ40cwMDqrCAu2ASAAFhg4tKg6xIYKmcCgACjzCvGndEP -AAAAAAAA/9+kDaAGBQD/4UgNoAVFAMCgWZ2UHNKtj8ge0q75/8a4kgCdAP/j8A2gBgUAAAAA/+OU -DaALBQDA2g39NP2BBhXv45IAAAAAbBAEFNLugiAkQn8T0wkEIgwDIgLRDwAAbBAMGtKfGNMFKaKQ -KqKHKIB9CaoR6pkIBHxAgAAknQH0kAAVoAAuAAAknQMkTIAZ0scoQSn5AAgsYgCdABrSs+oABQjI -BIAACQJhCQJhCQJhCQJhGdL0GNLSH9LxjiCfEvggxhWgCkUA6RYAL3YCgAAK6gKaESlABy9BKf2l -1gWhmQEA4+4CDMwCgAAJ/wII/wKfFCsgOf4hZhWgDSUAnRkMuwLrFggoBAqAAPRgBNGSAJ0AiUcq -mRTkoINk4IEAAI2Zi8D/+AIdoCWFAO7OAQaCOYAAbQgufbE8L9AAKdAHdfEzL8EF7vgIDM8CgADp -3QgEQQEAAOjbFX7QBIAA7aQABQCpgABj/8oAAAAAAAD/rwANf/+uAP1vAA1wCQUACp045tQADoGm -AADqRAAI2ASAAPwAgh2gDSUAWFxs0Q///fwNoA0FAAAAKhw6+kdAFeAMZQBZmkJj/1jCdo5o+gCi -HaA7BQDs0rEbaASAAP5HMBXj7gEAWaCFimgKj1d38W2KRy6hFftEABWvywUAC6sBq+vranBzaQEA -AO+iACaBgYAAffEoLNAA0w8PAgB1wR0p0AcMmRHp3QgFwQEAAOjbJH7QBIAA7aQADX7GAAD97wAN -8AoFAAutOObUAAb6YYAAY/92AAD/rwANP/9yACwgOQoNQ33JiI4gCO4RDj4CnmvRDwAAAP+vAA6/ -/kIAbBAEGNKHKYJ/KjAHLZECLpEE/SCkFaAPBQDrkgAmiSmAAO7s/yaT/QAA4pUCL3cCgADuuwgO -ZwKAAP1vAA2wAMYAK5EFLZEEsbv/v+AVr7sBAOuVBS93AoAA/WAG1GIAnQCMkO7MCA3fAoAAC8sM -CwCHD99g6wAHBvMngAAe0mkpkQUr4n8JmRGp2e3ifSWGKYAAjNGL0JvAi9CcsZ/Qn9Er4n+wuyvm -f/WgBjQfuQEAjNn5owAVr8kFAPkABAR/EgUAAtIIKyY6/6IEHeVJBQDp1REkIQEAAOTWBy0vAoAA -5NYGKlgEgAD1gATcIgCdACXVEOhYCAR4GwAA7IxAJ/oBAAD94ARbogCdAMky6UQABQCBgABtqQUD -AIYJAmErIkKrWP8ABYRiAJ0A6CZCJpPhAADRDwAAL5UF//yMDaALBQDApf2kcAWgOyUAWaALwCDR -Dy3igIzRi9CbwIvQnLGf0J/RK+KCsLv70EYV7/zuAADAwPsP6BWgDRUAWF9mwCDRDwAAAADv1gkm -k+EAANEPAMsw+mBoHeBcxQDsrDYKcASAAG3JBQsghg4CY/hgAEXwXkUAfqENL6ys0w9t+QULQIYE -AmUpjfvpJkImk+EAANEPAAAAAOQmQiaT4QAA0Q8AAAAAAABsEAwoIASMJ+QgBypQBIAA6hYKKTAE -gAD9gcgVoBnFAPkAGiRhRAEAiCLq0gYcA74AACsgUy0gFgu7CewWCC3fAoAAq6oqon+aGfRgGBGQ -/vUA9GAX0hBXBQB+0RgFC0f7f8AV4A8VAOv7OQlQBIAAWPztZKNn2iDrfB8r4ASAAPYhZhWkux0A -6xYNKmgEgABY/LHmpAANApYAAIwi0w8PAgBkwyKNGh/R6I4gkxKfEP3AABcwDyUAD+4C7hYBJoCJ -gADb0PohgBWgDGUAWZlm6iQACNgEgAD8oGgd4AwlAFhl1dKg0Q8AAIobjBn7o64F4A8FAPtE0BWg -3DkA/sFEHeLsQQDo7hEO7UKAAP+mAA62zAEA7cwCDVQCgAAMqgILqgKaZPRgEjmSAJ0A9GAR+hIA -nQDEsCtlCx3RxSkhGRjRxZ1m+yAAF7vJAQDo/wIGAHmAAAneFATuEQ6uAp5kn2b0YBJpEgCdAPRg -EioSAJ0AHtG56eECIOhhAADp1QIjUIEAAP/ACBWgDGUA7tYALtgEgABZmTQc0UEe0bDqbCYheQEA -AO8WDCms0AAALyBBLSBALWQmL2QnKyBDKiBCKmQoK2QpKCBFKSBEKWQq+MVmHaAAvgAAAAAAAAAA -jBsswCYb0S4MzAkMzBGsuyu9IPtzQBXgDGUAWZkbHtGYHNEnI2QzGtGW+sWmHaCJlQD4xYYd4BgF -APjFxh2gDwUA/sYGHeANVQAtZDH0YAmJkgCdAPRgCUoSAJ0AwKoqZDX6IWgV4A8lAC9kOP7HJh3g -DQUA/MbmHeANBQD8xsYd6PodAC9kNCuwJgu7CQy7EevLCANQ6QAA/2AARbAMZQBZmPoqbET6RwAV -4Ak1APjIJh3gCEUA+MgGHaAMhQBZmPLAtfrJph3gCrUA+smGHaAMZQDrEgwjUTkAAFmY6ypsWPpJ -ABXgDIUAWZjnKmxV+k0AFeAMNQBZmOTqEg0oBAqAAPRgBLGSAJ0A9GAEchIAnQAf0VwMThEP7gia -4CwgFi0K/33BCut88ClQBIAAWPwNihiLoYmgBQhH55kIBdgFAADrpgEky9EAAOmmACQUrQAAiif6 -AEId4AwFAPtEABWgDSUAWF710qDRDwAAAAAAAPYfAh3v9BoAwCDRDwAAAAD/+2QNoDpFAPZBCBWv -8vIAKwro+sFkHe/3DgAe0Tv8wCAl4An1APjMBh3gK5UA+swmHeAPZQD/oIYd4AgVACjUBf+g5h2g -DIUA/aDGHa/9EgAAAIsa+sQAFaAMZQBZmKpj/dQAAAAAAOokAAJYYQAA/CGoFeAMFQBYaG5j/MaM -ImXMwSsgU/pAaB2gDBUA+2JAFeANBQBYaGdj/KhsEAYoIAUsIAfBlPkAEG1hzAEAiSJlkcMtMAEb -0Jnm0JkeOASAAP+hQAbQD6UALiBOZeJX7tCRHk8CgAD1gArSEgCdAKaZKJKenBALywr3ABGU0gCd -ACuyvyqSnQurAesWASWOSYAAiuj3QA64kgCdAChiru3QhRQL+YAALGKtLdJ/7csBBUP9AAD9gAte -YgCdAJjo/YALZmIAnQApIBSkmQkJRykkFPUgDXXSAJ0AHtCBG9B7jCD4ICgV4AoFACq2Mu7MAg5u -AoAA/WcGFaAORQAO3QIttjEb0ObckOsPHg3QBIAADAJnC0CGDAJlCyCGDAJjCwCG7AwABNkBAAAK -4IYLAm8KwIYLAm0KoIYLAmsKgIYLAmkuMQEoIQktIAcsMAEb0NQqIST8IAAGMN0RAOrdEA5kAoAA -DcwCDKoCHdDOLCEiC6oCKpYgDcwCiyAd0GIoliMuliQsliL9YAAVsAwlAAy7AiuWIYoz6pYlJMgH -AADtABUEymEAAAkAigx4EaaI/xOmFeflAQD5wAa5UgCdAMAg0Q8AAIro90AH4JIAnQAMeRGmmS2S -ngt7Ciuyv/egCGTSAJ0ALZKdC9sBZLEAsK2d6OsWAS305gAA/CAGFaABZgAAAAAAAOokAAnYBIAA -7EQACugEgABYZJPSoNEPAAAAAMCwD6k06eYILfTmAAD6QGgdoBvFAPwAIh2gDRUAWGfgY//BAADq -JAAK2ASAAFhmFNKg0Q8AixD6QGgdoAwVAPtjABXgDaUAWGfWY/+XwKBZmvIe0AuK6Plf8PiQD6UA -Y/+q2iBb6Uz/+TQNoA+lAACKJ+tEAApoBIAA+0QAFaAMBQBYXijSoNEPAAAAAP/3XA2gCwUAwLgL -mwL6QEYV7/0eAAAAAPwgBhWgCgUAWZraHs/0iuiMEBvP9Plf93iQD6UA//woDaALBQAAwLAPrTT9 -wQYV7/vyAABsEAYSz+wX0Gn1n+IFoBOVAPhQyBWgpiUAKiKCf6cgKyKCf7cYKiKELKAIJaAHdsE3 -iETAoAuAAAUzDGU/2tEPLSKEKSKHKiKH+WAABPuqgQB6mS8K6jArQkHDwgy7KKuq+pmGFa/+6gAu -oQsu7PgODkPu7Pwi6/0AAP+iAAq//s4AAAAMAgAvIoJ//8Yl0AduW8DccPoAoh2gCwUA/qAAFzD/ -BQBZngglXPHKWygKcZgRwKFZk2/6ICgV4An1AAlZNpkQCbsM+iAmFeAKBQBZesiKEApVDGVf18Ch -WZNl+g4iHeAKBQBZesJj/2YAAABsEAYoIAUmIAfnNAAK2ASAAPgCgh3gBTUA+QAPnWFmAQALCEdo -ghSKIhjPpRfPpuRkAAUDyYAAwCDRDwArIh1lseGIJ4OI+wKkFe/MBQDpggskcIEAAAzsAey7CAp/ -AoAA7BYAJdkBAADzIA38YgCdAC2JFKP6r90thRT7YA3rogCdAMl1yUPZMG1JBQcAhgkCYYrgDwIA -DwIAr6r7QBCsYgCdAPvABhWv/f4A7GoRAyTxAAAHqggrop4PAgD3YArZ0gCdACqinQhrCiuyvwuq -AWWgT+tsGClQBIAA/AAiHaANNQBYZzzAINEPABvPc4m49yAMoJIAnQAMShEHqggsop73gA0B0gCd -ACqinQhMCizCvwyqAeShjmTr/QAALbYIZK+vGc+JmaCIIP+fygXgCxUA66YCLEYCgAAFiAKYoYgz -L/J//57oBaiIHQCo/5+j7gAVBUhBAAD/ntAF4AgFALGI6YMeDA/oAACfphnP1flBBhXgGAUAmKeO -IAjuEQXuAp6pDE0Rp90l1p2OIiwgBoknC+4C68/MFmAFAADsJAYkyIEAAIiR/SCCFe/MBQAMnAHu -JgIkQ0EAAOiWASbowQAA7ZUEJmEBAAB8iyIqkQUdz0yoqJiRnYCMIOuGAi5mAoAABcwC/QAmFaAC -BQDRDx3PRJ2AjCAbz7TrhgIuZgKAAAXMAv0AJhWgAgUA0Q/aIFhlKdKg0Q8AAAD/+sQNoAoFAFv/ -OmP+FwAA8yBoHeAOBQD/AWYVr/e+AOO6DAOBuYAACksU7LwIK8AEgADsTDYJyASAANMPbckFCACG -CQJhiRCqeOtNDATJAQAAbdkFCCCGCQJjixAK/Aysuyu8QPvABhXv9ooAwKBZmfEbzwqJuBjPC/k/ -8viSAJ0A//n8DaAKBQDAoMDaDZ00/WEGFe/5wgCPEC/8QP/ABhXv9aYAAABsEAyIJ/hASBXvygUA -64EVKmcCgADsPAgEQIEAAAqIAai46IxALngEgAD5gCQSogCdAC3wBysgBxbO8uzc/i+oBIAA+6Bg -FeH7AQDkkAlvxwKAAMAg0Q8A5o0IBsgZAAAo0p4ezuTrFgEv0ASAAPkAIjPiAJ0AG87hKdKdC/sK -K7K/C5kB55QABKG5gACN6Joc0w/3oCJIkgCdAC9iruvPWhee4YAALmKtL7LjD+gB6BYJJsv9AAD/ -wB4+YgCdABjOzZmI/8AeZmIAnQAtIBQpUAetmfohhhWnmQEAKSQU9SAg7dIAnQAZzw8fz0jqIgAt -bwKAAIg0Hs7Q5t0IDVYCgADxAAUCUgCdACggByshJPygJBWgFIUAlHP+4EYVoAQ1AASjAvudtgWg -iBEA43YBLEKCgAD5BgAMcAMFAPjgBhWgCCUA6gAFA9BBAABtigIKAmEuIQkpIAfy4KYV4DilAOx2 -CS91AoAA+cYADzHJAQDudgYuZAKAAAy8Ag/MApx0KyEJ2iD+oCQVoAwFAOTWnS3dAoAA+WYADbAN -BQBYZITAINEPAIYnKGEV6BYDIzCBAAD2IMYVr8kFAAlmAaaI71wgJEEBAAB48wSIEwj/DOnyACZA -BQAACKgCmBf4+AAE8IgVAHiZHB/PCogX6HYBJkv9AAD+4AYV4ZkdAPjgRhXgAFIAiRcYzwOYcJlx -ifEJWRSZco8WiTYbzv+WEPngpBWimR0AC5kBG873mBSmiCuyHe/yASRBAQAAKBYKCbsI63YDIkgJ -AADrzu8czwKAAAn/CCkWCAxJCOYSCCTICQAA6PMKfM8CgAAoEgQI/wzmmQwDwEEAAOSQTG43AoAA -mBWIGg9pCPkADuriAJ0AKRIFD4oM+iBGFaSqHQBtqQUPAIYJAmGIEikSAArPDAeICOmcQCRQQQAA -bfkFCSCGCgJjKiIACKoRGM7S9uAARLAPFQD/IMYV4AxFAAyqApqVmJSIUy+yGxbOzf2ctAWoiB0A -qP+fl/wAChWgCgUA7M7JFNiBAACxquuDHg0P6AAA/yFGFaAoBQCYm480iFOKNQb/ARbOv+yqAQxC -QoAACP8CBv8Cn5woUAkrUAsvUAomUAjszrkd2QKAAOb/EAxDAoAA+wYADDCmMQDr/wINUcKAAAr/ -Agj/Aoo2GM5On53+YUgV5bYdAOyqAQ3YQoAAC6oC+yHGFaRmAQDo/wELMgKAAAb/AohVmJ+GViaW -EIxXL5YSLJYRi1QrlhMqUAEvUQHrzlwYBAqAAPFABDfSAJ0AKiAHCipA7CEkLVKCgAALqgIqlhSI -IPoDAh3gCjUA65YXLEYCgAAKiAIazir/IsYVoAsFAPkiphWgCCUA6gAFBNGBAABtigIKAmEoIQku -IAf7AAAUMBqlAAqIAiiWGvmc9gWh7gEAAO4RDs4CCO4CiBErlhkvlh3ulhgkQA0AACgWAY4RLtad -LVAHiif1oABGsAwFAOvUAAVQgQAAWFwO0qDRDwAAAADpEgUmcuGAANMPbckFD0CGCQJlY/5DwPCf -GYgZH83cwJoJ2TTp9ggsYeYAAPpAaB2gG8UA/AAiHaANFQBYZZvAINEPAAAAAAD7jwAP/+36AP/v -JA2gCQUAjRHr/BgpUASAAP2gYBXgDBUAWGWQwCDRDwAAAAAAAAD8IWYVoAoFAFmYqB7Nwo3oihyM -G/m/3RiSAJ0AY/+UAJwb6xIJKVAEgABb5v+KHPwhaBWv71IAAGwQBikgBSYgB9gw9gBiHeAaRQD7 -IA/NIWYBAAUJR/0jAAFfxQUAiyIZza8Tza/kZAAFg7GAAMAg0Q+IJ4uILoEV6YILJHiBAAAF+gHq -7ggKbwKAAOoWACdxAQAA+yAORGIAnQAsiRSdEavarcwshRT7wA4jogCdAMk0yULZsG1JBQMAhgkC -YSwSASryAAyqCP9AESQiAJ0AmvDTsPhgaB2v/hoAAAAAAOxqEQMk/QAAA6oILaKeCWsKK7K/96AL -AdIAnQAqop0PAgALqgFloE/rbBgpUASAAPwAIh2gDTUAWGVGwCDRDwAAAAAdzXyL2JgS92AMkJIA -nQAMShGjqiyinveADRHSAJ0AKqKdCUwKLMK/DKoBZKGQsL6e2GSvrx7Nk56gjSD9m94FoAsVAOum -Ai7uAoAAB90CnaGJgyjCf/+a/AXomR0AqYiYo+8AFQVIQQAA/5rkBeAIBQCxiOmDHgwP6AAAn6YZ -zd/5QQYV4BgFAJinjiAI7hEH7gKeqekiBypvAoAAo90n1p0sIAbtIgIkyIEAAOWfAQZgBQAALCQG -iJEsmQQL3QLtJgIkQ0EAAOiWASZgwQAA7JUEJ/kBAAD/BTIN4AwFACqRBR3NVqiomJGdgIsg7IYC -Ld4CgAAHuwL7ACYV4AIFANEPAAAdzU6dgIsgwMDshgIt3gKAAAe7AvsAJhXgAgUA0Q8AAOokAArY -BIAAWGMw0qDRDwD/+qQNoAoFAPMgaB3gDgUA/wFmFa/5ogDr6gwBgbmAAApNFOzcCCnABIAA7Ew2 -DcgEgADTD23JBQgAhgkCYYkQqjjtTgwEyQEAAG3pBQgghgkCY40RjBAK3QytzCzMQP3gBhWv+F4A -AMCgWZf6Hc0Ti9iIEhnNFPl/8viSAJ0A//noDaAKBQAAwKDA6g6+NP+hBhWv+aoAAIgQKIxA+eAG -Fa/3ZgAAAABsEAQVzRMWzQ3wiAATsAlFAOTNfhnGAoAACYgCKGYxBTUC52YyKhgEgADlZjgpMASA -AANghgYCZwNAhgYCZQMghgYCYwMAhuYMAAEZAQAAIi0B5B8eARIBAAADAm8EwIYDAm0EoIYDAmsE -gIYDAmnRDwAAAAAAAABsEAYjIAcUzOgDA0HqzOYZzwKAAKSZKJKe+mABBjAFNQDswr8sGQwAACuS -nQy7Acu1H81oHc1o+kAIFaAOBQAuFgAuFgL8ICYV4AwFAPwAoh3gHuUAWF9KDD8RpP/186YV4AIF -ANEPAOs8GClQBIAA/AAiHaANNQBYZJDHJNEPAGwQBiggcPWZkAXgBkUA6szFFHXkgAAjIAcDA0EM -OREFmQgrkp4kIgAKOgrqor8toYQAACiSndMPCooBZKBR20BY/yvAwfwAAh3gDhUA+ZqEBaAJBQD4 -ICYV4A8FAOkWAi1YBIAA6BYAKlAEgABYXyQMPBGlzCbGnSogcCsK+wuqAfpOBh2gAgUA0Q/AINEP -AOs8GClQBIAA/AAiHaANRQBYZGbHJNEPAGwQBCMgBxTNKxXMnfuZOAWhMwEA5EJ/Kc8CgAClmSiS -ngo6Ciqiv+NECAwRVAAAKJKdDwIACooBZKBE20D8AAIdoA0lAP4AQh2gHwUAWZkh/5o0BaAIFQDu -pgAqfgKAAAj/Ap+hjSCdogw8EfWAAEZwCyUA+5OmFeACBQDRDwAA6zwYKVAEgAD8ACIdoA0lAFhk -QMck0Q8AbBAULzAQ95jwBeAKdQDz5PAN4AYFAPXgRXCSAJ0AaPIDwCDRDysgB/ogphWnlQEA+CNm -FeG7AQDrFhwslGgAACwgBfeAYIxSAJ0ALSBy86BgN5IAnQDaIFhhKftAQoiSAJ0AjiL7wEI4kgCd -ACoiECwhGog1iynoFg0uf8KAAHj7DwsJQsiZDAtC+2BaEBIAnQCOHfwjiBWgH4UA7BYZJ2hdAAD7 -3gAPtN0dAO0WCCboDQAAnRqdGfWAT4ISAJ0ADMsRp7sosp63SfkAYpPiAJ0AHcxEK7KdDcwKLMK/ -DLsB+2Bf6BIAnQCMKY4qDA8+LxYXDO4Mf+t3KiAiKSAjCpkM+yBh2BIAnQAoIAcazMX9WgAV4YgB -AA2ICS6B/gnvNg/uDC6F/i0gIq/dDQ1HLSQi+6BhKBIAnQAoon/uIgsmy/0AAPsABADQCBUA4Jka -DEAKgADp7ggEQ/0AAAjuAi4mCigSFwzpDPkgX8OiAJ0AiRoezK0sIAcoIQcdzIX+QSQV4MwRAPWQ -ABY6iAEA7cwCDEMCgAAI/wItISScsIogiB0czCDu3QINVgKAAAqZApmxKiEinbSfswyqAhzMm5qy -KSIQihWZtRnMmfxHEBXgDyUAn7mWt/lhBhWgDhUAnrr8AwAG8E51AA3qOQ3JOQqZAooY5rYLIcBB -AADptgYlyMEAAG2pBQgAhgkCYR7MBJ68jTDzoELSkgCdACoSGekSCS1XAoAAp6oppp0oIBQvEhek -iOgkFCeAwYAALRIXjCkrIDitzJwp82BWP5IAnQAuEhv5wFUZUgCdAMAg0Q8ALiAHLyAFLTARDg5B -LhYc+eAxZFDdOQCPItzg/8AAFbAZxQDnuwgIBAqAAPvgTICSAJ0ALRYWKLKeKhYFLBYZ+QBN4+IA -nQAay88psp0PAgAK6goqor8KmQEpFg7pFhooBAqAAPsgTQgSAJ0A+kBoHaALRQBZlrj7QE1gUAsV -ABzLv4zI94BNqJIAnQAtcq4ezFHTD/egSf1SAJ0AKnKtLeLLGcu20w8NrwHvFhUmQ/0AAP1ATa5i -AJ0AmJj9QEkOYgCdACkwFCkkOCgwFSgkOSYkO484jjaNOYw6iTyKOyolJSwlJC0lIy4lIi8lCSkk -TIg9KCRNLzIQLjIRLiYVJiRxJiRyJiRwKyRzJiYdKyYZKyYYKyYXJiYbJiRPJiROKyUpLyUoLTAR -KhIFLiEaDQ1DLSQ6/0Av8KIAnQAtIDgq+vz7wAQFMA8lAA/cAe/QHXVTsQAA/wAAB7AJFQD/LQAP -+Y4dAAj/CA7/EQ+qDP+YNAWgCRUA/S0ADjAIRQAI2AEK7ywImDkK7i4OnjkZy6/v7ggLeASAAAif -ORjLnN1gDI05D90CKCAUDq8c7yU0JUvxAAAOnhykjO4lNSzMAoAA6dkCDXQCgAAO3QIuEhYpJhAs -JBTtJg8nLSmAABrLsy8gB40pnSyOPp4f/iHIFaCfEQDoIQgsyoKAAAqZApng+ZfsBeH/AQDqIgAv -/AKAAA+IAvkGAAxwDzUA6akCDVYCgAAPqgKa4f+WygXgKgUAmuPv5gIuUgKAAAuqAo8rluUo5gQp -5gYq5gcv5gnt5ggneMEAAP4jRhXgHUUA/CCGFeAKBQD6IgYVoBmFACkWGCYkFCsSGvph6BWgDBUA -+iImFaANBQD6QAgVoAkFAPggBhXgDgUA+CBGFeAIFQD4ICYVoA8VAFhdrSwgOPrAaB3gHwUA8iPm -FeANJQD9gAQB8OwRAO7bOQtIBIAA8+0ADPDsGQD7xgAPcMwBAPLAaB3gKwUADLM5KyA56GQAC3gE -gAD4ZgAJ8EkFAP1gBAbwuwEA+yIAD/CMBQDtyDgNWASAAPpACBWgDQUAnREcy7CcEAj/AgP/AvIj -6BXgDQUA/8YAD3AMFQD/wAAXMA9FAP/GAA9wDwUA/iBGFaAOBQBYXYPAwe/Lox1YBIAA+kAIFaQJ -BQD4IAYV4A0FAPggRhXgCAUA+CAmFaAOFQBYXXgoEhHpEg8tWASAAPpACBWv/vUAnhD8RKQV7//1 -APxEhBWomQEA+zgAFLiIAQDpiAIO7AKAAP2GAA5wHqUA+CBGFaANBQD8ICYVoAwVAFhdZAqrAvpA -CBWv/fUA/CAGFeAMBQAsFgEpISIoIQkuChz5IAAUv//1APkGAAxwDBUA+CBGFaANBQBYXVUqFhIq -IShZmB3ty3AdYASAAPpACBWv/vUAnhAuEhAt0Cwby2/u3QIGY/0AAOLpEA7oQoAA7ZkCDmZCgAAM -mQILmQKZESgiFRnLZv//4h3gHuUA+iJIFeaIHQD5BgAMcA0FAPggRhWgDBUAWF06+0BoHeAMFQD6 -QAgVoAgFAPggBhWgDQUA+CAmFaAOBQD4IEYVoA8VAFhdL4kw8yAVepIAnQAuEhkbyrgsIQftEhgv -dwKAAKfuLeadKCANK7J4HctCjyCOICkgDCrS+w67CO4gFS3eQoAAC6oIKhYTKyAHK6QHKaQMLKUH -KKQNLDIJLKUJLqQVLjIR+GIIFa/MAQAsFhQopSgspSP9oIgV4AkVAPlFJB3gG0UAK6QF/0PGFebu -HQD6IqgV4P/1AP+gAEawDgUA/ULGFeANFQBY/Q4rEhMvEhYoEhQpsBX3YoYdoCsFAOukAyxGAoAA -5qQALMkCgAD5BgAMcAkVAAmIAuimASeUIYAALCA6wN/9gBP8YgCdAC8wV8TgD+4MnhvA0f4f4h3g -DgUA7CEJJVhBAADsFgwpUASAAFj884kcixsmJBQoIBUmpAArpAPomREMQQKAAPkGAAxwCRUACYgC -+UAmFaALxQCKJxzKjoquiRQMAIcKAmEKAmEKAmEKAmEKAmEKAmEKAmEKAmEtEhYrdq34QKYd4A4V -AO4kFyaBWYAALyA6wI948R4Zym0oMFAJiAooghDsMFch2UEAAPpAaB2gDSUAC4AABQpH+UAgYVIA -nQDAINEPAOokAAnYBIAA7EQACugEgABYXrfSoNEPAAULR+sWGy2UfAAAKiAFwcT9QBDMIgCdAPdA -BDxSAJ0ALSByft982iBYXwNloImOImXghCggFKSIKCQUjzB69mIqIAcKCkEMqxGnuyyyntmg94Al -olIAnQAcyjErsp0MrAoswr8MuwHpFh0lpSmAAC8gceWUAAngBIAA+kBoHaDupQDv5jkKaASAAP7A -aB2gDxUAWF7ADFkR9yAARPAIRQAolp0qEhv5QB2xUgCdAMAg0Q8AAAAAAADqJAAJ2ASAAOxEAAro -BIAAWF6D0qDRDwD9lVwFoApFAPxACBXgK6UAWZhUwLH+Q0QVr+e2AAAAAOw0AApoBIAA+0BoHeAO -BQD6QGgdoA8VAFheoisSGLS7+iMGFe/0xgAsEhwaypweypzqoIAuAQqAAP1gAQbQDDUA/dAGHafN -AQDqyDR9cASAABnKlPgjiBWv//UAD88DD6oBH8qRCYgKGcqNCtoC/xPmFeeqAQDqlIAnDfGAAGSh -wcHD/CCGFaAKFQD6IgYVoAkFAPgh5hXgGFUA+CMGFa/p+gAAAAAAAP/3bA2gC2UAxND8IWYV7/Ym -AACOGukgcSngBIAA6iQACmgEgAD4HUIdoA8VAOmGOQ93AoAA7rsIC3AEgABYXm+PGrT//iEmFe/d -1gAcycyMyPeAGciSAJ0AKxIZDLsRp7stsp63Tv+gGfOiAJ0AHsnFLRIZK7KdDt0KLdK/DbsBZLMm -GMm+sM+fiPt/r9iSAJ0AYAHsiScrmRQunCDksRdk+MEAAI2ZjPAp+sD5wAQE8AsFAO/IDAZjIQAA -6Ms5BoOhgACZFojgfYFoLNAAwZT5gAWkYgCdAMGF+YAFVCIAnQDBlvmABQRiAJ0AwYj5gAS0IgCd -AMGZ+YAEZGIAnQDBivmABBQiAJ0AiBYs0Acp4QWZF+iZCA5nAoAA7N0IBMkBAADp2zt+4ASAAO3E -AA58tgAAybjC3SywAH3BSY6+wLDu+AwHcyEAAAjrOWW/5yggTvzAaB2gH2UA+e0ADjAApgCMF/2v -AA4//w4AAAAA81+l8FIAnQAJzgwu7Oj+IaYVr9LCAAAA/E4mHa/z0gBkrkXwABgNoAkVAMCQHsoZ -LeLSx/4P3QENnQL92kYV7/iqAAAAAAAA//usDaANBQDqJAAJ2ASAAOxEAAroBIAAWF3b0qDRDwAZ -yWnAigjINJiY+kBoHaAbxQD8ACIdoA3FAFhhKmP/x8Ag0Q8AAAAA/9lYDaAJBQAAACsSHPpAaB2g -DBUA+2MAFeAdxQBYYR9j/5wAAAAAAPpAaB2gG8UA/AAiHaAtBQBYYRhj/4HAoFmUNBzJTozI+Z+y -CJALFQBj/46KJ/qAaB3gDAUA6qwgKmgEgABYV27SoNEPH8lEwOoOzjSe+P1fsljiAJ0AY/9gACsS -HPpAaB2gDBUA67wYImgdAABYYQFj+7SKJ/qAaB3gDAUA6qwgKmgEgABYV1zSoNEP2iBYXWpj9TQA -AAAA/870DaALBQCKJ+tEAApoBIAA+0QAFaAMBQBYV1HSoNEPKyAF9X/bQJIAnQCMIsDSDcwC/EBG -Fa/tZgCcKv+AaB2v0AoAKyAHGMnAD9wM/ERGHaG7AQAIuAkugf6v7i6F/i0gIszYjSn8QUYV4ACy -ACmif/5BaBWgDxUA4JEEBsP9AADgiBoP+AqAAOjuCAf7/QAAD+4CnirqJAAF2H0AAPwAIh2gDQUA -WGDMY/rhAAD/7WwNoAsFAPtDABXgDBUA+kBoHaANRQBYYMRj+5HAoFmT4BzI+ozI+Z/l6JIAnQD/ -85gNoAsFAMCwHsj0wNoNzTT9wQYV7/NSAAAAbBAEGMj0iSArgngogpD7IABE8AsFAOskFCzOQoAA -+QAARHAZBQApJAXrhBQpUASAAFvkX9EPAABsEAQZyTmKMimSfwmqEaqZLJAGiJLA2/0gph3v++UA -64gBBmP9AADslAYs0ASAAPkgRhWgCwUAW+RQwCDRDwAAbBAIiCIvIAeHMJUS9CCGFaH/AQDzBnwN -53cBAO8WASOI4YAA63wBKVAEgABZk9TmoiltIASAAPZgaB2vhdUA4hYAI4aJgAAHcgnnPBApFwKA -APJAAEFwAJoAAAAAaYEIihCLZVj7bdSgZkDX53wwIzDBAADywATkIgCdAChgEMiNaIFAaYLkKGAR -ZY/PYABuKGARyIpogR1nT9B1Qc1gAKKKEOtiBSvgBIAAWPus9UBoHa//mgCKEItlWPuj9UBoHa// -XgAoYBHIi2iBH2dPm3VBmGAAbQCKEOtiBSvgBIAAWPue9UBoHa//lgAAihCLZVj7lfVAaB2v/1YA -AAAAihDrYgUr4ASAAFj7SfVAaB2v/WYAihD8H6IdoAsFAFmU4tSg9UALjG8GBQCIMAaIAfhgBhWg -AEIAAAAAAPIgBhWgBAUAiREWyIAfyIHnyIIcqASAAPUgBkIQCqUA4hIALM8CgAAHmQgrkp4PXAos -wr/3YBACUgCdACuSnQy7AesWAyWOAYAAiGj3AA5wkgCdAC5yrhzIce8SBCcMKYAAKXKtLMJ/7JsB -BGv9AAD9IAuOIgCdAJ1o/SALliIAnQAuIBSv7g4ORy4kFPXADP5SAJ0A6xIDKeAEgAD6QGgdp+QB -APwgiBXgDxUAWFz3iBL+oAAVMAlFAPdAAEV3iAEA6aadJBRpAACKJ40UwMDqrCAu2ASAAFhWddKg -0Q8AwCDRD4hoghD3AAqgkgCdAAxZEaeZK5Ke92AK8lIAnQArkp0PXAoswr8MuwFksUywjZ1o6xYD -LfmeAABgAOcAAPIgBhWv/0UA/1/25WIAnQD6QGgdoBvFAPwAAh2gLQUAWF/67BIEKVAEgADtEgIp -2ASAAFhcn9Kg0Q8AAIgSCAhHaYJsiBCIh4KI9wKkFe/MBQDpggskaIEAAAzcAax3J3xA8yAHFCIA -nQCEFCmJFAxEEaJFpJkphRT04Acb4gCdAMkziBTIj9kgbYkFAwCGCQJhJdIABFUI9qAIxGIAnQCI -IJXQBogB+EAGFaACBQDRD4kwBpkB+GAGFeACBQDRDwAAAMCwCow07GYILfS2AAD6QGgdoBvFAPwA -Ah2gDRUAWF/KY/88ixH6QGgdoAwFAPtjABXgDUUAWF/EY/8kwKBZkuCIaPkf8ViQCqUAY/+/2iBb -4Ttj/lz/+BgNoAsFAMCgWZLYiGgfx/P5H/UQkAqlAP/69A2gCwUAwLAKjDT8wQYVr/rCAADA0J2L -iJAGiAH5IAYVoAIFANEPAAAAAADifwwBgbmAAI4UD0UU6lwIKcAEgADq7jYJSASAAG3pBQgAhgkC -YYsUrzjluwwGSQEAAG25BQgghgkCY4ggD04Mrs4u7ECe0AaIAfhABhWgAgUA0Q+IIC/MQJ/QBogB -+EAGFaACBQDRD2wQBCggBc2OKiIHK6IMLakU7qIJJUjBAADpsQd14yEAAGXAA8jdyOv6QGgdoAsF -AFvjONEPHMgt/5C+Be/49QD5QAYVoA0FAJ0n6vNDdVghAAAown0fyFibgZ+jLsJ9nqIpwn/rxn0k -yAUAACnGfxvIUymxjmSfuiyxb4ogfKuyKSEabpQxLSUa8ADMDaAJBQApwoDrlgEmQAsAAJijL8KA -n6IuwoLrxoAncAUAAP+QRhWv/vYAAAD1P/u5kgCdAMDBDJwCDAxPLCUa+Z/7IdIAnQAtsXoNqgxY -+t7RDwAAbBAEG8eVLLJ2K7KQo8wJzBGsuymxGsDi/SIAQlAMBQD9Y0QdoAAuAAAAAABokxAtsRoO -3QINDU/ttRomnEUAAPpAaB2gCwUAW+L60Q8cx4OKsCvBfguqDFj6xmP/4QAAbBAyLSAHHsd6DQ1B -DNoR/0AARTBJpQDoop4pYASAAOLHcxnYBIAA6xYmLpgEgAD5AH5r4gCdACuinQLYCiiCvwi7Aem0 -AA24BIAA+2B9yB//9QAVyAuLSB7HeykWLPFgBDAQK/kA1uDuABUAyMEAAAkAigYAiS5CEihCEypC -EYtJKUIQmx6LTZkfKhYQiU+KTCgWEi4WEYhOKhYTKxYUKBYVKRYWjkvuFhcgyYEAAAkAii8WGy8W -HC8WHS8WHi8WHy8WIC8WIS8WIi8WIy0WKywWUy5SSShSSCgWGf4jRhWgAVIAAAAAAO7jBQDIwQAA -CQJhCQJhDh+HiEkoFhSLTSsWFYpMKhYWKUIL6RYXIMmBAAAJAmEJAmEvFiEvFiIvFiMtFissFlMp -UkkqUkgqFh8pFiAr+v8rFiPrFiIg0MEAAOsWISDZgQAAWPqKL1F51qD/QHF54gCdAC9RhH+iDChR -ha+I+UBw4yIAnQBY+qH7QHVwH/ZFANogWU8bJVGEIxYnpaX6oHYQUgCdAB7HGhjHQSwSJi/idiNC -GC7ikK9f50IZL/5CgAD/wABHcA0VAC3lGi9BKyXGAS8WQRzHsSZCGi5CFCtCFylCFikWRCsWQwjo -ASkWAvgophWjrsEAKhZC6hYAKugEgAD6ICYV4+7hAP4lRhWgCwUA+CBmFaAKVQBZlUDApf2PQgWg -CwUA+Y88BafyAQDvFkYp6ASAAO8WACvwBIAA6BYBK3gEgABZlTT8EAIdoAkVAPzgBAYwDgUA7Jw5 -D1gEgAALmzkMuwIsEirTD/WAUhkQDyUAKRY1IhYt+Z+gFaANpQD5ogAOMAgFAPgmhhWgDQUALRYz -EseEGccD/AAiHeAKBQAK2jkJOQEJ2Tn7JgAMtAA9AOc9GAgECoAA+yBQiBIAnQADelMqFjbwwEpC -UgCdAAZaUPoo5hWghqEAKBZI8sBHoxIAnQAoIjEIiEEoFknwwEeNEgCdAAbJQSkWSvLASCNSAJ0A -KiIxCipB+AAiHeAPBQD/LQAP8BgFAAh4AQiYOQj/AvvgTagSAJ0AH8df/ibmFeAJBQApFjgGyVAJ -+BMJiAL7AE1AEgCdAP+NegXgCAUAKBY5LxY6Bt9QD/kTD5kC+yBM2BIAnQD5jp4FoAkFACkWOygW -PAboUAj/Ewj/AvvgTHgSAJ0A+Y6QBeAPBQAvFj0pFj4GuVAJ+BMJiAL7AExAEgCdACwWKv+NfAXg -CAUAKBY/LxZA/CqmFeAMFQD6KoYVoA4FAP+NAA8wKgUA+uAEBTAZBQD7jQANMA0FAOrqAg74BIAA -6p05DsAEgAAaxugKOgEKyjn/RgANMIkFAAqYORrHKQjdAgo6AfuNAA0wCYUA/0YADTAIZQD7LQAP -sA5FAAuOOQ/rAg27Ai4SRy0SSCoSSR/HDOfuEQ7tgoAADt0CHscaD28B/WYADfENBQD/zQAO8QA9 -AAc+GO27Ag1UAoAA9lcABr+bjQD3sAAWse4BAO2tAg91AoAA/2YADb+qjQAKmQINuwItElQuEjf6 -JwgVrgA9AOc/GA7qgoAA/cYAD3/djQANqgIOuwItEkouEjQKmQLuFksu6MKAAO27Ag93goAA/Cco -Fe+tjQAOqgL+KqgVq4MhAOSIEQ/6AoAACP8C/WYADfPuAQAuFiktEjsP7gKeEi4SPQ7dAg27Ai0S -PwqZAioSNQ27ApsRKxI6DaoRC6oCCpkCKxI+KhI8C6oCCpkCKxJGKhIz5rsQDVJCgAALqgIKmQIb -xt0qEkDHjygWAPtGAA1///UA+iWIFeAOBQD7JgAMsA0FAOkWTCrQBIAAWFic+imIFeOD4QD5jaIF -4PZRAPggBhXn5gEA+iBGFesAPQD2awAO8AwFAP/NAA513QEA7xIqLu2CgADtzAIMQgKAAPwmyBXt -45EA5O4QDVgEgADo7gIK0ASAAOD/EQ7rAoAA/6YADv//9QD/pgAOsA4lAP2GAA5wDQUA/CAmFaAM -FQBYWHsmEikpEkEoIWf4KaYVoAwVAPggJhWgDQUA+ChIFaAORQD//+Id7/v1AOsWACzMAoAA6YgC -DVgEgADoFgIq0ASAAFhYav4Awh2v//UA7RJFLVgEgAD8IEYV4AoFAPogJhWv/PUA7BYAKtAEgAD8 -ACIdoA0FAFhYXvgoaBWgDBUA/imoFeANBQD7QGgd7/71AO4WACrQBIAA/iBGFeAOhQD4ICYVr//1 -AFhYUSwSS8Dq///iHe/59QDpFgAuWQKAAOvLAg5qAoAA+iBGFeTMHQDtzAINWASAAPqgaB2gDQUA -/CAmFaAMFQBYWEHA7PtAaB3v//UA/EXoFa/99QD8IAYV4A0FAO0WAirQBIAA/FgABjANBQDsFk4u -ZAKAAPwgJhWgDBUAWFgyLhJOLSFh+0BoHe/89QDsFgAq0ASAAPugABewDBUA/XQABv/ugQD/xgAP -f//1AP4gRhWvDgUA/6YADrAO5QD8ICYV4A0FAFhYHy0SRP3/4h2mAD0A7BYALVgEgADnPxgK0ASA -AP8gAAfwDBUA/inmFentsQDm3REP+wKAAP/GAA9///UA/iAmFaP+9QD/pgAOsB4FAPwgRhXgDQUA -WFgKwDD3/+Id4AwVAPop6BXgDQUA/gJCHa/59QD4IAYV4AgFAPggJhWpu2EA+iBGFe//9QDrpAAK -0ASAAFhX+8DB/AACHeAeRQD//+Id4AkFAPggJhXv+PUA6RYCLVgEgADoFgAq0ASAAFhX7/2L2gWg -HmUA+0BoHe/99QD8IAYV4AoFAPogRhWv//UA7BYBKtAEgAD8ACIdoA0FAFhX48DB/AACHeAehQD/ -/+Id7/n1APggBhXgCAUA6RYCLVgEgADoFgEq0ASAAFhX1+mkAAMuyYAA9MAuiRIAnQD0wDNIkgCd -APTAMwqSAJ0AIxYY4xYZINmBAADntgIg4f0AAJezl7SXtZe2l7eXuJe5l7qXu+3FWxTwIQAA6pwg -JNgHAADoEi0mMAUAAOzFWBXaAQAA6xYyILlBAADkhFRk2MEAACkWKBjFdO8SKysYBIAA5sVVGpeC -gAAIIgL8oAAUMf8BAPIqRhWgAgUA/ioGFeAPRQDviAIM+ASAAPgqJhWgCDUAbYp0nfDoElEvSASA -AOj2ASdxAQAA9gAKFaAohQAJAIqY9SgSUCkSUun2BilOwoAACYgCGcXVnPTpiAIBEAUAAOM88CnI -BIAA6PYHK8AEgADpCx4Du8EAAOmkAAf5AQAA6UwABVEBAADoBx4NyASAAOksAAXZAQAAKxIoF8W9 -/gACHeAJBQD4IwYV4AolAONy0C3wBIAA4xYaJdgHAAAPAgDncs8tmASAAA8CAOcWGSW7IQAA67zg -IZvBAABtqoT9xgYV4CqFAOgSUSvIBIAA6OYxI7kBAADmABUHwA0AAAkAiizmNOkSUiwWwoAAKuY1 -6eY2J/gFAAD9AMBCUAkVAMCQKBJQCJkRApkCCYgCGcWfLuxA6YgCAJGBAADo5icpyASAAOIHHg3A -BIAA6CwAAJDBAADiAx4BmQEAAOkMAAXZAQAAJxIo9uAgJeBDRQDiEiYjuQEAAClBKisSU/EgDbQS -AJ0AGMURHsVynXCJsJxy/uCmFaAKhQAqdgP4pgAMMAolAOh2BCzOAoAACpkCKXYB5gAVA8hhAAAJ -AIrjPAIjuIEAAI9ADwIA8eANipIAnQApIAEAmTKFIS5CGS1CGPyDSBWnqQEA+iXmFaEAPQAO3Rj9 -IAADMd0BAO0WLiUAwYAAL0IUGcTjD8hT6f8BBEP5AAAI9TjrsgAr0ASAAPwAIh3gzNEA/gCCHaA/ -BQBZkUQdxNQrEi78JegVoAlFAO0ABQ1oBIAADQJhDQJhDQJhHsTxGMVW6KYCLewCgAANbQIO3QLt -pgAieCEAAO8GAAVwQQAADgCKlaYoQhQMDQb9Q6Yd44jhAOikHCHwEQAALxInGMSXDP8RqP8u9p34 -QAYd4AIFANEPAAAAKCIuCJhQKBZIwJApFkfw37ijEgCdAAYKUSoWSfLfuL0SAJ0AKCIx+cBoHeCo -AQD77QAMsIgJAAmIAigWSvDfuCNSAJ0A/9wIDaGmcQAAAAAAAAAA+AAiHeAIBQDyKwYVo60BAPtf -4BWgAgUA+yIADDAKBQACmjgiElh4oILAgfgpBhWv/hoAAAAAAADxP/RUUgCdAB/EjS5CGp1wirD8 -4EYVoBgFAPjgZhWgCQUAmXaedZ53D18Cn3T9QAAVMA4lAA6qAup2ASGYCQAA9uQAFe/5KgApEica -xFsMmRGqmfMzphXgCEUA+EAGHaACBQDRDykWNPIlphWgCgUA6hY1L+gEgAAL7Tn8JmYV79ciACki -MgkJU/gmxhXv174AwID4JuYVoA8FAP4nBhXv2T4AwPD+JyYV4AkFAPgnRhXv2XIAwJD4J2YV4AgF -APgnhhWv2aYAAMCA+CemFaAPBQD+J8YV79nWAAAAAAAAAPwlRhWgDwUA/ifmFeAJBQD4KAYV79nm -ABPEVSoWMeMIBQDRwQAACgJlKCLPIyLQIxYg+CPmFaAKRQDjxFgaxgKAAPsGAAwwAgUAIhYwKhIr -KBZR6MQ2GpeCgAADIgLyKkYVoaoBAOoWUCsYBIAA4hImLNAEgAD3AGgdoAglAG2KnC8SMZ2gKBJR -mKHmABUPSASAAAkAivgmCBWgCQUAIhZY7/xAL5AEgAD+JiYV4A8VAAifOeiMASxOwoAAKBYwKBJQ -7KYEL/4CgAAPmQIJiAIZxLMvElLvpgYncQEAAOmIAgv4BIAA+UDmFaAphQDppgUpwASAAOgXHgO7 -wQAA4qwAAZvBAADiElgtyASAAO8THgXZAQAA6YwABVEBAAAnEjL/8OwNoDOFAAAAAAAAAAD8AAId -4B6lAP2JNAWv//UA+YkyBeAIBQDpFgEtWASAAOwWACrQBIAA+CBGFaAMFQBYVlP+P+IdoAwVAP2J -HgXv//UA7xYALVgEgADtFgIq0ASAAP4gJhWgDQUA/4kKBeAexQBYVkfAwfwAAh3gHuUA+AACHe4P -FQD4ICYV7/j1AOkWAi1YBIAA6BYAKtAEgABYVjv5QGgd7+ayAAAAAAAA/AAiHaANBQD4RigVoB6l -APtAaB3v//UA+qBoHaAJBQD4IEYV4IjBAO8WACxDgoAA+CAmFa//9QBYVinAwfwAAh3gHsUA///i -HeAJBQD4ICYV7/j1AOkWAi1YBIAA6BYAKtAEgABYVh7AwfwAAh3gHuUA///iHeAJBQD4ICYV7/j1 -AOkWAi1YBIAA6BYAKtAEgABYVhP5QGgd7+QqAItJLUEW7kEXIQcxgAAcxEwpQhGKTo9NKEISlhmY -E5sYmhf4ICYV4ApVAP4gphXgCQUA+CAGFeAPBQD+IIYV4AsFAPogxhXgCAUA+CBGFaArhQBZkcsj -Fif634xgUgCdACISJvoqaBXvmeUA+/PCHaADBQD6QCYdr+t2AP/A/A2gCwUA6sQABthhAAD8AAId -oE2lAFhbOsck0Q8AAAAiEib9iFAFoApVAPIk5hXgG4UAWZGzKxJT9kAmHa/5RQD/6kgNoAMFACIS -Jv2IPgWgClUA8iTmFeAbhQBZkakrElP2QCYdr/lFAP/ppA2gAwUAHMQXiEyPTZYS+iAmFeAKVQD4 -IAYVoCuFAFmRnfrfhshSAJ0A8iTmFe/9JgBsEAomIAccw1IGBkHrw1AbVwKAAKyqKKKeC2sK+3fo -FeAZVQD5ABbD4A41ACiinQuLAeq0AAWWkYAAKzADF8Pd7cNtHZbmAAAlQhQFiFOYF/ygBALz5eEA -9cAMYZIAnQDAUC5BKhvDRRnDSPHACMwQDyUAjjGboIggCIgRD4gCmKEYw2qZopelCOkCHsNG+UCG -FeAIhQDopgMlSGEAAO4AFQVQgQAACQCKJVwCiUDxIAjykgCdACkwAQCZMihCGSdCGC5CGgkLR/oh -RhXhAD0A+OsAC7n+AQD+IQYV4XcBAJcZ5zIBJYCpgAApQhQJy1PtmQEF2/kAAAuXOIsg/hoABjAN -FQD+BgId4A5FAFmPpYkYixmMGh3DMx7DVxjDve0ABQ1oBIAADQJhDQJhDQJh6KYCLewCgAANnQIO -3QLtpgAiECEAAOIGAAV4QQAADwCKl6YtQhQMDgb/Q6Ydo93hAO2kHCL4EQAAGML5DGIRqCL+U6YV -4A5FAP5gBh2gAgUA0Q8AAAAAAPHf+QRSAJ0ALkIaiDGboIcgmaKepZ6n7sMNG74CgAAPdwL3QCYV -4BcFAJejDogC+UCGFaAOBQDupgYiqAkAAPtEABWv+4YAAAxiEawi9FOmFeAPRQD+YAYd4AIFANEP -AB/DkxjDkylCFupBKy1YBIAAmRWaFPpgKBWgDBUA+iDGFaAJhQD4IEYV4A7FAP4gBhWgDQUA+CAm -FaAOJQBYVUCOF48U7cOEHVgEgAD6IMgVoAwFAJwR/CAGFeAMFQD54AAXsA0FAP/GAA9wDwUA/iBG -FaAORQBYVTKVEvwAIh2gDQUA+0BoHeAOZQD6IMgVr/n1APggBhXgCAUA+CAmFaAPBQBYVSeLFcDQ -9CDIFeAeBQD7cAAVucuxAPogRhXj//UA7BYBLVgEgAD7gAIdoAwVAOoWACrQBIAAWFUZ/AAiHaAN -BQD+AAIdoAgFAPggBhWgDyUA6BYBLVgEgADoFgIq0ASAAFhVDhzCmB3CwP/1oA2gBfUAAAD/9LgN -oAsFAOtsGClQBIAA/AACHaAdVQBYWlLHJNEPAGizLMGWebEXxrr6YCYd7+mlAP/2GA2gBQUAAAAA -AAAA+fPCHe+e5QD+YCYdr/+aAP5gBh2gAgUA0Q8AAGwQGCUgBxnCe/aBCBWhVQEA68J3GtcCgACp -qiiingtcCizCv/cAFyLQZvkAKqKdDKoB7KQABRbxgAAXwxEtcY4ZwpLxq2AN7/v1AORhRWDQwQAA -CQKJCgCKKEIQKkIRL0ITLkISjUkJAomdHo1NKhYQmB+KTIhPLxYSLhYRj04oFhYvFhUtFhQqFhMu -QgvuFhcg0YEAAAoAiiwWJSsWGysWHCsWHSsWHisWHysWICsWISsWIisWIy5yRC9yQy8WGS4WGisW -IysWIisWIeocMCDZgQAAWPWoKHFv7BIlLVgEgAD5QAfZogCdACpxeilxe3qyCaqZ+WAHQ2IAnQDA -cOsiACsRTgAAwND7gGgdoA41APzgaB2gLwUAWY7LG8LziSAcwvAvQhguQhkswn/35gAP8IgFAAju -AgyZDAuZAitBFpmgiE0rpQIpQRcppQOYoo1MnqWfpJ2jjEmcpvqDSBXgDRUA+0DmFeAPNQAZwiIM -WBGpiC+GnS00AC4gBowi7cwCB3AFAAAuJAb8QEYVoAIFANEP6QAFANDBAAAKAmEKAmEsFiUJAIeI -SSgWFI9NLxYVjkwuFhaNS+0WFyDJgQAACQJhCQJhKxYhKxYiKxYjKXJEKnJDKhYf+CQGFe/7mgAt -QRYuQReHSesWJCMPSYAAHMK1KkIRj06ITSlCEvYhBhXgCwUAmxCfF5oRKRYD+CCmFaAJBQD4IIYV -4AgFACgWBv4kiBXgCgUA+iBGFaArhQD+ISYV4ApVAFmQMysSJOwSJS31ygAAhjH9hCYF757lAC40 -AfqCiBWgPwUA+IMoFaEAPQD2gwgV4A5FAPiDSBXjuuEA/UAEBXANFQDodxgF2/kAAOumOA5QBIAA -+BoABnF3AQD6QAgV6SkBAFmOahjB+tmg+AAIHaAPNQBt+gIJAmEbwhoYwn/opgIrzAKAAAkpAguZ -AummACIQIQAA4gYABXhBAAAPAIqWpi5CFC0KYv1Dph3j7uEA/0OGHaAJRQAcwcAMWxGsuym2nfhg -Bh3gAgUA0Q8AAAAAAP/0iA2gCgUA61wYKVAEgAD8AAIdoA1VAFhZeMck0Q8AwND7gGgdoA5VAPzg -aB2gP4UAWY5CHcJojCAvQRYt0n8vpQIuQRcNzAwupQMdwmUuQhGeow3MAi1CEJ2iK0ITm6UpQhKZ -pIhNmKePTJ+mjk+eqY1OnagpQhgoQhmcoPcmAAzwiwUAC4gCjEmcrCtCGpir+0GmFeANVQD5QUYV -4AsVAB/BkQxeEa/uLeadKzQALCAGiiLrqgIGYAUAACwkBvpARhWgAgUA0Q8cwj8oEiSPTYlMmRD2 -ICYV4ApVAPggRhWgK4UAWY/FKhIk7BIlLWgKAABj/kQAbBAK9mBoHaeFAQD1AAgJEgCdAIkizZIp -MBhkkIf1IAS4kgCdAGiTCWiUHMAg0Q8AAAAlPBjbUOokAAngBIAAW/nwZKCTZq/iE8Fnijj3QA14 -kgCdABvBZh3BZyyyru3SfyYEOYAALLKtDcsB7cB7dXP9AACeOH3Afi8gFKT/Dw9HLyQU9eAMXlIA -nQCKJ/qAaB3gDAUA6qwgKmgEgABYT3rSoNEP2iDsNAAB2GEAAFv+02P/jAAAAOU8GClQBIAA7DQA -CtgEgABb/fUrMBj5f/uB0gCdAGP/WywwGPmf+lpSAJ0AY/9dAADAsMDaDa007TYILfwuAAD6QGgd -oBvFAPwAAh2gDRUAWFj+wCDRDwAtMRaPOC4xF4M5/+nAABBf8QAcwfIrYhGIboltKmIS8iEGFeAP -BQCfEJgXmhP4IKYV4ApVAPogJhXgCQUA+CCGFeALBQD6IEYV4AgFAPggxhWgK4UAWY9nYAAaABzB -4Yhsj23yICYV4ApVAPggBhWgK4UAWY9ggyeMOPpipBXvxwUA6jILIeiBAAAH1wH3YABF8A8FAOyh -cnXZAQAAKDkUDE4RrOquiCg1FHqzcsln02DpxAACAJmAAG1JBQMAhgkCYSrSAA6qCPtABPRiAJ0A -mtDjxAACgfmAAC80GC80Gf5jZh3v+/UA+mDmFe/4XgDAoFmL3oo4+V/yUJIAnQBj/uUA2iBb2jhj -/nCfO+OkAAr+TgAAwMP8YwYdr/8KAADsuwwDAbGAAAtDFOg8CCtIBIAA6Eg2DlAEgADTD22JBQkg -hgoCYwtpCONIDAPRAQAAbYkFCUCGCgJlC+kMqXkpnED5oAYV7/2yACp8QPugBhWv/YYAAAAAbBAQ -G8GaKCAFLCAH5bFqKsgEgAAqMAP2gEAV4cwBAP0BQARQVQkAwCDRDwAAjSLpFgQumA4AAC4gFvog -ZhWg//UAf+Ei/CKGFae5AQD7f8AV4AgVAOuLOQlQBIAAWAcC7BIUJSahgAAewL4r4IAdwLsfwLzm -wL0V2N0AAPwgJhWkux0A63sJC8fCgADoFgUl2A0AAPWAEbISAJ0ADM4Rpu4o4p77ACOD4gCdACvi -nQ/ICiiCv5wRCLsB77QABZRpgACK2PdAFYCSAJ0AKWKuDwIAZJI4HsFlLGKtLuIkDssBmxb/gBFe -IgCdALCoKNYI/4ARbiIAnQDuwV4aluYAACowICkK7flAF3RiAJ0AwL57oQosCu79QCB1IgCdAMCA -6BYKIeCBAAD8IaYVoA0FAJ0ZGMFQjcCKLAjdARjAjS4gLBnBTSiCkSshF/hACB3gCRUA6KgMD3QC -gAD/ZgANtogdAAiZYg27Apsc+QAVtGIAnQDAwCkgFioK/3qRGywWEC8WFYodW9gK66QACVAEgABY -BokvEhUsEhAawTorIQcdwTcewTf7gAEFOrsBAOqiAC3fAoAADrsCm9CJIIsT+6BmFaAMBQDs1QUs -zgKAAAl5AunWASHAQQAA6AseBvBBAAAOAmXr1g8qkxYAAN7ALCAUpMwMDEcsJBT1gBVWUgCdAIUU -BQVH5RYHIiv5AAAMXREtFggawRn94Ggd4AwFAOoSBy1YBIAA6w8eBmAFAADtbAAOJ9AAAO0SDSfh -AQAA+UAKoVALBQD8gkABUAkFAA2AhuyMAAXYBQAAdbnxixllsWGMGIgajRyvzKj/7fYQJnkBAADv -FgsnANGAAB7BAg93Ef+mAA6wDgUA/CGGFe/+GgCEMiQmHIwx6RYCL9gEgADzgBEQUgCdAIUR+CBG -FeAKBQDqFg4uEcoAAKp/DFIRpiLvJp0skASAANEPAIrY90AUsJIAnQCOEQzuEabuKOKe+wAVg+IA -nQCJESjinQ+ZCimSvwmIAZgWjhbr5AAHFQGAALCv/6EGFe/2wgDAgJgWiRbAugurNOvWCCzu3gAA -+kBoHaAbxQD8ACIdoA0VAFhX0uokAAnYBIAA7RIEKmAEgABYVHbSoNEPHsAFLuCAjRUu7Df6QGgd -pO4dAO7dCAZYYQAA/aBgFeAMFQBYV8Nj/78AAAAA+iKmFeAKBQBZitwdv/aK2C8SFflf6eiSAJ0A -Y/+KAAAuFhKKJ5kSLxYV5eIiZVCBAAD8gGgd4AslAFhOE4sZLxIVLhIS6aQABfURgACNEP3iBhXg -DAUA/eJGFa/6QgAAKCAsGcCkAwKJ7gAXDEDCgAAoltoOoIf6FCAA3/Q2AAAawKYdwKT4YQgV4B4F -AO4WCiHYgQAAmx2bGQ2ZAeqZAgHgwQAA+CAGFe/0RgAroADzYA2mEgCdAMDQK6AB82AOrhIAnQDA -kA+cEQzcAmfNLGP72x3AiRzAhwPDjA0AbSggLCzC2PsABADQDhUA/cABB1iMuQD5wAQHMA31AP+g -Br4iAJ0ALjAQ88AP59IAnQAMCUL1IAjwkgCdACqc/vgAIh2gDgUA+wIADz/1NgAAAC4WEi8WFesS -BilQBIAAW9juLxIV/iJIFa/1CgAAAAAA5RIBKVAEgADr9AAKYASAAFvabowxixuJEuoWDi1vAoAA -7bsIBm59gADjEg4pUASAAPyAaB3gDAUAW9ozo66ufuISAir/AoAApv8u9p3RDwCcEf/ucA2gCwUA -AAAAAAAA6iQACdgEgABY82LAINEPAPpAaB2gDQUA+4JAFeAMFQBYV0xj/eUAAPxAAASwCBUA+T9g -FeAOBQD5AgAPf/IuAAAAKxYT/CKGFaAKBQBZil8dv3ksEhSK2CsSEx+/ePlf6nCSAJ0A/+ygDaAL -BQDAsPogxhXv9XoAwOoOrjT/oQYVr+xGABm/rogc+QYADHAOBQD4IYYVr/DGAAAAAAAAAPyAaB3g -CyUAWACBLxIViRL+IkgVr/IKAAoBh442LTELDQ4/Dg0/CuCHLBYR+cEIHaSbAQD5AATy4gCdAP/4 -qA2gDRUAAACJwvEgBXjSAJ0ACcwUCkOHCiKHDA5J2OD4hIgdpJsBAPkA1g3gDBUAwMB6twz5gGgd -4ABSAAAAAAAA+gAiHaAJBQAMqTidH+8WFSzvJgAAjDL6QGgdoAsVAP3wAAYwDQUAWPL7LxIVjR// -9xANr/n1AAAewAWNNH7RB//tjA2gDhUAKDEKaYLx/+1UDaAOBQCMMu8WFSlQBIAA/fAABjALBQBY -8uovEhUsEhGKLP/1zA2v/fUAGL/1LjEOeOkL/GHkFa/9LgAAAAAA8X/rDlIAnQCdHy8WFf/90A2p -7gEAAAAAbBAEjSf5oQgVr88FAOrRFSlYBIAA4tILJuCBAAAPzwHvqggKdwKAAOghTHVRAQAAJtkU -qOmuZibVFHmjUsk44jQAAgCxgADTgG1JBQIAhgMCYSnCANMPrpl6kX2ZwMhbCuow6rYXLBAEgADR -D9KA0Q8AAAAAAAAA+EBoHaAMBQDs1gsq/uYAAGP/4QAAAAAA6KkMAYGxgAAJShTtrAgpkASAAO1N -Ngw4BIAA0w9t2QUCAIYHAmEJMgjqRgwHmQEAAG1pBQIghgMCYwnqDKr6KqxA+4AGFa/+IgAt/ED9 -gAYV7/32AAAAAGwQBIwh7SEFKlgEgADyrwAKf84FAO4uAQlABIAA7toICc8CgADpwggFUQEAAOor -L3r/AoAA6f8MCjcCgADmJggHgLmAAHajIchMbUkFAgCGCwJhgoHRDwDSwNEPAAAAAPxPAAl//0YA -AAACpwzzYGgd5FcdAG1ZBQIAhgMCYQtzCOVJDAcRAQAAbZkFAiCGAwJjgoHRDwAAbBAGHb+K4r7H -GSAEgADlv4gayASAAJkQikcoQSgvQQf+hSQVr8sFAOh4CAVQgQAA+0AEBfr/AQDlZgInYIEAAOxm -Ay//AoAAAv8Cn2AsMgclMgsoNRsHzAz8oAQC8AIlAOhVAgH5AQAA7DYHI2hBAADlNgsh4IEAAG0q -BQwAhg0CYeXgjWMQwQAALExADCCGAgJjLaEF/eBoHaAFFQDr2QgDWQEAAPhgBnHgAkUA3XBb1CmP -QKKipa7o/xEHcA0AAA/uAp5hjTcYv1uKOekyCCaEuYAAjDr5IAQEP7qBAPhhBhWvqgEA58wIBdgF -AADsNgot3AKAAAuqApo5jxAYvvcPD0cM/xGo//PgBhWgAgUA0Q8toQWr2Og6ZH/gBIAAv+75gGgd -5F4dAG1ZBQlAhgICZSlBLGWQTv1ApBXv/wUAD+8BrPyr2eb7CAKQDQAA6ZxAJdjBAAD5n/qT4gCd -AP2PAA5//SoAGL8OCJgCmGTRDwAAAAAAAP3vAA5//MoA/e8ADn/+cgCmkiIsMAjqMC1CFw2IDC0w -FR+/Jw1tQQ/dCi3Snw2ILC1BMC9BMQDdEQ3/Aqj//kAkHe//gQD+QAQd7/3eAAAAbBAEKTAViyfY -IPigAAT/zAUA5FCuZdCBAAAWvxYevvaNO4swjziHOfsAAAXwBSUA/nAAAXtPIQD/oAQGsHdxAPav -AArz7wEA5v8BCoEKgADk7ggJEAqAAOLuCgXbgQAA64UpJ3A5AAAuhSj+YQYV7+4BAP+vAA6/uwEA -7TYHJYVJgADsrQEEi1GAACQxCSIwEaQiApIJsiICAk8ihSwkoQUiLBCiMq1N5SwwJukBAAD8oApK -4gCdAIYs5oYYKZAEgADRD4W4LbEVDK8Bgruv3ea5FCbpAQAA9EAJpGIAnQAMThGl4q5mJrUU86AJ -06IAnQDJOslI4jQACpgEgABtSQUCAIYDAmEiogAPAgAOIgj8QAr0YgCdAJKg01AG6jD3AuYVr/ve -AAAkoQXspwEBkQEAAOdHCARJAQAA9mAHCeALFQDaIAoAhgkCYYUhJIEoiiMZvsl1S1eFIiSGEYwh -Hb7HqkoEzAycIXSrIx2+xCyCEOomAyKoBQAAlSIJzAELzAINzALshhApkASAANEPACyCEJUimiMJ -zAELzAINzALshhApkASAANEPAAAAAAAA9ILmDeEOBQAWvrEighAGIgLihhApkASAANEPL4IQCf8B -C/8CL4YQjSAWvqgO3QKdICKCEAYiAuKGECmQBIAA0Q/AkOmFLCmQBIAA0Q8EWgyKoOqGGCmQBIAA -0Q8AAAAA8kBoHeANBQD9YWYV7/wCAPRPAAk//H4A5dsMAYGxgAALTRTm3AgpkASAAOZGNgq4BIAA -0w9taQUCIIYHAmMLMgjtRgwHmQEAAG1pBQJAhgMCZQvtDK39LdxA/UAGFe/6sgAu/ED/QAYVr/qG -AAAAAGwQDJQYkh3uEg0pkASAAOUiACrQBIAA8uAIFe/JBQD0wAgVr/0FAP/A6BWoVQEA5RYJIqg9 -AADtXQEL+ASAAObhFStABIAA4tcIB3CBAAAJ6QHpaQgDuIEAAOmcQCvgBIAA+OAQEuIAnQCYFJ8T -nhKdEZwQmhX5exoF5GUdAOsSDSEogQAAlRqWG/V7KAXnagEA67EHKz+CgACpd5cW93sIBeq7AQDm -Fgct3wKAAOW7Ags3AoAAp2b6IYYV4AcFAPRBhBXgAIoAKGKejBb1AAr7ogCdACtinSzCvwy7AeS0 -AAWIeYAAihKLEYwQA1U2pLvrvBAq6ASAAFvTBQUzDKdXix2MGR6+OI8d6hILLWgEgACJJ4gcqt0F -mQyZJ5hAj/CIGu5GAiboBQAA7EYDL/4CgAAP3wLvRgEiSEEAAG2pBQgAhgkCYSuyFewiBy2D7gAA -ZMCCLiEMGL1ULWadfjNZKICAhBjtvU8UQN0AAP4g6BXkiB0AqETt0ggiIB0AAPn/+iJSAJ0A96AF -YJIAnQAuYp6IFvXABcuiAJ0AJGKdKIK/Gr1ACEQB5ECnZsv9AACZqPqAaB3v/J4AjBSLE5TA92AG -FeACBQDRDy6xALHu/2AEHa/9+gAvIQwF/wxl8I6CE4gUlyDkhgAukASAANEPHr0tLuCAjRjrEgUn -cN0AAPohqBWk7h0A/6AARrAMFQDt3Acl2GEAAFhU6oIUjxOUIPfgBhXv8kUA0Q8AAAAA//qoDaAL -BQDAoFmIAB29GY3Y+b/6UJIAnQD//ZQNoAQFAMBAH70UwOoO3jT/4QYVr/1OAAAAAAD27wAOP/f6 -ABm95C8hFi4gFSogFCghCSwgEa6qqlqsQqgrqMysrKioLEULKrUSefESjxSOEyglEZTw5+YALpAE -gADRD4sU6hIDJEthAAApJRKUsOemAC6QBIAA0Q8AAABsEASKJ/hAaB2gCwUA5FBcZUiBAAAWvcgl -MRYsMQwtMBUqMBEiMQknMBSqNKJJoqqtd6x3p6qicio1EyeVGvagBEQiAJ0AIkUZLDAVLzEJLjAR -7TAUJgNRgACv7q7drT0t3DLthhUpkASAANEPhagtoRXzQWgVr88FAA+fAe/dCAp3AoAA5SFMdukB -AAAmqRSl7K5mJqUUfNNFyTXiNAACAJmAANNQbUkFAgCGAwJhjJCuzH3Bc5yQ8qBoHe/9ZgDrhhUp -kASAANEPLSzY/INEHe/94gDTIPtBZhXv/OoAAOXaDAGBsYAACkwU5swIKZAEgADmRjYKuASAANMP -bWkFAgCGBwJhCjII7E0MB5kBAABt2QUCIIYDAmMK5gym9iZsQPcgBhWv/koAKvxA+yAGFa/+HgAA -AABsEAQmIAf1ev4FoycFAAdnHSggFqRyIyK++wAEANAJFQAAlRp1ODgYvXjkIsErNwKAAKhmhmAE -VAEoIr8GVgH3LQALMAMVAPihIIWgAgUAAGIR0Q8EMjgCYgEAIhHRDwAZvJsIgwnpeQgJnwKAAKkz -Iz0JIzx04jH/IZgLAAAjMQADIgHRDwAAbBAMiiIpIAflMA4qwASAAJgXlRrlVQkCa/0AAPFXDA3h -mQEAHLx+F7x+mRQWvH8qcICZG+1fNwzHAoAA5ogIBVDdAAD/E8gVpKodAKr/67x1F/gNAAD/wAuz -4AqlACiCnQuZCimSvwmIAdmA54QABAtBgACIyJkT9wAMYJIAnQArYq5ksSEbvGkpYq0rsn8LngHu -Fgkkc/0AAPsgCHZiAJ0Ansj7IAiOYgCdACggFv14ygWg//UA/wALVWIAnQAqMA+JFy/6wO68YxHA -QQAA8VqADeeZAQAtIQcNDUoM3REO3QItxiyLIBq9J/1gABWwDTUADbsCK8YtCkCH+SAP6VIAnQCK -GsDgnhhtqQ4IAIYIIIYHAmUHAmEHAmMoIBQvIAQsIQn1AABEMAkFAPXgC9EQChUALSAVKCQUixno -zBEO6QKAAA3MAii0Aym0AArMAuy2ASvYBIAAKmatKSQUhDIkJhyMMfOADvhQCAUA6BYALg+yAACO -EK5ejxviEggv/wKAAKb/Lvad0Q8AAAAAAOokAAnYBIAA7RIHKmAEgABYUI/SoNEPAMDwnxmJGQqL -NOvGCCz3vgAA+kBoHaAbxQD8ACIdoA0VAFhT3GP/wAD/+lgNoAgFAB28Ei3QgOsSBCbo3QAA+kBo -HaTdHQD8oABG8AwVAO3cAyXYYQAAWFPPY/+LAAAAAPwhphXgCgUAWYboHLwCiMiNHfkf8xCQCqUA -Y/+TKyAH+3mqBaMpBQAJuR2ZFaqZ7pK+LAEKgAD+YMQV4AoVAO8WBi1QCoAA+8AJ2KIAnQAevMoM -uBGuiIiALpK/+UAEBDAPFQAI+Dl+qFr5AGgd4AGqAADV0PkgCqlSAJ0AihPpPBAiDD0AALBPbfkF -CQCGCgJhwICYGIcTDNgR+OAAQ7/51gAoJBSJMPMgDbqSAJ0AihviEggtVwKAAKaqJaad0Q8AAAAA -LpLBwLH/QAQHMAkFAA65OAmJAe0WDSSGwYAA6xIGKVAEgABYAeGNHf13pgWv9xoAiicroRXsEgol -UIEAAA+pAam5KZxAbckTCGCGCCCGBwJlBwJnBwJjeYsY0w/bQPyAaB3gDAUAWEnj+iEGFa/3igAA -APsPAAx//44AANog63QACmAEgABb1oCMMeoWAC1fAoAA63sIBnCVgADaIPyAaB3gDAUAW9ZHjBCs -rKxenBCPG+ISCC//AoAApv8u9p3RD4kVCI8J7JkID/8CgACp/y/9CS/8eCnx/u7x/Sf4CwAAL/EB -Ce4B/9/5QuAJFQCLFPpAaB2gDBUA+2JAFeANBQBYU1tj/bsAAI4nnhGJ6fvCghXvyAUA5+EVJ1CB -AAAIqAGYEuh4CApnAoAA7LsMBMhBAACZ6Qi7MuvlFCRBAQAA+SAJ8qIAnQBoy0apx+sWDCO7wQAA -9wAGK+IAnQDrEgMiDH0AALBObekFCYCGCwJph6EvqQQHxwjvFgwju8EAAIsc+OAIPCIAnQDnpgEr -yASAAGSwz/ghBhXv+N4ALyAHHLu3DytA7rwCHdqCgAAMuwIsIQj64AYV4f8BAPxACBXgKwUA63YD -L/wCgAAPzALu3QIOzgKAAP+GAA4wCjUACpkCmXEau2uacokr/kEoFeALBQCbdZx07XYGLHICgACe -d594mXnrJBQiyA0AAIob4hIILVcCgACmqimmndEPCY8M+iBoFeR/HQDTD215BQmghgsCa4sTiRIH -Rwyvu+mcQCOMPQAAsH5t6QUJwIYLAm2JEiipBA/LDKuZKZww6aYBLHmmAACMEsDg7qUEJmEBAAD9 -QCYVoAkFAP1ABhWv/GIAAAeZDPnBJhXv+v4AiRIpnED5QCYV7/vyAGwQDJURjCIqIAfpMgAiaAUA -AJ0Z/CEGFeGqAQDxnwwN6JkBABy7JJoTiMgWuyWZEvcAD6CQDaUALmKuGbsiZOIcKZJ/JWKtCVUB -ZFISKIz/KMYI6VQAAo+JgAAbuxclsIAuEgMauxbpFgAiqN0AAPfAaB3kVR0A5UUID08CgADmmQgC -qBEAAPXACmoSAJ0AKJKe9QAZ0+IAnQAlkp0K6Aoogr8IVQFkUcMpIBYqCv96kRAqPBBb0pTrpAAJ -UASAAFgBEyggFCsgBKSI9WAOiReYAQApJBT1IBQ2UgCdABu7xi0hB48SHrsB+3YGBardAQDs3REH -4D0AAP+mAA60zB0A7hIJJmAFAAAMTAydUIgg7Lo5B8hBAACZU+pWAixGAoAACO4CnlEtICwrIRcc -u7zqEgEu7AKAAA27Agy7AutWBCLIgQAA7DIDIcBBAAD+oWQd4A4FAP6hRB2vDQUA/YAEBne8AQDs -VgYt2wKAAPqg5hXnqgEA+UAJgVIAnQDoQQ1iU/0AAG2pBQgAhgkCYcCALSAEsEoMqhH1oA0hEgCd -AIkyKSYcizGqVegWDCKogQAA82AN0FIAnQDAMOgWDC2PAgAAo0uxuwx8EabM68adLBAEgADRDwD3 -AA/gkgCdAAx5EaaZLpKe9cAQU+IAnQAlkp0Keworsr8LVQFkUfiwjZ3IZV6iYABjAAAAAAAAAOok -AAnYBIAA7RIBKmAEgABYTxXSoNEPAMCgWYWIHLqhiMj5H/AQkA2lAP/4VA2gBQUAAAAAAAAA+kBo -HaAbxQD8ACIdoA0VAFhSXmP/scBQDYg0+YEGFa/3sgAAAB66ki7ggI0Z6xIDJ3DdAAD6QGgdpO4d -AP+gAEawDBUA7dwDJdhhAABYUk9j/3QAAAAAAPhChh2v+OoAAACPJ58UiPn94oIVr80FAOrxFSfY -gQAADb0BnRXtrQgKTwKAAOnMDARAQQAAmPkIzDLs9RQm6QEAAP0ADBLiAJ0AaJtNnBvomggC8IEA -AO4WBiVTwQAA+6AIA6IAnQDoQR5vYASAALBObekFCACGDAJhirEvuQSqmu8WCyVTwQAAjBv9QA6M -YgCdAOq2AS1ABIAAZc5SYAEJizDzYAlikgCdAOwSCCvvAoAApt3s1p0sEASAANEPAOsSAClQBIAA -W9OXY/1vAADpFgcpUASAAOtUAAzgBIAAW9UaiByJF5oaizHjpAANdwKAAP6gAEK/+JYAAAAAAADq -JAAK2ASAAP0gaB3gDAUAW9Tdo6OjS+gSDCXYBQAADHwRpszrxp0sEASAANEPAAD/80gNoAUFAMCg -WYUcHLo1iMgaujb5H++4kA2lAP/4SA2gBQUAAMBQDY80/4EGFe/4EgAI3Qz9wGgdpK0dAG2pBQgg -hgwCY4gVrV7qTAwHUIEAAOiMQCYMPQAAsM9t+QUIQIYKAmWIFSq5BA2cDKyIKIww6LYBLWpWAACN -FcDg7rUEJukBAAD9YCYV4AgFAP1gBhXv9LoAAAqIDPnhJhWv+e4ALyAHHLog7rpaElP9AAD/QAAV -MN8RAOpaCA7qgoAADt0CHrqgnaiNIP1BRhWgCzUA/EEEFaH/AQDu3QIOzgKAAOuZAg/8AoAAD8wC -man/hgAOMCkFAJmrKyAUjyv+QSgVoAkFAJmtnKydri6mEO+mES3aAoAAm68pJBSCGbMiDHkRppni -lp0sEASAANEPiBUojED5YCYVr/jKAAAAAGwQBCogBx+6w/11fAWjLgUADq4dKyAWrOwowr77YAQA -0A0VAOS56h7oCoAAfYhIGbq6DKgR6YgIDcoCgAAJOQKZgCjCv3jQKyjCwAjYAijGwAnqMAuyCeTo -CAkXAoAAooiviCKCfymGfgMiDOKGfykBigAA0Q8AAAu8CeTqCA5nAoAArKoqrQkqrGwqrQIpoQPz -LwAM8AwFAAyZNSmlA9EPLsLBDt0CLcbBWAHX0Q8AbBAEJyAH9XUmBeMoBQAIeB0pIBalhSNSvvsg -BADQChUAAKYadjgwE7qMDHIRoyKCIClSvwJiAfNNAAkwCBUA+MDAheAEBQDRDylSwQlpAQmEOAQi -AdEPAB25sQmcCe2NCA5nAoAArcwszQkszHgtwf7rwf0mYAsAACzBAQ27AXy7A8Ag0Q/SoNEPAABs -EAYqIAeIIhy5mfdzNAWhqgEA5YDlbV8CgAApwIDmuwgNOASAAOiyniTI3QAA/XMgBeSZHQDuuYwU -yA0AAPkAB9PgD6UAK7KdDagKKIK/CLsBZLDwiuibEPdACFCSAJ0AKWKuHbmFZJCsLdJ/LGKtDcsB -/YAFFmIAnQCwr5/o/YAFDmIAnQAoIBSkiAgIRygkFPUABzZSAJ0AKSAE/STgBFD89QAFCkdoohiK -J/qAaB3gDAUA6qwgKmgEgABYR5PSoNEPwCDRDysgLHyx1o0yLSYciTHrEgAoBAqAAPMgBRhSAJ0A -8Sw4DeADBQAMfRGm3fOzphXv/r4AAADqJAAJ2ASAAOxEAAroBIAAWE3H0qDRDwAAAADAsA+oNOjm -CC37PgAA+kBoHaAbxQD8ACIdoA0VAFhRFGP/wQAA//xIDaALBQAAAC3AgOusGCbo3QAA+kBoHaTd -HQD9oGAV4AwVAFhRCWP/lMCgWYQlHrk/iuj5X/dgkA+lAGP/p9ogW9J/Y/8VnRHqJAAO4ASAAFvU -BI0RKxIAKTIBKhYC46QADWcCgADsuwgE+i2AAPpAaB2gDAUAW9PJ80AAQf/8ygAAbBAEE7kuIzKR -AyIMAmIU0Q8AAABsEAqVEf6ASBWgDRUA7zQAAjghAADvFgIrGASAAPfAEHxv+/UAH7lzFblTkxD6 -QAQA0AkFAOkWBC6wCoAAlhP61wALcAwFAInhnhWL4JuQiuDtQREnQ/sAAJgWmaGc4Zzgi0Iogn7z -7+gV4AoVAPdvAA3wCQUA66k4DEZCgACoM4gymReJNwaIAfhgRhWgAgUA5UUSJoVZgACOnC2ZFOqS -CSTAwQAA6OgMB3MhAADo4jkGhDGAACoWCGUgRYoY5bktFQGJgAAZuQoooAAVuSoJiAooghDsoAct -WASAAPpgaB2gDTUAC4AALUEVK0ERmhh9s1fMK4gYZY++/GBIFaABXgAZuPsoIAAJiAooghDsIAcp -WASAAPpgaB2gDUUAC4AALEEVK0ER7LuRfRAEgACMMs7AYAEZAAD//egNoAoFAC1BEPyCJB3v/UoA -jDLMxGUgSGWgRR65rXzgNdrAWYYQ+0BoHeACBQD6YGgdr/z1AFhPG4gWizKKE48ViUILqgKaMp+R -J4aBKYaAn0IiRRLRD37HHcfdDcwBnDKPFokTjhWIQQyZApkynoAk9oAo9oGeQYoXwMDsRREtBQ4A -AIsUsbvrFgQloDuAAI5C/3IUBe/53gCMQHTJU40X4xIAJoJxgACPEfogSBWv/vUA+GQCHaAMFQDo -+CgJgQqAAOmiwC5gCoAADswDHrl7DJkBKabAqO4o4sEu4r3HnwmIAwjuARi5dQz/Eaj/nvLRD9EP -AI5AdOGt6kQAC9gEgABY7Xb7/+Id4A0VAP6ASBWv92YAZa9Hjxhl/0Jj/vkuQRAZuWaIEY8Q+3LG -BeMtBQDtjSgMRwKAAOmICA/iAoAADOwCq9ucgyiyv/vgBADQDBUAAMwa+Z/59iIAnQApssAJyQIp -tsAJ6jCIEBq4hQiICeraCAxHAoAAqogojQgojGgvgsAphr8O/wzvhsAn+E2AACqywQrKAiq2wYoR -WACHY/7zbBAIBmQJ4xYAKicCgACkNI1AG7lB93J+BeAMFQD8gAy8b/71APlxdgXgAwUA8iCGFeMo -BQDoWCgK1wKAAOuqCAkBCoAA6hYCLlAKgADupQMLAQqAAOeICA5QCoAAmhH4IGYVoAMFAIfRitCa -cIjQl4GT0ZPQ6EIAJpP7AAAiIn4ukn/kiAwJFkKAAKLunhX9wEgVoAcFAP3A6BXgAhUACCc4BcwB -itz5ooIVoAIFAOzmAibYwQAA66sMBVMhAADrojkEA+mAAIrZCqYCZSBDyW8ZuE8oYAAJiAooghDs -YAcrWASAAPogqBWgDTUAC4AA1qDNLWVv1IwSixGNE4zALdK//WAEBjAIFQAMjDl9uGtgADQZuD8o -IAAPAgAPAgAJiAooghDsIAcpWASAAPogqBWgDUUAC4AA80BoHa/+TgD//hQNoAoFAGXAR41AdNlh -iBCJES+CwP4gaBWv+vUACpkDCf8BL4bAL+LBLuK9x48I/wMP7gGPEp7y0Q+JE4gRKZLBwNH5AAQE -cAsFAAjbOHvAt2V/tIsUsbvrFgQloreAAPyACBXgAwUA+XC0Be/65gDRDwAAAAD1cbAFoAIVAPoA -gh2gKwUA7LjaGugEgABZhkCOEPxkAh2v+PUA7FwoCwEKgADt4sApeAqAAAj/A6fMD90BLebALsLB -7MK9Ku8CgAD1oABGv//1AA/uAw7MAZzS0Q8AAABsEAQet+sTuMUu4owtMm2i7gnuEa7dGLi6/XFw -BaMvBQDvLygJdwKAAKjuiOCs/OrCwCQC6YAAK8LBZLBHerxPKcK/y5EbuLYat98LqggK+ghtCB0o -osAvor/54ABHsZkdAOj/NAVQwQAA76azJIBRgABj/9sAAAD5l6gVoAkFACnGwZjiitcqrBBYSAHR -DwCC1yIsENogW9ZQaKEC0Q8A2iBb1moSuJ8LqBHoIggFAcmAAAzqMCsihYuwIqz/7LsICVAEgABZ -h3gqMpX6QAQA0AsVAAC7GguqAio2lVmHrdEPAAAAAAAA+gDiHaALFQBYSLosIn8sJoPRDwAAAAAA -bBAEwCDRDwBsEAT1cQ4FoyUFAAUiKBi3q6Qk9JPoFaAV9QADVQyoInVFDwM0CQxEEaQiIi0LIiE4 -0Q8St9rRD2wQBv1w9AWgDSUA+mBwFaAJBQD0QGgd7/v1APpCxh3gAgUA4lUbJRNRgADzQAICcA/1 -AP4gBhXgCPUAKjAIGbhs+UANGqIAnQAJqQqJkAqQAAAAhjP2SAADt2YBAOp0AAtYBIAAWYQiHLhh -J1QMJlQN+UDkFaANJQD4oOQdoAW2AIkz+CAGFeAFjgCLM264B/AFWA2v4qUAKlAHCgpBWUeh/XCk -BaANJQD6osYdoAT2AAAAAAAAAACLM/qixh3gBLIAizP1YAkSEgCdAGi2MP1moALQCoUAaLct/WVA -BFAOlQD/YAglIgCdAC9QIPygph3gCEUACP8C/qQGHeADugD8oKYd4AOaAClQIAqZAvikBh3gA2IA -izP6o2Qd4AM6AAAAAAAAAACOM/6jRB2gAvYAKcJ9jzMowoIqwoCp////4BXgmU0A6f8BDQIKgAAP -DxkN/zf54QAPsPj1AAj/Nv6kZh3gAh4AiDP4oYYVoAH2AClQIoszm1vrVgkkgWGAAC7CgLCa+8AE -ANAOFQDgqhoPcAqAAOq6CAdz/QAADqoC+qFGFaABGgD6oUYV4AD6AI8z/qEEHeAA0gAauA6JMyhQ -B6qaKqCAKwr764gBDVeCgAD7BgAMMPrFAAqIAQmIAvig5h2gABoAxiq4M/R/8l0gCPUAKVEbKMKA -ihAet1rrUCMtAQqAAODvGgwCCoAADw8bDf83D7s261QjLIMWAAAtwn0ct/UqUBYrURr9gQAOcP31 -AP1MZg3jKAUALVAH/2/UBeHdAQAI3Ryv3y/yn8GPCogMePUoHrcLCq8J7t4ID/8CgACv7i7tCy7h -OA7INguINwuILAi4HChVG9EP0Q8OyDYLiDcLiCwIuBwoVRvRD8Cv+iAGFa/9ggALzSwNvRwtVRvR -DwAAbBAOKCAE+23cBaeVAQD3P8AV4AsVAPUAD7EQBgUA57c5CbAEgAD1IAUREP4VAC8gB44iDw1B -6dQADw32AAArICELHEJlwijA1QkLR/VgCsoSAJ0A7rbaHecCgACqzC/CnpkXDr4K9+AXcdIAnQAu -4r8swp0OzAFkwjopIBSkmSkkFI9g8+ASKpIAnQArICH6LgAOcAp1AP1AFUiiAJ0AynX6QOgVoPwV -AOy7AQpoBIAA+kQmHeAMBQDqrCAqWASAAFhE5dKg0Q/AINEPKSAF9SAVEJIAnQD1IBWrkgCdAPUg -HgwSAJ0AZZ9DHLckLcJ/ZNYkKcJ9i5EvkgAvtgAtkgD7oCYV4AgFACiWACiWAS/Cf7D/L8Z/6yIA -JOP/AADrxj4k2+EAAPpA5hXgCAUAKCQgKCQh+28OBaAOBQD+QoYdoA+FAC8kBS4kFy4lG+4lGiXo -QQAAnR9b1Ykct34dts4et32PIOoSDy1YBIAAW9VAKiIHDwIAKqwQKhYOW9Uc9UAqeJIAnQArICEs -Cv7suwEJUASAAOskISnYBIAAW/7u+20OBa/6JgAetoKO6JkX98AVcJIAnQAMvBGqzC/CnvfgFmHS -AJ0AH7Z9LMKdD78KL/K/78wBB0P9AADvtnYWFZGAAJj4Zc6Q+CDmFeADIgAAAAAAAAAA6iQACdgE -gADsRAAK6ASAAFhK29Kg0Q8AizCZFvUgCkEXuwEAjCIrIAf1lGwN4bsBAAy5EaqZLJKe94AqmdIA -nQActmApkp0MvAoswr8MmQFklQwsIBSkzCwkFI0w86ApupIAnQCNFvmgLTFSAJ0AwCDRDwAAAAAA -9YAJIJIAnQD1gAnxEgCdAPWAHSGSAJ0A9YAe0hIAnQDHlfgfAAXwD3UA+AAiHaAOBQD7AgAPcA1V -AOn9Ow9s3gAAY/9Cixf6QGgdoAwVAPtjABXgDTUAWE4DY/8qKCAHLiEIH7aE+CAAAbCIEQAKiBDv -iAIJnAKAAAPuAh+2yhO2QpjA+EAIFaAlBQCVw5PC/8YAD3ADNQDvjwIMRgKAAAOIApjBhSuIKZ7E -n8aYyPWBJhXgCAUA6MYFLKoCgAAF1QKVxygkFAy1EapV8rOmFe/1MgApCvEJuwH6RCYd7/VGAAAA -AAAAAP/0bA2gDAUAZL64A7cLH7b9nxqXHfZgaB3gB5oAGra1iCApoW95iwUroY5ltQ7AINEPAAAA -AAAA+kDoFe/2hgCOK4wpfsEJwPL+QEYV7/uuACgK8flgBAQwCUUACYgCKCQh2dD1oBWKEgCdAAzb -Eaq7LLKe94AiwdIAnQActforsp0M3Aoswr8MuwGZGe0WCCWWUYAAGbbY+kAIFaAMBQCcEZkQKGAD -/gAiHaCNFQD7AAAUMA8FAPggRhWgDAUAWEhgiBkatekMiBH7AABEMA81AC+GnSggBi0gIY8iLgrx -/6AEBrAJFQDp/wIEQAUAACgkBp8i/EQmHeAOZQAO3QL8RCYd7/jSAAAALRYQ+iImFeAKBQBZgLce -tdEatdOJF47oLRIQKxIR+d/poJIAnQD/9WgNoAwFAMDAGLXIwPoP7zT/AQYV7/UiAAAAiyeMuP9i -pBXvxwUA7bILJaiBAAAHVwGn/y/8QP2gG2QiAJ0AKLkUDEYRrG2miCi1FP3gGzviAJ0AyTfZMOvE -AAIAmYAAbUkFCQCGCwJhLVIABt0I/6AcdGIAnQCdUIvAwNL3gGgdrw8FAPmAaB3niwEAbYkHKJAI -aIELuJnAcf/sHA2gBTUAiJMPuwEIuwKbwCggIQ6IAQ2IAvhEJh2v/3YAwJt5oUSKHbh3+uAGbCIA -nQAqcAhkoJhooWdooudoo1ZpqdyJc26T3GmT2Ywn+4QAFe/NBQANuwHmxRQl2QEAAJvJ+4EGFe/+ -/gCMGo4ijyeNICkgFi/5FJkQ+OBoFaAKVQD4ICYVoAsFAFmDyIhz+ELGHa/+SgCJc/hBBB3v/iIA -ABy2YYtzKiAHrLwswIAtCvvtqgEOZ4KAAP1GAA0w/MUADKoBC6oC+kDmHa/9ZgCLcwuKQvohZhWn -uwEAmxxZghAsoQeNHI4bLiQMLSQN/EDkHa/8ygAAAAD7atAFr+/iAPPf4yfSAJ0A/MAIFaD+xQD/ -4AQHMPgVAPlgBAQwCYUA+QYADH8JBQAJyQENmQIOzAIsJAeZYPhEJh2gABoAiWD/8JgNp5kBAAAe -tVCO6J0Z98ARYJIAnQAMmxGquy+ynvfgEiHSAJ0AHLVLK7KdDJwKLMK/DLsBZLIvGLVEsO+fiJkZ -7RYILen2AACLGPpAaB2gDBUA+2MAFeANNQBYTQMatT7/7wwNr/lFAIoeW9PjG7YZC6wRrLvrFgUl -AuGAAAzqMCuyhYuwsKqaFKy7WYTyHLYZjRQqwn/7oAQA0AsVAAC7GguqAirGf1mFJmP6bynCgIuR -j5CfsI2Q+6AmFeAIBQCYkJiRL8KCsP//kEYV7+d+AAAAAAD6AOIdoAsVAFhGKokVKJJ/+TBmFa/o -0gDqJAAF2GEAAPwAIh2gDTUAWEza6iQACdgEgADsRAAK6ASAAFhJf9Kg0Q8AAAAAAAD/6vANoAkF -ACggBy4hCP1qpgXh+AEA+eAAF7CIEQDv7gIMQoKAAA2IApiQ+EAIFaAjBQCTkxO1lR+1Dp+S88YA -D3APNQDjgwIMRgKAAA+IApiRjSuIKZaVnpSdmfMgxhXgDUUA6JYILkICgAANiAKYlyYkFAyzEaoz -/nOmFe/pYgCKJ/qAaB3gDAUA6qwgKmgEgABYQw/SoNEPAAAAAAAA/+7cDaALBQDAwJy7/aBoHa/z -KgAAAAAA7P0MAYGxgAANTxTo/AgpyASAAOhINg5YBIAA0w9tiQUJIIYLAmMNOQjvSAwD2QEAAG2J -BQlAhgsCZQ1pDKl5KZxA+KAGFe/x8gArfED6oAYV7/HGAB21sywhGg3MAfxDRB2gAgUA0Q/8IQYV -4AoFAFl/ph60wBq0wo7ojRiJGfnf7eiSAJ0A//d4DaALBQAAwLAYtLjA+g/vNP8BBhXv9y4AAABs -EASJJyggBiuZFCqcIO2SCSRD/QAA8WcgDeeIAQDoJAYkAFGAAMAg0Q8AAPGksA3gLKUAK9AAfLlA -/yKkFa/4BQD5ogAV788FAO+vAQJT/QAA7+4IDV8CgADoMR13cQEAAKvbK7wQ6+MsccBBAABoQQht -qQUIAIYJAmH6QGgdoAsVAFvQD8Ag0Q8AAOgkBix8tgAAY//jDe0MLNzwDEwUuMsLqzZtuQUIAIYJ -AmGj2AxKDOn8QCUPEQAAsK1t2QUIIIYJAmNj/7EAAABsEASIMuokAAnYBIAA/GDwFaANNQALgADS -oNEPbBAEKCAEIyAH/QEAA1EzAQDAINEPG7VhK7F++kBoHaK7HQBZBvtlr+fqJAAKaASAAPpjABXg -DBUAWEwzwCDRD2wQBhi1V4ouLSAH/Wl+BaAEBQD5QAfMId0BACTCfwmoEahEjkeO7p4QiicpqRTr -ogkkhxGAAC6wFO+wFS24BIAA5bQABwB5gAAqrBBYRJPAINEPAObUAAeGIYAAKcJ/iZcomRT6AQId -oANFAOuSCSQHoYAALLAWsMzsozgNqASAABu0S+q0TB7/AoAA9aAEohIAnQAK+gguop4tFgHzwAzb -4gCdACyinQveCi7ivw7MAWTBYykgBRq1Ky1QB++ctSzPgoAA6pkIB5g3gAD5PygV4AAeAADAkB+1 -JO9WACz2AoAADt0CnVErcBTocBUtgK4AAGSAyylQFuiRb2TT/QAAKlQWiicqrBBYRGTAINEPY/8V -AP/8eA2gCwUA//2EDaADRQAftCGO+PfACLiSAJ0ADGgRqoosop7zgAl74gCdACyinQtoCiiCvwjM -AWTBHbDpmfhlz1z8ICYV4AL2AAAAAAAA//wwDaALBQDaIOtUAAnoBIAAWTY1ZE+HixAqsCgssCnt -sCotVgKAAAyqAuywKy1WAoAADaoCCKoRDKoCsar7ZWYdqKodAPtlRh2oqh0A+2UmHaiqHQD7ZQYd -r/0aANog61QACegEgABZNh9kTzGMECvAKC3AKe7AKi3eAoAADbsC7cArLd4CgAAOuwIIuxENuwKx -u/uFZh3oux0A+4VGHei7HQD7hSYd6LsdAPuFBh3v+8IAixHstNQZUASAAOx2ACnoBIAA+2MAFeAM -FQBYS6XAINEPAAAA//nEDaAMBQD8ICYV4AoFAFl+vB+z1o0Rjvgas9cbs9X53/aIkgCdAP/7sA2g -DAUAwMDAigjoNPnhBhWv+3YAAGwQCiggBPkAHfuSAJ0A9wAduhfVAQAoIAcICEGYGPWgHVkSAJ0A -ii4WtLMrMQgrJQgpYjoJqhGqmSmQBfpC0BXgOuUA+yAhhCBIJQD5ICFEIDzVAP0gIgUg/vUAiDn4 -IAAEsARFAAlEDAQEQfSB4BWv+YUACUQBpIgojDToFgMiIf0AAP9jBg2kRB0A/b/AFaAKFQAMrDkq -IEFYSwpkpD+LGBmzpOezpBJoEQAA5bQADccCgAD1YBwSEgCdAAeICCyCnv2AIIviAJ0AJYKdCboK -KqK/ClUBZFPdGLSIGbSG/EREFaALBQArFgAuMgkqIEEftAL8IIYVoA1FAPtAAIUxvgEA690MDVcC -gAD74ABHsd0BAC0WBg3uCC/yf/hFRB3gDDUA7xYFJ3DxAAD+IOYVoA+FAO8kZCjYBIAA+aYADrAI -dQDoJFwu7gKAAO0WACFRlQAAWXty/EDkFaALBQArJTX6TQYd4C7lAP5K5h2gTSUA/E6GHeBfJQAv -JAWPFf1m8gXqzAEA7hIELmcCgAD+wAAEcp9BAO3MAgzOAoAA+QYADH3eHQD8oAYVoP85AOoiAC/9 -QoAA6bQuHu0CgAAP3QII3QIftE4pVgLptE4fdQKAAO/uAg1WAoAACkoC+qAmFaBKRQAqVgMsIEEq -EgcuVgbpVgcuZAKAAA3MAh20JitVCipVCw3MAuxWBCFBIQAA6AceAviBAAAPAmP6RUQVoA4FAC5U -MS5UMv6mZh2gDQUALVQ1LVQ2/KbmHeAMBQAsVC76peYd4AkFAClUMPqlph2gCAUA+KaGHaiqHQAq -VCwvIFf6S4AV4AxFAP6nZh3o/x0A/qdGHej/HQD+pyYd6P8dAO9UOCLQ8QAAWXsk6VxAIUGBAAD4 -UGgdoAoFAAkEiggAiOmDHgHAgQAAHLNs+qpmHaAKBQD6qkYdoAoFAPqqJh2gCgUA6lRQIslhAAAI -YIgJDIoIQIgJCIqKFh6zJC5WGupaCAVYIQAAK1YbKSB0KaR0KSEHKDAHmDHrIAcqfwKAAK9fLSEI -+UAABPCrEQDsmRENUoKAAPsmAAyxuwEA6rNOHcQCgAAI3QIM3QIKmQKZ8IkgnvKd9P3gxhWgOAUA -+eBmFaAKBQCa9Zr3/SAAFLAKRQAKmQKZ8eMPHgf4gQAADwJnA0CGDwJlDL4R5+4IAmgRAAAt5p0r -IBYsCv98sQcqIEGME1hKIyUhFCMhEg8CAPCioA3gBAUAylLqYsMp2ASAAPwAAh2gDRUAWECNKGLE -5EwBIZgFAAAIMy51SdvaIFg9/8Ag0Q+HJ4t4/OKkFa/OBQDpcgsjsIEAAA5uAa7M7hYBJmEBAAD7 -IAh0YgCdAO95FCpHAoAAmBKriqj/L3UU+4AIK6IAnQDJNclD2bBtSQUDAIYJAmGOEopgDwIADqoI -/UAJpCIAnQCaYPNgaB3v78IAABuyvIq490AJSJIAnQAMWBGniC+CnrRM/eAJo6IAnQAJXAoswr8l -gp0MVQFkUSKwrZ24ZVxpYABEAAAAAADqJAAJ2ASAAPyAaB2gjkUA7jUIKugEgABZOtTAINEPAOok -AAnYBIAA/IBoHaCPVQDvNQgq6ASAAFk6zMAg0Q8AiCJljwuLGO1MBClQBIAA+2MAFeAMFQBYSl/A -INEPAAD/7+wNoAUFAIwiZc7jKyBB+kBoHaAMFQD7YkAV4A0FAFhKVMAg0Q8A8yBoHeAMBQD84WYV -r+xqAOvMDAGBuYAADE8U7vwIKcgEgADuTjYN0ASAANMPbekFCYCGCgJpihGsOe9IDAVRAQAAbYkF -CaCGCgJrjxKOEQz/DK/uLuxA/sAGFa/7XgAAiBEojED4wAYVr/smAMCgWX1VG7JuirgZsm/5X/ZQ -kgCdAP/7nA2gBQUAwFDAmgmpNPlhBhXv+2IAAAAAbBAG+EDoFaANBQCdECogQRuy1CYgBwqsCemJ -FC5nAoAArLv7b+gV4WYBAOsWASSaSYAAJIIJ8pPgDeBIlQAuQBLz03AN4FtVACkgBfsgGWRg/PUA -KyAWKCQFfLETBQxH/Z/AFaANFQAM3DlYSa9ko6LAMeWySRtIBIAA9MAYQhAHRQAaskYMaBGqiC+C -nvrgaB2gC8UAA7o5++Abe6IAnQAFagoqor8lgp0KVQHrsmASmYGAAPigaB2gDMUA6wAFC8gEgADj -yTkK0ASAAOkWAiKwgQAAbZkCCAJhZDGxLiEHGLI5/2ZEBeruAQDqsxwfdwKAAAjuAi5WACsiAP1k -agXgbEUA/KBGFeAIhQDsVgMt3gKAAAi7AvqgJhXgCXUAKSRc+EyGHaAMNQDqJSoo2ASAAO8WACFR -lQAAWXoSKyEi/CAoFaBfJQD+QKYd4CjlAPhK5h2gSSUA+E6GHeAIBQAoJTUoJGj4SDAV5twBAPxI -AAc9qx0A5KoRD3YCgAD/pgAOsMw5AO6y+B5lQoAADKoC+KFEHaBfRQAvVQscsvENqgLuVgcszAKA -AAqZAuqy0x3dAoAADLsCm1YKmQLpVgQhaSEAAO0HHgtgBIAADAJj/kVEFeALBQArVDErVDL6pmYd -4AoFACpUNSpUNvqm5h2gCQUAKVQu+KXmHaAOBQAuVDD+paYd4A0FAPymhh3o/x0AL1QsLCBX6lw8 -IVlxAAD8p2YdqMwdAPynRh2ozB0A/KcmHajMHQD8pwYdoAxFAFl50CgsYOgmAALRAQAACgSKCACI -CgCK9sAgJaALBQD6qmYd4AsFAPqqRh3gCwUA+qomHeALBQDrVFAi0AcAAOsgdCVSAQAA61RwIzIB -AACPQB2yCywhBy5AB55BKCAHFbIJ+EEEFerMAQD/gAAWMOgRAPXQABcxiAEA7swCDFwCgAALmQIF -mQIbscINzAKcoIwgm6KZpPVAxhXgDgUAnqX/QOYVoD0FAO2mAy5mAoAAB8wC/UAmFaf/wQAEYIYG -AmcEQIYGAmWLJx6xpIwS/wAAFr/KBQDu3QgF2IEAAAq6Af2zphWgDAUA7LUEJVEBAACasftgBhWg -OWUA+eAEZGA4VQD54AR8IgCdAMo4KyAWKQr/ebEg+kgwFaBcRQBYSMfAINEPxK8qJAUrIGixu+sk -aCn+1gAAwCDRDygkBf/zwA2gAwUAG7GCirj3QAUgkgCdAByxggyYEayILIKe/OBoHeAOxQAD7Tn9 -gAVD4gCdAAWcCizCvyWCnQxVAWRQlrCt/WEGFe/zmgAAZD+W2iBYPJVj/5PF4v5Aph2v/b4AjyJl -/5H6QGgdoAjFAOOHOQNYYQAA/OBoHeAMFQBYSSzAINEPAAAAAAAAAP/ydA2gBQUAiSJln14rIEH6 -QGgdoAwVAPtiQBXgDQUAWEkgwCDRDwD2IGYVoAoFAFl8OhuxVIq4iRP5X/pQkgCdAP/xbA2gBQUA -wFDAygysNP1hBhWv8TIAAAAAbBAUlRaXFCIWHuMWBSpYBIAA6xYHKfgEgAAs8APiISIpGASAAOcy -DiugBIAA5jAHK2gEgACSGigwQRKxr/+AAEZ/+QUA6IgJBmD9AAAJzAHsFgksRwKAAPhAAEExZgEA -6sIBI0BhAACYGOIifyZgQQAA+6AyYqIAnQDA4PIgJhWgCwUADtIMHrGALuJ/CX8Rr+4u4Tcoev// -ADPCogCdABWxvZYTF7IYJ3J/7wIAC7AEgAD7oASCogCdAI4ZAqoMj+OXEo7iry9y+wGx7ogU0w/T -D/cALOiQBwUA90AsqJIAnQDAIG0IWwpJNAYoCglZNJmABikLn5OekoiADwIADwIACEQMCKoMr4np -FgshEAUAAOibBn94BIAAse/u9AADuAUAAO8SCyEMMQAA9sMAFaACBQD2gClQkgCdAPdAKRCSAJ0A -Y/+dlxIMvgsMugqKoI/jjuICqgyvL3L7AbHuwCDyIiYVoAIFAPaABPiSAJ0A/COGFeAAxgAoEhHo -eAgF2AUAAOgWESWMMQAA/YMAFaALBQAMvgsMugqKoI/j7uICIg2fgAD/XPAN4AcFAGpBx20ITAYp -CwYtCgpINAhYNAhEDAiqDJjQnpKfk++NCAEQBQAA6NsGf3gEgACx7+70AAO4BQAA6CEMbvgEgAD2 -wwAVoAIFAGSvf/af++CSAJ0AY/+sAAAAAAAtEhz0IIgVoAsFAJsQKzAWhhL8IyYV4Pn1AHmxGowW -+mgwFafMAQD9n8AVoA0VAAzcOVhIIWSk5S0SEemwvBaewYAAFbGsihEdsLeME4IanB7szxEOZ4KA -APngAEf9ch0A7cwICRUCgAD0RgAJdooBAPwhhhWgBQUA9CNGFeK6QQDvFhAt3gKAAAuIAuIWFSHZ -IQAA6xYSK70CgADyIeYVoKo5AO8SES1VQoAACncC6HcCAdFxAADqFhMhwYEAACgWFCcWFuewvhf4 -FQAA/iMGFeAFVQD2IaYV4AIFAPAASA2gBwUAAAAALBIY/KAZ/CIAnQDA1Q1dL+TSd2KoBQAAJ30B -J3yALzEHGLCT9kABBLr/AQDusVMf/wKAAAj/Ap9wjDD+4EYVoE1FAJ1z/YAAFjANhQANzAKccYuQ -wKT8aDAVobsBAAuqDCsSFukWFy5kAoAADLsCHLFN/iJIFaGqAQAqFhsMuwKbdCsSFfkgCBXgDAUA -LHUKm3aqmeqxXhTI8QAAKXULmnfuAx4D6IEAAA0CYfplRBWgDgUA/uaGHaAPBQAvdDX65aYdoAgF -APjm5h2gCwUAK3Qu/OXmHaANBQD85mYd4AwFAPzmJh2gDQUA/OZGHeALBQD65gYd4AgFACh0NisS -E/gjSBWoqh0AKnQsLzBXqYj4I0YVoAxFAP7nZh3o/x0A/udGHej/HQD+5yYd6P8dAO90OCPQ8QAA -WXg86RIUI9EBAAAJIIgKBIoJAIgKAIopMhwoEhj46mYd6JkdAPjqRh3omR0A+OomHeiZHQApdFB4 -WTP6I2gVoEslACs0dBuxJwoKQftGAA1wC4UA+mymHeAMNQDoqhEI2ASAAOoWACPRFQAAWXghLDE1 -9kACBDA9ZQAtNFfqggMmYAUAACw1NSwSFxuxFyt2FonAKnYZKXYXj8AuMhyNP4iCKHYYr+4uNhyM -wC4SGQ9EDK3Mrv7uFhkhEAUAAOw2DyEMMQAA9sMAFaACBQAfsB34I2gVoA5VAA5eLy92GueICARI -IQAAKXYbLzB074R0L26+AAAqEhEFqgy2qvdf7jlSAJ0ALhIQjR8t5p0rMBYsCv98sQgqMEEsEhpY -RzONH4kV+iMoFaBLdQArNAWal48y9SEmFa+EjQDolggvh84AANow+iEIFeAMFQBYR7TRDwAALxIR -jB4PAgAF/wz/4MAV4ApVAAr/Ng3/Ee8WDyYlOQAAKBIQDwIAKIKe/wAG0+IAnQCIHCcSECiCvydy -nQh3AWRwvIkd/uBoHaAKBQDpAAUH6hGAAG0ID+4MAAVQBQAA/1/pfGIAnQBj/+kar9CKqPdABOCS -AJ0AKxIQK7Ke/2AFi+IAnQCIHCcSECiCvydynQh3AWRwnRuvxbCp+WEGFe/+ggCOE/wh5hXgDAUA -7BYaL3cCgACp7i4WECkSEIgfKJadKzAWLwr/f7EIKjBBLBIaWEbxixWMF+0SBinQBIAAW/1O0Q+P -wycWEY7C+4AIFa/r8gAAAAD//6gNoAIFAP3gaB3v+yoA//zQDaAHBQD+I6YV4AoFAFl6ihqvpIqo -LxId+V/6iJIAnQD//EQNoAcFAMBwHK+dwLoLqzT7gQYV7/v+AACPGY/0khGq/+/Tbn1wBIAAwLDz -4GgdoA8FAO/8BClwBIAA6PQPZdgFAAAszBj+AAId4AsFAKzygiCuInLb2/+/zAKiAJ0A/c8ACX/l -7gAAAAAA9iBmFaQFBQAF5Tb/5ggNr1UBACgwQfkCQBWgDQUA+CEGFa/4UgAAAP//AA2gCwUAbBAK -LyEiKiBB4xYBKugEgADsRAALqASAAOev5hsgBIAAlBQsFgPtFgIp8ASAACbgAyMgBwqpCf7AAEMw -CwUA+iAGFe/4BQDrIBYszwKAAPjgAEPxMwEA53J/IchhAADpFgUjMP0AAPjABAMw+PUAeLEd/iEG -FefNAQD9n8AVoAgVAAyMOVhGve8SCCUWMYAAFK9Y6q9WGc8CgAD0YBFiEgCdAASZCC2Sng8CAPeg -FMRSAJ0AKZKdCjsKK7K/JRYHC5kB5ZQABJJJgAAer1T8QOQV5rcBAPZIAAZ9rx0A5KoRDmYCgAD9 -ZgANsMc5APuIABY63QEA7KoCDu8CgAAO3QILqgKdUB2wCPxACBWgTkUAnlOdUv2AABYwDYUADcwC -nFEcsCWIYekgQS/dAoAADLsC/ACCHaF4AQDnxwwMzAKAAAqZAvtgBAWhdwEAp4jrVgYkQPEAAJgW -CpkCKFULmVQZsBb4oOYV4AkFAOlVCiF5IQAA7wMeAvCBAAAOAmH4RUQVoAsFACtUNStUNvqm5h3g -CgUAKlQu+KXmHeAPBQD+pgYd4A4FAP6mhh2gDQUALVQxLVQyKFQt/KZmHeiIHQAoVCwtIFcqXDz8 -p2Yd6N0dAPynRh3o3R0A/KcmHejdHQDtVDghWXEAAFl2+yksYOkmAALRAQAACgSKCQCICgCKKSIc -H6/x6xQAAtEVAAD4qmYd4ecBAP/GAA94mR0A+KpGHeiZHQD4qiYd6JkdAPiqBh3gCIUA+EymHaBP -JQDvJHQvdgKAAP4gBhWgDDUAWXbip1wervX+RqQV4DhlACgkV4pji2HtYgAn+AUAAC8lNS1WFitW -F4hhKlYZiWIpVhgoJhyPYZ8v7lYaI+ghAAAtVhsrIHQrxHQMOhH1QABFMAmFACmmnSsgFigK/3ix -CCogQSwSBlhGBosRjBPtEgIpUASAAFv8Y9EPAB2uxo7Y98AEoJIAnQAMORGkmSuSng8CAA8CAPdg -BWRSAJ0AKZKdCjsKK7K/C5kBZJCa5RYHJ2P9AAAs1gjllAAM7f4AAPQg6BXgDYUAiBH4IIgV4Ep1 -ACokBZmHjiL1ASYV4A8FAO+GCC98ngAA2iD6IKgV4AwVAFhGcNEPwJD0IOYV7/XWACsgQftiQBXg -DQUA+iCmFe/+3gAAAAD+IQYV4AoFAFl5gh2unI7Yjxgarpz53/q4kgCdAMCQ9CDmFe/99gAAAAAA -AAAAwJD0IOYV4AylAAzsNP2hBhWv/YYAAGwQBiggBPkAB3uSAJ0A9wAHOhANBQD6YGgd54UBAPUA -B7ESAJ0AKrADLLEIGa7bLCUILCIOKZJ/66oIDmZCgAAMmQgokAX7R+AVr/wFAP1ABAUwPOUA/QAJ -VCBOJQD/AAkUID/VAP8ACZ1gTnUAKCAF7K8MFLGhAAD/AAnMIE+VAP8ACzxgDoUALSRoLSRmLSU1 -LiRkLiRn+V62Be+IBQD4TKYdoC/lAC8kVyklKikiHP4KQh3gCBUA+EuGHaBOFQDuJHQkgDmAACgk -aC8kBYi7eMMoKaADaZEiKmEDeKMc2iDsRAAK6ASAAP9gyBXgDgUAW/7YwCDRD8Ag0Q/aIOxEAAro -BIAA/2DIFeAOBQBb/QDAINEPAACIJ4uI/QKkFa/OBQDpggskMIEAAA5uAe7MCAo/AoAA7hYAJmEB -AAD7IAeMYgCdAC+JFKt6p/8vhRT7gAd7ogCdAMk36bQAAgCpgADYMG1JBQgAhgkCYSpiAAeqCP1A -CMwiAJ0A+sAGFa/6mgDaIPygaB3gjEUA7LUIKmAEgABZNlbAINEP2iD8gGgdoI1VAO21CCroBIAA -WTZQwCDRD4gnLokUZOBFi4mIu3jDVC+gA2nxTiqRN3ijSNog7rIHKmAEgADvsgkq6ASAAFv+nMAg -0Q8AAOokAAnYBIAA7EQACugEgABb+6rAINEPAIjb+YIWDaALBQAsoANpwQUtkTd427baIO6yBypg -BIAA77IJKugEgABb/LjAINEPANuQ/QFmFe/3sgAAAAAAAADrygwBgbmAAApMFO7MCCnABIAA7k42 -DcgEgADTD23pBQgAhgkCYYkQqjjsTwwEyQEAAG35BQgghgkCY4wQCn4MrswszED8wAYVr/ZmAI8Q -L/xA/sAGFe/2MgAAAGwQGCIWIyMWIi0hFSQWFIgvLiAHKyIcLDADKxYb+iOmFe/6BQD8YABDse4B -AO4WHCO4/QAA6ncBBCSpgAAsEiOOcysSIizBExOuz/thaBXgCQUA9YHQDevuAQCbUZlQLjJkKBIj -DcoMKhYeIoEQL4ESJoERKIIW6BYAL/6CgACv7i4WHyoymu2uKBlgBIAA9EUQDe/19QD7QGgd4A4F -AG0pIIJzj3Ki4n4rAbH/BfgBDSkB6bYBJ3BDAADotgAl2CEAAPeABOqiAJ0AbQhliXT5YAAF8A4V -APvNAA32mQ0A+yAARPAOBQDkkA1snQKAAPuAAgWwARYAAHbBZ4l1+WAABfAOFQD7zQAN9pkNAPsg -AETwDgUA5JASbJ0CgAD7gAIFsAgWAAAAAAAAAObLNHO4YQAAY/+TAACCd492ouLuKwZ2YAUAALH/ -BfgBDSkBmbHotgAncEMAAOPj3HXYIQAAdsmXHK2NH635Hq4TG62yFK2bLRIjFa2KE62KKdEjKBIf -LdBA/TAAFLACpQD5AABEcAcFAOgWHy6avgAAKRIbCWYMKBIdLRIeCogL6BYhJp9hgAApEhwC1TYF -XQnslAAO78KAAPUgFhoSAJ0ADJsRo7sosp79AChb4gCdABitcCKynQiYCiiCvwgiAeckAAEW0YAA -KxIeKBIjBbsMKYEjKoEVIoIcpZmlqgJSCiqFFRqtiiKGHCmFI+oABQvIBIAAbdkCCQJhJxYT6xYe -IpVxgAAlFhoqEh+IEC0WJPwjJhWgAgUA6ogRC5gEgAD4JAYVoAcFAG1ZjS0SIJ4w/CQoFaWKHQD4 -YGYVoAklAJkyKBIjKRIirHyLgPRghhWgRQUAlTWdN/9mAArwDWUA5TYGLd4CgAANuwKbMYmb6TYI -KegEgAD5AegVoAUFAOg2CSlYBIAA9GFmFeAJBQDpNgohqKEAAPdnUg2gDwUAj8H/gAgVoADKAOd8 -ICEQEQAA6qxAIZmBAAAtEiQrEhonEhPzWloF4AwFAAy7NfwjKBWgAVIAwODv1g0l2AUAAO7WDCbo -IQAA5dmsdmAhAAAeraf/WxYF7/7OAIJ5j3ii4g0pAZmx7isGdmAFAACx/wX4Aei2ACdwQwAA4+Pc -ddghAABj/dkqEh4MzhGj7u3mnS0IlgAALxIUKBIjC7IJ7hIAKRbCgADy4ABBMA0FAC2FFS2FE/0D -hhXgDBUALIRAKoEh6YERL3aCgAD/4AYVoF8VAC+EBQqZDCmFIdEPwGBqwRT9YAAHsAoVAP9NAA/2 -jA0AqP8PZggscAMPAgAPAgCwzOrBSWsQBIAAB30CiNT5YAAHMA8VAP/tAA82iA0A6O4IBmP9AADu -ZggGAOGAAI7VDgpL++0ADTbuDQAOqgjqZggGY/0AAO3cGCYPCwAAKBIjm1GZUC+BFOKFECMoDQAA -9wIkHaJVHQDlFh4vlZYAAAvEFLNEBCQU5EwDKOAEgADqhAAKWASAAFgJQygSIyoWHySFFCkSHyoS -I+WlEyzgxgAAwCDRD+xyASd5kYAA/4AARjAGFQD9ngAlr/ySABqsw4qo90AZWJIAnQAMyxGjuyiy -nv0AGlPiAJ0AGKy9KbKdCMgKKIK/CJkBZJM1GKy3sKubiOeUAAzpdgAA0nDRD4lzCQlL+EHmFe/t -mgAA//nIDaALBQD63jwN4AwFAGP8dAAtEiMoEiKN34iH+gAIHeAJFQANnTn4IQAV7IgdAPmgAEaw -CEUAbYoCCQJhLdz/DQ1BZNHi7RYVJsAFAAAoFhj1AAUS0AsFACgSFSkKBOiZDA1oBIAAbZlJKRIY -KRYWdrsLidCI0fgi5hWgADoAwJD4IuYV4AkFACgSFuIWJiQQBQAA4hYYIJAhAAACiAuZhiISF+KG -ByXYBQAA4hImJughAAD8IqgV4AD+AAAAKhIjIhISiBAnpRUnpRP3Q4YV4FkVACmkBSyhISkSFPtC -JBXgDRUA7aRALEaCgACYkAy7DCulIdEPwLMNuwx2uwf6I6YV4AAeACYWHSkSHCkWGfUgDGoSAJ0A -DJsRo7stsp73oBDTUgCdACKynQWYCiiCvwgiAfhAaB3gAqUAZJHrLRIfwIKYkugSIybrAQAA/yAG -FaXdHQCdk42AD9sCm5b9oAAWsAtlAAvdAosQKRYS5JYELd6CgACblysSIv0gJhXgTQUAnZWLu5uY -+QHoFaALBQD7IUYV4A0FAJ2b7ZwwINiBAAD5ISYVoAhVAG2KBQsAiA0Aii0SGekSHS7vAoAA86AA -RvALZQAr1p15awfwACgNoAYFACgSHQhmDO0SIyNIDQAA+COoFaKZHQApFh4o1hwo1SEn1ED5omQd -7+smAAAAAAAAAP/sEA2gAgUAKoESKTJkK4IW6xYALVaCgACqmfgj5hXv9X4AwNMNbTbtFh0jB3mA -AIuhiaCbG+kWCisQOAAAi6P5QEgV4AAyAPoAAh3gCQUAmx3pFgwrGEgAAIul+UCIFeAAQgAAAAAA -+gACHeAJBQCbH+kWDisgSAAAi6f5QMgV4ABCAAAAAAD6AAId4AkFACsWEfgiBhXv+bYAjcjqFiUo -BAqAAPegBLiSAJ0AKxIZDLsRo7sosp73AAU7UgCdACgSGSmynQWICiiCvwiZAWSQkrDb+4EGFe/5 -egAtFiT4IyYV4AoFAFl22Rqr8h6seR+sXoqoLRIkLBIZ+V/lsJIAnQD/81wNoAkFAMCQGKvpAqs0 -+wEGFe/zHgDAsP/8TA2gCQUAKhIj56UTLJAEgADRDwAAAAAAAP/3yA2gAgUAwKBZdsIcq9serGON -yB+sRioSJfm/+rCSAJ0A//dcDaAJBQDAkALbNPuBBhXv9yoAbBAGiC8XrMsmMAMuIAfqclwpKASA -APLAAEN/+QUA9sfgFaHuAQDpZgEEDvmAAC+hAiyhBImg+0CkFeANBQDszP8n+/0AAO+lAi5nAoAA -7JkIDd8CgAD7LwAM8ADeAAAAK6EFLKEE6aIAJdgFAAD5n+AVr7sBAOulBSxHAoAA/WAInCIAnQDo -mQgN/wKAAA+ZDAkAhw29YOkABwXzF4AALHKhL6EF/PRIFeAIFQAoVRPoVRQv/kKAAK+/L1US790I -D/6CgADvzAgPUASAAO1WFiYKKYAAG6ue76udH08CgAD1wAb6EgCdAKuZLpKe98AK+1IAnQAikp0P -qAoogr8IIgFkIRUZq/0eq6AfrBb+QAYV4AglAPhARhWl/B0AnyOIUP5AhhWgTAUA7CYFLvaCgACe -J/kGAAzwD2UA6SYGLEYCgAAPiAKYIY07nSj8oegV4AwFAJwqnCuJY5ktiGKYLIljGKvcjGLtJgkk -6EMAAHnbLejYAQZIBQAAmS6YL+5GAC1PAoAAq5n/M6YV4FgVAChUBdEPLaUF//uoDaALBQAYq82c -LgjYAZgv7kYALU8CgACrmf8zphXgWBUAKFQF0Q8AABKrYI4o6hYCKAQKgAD3wAQgkgCdAAypEauZ -KJKe9wAE+1IAnQApkp0PqAoogr8ImQHkkI1ne/0AAJ8o4pQADPgWAABgABCIYwgIS/hB5hWv+HIA -wCDRDyZRFCNREvDCgA3gBAUA6nJcKdgEgAD8AAIdoA0VAFg48ShyXeRMASGYBQAACDMudknb0Q8A -AP/6tA2gAgUAnRD8ICYVoAoFAFl2HhurOowRjRCOKB+rN4oS+d/7CJIAnQD//fwNoAkFAMCQwPoP -7zT+QQYV7/3CAAAAbBAaKCAE6SAHKTgEgAD5ACfzkgCdAPcAJ7IQChUAIxYp9OAABHGZAQDpFigk -Y/kAAOysOQwVxAAAiCeLiP0CpBWvwgUA6YILJGiBAAAC0gHizAgKfwKAAOaJFCZhAQAA+yAsxGIA -nQAL+ggPZggmhRT7gCy7ogCdAMk3yUXptAAJwASAAG1JBQgAhgkCYSrSAA+qCP1ALuQiAJ0AmtD6 -JSYV4AwVACkSKRirWSmRCCl1CIl+KIJ/CZkRqYgogAXD3v0AKKRgSiUA+wAoZCA71QD7ACwNYE6V -AC1wBf+gLSQg//UAK3AWf7ELKnBBWEJWZKW6LXAFInAHxWH3q6YNoSIBACgKVHjRUioSKRmrhCqi -C3qTHiwSKSvAAwy7CPtn4BXv/AUADLsBK7AD9WAjoJIAnQDrEikr0ASAAO0cCCjgBIAAW/z0ZKRv -LhIpjxD/wSYV4A0FAJ3oLXAF8iTmFaBWRQD3oAq0IAMVAJMUFqsTJXEILRIpG6vNFKvLGqsE/1eS -BeACBQCSF5IWkhiSHiIWEiIWFCIWGi8WFfokBhWv+PUAKBYbKBYhJBYT+iHmFeAONQD+IUYVoBul -ACsWHPQk6BWgDiUA/iEmFaAYtQD4IgYVoB7lAC4WFonbjNgsFhgGVQKN2ZUd/CMmFe9VjQDlFgws -0gKAAPoj5hWomR0AKRYe9IAaihIAnQAdqqwMTBGtzC/CniYKD3bzDhmqpiLCnQlICiiCvwgiAWQj -ih+qsYtwHKquJHEH/WAAEbAFNQDlMwIAyEEAAPVVTgXgFoUA9UAAAjAKVQDsuwIKJwKAAOVEAglA -BIAA5aqhHZAEgABtqiyUgJOBn4KWg5KEipSLlYySjZDukgMkyGEAAJqGm4eciJ6JBdoC6oYFJEDB -AAAqEicbqoUMqhH7QABFcAn1APlTphXgWEUAKHQFKxIn9WASehIAnQAdqnwMvBGtzC3CnvegHiPS -AJ0AGap3IsKdCbgKKIK/CCIBZCLLGarkLnEHH6tiE6p89iUoFaruAQDscSIvdwKAAAPuAp4ginD7 -VPAF4F2FAPxAZhXgA3UA6yYCLVYCgAADqgKaIYhnKBYkhmb2JKYVoAoFACoWJvzgsBXviJUA+Oym -HaAm5QAmdFcqdTUqdGf+5UQd4AuFAPrshh3gDlUALnRcK3BBLnBo+uzGHaBPJQAvdHTrugkHcAUA -AO50aC1XAoAAqpn5L+gV4FoFAHrRDsT+f9EJxWb3oBf9IgCdAAkORvlgABay+UEA+gkCHe2MHQDr -JQssRQKAAP3gABewCwUA/8YAD3D5OQDrJQov/UKAAAj/Ag/uAhirKOyrKB59AoAAnCcO3QIeqwoI -/wKfJg7dAu0mBCPRIQAA6gceAUCBAAAIAmP65UQVoAgFAPhGhh2gDQUALSQ3+kXmHeAJBQApJDP6 -RaYdoAwFAPxGRh2gDwUA/kYGHeAOBQD+RiYdoA8FAP5Gph3gDgUA/kbGHaAMBQD8RcYdqKodACok -LClwV/rrgBXgDEUA+EdmHeiZHQD4R0Yd6JkdAPhHJh3omR0A6SQ4IVDxAABZcgMofGDoJgABSQEA -AAkEiggAiAkAiihyHCosVPov4BXgDMUA+EpmHaiIHQD4SkYdqIgdAPhKJh2oiB0A6CRQJdhFAABZ -cfIrcHQrJGQqEigbqfUMqhGrqiOmnStwFikK/3mxCvroMBWgTIUAWEEmxMX84KYdoAIFANEPwCDR -Dx2p543Y96ANkJIAnQAsEiceqeYMzBGuzC7CnvfADbvSAJ0AGangKBInIsKdCYgKKIK/CCIBZCGf -G6nZsNqauGUtl2AAYB2p1Y3Y96AM0JIAnQAsEiceqdQMzBGuzC7CnsD//8AM4+IAnQAZqc4oEici -wp0JiAoogr8IIgFkIYQbqcew2vthBhWv8kIAAAAAAOsSKSvQBIAA7RwIKOAEgABb/e1lq4+McmXP -UCsSKPrgaB2gDBUA+2MAFeANdQBYQX/AINEPKxIp2nD8oGgd4IxFAOy1CCpgBIAAWTHewCDRDwAA -AAAAAAD7IGgd4A0FAP0BZhXv6loA68oMAYGxgAAKTBTuzAgpwASAAO5ONg3IBIAA0w9t6QUIQIYJ -AmUKOAjsTgwBSQEAAG3pBQhghgkCZwr4DKgoKIxA+aAGFa/pMgArEinacPyAaB2giVUA6bUIKugE -gABZMb/AINEPAAAqLED7oAYVr+iOAAAAAAAA6nQACdgEgADsRAAK6ASAAFj/TcAg0Q8A//EsDaAC -BQDF0vzgph3v8/4AjnJl7lwrcEH64GgdoAwVAPtiQBXgDQUAWEFCwCDRDwAAwKBZdF0dqXeN2Pm/ -8iCSAJ0A//m4DaACBQAAwCAfqXHA6g7eNP/hBhWv+W4A2iBZdFIdqWuN2Pm/8uCSAJ0A/+xoDaAC -BQDAIB+pZsDqDt40/+EGFa/sIgBsEAjApf1UwAWnVQEA/L/AFeAIFQD9DQAO8DsFAFl3oiciEPig -JHFQBgUAFaml0w8lUosqUhEpoQJklI9YMzYtUhIcqVYbqZ4swn7rsoUm6AUAAC1WEqrM7iAHLmZC -gACsuy2wBy8K+/xBkBWg7hEA790BD3eCgAD/pgAOsPjFAAjYAS20BykgB/1hhh2vmoUAKrQFkrqW -uPdhJhWhmQEACYgCKLQH5bQABaFRgACIt4yI+wKkFe/OBQDpggskaIEAAA7eAe67CAp/AoAA7hYF -JdkBAAD9ICAcIgCdACaJFKz6r2YmhRT7YCBLogCdAMk56cQAAgC5gABtSQUDAIYJAmGK0A8CAA8C -AK+q+0AhjGIAnQCa0NPAiVAaqWnrMQgs5gKAAAxMAiw2AStVCCqicwqZDPSpABWmiR0A9QAdZlXZ -AQAqcHAqrAYAoQQAiBoI2AIoVg4kVhMkVhIvMCEPD0bvVFAhoIEAAPXgFeCSAJ0A9eAVoRIAnQC4 -Sll2VhyqAy1AAP6AMBWgOwUA71BQLTAEgAD2IAYVoApVAFl3Qi0xCByp+/6hBBWgClUA/qGIFeA7 -BQBZdzyIIikgBx2o9eqo8xwWRgAACQlBDJgRrYgrgp6ZFPdgG9LSAJ0AI4KdCpsKK7K/CzMBZDKK -KyISjikfqPz8QUgVoLtBAJsWD7sKK7KADswM6xYHJdjBAAD7gBKj4gCdACsgFiwK/3yxEvpA8BWg -DAUAWEA97ajaFRlhgAApIQcaqOL3UhAFqpkBAOio4hzPAoAACpkCmTAZqQX+QAgVoEwFAJwz+GBG -FaAPVQDoqP8fXgKAAA+7ApsxKiISixYsIRr5xgAPMqpRAOq7EQ1VgoAACrsCCbsC+CDoFeGrMQCm -qiqggO42BC5iAoAAnDYKmgzrNgclUMEAAJo1iCkmIhWpiOlmCARAwQAA6CYJIzDBAAD2QqYVr+4F -AP5gDbwiAJ0AwIDkCx4ByIEAAAkCZQQghgkCYwQAhgkCYSkiFhqovPhn5h3omR0A+GfGHeiZHQD4 -Z6Yd6JkdACk0PPqhyBXgDAUALDQk+GRmHaAOBQDoNCcvSASAACk0Iis0M/5kxh2gDgUA/mSmHai7 -HQD6ZkYd6LsdAPpmJh3oux0AKzQw6gAVAckhAAAJAIomchEsUhL2Z2YdqIYdAPhnRh2oiB0A+Gcm -HaiIHQAoNDiOxpZf/mbmHajuHQD+ZsYdqO4dAP5mph2o7h0ALjQ0jMf8aGYdqMwdAPxoRh2ozB0A -/GgmHajMHQAsNEArIAcLC0EMuxGtuy+2nSsgFioK/3qxCvpA8BWgPAUAWD+lyVOIWMiPilnAkJlb -mKCLWJqxmVgpVgkcqWaNIC9yFC5yEShyE5gQ9qHIFaAKVQD2ICYVoDsFAFl2oyn6mfigph3gAgUA -0Q8AjTfsMgYpUASAAPqgaB3v/vUAWXW27aQABWm5gAAqCgX9UqYFoDsFAFl2lcHW2iDrVAAJ4ASA -AFg/OcAg0Q/aIFg/z+2oSRVtOYAAYAAUixT6QGgdoAwVAPtjABXgDVUAWEAHjFhlz9GPLxipQuhW -CyLogQAA7fYAIXDhAACeWJ9Z/EHmFeACBQDRD4g3KYkU5TQABIWxgACDifRkABWv8soA+sBoHe/v -WgD8EcId7/3+APMgaB3gCgUA+wFmFa/wwgD/8YwNr/j1AOy6DAGBuYAACksU7rwIKcAEgADuTjYO -SASAANMPbekFCGCGCQJniRWqOOtODATJAQAAbekFCICGCQJpixUK/gyuuyu8QPugBhXv72YAjxUv -/ED/oAYV7+8yAAAAAAAAAP/ySA2gAwUAixT6QGgdoAwVAPtiQBXgDQUAWD/OY/8Y//0wDaADBQBs -EAQqIAT9QOAL0BiFAGukBnihG8Ag0Q/qJAAJ2ASAAOxEAAroBIAAWP8gwCDRDwDqJAAJ2ASAAOxE -AAroBIAAW/6QwCDRDwBsEArApf1R6gWnVQEA/L/AFeAIFQD9DQAO8DsFAFl2MSciEPigIqFSAJ0A -Fqg0JWKLKlIRK6ECZLRdWDHGHKfmLVISLMJ+62KFJugFAAAtVhKqzOogBy5mQoAArLspsAcoIAz6 -AgAFMPy1AOyZAQ1XgoAA+yYADLD8xQAMnAEptActIAf5YYYdr5+FAC+0BfNhRhWgDgUAnrj/YSYV -od0BAA3MAiy0B+W0AAWfqYAAhreMaPrCpBXvzgUA6WILI2iBAAAO3gHuuwgKRwKAAO4WBSXZAQAA -/SAedCIAnQAvaRSYF6yKqP8vZRT7YB6TogCdAMk66cQAAgDBgACOF21JBQMAhgkCYSrSAA8CAA6q -CPtAH+RiAJ0AmtDTwIlQGqf36zEILOYCgAAMTAKcMStVCCqic+qZDAGgwQAA/KkAFaaJHQD1ABuG -VdkBACpwcLaqAKEEAIgaCNgCLFYTLFYSmF4rMDELC0brVFAhyOEAAPVgE+KSAJ0A2pBZdOYcqJgt -QAD+gDAVoDsFAO9QUC0wBIAA9iAGFaAKVQBZddItMQgcqJD+oQQVoApVAP6hiBXgOwUAWXXLiCIp -IAcdp4Xqp4McFIYAAAkJQQyYEa2IK4KemRT3YBpC0gCdACOCnQqbCiuyvwszAWQyUiYiEo4pGKeM -/EFIFaBmQQAmFgYIZgomYoDuzAwDWMEAAPuAEPPiAJ0AKyAWKAr/eLES+kDwFaAMBQBYPs3tp2oV -F+GAACwhBx6ncvlPMAXqzAEA66dyHmcCgAAOzAKcMIgg+mBGFeBKBQD6YGYVoA9VAOqnjxx2AoAA -D+4CnjEsIhKOFhunjP5DRBXizFEA6u4RDmWCgAAM7gIL7gL7BgAMMc4xAKnMLMCA6DYEL/oCgACf -NgxsDO42ByZgwQAAnDWLKSoiFaa75qoIBdjBAADrJgklUMEAAPpCphWv6QUA+GAMJGIAnQDkCx4B -wIEAAAgCZQQghggCYwQAhggCYSoiFh6nTfpn5h2oqh0A+mfGHaiqHQD6Z6YdqKodACo0PP6hyBXg -CAUAKDQk/mZmHeAJBQApNCf4ZGYd4AkFAOk0JizQBIAA+mRGHaj/HQD+ZkYd4AkFAPhkph3o/x0A -/mYmHej/HQAvNDDuABUBySEAAPiCaB3gCFUAL3IR/mdmHeifHQD4Z0Yd6JkdAPhnJh3omR0AKTQ4 -LiAH/qHmFeHuAQAM7hEN7ggo5p0rIBYsCv98sQr6QPAVoDwFAFg+QslSiFjIjotZwKCaW5iwjFib -wZpYmlkcqAqNIC9yFC5yEShyE5gQ9qHIFaAKVQD2ICYVoDsFAFl1QCn6mfigph3gAgUA0Q/pFggs -0ASAAFl0RvqgaB3v/fUA/0BoHa/89QDvUFApUASAAFl0UIkYZK1cwdbaIOtUAAngBIAAWD3XwCDR -D9ogWD5s7abnFW7pgABgABSLFPpAaB2gDBUA+2MAFeANVQBYPqSMWGXP0Y8vGKfk6FYLIuiBAADt -9gAhcOEAAJ5Yn1n8QeYV4AIFANEPiDcpiRTlNAAEheGAAIOJJDww+GcAFe/zngAAAAD/8CwNoAsF -APwRwh3v/eYA8yBoHeAKBQD6wWYVr/GiAP/yeA2v+PUA7LoMAYG5gAAKSxTuvAgpwASAAO5ONg5I -BIAA0w9t6QUIYIYJAmeJFao4608MBMkBAABt+QUIgIYJAmmOF4sVCu4MrrsrvED7oAYV7/A+AACP -FS/8QP+gBhXv8AYAAAAA//MQDaADBQCLFPpAaB2gDBUA+2JAFeANBQBYPmpj/xL//RgNoAMFAGwQ -BvwAQh2gRiUA+U8eBaAHBQD2IAYV4AU1APhFRB2gCxUA9IAGihAp5QAqMBXpJFciBEGAAPSABvCS -AJ0A9IAGIRIAnQBuRTgKaxR7UAUsMBQsJGAqLGXtp5QY2ASAAPwgBhXgDDUAWW6BJyRoJyRpJyU1 -JyYcJiR0Hqa4LiU30Q9uQ8Pvp3Qa4ASAAPpMhh3gKDUA6CRcIVGVAADvFgAo2ASAAFlucickaCck -aSclNScmHCYkdNEPACkwMMGi+yAETCIAnQDrJGQhUXUAAPwAYh2gKyUA6yRcIdh1AABZbmQqLGH6 -YyAV4Aw1AFluYCowFWP/UgAAHabcLSUq/GYGHa/8pgDA9v5Lhh3gDoUA/kyGHa/81gDqLF0h2HUA -APxLhh2gKAUA6CRkKuAEgABZbk8pMBjTD3+XtCosYfpjIBXgDDUAWW5KY/+jGqakLDEcKqJ/CcwR -rKosoTYsNRyKoPpDxhWv/YYAAABsEAgnIhDTD9MP+uBoHaeFAQD5AB+xUEslACgwMBamivlgH+ii -AJ0AJWKLKlIRLKECZMRHWDAaGKY7KVISKIJ+7GKFJMgFAAApVhKqhesgByquQoAApcUqUAcpIAz6 -AgAF8Py1AOyqAQ3fgoAA+0YADXD9xQANrQEqVAcuIAf4oYYd75iFAChUBfKhRhWgDwUAn1j+oSYV -4e4BAA7dAi1UB/Kw8A3vzgUAi1eMuC2xFemyCyWwgQAADm4B7t0ICkcCgADuFgUm6QEAAP0gHawi -AJ0AL7kUmBasiqj/L7UU+6AfS6IAnQDJMchP2cBtSQUDAIYJAmGLFopgq6r9QCEkYgCdAJpg08CJ -UBqmT+sxCCzmAoAADEwCnDErVQgqonMKmQwJaBT1ABtWVdkBACpwcLaqAKEEAIgaCNgCKiIQmF4r -oAUsCpX9YBhcIgCdAI0i6SAHLpRmAAAvohMuohQdpe8ape0P7gz5wBmAkgCdAAkJQQyYEa2IK4Ke -mRT3YBza0gCdACSCnQqbCiuyvwtEAeil9hIRuYAAJiISLiIJ/EFIFaBmQQAmFgcIZgomYoDuzAwD -WMEAAPuADqviAJ0AKyAWKAr/eLES+kDwFaAMBQBYPTXtpdIVGsmAACwhBx6l2vlMAAXqzAEA66Xa -HmcCgAAOzAKcQIgg+oBGFeBKBQD6gGYVoA9VAOql9xx2AoAAD+4CnkEsIhKOFxul9P5DRBXizFEA -6u4RDmWCgAAM7gIL7gL7BgAMMc4xAKnMLMCA6EYEL/oCgACfRgxsDO5GByZgwQAAnEWLKSoiFaa7 -5qoIBdjBAADrJgklUMEAAPpCphWv6QUA+IALNGIAnQD4ZgAV4AhVAOkLHgJQgQAACgJlCSCGCgJj -CQCGCgJhLjAwZOD8wMD8hMYdoA4FAP6E5h2gCgUA+oSmHaAKBQAqRCQpIhb4h+Yd6JkdAPiHxh3o -mR0A+IemHeiZHQApRDyPXv6GZh3o/x0A/oZGHej/HQD+hiYd6P8dAC9EMCpyESxEIi5EI/qHZh2o -uh0A+odGHei7HQD6hyYd6LsdACtEOCkgB/qh5hWhmQEADJkRrZkolp0rIBYvCv9/sQr6QPAVoDwF -AFg8rclSiFjIjoxZwLCbW5jAjVic0ZtYm1kuMDDI6y/6mf6gph3gAgUA0Q8ochEpchSxiOh2ESTI -BQAA+OKGFe+SlQDyoKYdoAIFANEP2iBYPOftpWEVcTGAAGAAP8Cx+oQGHe+KFQD6hCYdr/vWAADq -JAAK2ASAAPxgaB2gjeUAWDxCwCDRDwCLFPpAaB2gDBUA+2MAFeANVQBYPRSMWMjCwCDRD48vGKZV -6FYLIuiBAADt9gAhcOEAAJ5Yn1n8QeYV4AIFANEPiDcpiRTlNAAEibmAAPMBKBXv9JIALjAx/UyQ -BaAKVQD+QAgV4DsFAPigAAa27gEAWXN86iQACdgEgADsRAAK6ASAAFv9P8Ag0Q8cpjyNIC4iGIZQ -j6D/zpAVoDsFAPYgBhWgClUAWXNvwCDRDwAAAP/whA2gBQUA8yBoHeAPBQD/YWYV7/HiAP/ykA2v -+PUAHKYsL3IRLjAwjSApchSZEChyE5gR9qHIFaAKVQD2IEYVoDsFAFlzW2P+7AAAAAAA7NoMAYG5 -gAAKSxTtvAgpwASAAO1NNg5IBIAA0w9t2QUIYIYJAmeJFao4604MBMkBAABt6QUIgIYJAmmNFosV -Ct0MrbsrvED6wAYV7+++AAD/8cQNoAQFAI4VLuxA/sAGFa/vZgCLFPpAaB2gDBUA+2JAFeANBQBY -PLtj/pcAAAD/78QNoAMFAGwQCCggBNMP8QHADee1AQD9AYAL0BmFAPkAHaISAJ0AeYEEwCDRDwAn -IhAHegL5YCBZUE4lACgwMBalLvnAIJCiAJ0AJWKLKlIRL6ECZPRfWC6+GKTfKVISKIJ+72KFJMgF -AAApVhKqhe4gByquQoAApfUtUAcsIAz+AgAHMP+1AO/dAQ93goAA/6YADrD4xQAI2AEtVAcpIAf8 -oYYdr5uFACtUBfKhRhWgCgUAmlj6oSYVoZkBAAmIAihUB/KycA3vzgUAjFeLyC3BFenCCyYwgQAA -Dm4B7t0ICkcCgADuFgUm6QEAAPsgHmxiAJ0AL8kUmBariqj/L8UU+6AgC6IAnQDJMchP2bBtSQUD -AIYJAmGMFopgrKr9QCHkYgCdAJpg07CJUBqk8+sxCCzmAoAADEwCnDErVQgqonMKmQwJaBT1ABwW -VdkBACpwcLaqAKEEAIgaCNgCKiIQmF4roAUsCpX9YBkUIgCdAI0i6SAHLpUmAAAvohMuohQdpJMa -pJEP7gz5wBpAkgCdAAkJQQyYEQ2ICCuCnikWBPdgHYrSAJ0AJIKdCpsKK7K/C0QBZEJNJiISLiIJ -GKSY/EFIFaBmQQAmFgcIZgomYoDuzAwDWMEAAPuADqviAJ0AKyAWKAr/eLES+kDwFaAMBQBYO9nt -pHYVG4mAACwhBx6kfvlJSAXqzAEA66R+HmcCgAAOzAKcQIgg+oBGFeBKBQD6gGYVoA9VAOqkmxx2 -AoAAD+4CnkEsIhKOFxukmP5DRBXizFEA6u4RDmWCgAAM7gIL7gL7BgAMMc4xAKnMLMCA6EYEL/oC -gACfRgxsDO5GByZgwQAAnEWLKSoiFaa75qoIBdjBAADrJgklUMEAAPpCphWv6QUA+IAL9GIAnQD4 -ZgAV4AhVAOkLHgJQgQAACgJlCSCGCgJjCQCGCgJhLjAwZOD8wMD8hMYdoA4FAP6E5h2gCgUA+oSm -HaAKBQAqRCQpIhb4h+Yd6JkdAPiHxh3omR0A+IemHeiZHQApRDyPXv6GZh3o/x0A/oZGHej/HQD+ -hiYd6P8dAC9EMCpyESxEIi5EI/qHZh2ouh0A+odGHei7HQD6hyYd6LsdACtEOCkgB/qh5hWhmQEA -DJkRrZkolp0rIBYvCv9/sQr6QPAVoDwFAFg7UclSiFjIjoxZwLCbW5jAjVic0ZtYm1kuMDDI6y/6 -mf6gph3gAgUA0Q8ochEpchSxiOh2ESTIBQAA+OKGFe+SlQDyoKYdoAIFANEP2iBYO4vtpAUVcTGA -AGAAV8Cx+oQGHe+KFQD6hCYdr/vWAADqJAAJ2ASAAOxEAAroBIAAWP2TwCDRDwDqJAAK2ASAAPxg -aB2gjeUAWDrgwCDRDwCLFPpAaB2gDBUA+2MAFeANVQBYO7KMWGXMCI8vGKT06FYLIuiBAADt9gAh -cOEAAJ5Yn1n8QeYV4AIFANEPiDcpiRTlNAAEidGAAPMBKBXv9D4ALjAx/UnMBaAKVQD+QAgV4DsF -APigAAa27gEAWXIb6iQACdgEgADsRAAK6ASAAFv73cAg0Q8AAByk2o0gLiIYhlCPoP/OkBWgOwUA -9iAGFaAKVQBZcg3AINEPAAAAAP/wJA2gBQUA8yBoHeAPBQD/gWYV7/GCAP/yMA2v+PUAHKTKL3IR -LjAwjSApchSZEChyE5gR9qHIFaAKVQD2IEYVoDsFAFlx+WP+7AAAAAAA69oMAYG5gAAKTBTtzAgp -wASAAO1NNg3IBIAA0w9t2QUIYIYJAmeJFao47E4MBMkBAABt6QUIgIYJAmmNFowVCt0MrcwszED8 -wAYVr+9eAAD/8WwNoAQFAI4VLuxA/sAGFa/vBgCLFPpAaB2gDBUA+2JAFeANBQBYO1lj/pcAAAD/ -72QNoAMFAGwQBhmkiOKSXSlwBIAA7UQACeAEgADyYAiSoA8FACWSXJUQK1EE5VIAJiP9AADyTwAJ -8AgVAOy7EQ4QBIAA9WAARfAAOgCx//PgBwRiAJ0AD1YUDmYRBrYMJm3/9sfoFaSvAQAAoQQAiRrp -ZgENAgqAAPJAIBWhZp0A/tyMDeAJBQDowTxnsAUAAG1JLgZXFA53EQe3DCd9//bn6BXkVgEAAFEE -AIoa6ncBCoIKgAAHBxnlcAZjMAUAALGZCcoMaaGDZMBHhxAqcQQp+v/sqhEPmASAAG3JNPLgCBWl -Qx0A/pAAEjRTAQDgUQQBmAUAAOoiCAwoCoAABCIM6VIDASPxAACGQAJiAQJSApJAEqRFLOUTKCKi -L+USIiKhqPiY0OjmFi+ugoAApSLRDxmkPimSoizlEy/lEqn5mdD5wsYV4AIFANEPAABsEAySHYwd -lBEiMAMrwRMkwAfyYABBP/kFAOzBFSEQ/QAACSIBiiMZpCzsFgIpwASAAPQgAAI7qgEA6hYMLaFW -AACLIee0AAUdAYAAqrv7fgAl4AYVAGqxE/tgAAbwDxUA/e0ADvbrDQCu3a1mKSADDwIADwIAsJnq -kVhrGASAANsgbQhKjLQpnP//YKgV4A4VAPeAAEP7rAEA+80ADTbMDQD9QABFO98BAOpmCASA0YAA -De059+AAQ/b/DQAP3QjtZggEy/0AAOu8GCSMI4AAY/+uAIodI6UQJqURKYATZJNVl1GKHfrAYBXg -DAUA/KAGFaK7HQDrFggo4ASAAFv/bo4dmhONGO3lFCUVQYAA7qNbGcgEgADyctAN7/X1ABqj7iqi -mvlAaB2gDwUAbTkgiyODIqv7f7sBsTMFPAEOvQHthgEn+EMAAOyGACRAIQAAlB73IAXCogCdAPQA -Ih2gDBUAiCQIC0v7jQAN9ogNAPsAAERwDwUA5I01BAGhgAAKmAtt2imLJ4MmDwIAq/sOvQEthgHv -uwd0yAUAACM8AeU8AQf4QwAA7IYAJEAhAAD3KyYNoAwVACgiBQgLS/uNAA32iA0A+wAARHAPBQDk -jTUEAYGAAAqYC23aJYspgyir+++7BnTIBQAAsTMFPAEOvQHthgEn+EMAAOyGACRAIQAAIiwY9z/6 -06AMFQCEHo8S7hIIL8bCgACoqJgZiBPq+REP/4KAAA9mDKmI6BYDJxQBgADzRWAF4AKlAALqNpoX -CqoJ5BYGLVfCgACaFfSADPISAJ0AGaKoDEgRqYgsgp77gBIbogCdACiCnQNJCimSvwmIAegWBCQR -IYAAiBSJFY0Xjx2MGBuiwS7xFQ3MDJwYre4u9RULAIdtmQIIAmGPF2TxmhSiohijGRKi/fwgiBXg -CQUA/+AAh3AFBQD8IAgVoAMlAOoSAy92woAA7t0IDtgEgADtFgsuZoKAAPwhRhWl6h0AjByYsJOy -jxkutgMtEg0uEgoPXwgt0gDktgQlUQEAAPdhBhXgQwUA7LYJIqiBAADzYKYV4AxlAP9g5hWgAwUA -82FmFeAOBQDutgotmASAAOLeAg7uAoAA7rYGLPAEgADs3QIEyBEAAP1gJhXgDFUAbcol98HSDaAE -BQCE8f3gCBXgABoAwNCUPe02DCdwBQAA7/wIIZghAACMGxSibPtsABXgAyUA/X/6vSXqHQCNF8Dg -Dt01iRYaolePGOgSBSzPAoAAqpnolp0ngEmAAMAg0Q8AihCLEYIU7dgJDVaCgACasIsdC4gR+EAA -QTAJBQD5YqQd4FoVACq0BdEPAAAAAAAA//GoDaAGBQAVoj+OWPfABriSAJ0AiBYZoj7sEgUsRwKA -AKmIK4Ke/WAGq6IAnQCJFiiCnQOZCimSvwmIAWSAwbDqmljoFgQscm4AAGAAZQD3AMYV7/KaAP/9 -WA2gDQUA8MEQDeAJBQAaoyKUHvtTSBWv9AoAGqMe+1NIFa/2rgApkmSKEoYd9mDIFeAMBQCcUJdR -LGIWCroMmhgqYRKcECNhEOZhES1WgoAAqpn4IGYV7/HaAIIU0Q8AAAAAAAAA//ckDaAIBQCOHYIQ -+CAoFaAPBQD/wqQd4F0VAO3kBSkWgoAAkoDRD8CgWWzsjlj53/kQkgCdAP/9JA2gCAUAAMCAAuk0 -+KEGFe/87gAAAABsEBYbokonsosiFhv04ogV54UBACJyFiZyISxyFSwWF/UASbkSAJ0AiTctmRQj -Fhr7oFIgEgCdAIOZ3TAuEhsu4hAv4AUoCpX54FT8IgCdAC8SG4/y++BSSJIAnQAk4hMv4hSdFQT4 -DPkAVUCSAJ0AKRIaiZz1oHAVoAslAPMgD5/f//UApNmJnygSGg8qAwqZASmGFC7QRCrQRezQRi92 -AoAACu4C6tBHL3YCgAAM7gII7hEK7gKp7ikSF65e4JAEB3P9AAAODhkODk+z7P8FZB2hzA0A/QVE -Ha+6BQD64E/8IgCdACz6tP0AT6QiAJ0A+8BPYBIAnQCw6AjsAXjgFm0IDLDJ6cwBDnAEgAB54ANj -/+wAD+4RGKLKGqLGJxYhE6LG7KKJF0gNAAD/RYYFopkdAAOTAQycAQ6eAfsgBAUwBxUACno5Dn45 -DHw5A3M5CJkB+O0ADPAHBQDYcOm4OQvIBIAA+GYACbAYBQAMiTn44GgdoAyFAA7IOQmIAvwkKBWg -CUUACpc5CHcCLMIlBzMCJxIh84BKO+IAnQAqciYDPg8K6gqKoCyhAg7uEZ4X+4BOGBIAnQCdFVgr -co8XLnImKBIar+6J5ug9EQ1kAoAADcwC7+IBLM6CgAAJqSgdob6p/y+GE4/mDcwCjuQK/yj8IKgV -4AslAP/AAEdwCQUA/iCGFa//9QAuEhoMmgL7wkYVp4oBAPsASmCSAJ0AHqHDLBIaLuJzjMAOzAwM -aRT1IEneUgCdAC4SGy7iEC7gcOoSBCdwGQAAAOEE/SABBNXsAQDp6QINVoKAAAqZAioSGoisma77 -BgAMcAwVAAyIApisLhIaLuEqZOS6E6JyJhYZG6F0DywDGqJuKhYVnBubHvwjSBWgCwUAmxyk2uoW -ECVZAQAA6xYNJVDhAACaGCzCE/wiJhWgBe4AAC4SGK39/cAZnWIAnQBksysvEhCIGon/KYZ/j/4v -hn4vEhsoEhOMHykSERqhyvuABhWliB0A+YBGFaWZHQCZw4/wLhISjRXqEg4v/gKAAA/uAp7B+gAK -FaAJBQDuoUgWYEEAALGZ7IMeDI/oAACPHysSFCwSE//gxhWgBAUA7PYHJYW5gAAfoTaHHx6hmP6A -AgJwC0UA7HwIC5AEgADnfDgmYOEAACoSG4qgDqoCKiYIKhIaKRIZKKIOCYgBKCYJJtBEKNBF6dBG -KzYCgAAIZgLo0EcrNgKAAAlmAuNECAs2AoAA+MYACzAJBQD2QUYVoAgFAOqiFCuwBIAA6SYNI7kB -AAD6QWYVoAkFAOgmDCERAQAA5AYABMgFAADmgx4Mr9AAANSwD0QL7wIABdgRAAD8//uNIgCdACgS -GyiABwgIQSsSGi8SFBmg/y6xKgyIEamID+4MLxIS/xOmFe/uAQDutSonGLmAACoSFCkSEQqqEaqZ -KRYRLxIbHKDy/+DwFeAERQAE6DYoFhT9EAAUMf8BAOgWEy/3AoAArO7r4p4kQL0AAAhIFCgWEvlg -MiuiAJ0AGKDjIuKdCPgKKIK/CCIBZCX+KhIaLBIQLhIUL6Erkh/swDMvd4KAAA/uNh+h7IqsLhYY -/8ACB3APBQD/z+YV4AsFACvmfvFABceSAJ0AKhIQnhqIG4mvjxyKrvkABARwCRUACJg5Cv8BD585 -CP8C7BYJJ5DxgAAaoScsEhCIGC8SFS4SGgggiA8Eii7iFBih04vNDl4MKYJ/C+42DrsMCpkBKYZ/ -68YNJYDBgACPz4zOr+9++wIszAEuEhCf7yzmDi4SGozsnRX6IggV7//VAA/MAZzsi70qEhcoEhil -uemc/y0CCoAA+R/gFaGZnQAJiDbxHLAN4A8FAPAA1A2gDRUAKxIQnhqLvSoSFygSGKW56Zz/LQIK -gAD8ISYVoZmdAPkBAAxwDwUA7RYFJAxhgADA0C4SECoSGIwYEqCc/U8ADXAPBQDpqjYOQASAAAhA -iK32AmYLo2YGCIqL7YfvhO7rVjYH+AUAAOa7DA5ABIAA6+YNJYB5gACnZ5fvdnsBsUSU7nr5xmP8 -w4gZih36I8YVoAkFAOkWHSRD/QAA6BYWLAF+AABj/MMAKRIdKxIeLhIc6hIWJMgFAADpFh0l4GEA -AA7LOSsWHvs/5QQiAJ0AKxIdLBIe+CLoFeC7AQArFhwMuwqCsC4SFS8SGOUoCAyCCoAA5hIcJEP9 -AAD97wAP8YidAPnhAA+wCQUA7GYLB4KZgAAvFh+t+u6qCwNgIQAA7t4LDkAEgADoZgAPIASAAAQM -ioKw4lQ2B3AhAADkIgwOQASAAOK2ACEAmYAAh2OPYqdHl2N0ewGx/59ieunILxIfKBIYD/k5qd39 -H/nVYgCdAGQvMisSHioSHAuqC4sajKMstn+KovtvxhWv74IAKxIQi70qEhcsEhiluemc/y0CCoAA -CQkZ+YEADnAPBQDtFgUuc+YAAP/uRA2gDQUA/+4kDaANFQAlEhuMUiVQB50V85XMDeFVAQAaoC0M -WRGqmSiSnvcAHvrSAJ0AGKAnJJKdCFgKKIK/CEQBZEPIKRIbJ5ISFqA2ipn5IUgV4HdBAAZ2CiZi -gOqZDANYwQAA+yAVM+IAnQArEhsrsBYjCv9zsRAqEhv7QPAVoAwFAFg3d2Sjmi4SGynhBxugHAkJ -SuqgQRzPAoAAC5kCmUCJ4PVANAXgSAUA9IBGFeALVQDoRgMslgKAAAsiApJBL+ISHKA3HaA3/PAA -ErL/UQDo4Rov/YKAAA9VAg1VAv0mAAyxJTEAqiLiIIAsQgKAAJhGmUQCYgzlRgchEMEAAJJFj+kt -4hUG/wjm3QgH+MEAAO/mCSbowQAA/cKmFe/sBQD8gBJ8IgCdACkSBSxMIPkmABXgCjUAbaoFCQCG -DAJhKhIbwJEpRCAoohYuEhr4h+YdqIgdAPiHxh2oiB0A+IemHaiIHQAoRDyP7i2iEP6GZh3o/x0A -/oZGHej/HQD+hiYd6P8dAC9EMP2iKBXgCAUAKEQj/IdmHeAJBQD4hEYd6P0dAP6HRh3o/x0A/ocm -Hej/HQAvRDgsoAf9weYV4cwBAB2fxAzMEQ3MCCvGnSugFnOxDSoSG/tA8BWgPAUAWDb0KxIaZLD1 -ibhkkPAtEhvzYSgVoA8FAJ+7LdIQmSCIuCzSES7SFJKBn7jvtgkmYAUAAOzWESdwBQAA/6KGFa+a -lQD7YKYdoAIFANEPKnIRLqEC7TQADwBmAADwAewNoAkFAJMVWCmEjRUuchIcn6MZn+srEhsswn7p -koUncAUAAC52EqrM6rAHLmZCgACsmSiQBy6wDPoCAAUw/LUA7IgBDVeCgAD7BgAMMP/FAA+PASiU -ByiwB/8hhh2vnIUALJQF+yFGFeAKBQCamPshJhWhiAEACP8CL5QHmRbpFhosgsYAAC4KjuoSGy7g -BIAA6xIaL2gEgABYNmvAINEPKRIbKZIQKJIRKpIU4hIaJEAFAADolhElUAUAAPsihhWvn5UA/kCm -HeACBQDRDyoSG1g29WStVGAAW4qX2zDqrCAqYASAAFgyNYwWjsDroQgvdgKAAA5OAu6mAS1oBIAA -+4EEHe/XMgAAAAAA/9b4DaADBQAqEhsroAf6IAAF8AwVAO0SEiXYYQAAWDcfLRIaLBIRLNYTLhIa -jujI7cAg0Q8AAAD/5ygNoAIFACISGykSGoovG6Bk65YLJPiBAADvpgAhQOEAAJiYmpn+QeYV4AIF -ANEPwMD/3IwNoBllACkSGxygWSgSGo2QKZIYj+CIgP8ukBWgClUA+CAGFaA7BQBZbYHAINEPLRIb -HKBQLuIR/aAIFeAKVQD0IAYVoDsFAFltefwgqBXv+voA6hIbIthhAAD8ACIdoA1VAFg28mP/UwAA -6hIbIthJAAD8ACIdoA0FAFg27GP/OwAA/hGiHa/6JgD/27ANr/n1AMDA/9owDaAJJQAAAGwQOiMW -U+QgBCoYBIAAIhZl5RZSIjm5gAD4gC3bkBKFAPaALZoSAJ0ALRJTLBJlKdIAKsAHLRZOLBZW+iAA -BTeZAQDpFk8kya0AAPopphWkmR0AKRZQ9IAxUhIAnQAoElYogAUuCk7/ACtMIgCdACkKUXmBKysK -VHuBJSoSVisSTuwcFCDoYQAAW/u++0CasBIAnQAqEk4oElaJFZmnKIAFxbT7AA9kYgCdACUSVh+f -7h2f7BOfq/IiZhXgCQUAmRv4JeYV4AY1AJYe9icGFaAIBQAoFgr4JcYVoDz1ACwWKPwlRhWv9PUA -JBYfJBYl/CMmFeAHBQD2JkYV4BsFACsWLP4i5hXgChUA+iEGFaAftQAvFhT6KcgV7AoFACoWKSoW -KyoWMfwpqBXgByUA9iGmFeAEBQAkFgwkFhAkFhIkFhb0IwYVoBzlACwWGiQWHPQjxhWgB2UAJxY1 -JBY0JBY2LRZRJBY69CeGFaP49QAoFjAoFj0WnwElUQgZnvUpFiQlFjv2IiYVoBqlAPokBhWgGBUA -KBYm/2DIFaAG9QAmFjcrsgfrFh0vegKAAP4kZhXo7h0ALhYi9aAj2hIAnQAWnq8M0xEGMwgsMp4t -Cht9wxEYnqkmElEkMp0IZgomYr8GRAH6gIsgEgCdABmeydhA+AAIHeAZtQBtmgIIAmElElYWnqqD -UB+eq+VRByDIgQAA5jMCCd4CgAD3PUYFoAqVAPVAAALwDDUA7LsCCq8CgADmVQIKQASAAOaenR2g -BIAAbaoslYCUgZ+CkoOThIqUi5WMko2Q7pIDJMhhAACahpuHnIieiQbaAuqGBSRAwQAAKhJRG56B -6BJWLVcCgAD7QABFcBm1APlTphXgVkUAJoQFKxJW0w/TDyuwFiwK/9MPfLEmLBJSKhJWDwIA/OAA -BjANFQDqoEEmY/kAAAzcOVg10ftAgWASAJ0ALRJNLRZR9aAYkhIAnQAWnmgM0xGmMyYSUC8ynvfg -gsOiAJ0AGJ5iJDKdCNYKJmK/BkQB+oB+SBIAnQAZnoIJAIcpElDYQG2ZAggCYSsSUy0SVv0+jgWg -BwUAJxZE/aVEHaAu5QD/quYdoA9lAP+rhh3gBoUAJtRkK7AV+s4ADfAKNQD7QH4o4gCdACoSVuyf -UBDYBwAA7BZEJdhBAAD7TKAVoAw1AFlmPCkSUCgSViwST/886AWgTyUA/w6GHeADBQAjhhwtgQcu -hTcjhTUenkPzDSYd6t0BAOOEaC7vAoAADt0CnUCKgBueQOtGAiZhMQAA7EYDLVYCgAAKmQKZQSOA -QSWBIh+emwM2CeiABSs3AoAApv//7+gV4F0FAH2BDsRudoEJxXb3AH4lYgCdABae+C4STxqfEP7A -AAPwjzkA/T4cBaK/QQD8gOYVoA0FAPyBRB3tlR0A5JkRDd4CgADrdwIMRUKAAAmIAusSVirNAoAA -CpkC6UYGJ3DxAAAuFkkuRQvodwIJlAKAAAciAgYiAuJGBCX5IQAA7wMeAnCBAAAOAmH9ZUQVoA4F -AP6GJh2gDwUA/oZGHeACBQDyhmYdoAYFAPaGhh2gBwUA9oamHeAJBQD4huYd4AoFAPqFxh2gCAUA -KEQ2LEQt/IXmHeANBQD8hgYd6MwdACxELCiwV/qHgBWgDEUA+IdmHaiIHQD4h0YdqIgdAPiHJh2o -iB0A6EQ4JdlxAABZZdsoElYPAgDuTEAkQYEAAAggiA4EiggAiA4AiiwSVizCHCsSTvyKZh2ozB0A -/IpGHajMHQD8iiYdqMwdAOxEUCJRUQAA7BJPJdjBAABZZccvEk8rElavTy6wdC70WC0SUR6dyewS -UC7vAoAArt0s1p0rsBYtCv99sQsqElYsEkkqoEFYNPgqElYoEk7ElSmkBSiAEmSARRSe0oOnBIQo -6kQAAZhBAABbvKcvElbtneoaYASAAO6ezB1YBIAA7/IAKdAEgABbvFsiElaCJyIsENogW7w49UBt -IJIAnQDAINEPwWl0YvbygAtZogCdAGP/6xudoou492Bw8JIAnQAjElEWnaHtElApnwKAAKYzLDKe -/YBw8+IAnQAYnZomElEkMp0IZgomYr8GRAH6gHAwEgCdABqdkbC5mahlTNJgDJQbnY6LuPdgb+CS -AJ0AIxJRFp2NDDMRpjMsMp7B2/2Ab/PiAJ0AGJ2HJhJRJDKdCGYKJmK/BkQB+oBvMBIAnQAanX6w -uZmoZUtrYAzIAOscECr4BIAA+4BoHaAOBQDt0Qgu4ASAAFgV/y0KiP1AbvRiAJ0AhBRkTySIR4uI -/wKkFe/GBQDpggskKIEAAAZWAab/5hZGJ/kBAAD7IG8EYgCdACeJFAw9EaverXcnhRT/4G7bogCd -ACgSU8mAyD7ZsG05BQgAhgkCYY5QDe4I/8BwpGIAnQCeUIlA6BJlLM4CgAAJOQKZsSiABfopxhXg -P+UA/wBrXGIAnQDEovsAawwiAJ0Aw839AG9VIgCdAPQqxhWv5FoAFJ5lJEJ6LhJSIkIUKUIWJUIh -L0IV/iwmFefuAQD1wEs5EgCdACgSU42HJtkUKRZIKBZk+sBTiBIAnQCD2d0wKRJlKZIQKpAFKwqV -+0BWZGIAnQAqEmWKovtAU7CSAJ0AJ5ITL5IULRZHB/sM+WBWoJIAnQAmEmSGbP2gcBWgDyUA8sAP -Z9/+9QAmEkis24u/KhJkDmYDBrsBK6YUKdBEJtBF59BGLM4CgAAGmQLm0EcszgKAAAeZAgiZEQaZ -AquZKxJhqSngsAQEy/0AAAkJGQkJT7OY+UVkHeGIDQD5RUQdr7cFAPaAUTxiAJ0AJ/q090BQ5GIA -nQD7IFCgEgCdACqc/wqYAXqQD7CL64gBDEgEgAB7mPIPmREXnhUbnhMTnhPqndUUwA0AAPk8HAXi -iB0AA4MBCooBC4sB+QAEBPAGFQAJaTkLazkKajkDYzkHiAH4zQAMMAYFANdg6Pc5C0AEgAD2ZgAJ -8BcFAAp4OfbAaB3gCoUAC6c5KkIl+OYAC7AIRQAJhjkHZgIGMwLzQEvz4gCdACpCJgM3Dwp6Coqg -KaECDncR+yBXKBIAnQAsFmktFkdYJr8rQianu462LRJk7LIBL3aCgAAOriiuzCzWE4y2LRJH67IE -LUwCgADqzCgJ1gKAAAqZAqy7Gp0H+iimFeAPJQD8LSgVoAgFAPsmAAy//vUAKhJkCYYC90JGFac2 -AQD6YFM4kgCdABqd3SkSZCqiYomQCpkMCWgU9QBStlIAnQArEmUrshArsHDqEkUl2BkAAACxBP0A -AQRVuQEA6LgCDVaCgAAKiAIpEmSGnJie/sYAC3AKFQAKZgKWnCgSZCiBKmSE3ROdvyUWYysSSBmd -vBqcvyoWWPgr5hXgCgUAKhZVrNkpFloOuwMrFlTrEmQk0QEAAOoWVyTI4QAAKRZKK7IT+itmFeAF -9gAALBJirU39gBpNYgCdAGSzQS4SWi8STIbvJvZ/ju4u9n4vEmUmEl0sElkoElsZnRT5gAYV5WYd -APeARhWliB0AmMOP8C4SXC0SR+gSWC/+AoAAD+4C/4AmFaAJBQDoABUGYEEAALGZ7IMeDI/oAAAr -El0sElkqEl4enIz/gMYVoAUFAOvGByUFoYAAGZzjFJx/68wIDngEgAD54GgdoAtFAO/8OCZg4QAA -LhJljuAnEmMqEmQJ7gIuhggmog4HZgEmhgku0EQm0EXn0EYvdgKAAAbuAubQRy92AoAAB+4CBFcL -43cID3YCgAAG7gIuhgr7QogVoA4FAP8BphWgBQUA+wFmFaAKBQDqhgwv8ASAAOdGAAKoBQAA7ose -Cq/QAAAojEDv/EAtqASAAO8CAAXYEQAA/f/7lSIAnQAqEmUqoAcKCkEvEmQpEl4bnEko8SoMqhGr -qgmIDCkSXPlTphXviAEA6PUqJBmJgAAsEl4rElsKzBGsuysWWykSZRqcPfkg8BXgDEUADI82LxZe -/fAAF7GZAQDvFl0sxwKAAKqI7oKeJ/i9AAAPTxQvFlz/wDS74gCdABqcLSiCnQqaCiqivwqIAWSG -KCsSZCkSWiwSXi6xKygWWemQMy5ngoAADsw2Hp02i7wsFmL/gAIGMA8FAP+P5hXgDgUALsZ+8WAF -75IAnQAvElosFkwrElSO/yoSVY/+/2AEBbAOFQAL6zkPqgEK6jkLqgLpFkslEaGAABmccSYSWi8S -Si4SXywSZA9giA4MiizCFB+dHYRtDCwMKPJ/BMw2DEQMCYgBKPZ/5GYNIgCxgACEb4lupMR8SwGx -mSoSWpSvma4uEmSM7PorSBXv/9UAD8wBnOyLvSYSYSwSYqK15Vz/KwIKgAD9n+AVoVWdAPWBAA5w -BAUA7RZHJg75gADwAPANoA0VACsSWiYSYQ8CAIu95hJiKwIKgAACtQjsFkwiq/0AAPgpZhXhVZ0A -9MEAC3AEBQDtFkcjDMmAAMDQKRJaKhJiLBJKGJvj/U8ADXAEBQDlqjYOeASAAA+AiK1OCO4Lo+4O -EIorkg0lkg4LLjbuuwwCIAUAAOuWDSWAqYAAL5IPD+8IL5YPfvsCJVwBlZ7qScV+eASAAGP8rSYS -SykSV/gtBhXgCAUA6BZnIzP9AADmFmArAX4AAGP8rAAnEmcpEmgrEmboEmAjuAUAAOcWZyTQYQAA -C6k5KRZo+P/kTCIAnQArEmckEmIsEmj4LCgV4LsBACsWZgy7Coiw6RJfLIIKgAAuEmbzAABDMAcF -AO1EDAMz/QAA/cACBzFmnQDmRDYHYCEAAOYSYiICeYAAJBZqrUoJqgvp2QsOKASAAOWmAAz4BIAA -DxSKiLAIJTbliAwEyCEAAOi2ACQAoYAAj+OE4q9fdfsCJEwBn+OU4uqZzH4oBIAAJBJqBEc5p938 -3/nNYgCdAGSPMSkSaCgSZgmICykSTIqDKpZ/iIL5L8YVr+8eAAAAKxJai70mEmEqEmKiteVc/ysC -CoAABQUZ9UEADXAEBQDtFkctc34AAP/t1A2gDQUA/+20DaANFQAlEmWMUiVQBy0WR/OWvA3hVQEA -GptxDFkRqpkmkp72wB9y0gCdABabaySSnQZWCiZivwZEAWRD1ycSZSNyEhKbeoh59uFIFeAzQQAC -MgoiIoDTD+h3DAFYwQAA+uAVo+IAnQArEmUrsBYoCv94sRAqEmX7QPAVoAwFAFgyumSkBy0SZSbR -BxebXwYGSumbhRs3AoAAB2YClkCH0P82ugXgCFUA/oBGFeBFBQDlRgMr9gKAAAjuAp5BLNISGpt6 -G5t696NEFaLMUQDqNREOZYKAAAxVAgtVAvrmAAux9TEAqf/v8IArMgKAAJZGl0QPLwzlRgcn+MEA -AJ9FLtIJLNIVAu4I4swIB3DBAADu1gkmYMEAAP2iphWv6wUA+oAS3GIAnQApEkcsTCD5JgAV4Ao1 -AG2qBQkghgwCYysSZcBxJ0QgJrIWLhJk9ofmHahmHQD2h8YdqGYdAPaHph2oZh0AJkQ8L+IOLbIQ -/oZmHej/HQD+hkYd6P8dAP6GJh3o/x0AL0Qw/aIoFeAGBQAmRCP8h2Yd4AcFAPaERh3o/R0A/odG -Hej/HQD+hyYd6P8dAC9EOCywB/3B5hXhzAEAHZsGDMwRDcwIKMadK7AWKgr/erENKhJl+0DwFaA8 -BQBYMjYrEmRksP6IuGSA+SwSZf9hKBXgDgUAnrsswhCY8IK468IRLdAEgAAtwhSfIZ6o7qYJJdgF -AADrxhEm6AUAAP2ChhXvmZUA+UCmHeACBQDRDypCES6hAi0SU+kWSC8AbgAA8AH4DaAHBQAALRZH -WCTDGJrkF5v6KUISJ3J06IJ+JMgFAAApRhIpEmWqiAmIEah3LpAHLHAH/CjoFeD/tQD7IZAV4O4R -AO/MAQ93goAA/4YADjD/xQAPzwEsdAcmkAf64YYd75qFACp0BfjhRhXgCAUAmHj44SYVoWYBAAb/ -Ai90B+cWZCuCzgAAKAqO6hJlLuAEgADrEmQsaASAAFgxq8Ag0Q8tEmUt0hAs0hEu0hTrEmQmYAUA -AOzWESdwBQAA/6KGFa+alQD7YKYdoAIFANEPKhJlWDI1ZK1GYABaAIp3KxJT6qwgKeAEgABYLXSM -cOuhCC5mAoAADDwC7KYBLWgEgAD64QQd79Z6AAAAAP/WRA2gAwUAKhJlK6AH+iAABfAMFQDtElwl -2GEAAFgyXy0SZCwSWyzWEy4SZI7o+9+daJIAnQAiEmUoEmSJLxqbpuqGCyR4gQAA75YAITDhAACW -iJmJ/kHmFeACBQDRD//l4A2gCAUAwJD/28QNoBhlAAAuEmUcm5kmEmSN4C7iGI+QhmD/zpAVoApV -APYgBhWgOwUAWWjBwCDRDy0SZRybkC6SEf2gCBXgClUA9iAGFeA7BQBZaLn8KOgV7/r+AOoSZSLY -YQAA/AAiHaANVQBYMjJj/1MAAC4SVo7i+9+X+JIAnQArEk36KsgVoAwVAO0SUCXYYQAAWDIowCDR -DwAsElaMwvuflriSAJ0AKhJWK6BBwMH7YkAV4A0FAFgyH8Ag0Q8sElMswBT9rAYdr8DWAAAAAAAA -AOoSZSLYSQAA/AAiHaANBQBYMhRj/tsAAP++3A2gBAUALRJWjdL7v5P4kgCdACsSTfoqyBWgDBUA -+2MAFeAdtQBYMgjAINEPANogW7jqEpsfC6YR5iIIBQdJgAAM6jArIoWLsLCi7LsICVAEgABZafgc -m1Eqwpz6QAQA0AsVAAC7GguqAirGnFlqLcAg0Q8AAC0SVsXC/aCmHa/A3gAAAAD4EaIdr/aKAP/X -RA2v+PUAwJD/1cQNoAglAC4SVo7i+9+PKJIAnQArEk36KsgVoAwVAPtjABXgTQUAWDHiwCDRDwAA -wKBZZP0bmheLuPl/jsCSAJ0A/8gwDaAEBQAAwEAdmhHAygy8NP2hBhWvx+YA2kBZZPIbmguLuPl/ -j9CSAJ0A/8isDaAEBQDAQB2aBsDKDLw0/aEGFa/IZgAAAAAA+gDiHaALFQBYKw4tIn/8UGYV4AIF -ANEPKhJl6xJTKeAEgABZI2XAINEPANpA/CpIFeCORQDutQgp4ASAAFkiH8Ag0Q8AAAAA+yBoHeAP -BQD/AWYV78k6ACYSU+v6DAMB4YAACk4U6ewIKzgEgADpOTYNwASAAG2ZBQdAhggCZScSUygSRg48 -DOp3CARBAQAA0w9tyQUHYIYIAmcpEkYK3AysmSmcQPigBhXvx+IAAAAtEkYt3ED8oAYV78eiANpA -/CpIFeCOVQDutQgp4ASAAFkh+sAg0Q8AbBAIHZrnDwIAKNF/xGXlmfMRIZUAAPEADQ+QJ+UAuBr6 -gGgd4Aw1AFlhvYwSDIwUnBKKNgWrAZsTKSAFLDAeKzAidplK94AK3GA9ZQD9gAr9YgCdAC4gaC8h -NfvACo1iAJ0ALDEQsf//gAolYgCdACwlNeskaCpQBIAA6DIJINgxAAD4Q4YVoAw1AFlhpYo280AI -YhIAnQAZmsP5QAgM4EslACowH/tAB7ViAJ0ALCAF94AHXSIAnQCJJyogBy2ZFImZKCEI8a1gDeGq -AQAemZpkkMsMqxGuuy2ynhyZlvegEipSAJ0AK7KdDK0KLdK/FJmgFZnbDbsB7ZnYFZFZgAAqIQcs -kAeckSwgBwoKSv9AABUwPBEA9HAAEbHMAQDjqgIOfAKAAA+IAgWIAg2qApqwjyCYtPVgRhWgMwUA -82BmFeANBQCdtZ23lbb94AAXsAVFAAX/Au+2ASXQgQAACSCGCgJjCQCGCgJhiScMyhH/QABFP8gF -AOWmnSTIgQAACJgB7ZUEJEEBAACYkPkgJhWgXyUA7yQFLpAEgADRDwDAINEPLDEQ+mRQFe/7FgAA -xbX6QKYd7/tqAACOJy/pFGTxT4jpmBQamZEpITf7IApkIgCdAI022hD6gGgd593BAPwgphXgDDUA -WWFNihAKjRSdEI82jhUF/wGfESsgBfnACejSAJ0AdrmVLDAed8EH80AJYdIAnQB73hkoIHTEknmI -ESogV3ehFi4gaC0wIn7RDWABCi8gV/fgCCViAJ0Aji8tMQuKFK7dnS8ooBNkgK6KpvugBTUiAJ0A -xPgvJAX3gAUkYEuFAMOG+YAGlSIAnQAqMCIpIGj7IAYlIgCdAC0hNSwxELHd/YAFpWIAnQAdmf0s -JTUemkIqJGiKES7hfw2sAfPABq+SAJ0A/UAEhmIAnQAfmVYtITcsMQp/2XwsJTd8p3l5rnZ/rnN6 -rnB7rm18pgcoMB/EknmAYrQb+mEoFaAMNQDqJhwqUASAAFlhDMAg0Q8AAAAAAAAAfaM/95/7JWIA -nQAsMRD6ZFAVr/4GAMCw+iCGFe/6ugAsMQr8RuQdr/rGAI0iytrE6f5Aph2gAgUA0Q8AAAAAAHzR -gvd/8uUiAJ0AxfX+QKYd4AIFANEPAAAAAPtDABXgDBUA7SQACVAEgABYMLjE6f5Aph2gAgUA0Q8A -AAAAAAAYmcH9gGgd4AkVAAydOXioER+ZHy4hNiwxCn/hHSghN3yJn/wAIh2gCQUADck4ZZ8UY/+O -AAAAAAAAAPxGxB2v/5IAbBAEwCDRDwBsEA4nIhD5MkoF54UBAOZEAAvwBIAA+QAxCVAEBQAlkosq -UhEsoQJkxk1YIrIrUhIYmNIZmRoogn7skoUl2AUAACtWEqqF6yAHKq5CgAClxSpQByggDPoCAAXw -/LUA7KoBDd+CgAD7RgANcP3FAA2tASpUBy4gB/ihhh2vn4UAL1QFklqUWPShJhWh7gEADt0CLVQH -ZFVoilfbMOqsICtgBIAAWCt9i1AIvBEMbAKcoeqY+x0YBIAAKqJzLiIQCroM/GEEFaaaHQD1IC2e -VdoBACtwcLa7ALEEAJkaCdkCmV4sVQgt4AUvCpX/oCscYgCdAIgiZYMQKuITKeIUCpkM+SAsIJAK -JQAuIhIrcG//DgAMsO5BAOq8AQX4KIAACp8BD+4CLyAHGZiSDw9BDPoRqaooop4bmI2fGvcAK4VS -AJ0AJqKdC/gKKIK/FJidCGYB5OQKAyrJgAAkQoDkwzhiIMEAAC0wRCkwRSgiF+owRi7uAoAACd0C -6TBHLu4CgAAK3QII3REJ3QII3Tak1IkpiCoJiAz1ABJrogCdACsgFvwh5hWg+vUAerEgnxwuFg0t -Fg76QPAVoAwFAFgv0IwfjR6OHe8SDCUn8YAA+GYAFeALBQDpCx4DUIEAAAoCZQkghgoCYwkAhgoC -YcCBKGQgKiIW+sfmHaiqHQD6x8YdqKodAPrHph2oqh0AKmQ8iV74xmYd6JkdAPjGRh3omR0A+MYm -HeiZHQApZDAqchEZmF6ZGfrHZh2oih0A+MdGHaiIHQD4xyYdqIgdAChkOJpf61YXJg3pgADtZCcj -QYEAAOgWByNRQQAA+iCGFaidHQD4xMYd6JkdAPjEph3omR0AKWQkKjIZ+sRmHeAJBQApZCLkogth -ycEAACtWFgraNupmFSHBoQAA6KYAA1lhAAALFIooMhkK3QwKiAzoNhkkEtmAAC0yGysyGq2tetsB -sbstNhsrNhrAsCMhB4kZ+TBeBaBtBQD7YACFejMBAO2qCgmfAoAACDMCGJjsk2D8QAgV4EMFAJNj -DIk5gxoYmLbpZgIlUD0AAPhDRBXkqh0A6DMKDsYCgAAIqAKYYRiYryMynwiZEJlmCDMB6JkpH06C -gAAJMwIZmDwIMwLywOYV4TMxAKOZKZCAE5g5CUkMA90C7WYEJdgFAADpZgUmAHGAABiZHIkUCLgC -mJCNKS4iFaTdpO4uJhWdKRyX+Qz7Eay7KradKyAWKQr/ebEK6iAHKmAEgABYLyoqchQochHpUggl -UAUAAOp2FCRABQAA+OImFa+flQDvVAUkghmAAIxZwLCbW5nAjVic0ZtY+qEmFeACBQDRD58cnh2d -HiwWD+okAApYBIAAWC9jjB+NHo4d7xIMJWzJgACOWGTiCsAg0Q/AsGXOwR2YpCghB4sZGZffCAhK -7Ns5DEcCgAAJiAKYYBmYbIgajSD6wEYV4EoFAJpj+QABBHAKVQDrIRouzgKAAAqZAplhGZhkKIKf -CLsQm2YJiAHpmN4fXoKAAAuIAhuX8gmIAvjA5hWhiDEAqLsrsIAYl+4LSwwI3QKdZPrAphXv+6YA -AAD/88gNoA0FACpSFgOqCiqiHGSgbQraNipmFStSFgm7C7i768YAA0FhAAAIGIorUhYJuwqIsAqI -DJiwK1IWmxgJuwqLsJkb6t0MBYDxgACNGAndC4PTi9Kjo3o7AbG7k9P7oEYV7/dqAIgYZIDqKlIX -wLDrVhYlUAUAAOpWFyTIYQAAgxf/rzAN4AsFAChSFpgYiBgrFhAJigqKoPQiJhXguwEAA7UKCto2 -mlAlEhElUhYJVQvrFgYiqCEAAAXgiAO1CysSEbhVBRyKK7IWCbsKhbAKVQyVsCsSEZkbKLIWKxIQ -mBgJiAqIgArdDCUSEeWAR2XYBQAAiBjKiypSF8CA+KLGFaAIBQDoFgglUAUAAOpWFyTIYQAAiBYq -PBjoozkO+4YAAGP+UsCB+KLGFaAKFQD6IQYVr/+KAI0YCd0LidOD0qmpmRV6mwGxM5PSiBX5oGYV -r/ieAMCh+qLGFa/8kgAAAAAAAADqJAAK2ASAAPxgaB2gjeUAWC5EwCDRDwCNLx6Yc+5WCyLYgQAA -69YAIWDhAACcWJ1Z+kHmFeACBQDRD4k3L5kU5TQAB4ThgADzISgV7+piAAAcmGaNICkiGIhQj+D/ -LpAVoApVAPggBhWgOwUAWWWFwCDRDwAAAAD0gGgd7+hiAP/pbA2v+fUAHJhaL3IULnIRjSApchOZ -EPihyBWgClUA+CAmFaA7BQBZZXZj/1GLGvpAaB2gDBUA+2MAFeANxQBYLvBj/UGLGvpAaB2gDBUA -+2JAFeANBQBYLupj/SkAAPKAaB3v6AIAbBASKCAE55cgGjAEgAD/LlgF56UBAO8WDyQagYAA+QAa -C5AZhQD3ABnKEgCdACkgB4Qw8iGmFeGZAQD4ISYV50QBAOQWDiIhrQAA9QBMyhREHQAoIAUtCk79 -ABhMYgCdAPYixhWgTnUA/wBWnCIAnQArIBYvCv9/sR36SDAVp8UBAP2fwBWgCBUADIw5WC5h+0BU -EBIAnQCNGQ8CANXQ9aBHKhIAnQAM2BEHiAgugp71wFVjogCdABmW8yiCnQnZCimSvyQWEQmIAeYS -ESwgBIAA+wBREBIAnQAalxDqAAUKQASAAG1pAggCYf0vrgXgCQUAKRYE/EVEHeAu5QD+SuYdoA9l -AP5Lhh3gCIUAKCRkLDAV/M4ADjALNQD9YFCoogCdACscEPsvwAWgDDUA6hYEIVGVAABZXs6LHY4e -LyEH+S4MBaBJJQD4ToYd4AoFAComHColNSglNxiW1vpNJh2q/wEA6iRoL/8CgAAI/wKfQIwgjR/t -RgIncTEAAO5GAy5mAoAADGwCnEErsBP7YEIwEgCdACogQS0hIhmXLAqrCeggBS3fAoAAq5n5L+gV -4FsFAHuBDsTOfIEJxeb/AE99IgCdAIMe+MAABf3tHQD5L0IFoslBAOhGBy5mAoAA7LsCD3UCgAD5 -QAAUsMk5AOqXfhGY8QAA40ULLmVCgAAOzAIMuwLrmQIO3QKAAP0vIAWgDQUALUUKCpkCKUYEDLsC -60YGIVEhAADqBx4CSIEAAAkCY/xFRBWgCgUA+oaGHaALBQArRDD8heYd4A4FAP6Fxh2gDwUAL0Q3 -L0Q2/oamHeAIBQAoRDMoRDIsRC34hiYdqMwdACxELCkgV+pMPCFZcQAA+IdmHeiZHQD4h0Yd6Jkd -APiHJh3omR0A+IcGHeAMRQBZXnLpTEAhQYEAAAggiAkEiggAiAkAiiwiHIsd0w/8imYdqMwdAPyK -Rh2ozB0A/IomHajMHQDsRFAiUVEAAOwSDiXYwQAAWV5hiB4vIHSoSC+EWAxeEafuJuadKyAWLQr/ -fbEK6iBBKeAEgABYLZWIHSkKRikkBSiAEg8CAGSAQBSXb4MnBIQo6kQAAZhBAABbtUTtlogaYASA -AO6Xah1YBIAA7yIAKdAEgABbtPmCJyIsENogW7TXaaEFYAgHeYEDwCDRDyQiEBWXX9hA+UA3kVIA -nQAlUnoqUhEroQJktxlYICEpUhIYlkEfl1cogn7v8nQkyAUAAClWEqqF7iAHKq5CgACl9S1QBywg -DP4CAAcw/7UA790BD3eCgAD/pgAOsPjFAAjYAS1UBykgB/yhhh2vm4UAK1QF8qFGFaAKBQCaWPqh -JhWhmQEACYgCKFQHZFZWilfbMOqsICtgBIAAWCjqiVAImxELawKboeqXNh0YBIAAKqJiCpkM+mEE -FeaJHQD1ADPmVckBACpAcCqsBgChBACIGgjIAihWDigiECtVCCyABS0Klf2AMVxiAJ0ALiICZeMk -KYITL4IUCf8M+eAyOJAKJQAsIhIrQG/9DgAMsMxBAOq4AQX4KIAACp0BDcwCLSAHlBv7K/oFod0B -AO0WDC7PAoAAp5kukp4K2goqor/3wDFdUgCdACaSnQpmAeSWCBMxKYAABMQKJEKAKBYV5INkYiDB -AAApMEQrMEUqIhfuMEYszgKAAAuZAuswRyzOAoAADpkCCJkRC5kCCpk2pJSLKYoqC6oM9UASu6IA -nQArIBYsFhP4IqYVoP71AH6xIS0WEikWFPpA8BWgDAUAWC06KBIVKRIULBIT7RISJTQZgAAqPDDq -Ex4DWIEAAAsCaQpghgsCZwpAhgsCZcChKmQgLyIW/sfmHej/HQD+x8Yd6P8dAP7Hph3o/x0AL2Q8 -jl6LG/7GZh2o7h0A/sZGHajuHQD+xiYdqO4dAC5kMCuyEfrHZh3o6x0A/sdGHajuHQD+xyYdqO4d -AC5kOPqh5hXgCgUA6lYXJA85gADpZCcjcYEAAO4WCCNZQQAA+iCmFej5HQD+xMYd6P8dAP7Eph3o -/x0AL2Qk+mMoFeAPBQD+xGYd4A8FAC9kIuSyMWH5wQAA+yEADfAOBQAuVhbrZhUh0aEAAOpGAANx -YQAADgiKKjIZC5kMC6oM6jYZJRPpgAAqMhspMhqqunurAbGZKjYbKTYawKAuIQf/KzAF4GsFAPtA -AIS67gEA65kKD3cCgAAP7gKPH55ggyD/LKYFoEsFAJtjixwI7zkelh7vZgIkyD0AAP5DRBXkmR0A -7rsKCfYCgAAOngKeYR6WFyuynwj/EJ9mDrsB7paRHn6CgAAPuwIflaUOuwL6wOYV4bsxAKv/L/CA -G5WhD08MCzMC42YEJVAFAADvZgUkAHGAAB+WhYgVD68Cn4AsIhWLKaTMpLubKSwmFQzaEaeqKaad -KyAWKAr/eLEK6iAHKmAEgABYLJOPGy7yESnyFOhSCCdwBQAA7vYRJMgFAAD54oYV752VAO1UBSRh -MYAAi1nAoJpbmLCMWJvBmlj6oSYVoAIFANEPLRYSLBYTKRYU6iQAClgEgABYLMsoEhUpEhQsEhPt -EhIlbGGAAI1YZdvfiC8Zll7pVgsi8IEAAO6GACF44QAAn1iYWf5B5hWgAgUA0Q/AoGWOoRqWBCsh -B4kfHpU/CwtK6Kk5Dd8CgAAOuwKKHJtgG5XM/kAIFeBOBQCeY5li+0ABBXAJVQDuIRov3gKAAAm7 -ApthG5XEKqKfCO4QnmYLqgHrlj4edoKAAA6qAh6VUguqAvrA5hWhqjEAqu4u4IAalU4OTgwK/wKf -ZP7AphWv+yIAAAD/8xgNoAkFAAArUhYDuworshxksG4LmzYrZhUuUhYP7gu47u5mAANRYQAACgyK -LlIWD+4KiuALqgya4C5SFp4aD+4KjuAvFhDrmQwHAPGAAIkaD5kLg5OKkqOzezsBsaqTk/sgRhWv -9uIAixpksaAuUhfAoOpWFidwBQAA7lYXJ/hhAACDGP8vEA3gCgUAK1IWmxqOGiUWGA/rCouw+iLm -FaBaAQADWgoLmzaboCoSGCqiFg+qC+UWByVQIQAACoCIA1oLJRIYuKoKEIolUhYPVQqKUAuqDJpQ -JRIYLlIWKhIXnhoP7gqO4C8WEAuZDOXgR2VQBQAAjhrK6ytSF8Dg/qLGFaAOBQDuFgol2AUAAOtW -Fyf4YQAAjhcrPBjuszkM+5YAAGP+UsDh/qLGFaALFQD6IUYV7/+KAIkaD5kLj5ODkq+/nxZ7+wGx -M5OSjxb/IGYV7/ieABmUvYmY9yASeJIAnQAMWBGniCqCnvVAEuuiAJ0AGpS3KIKdCloKKqK/CogB -ZIJIHJSx5BYRJNv9AACbyOYSESwgBIAA+x+4UJIAnQBgASAAjh0t4hP9wMYV797WAAAAAOokAAnY -BIAA7TEIK2AEgABYBT5kokmIpy+JFMvxi4koIAX6IaYV4DnlAPkAENRiAJ0AxNL9ABCEYgCdAMPt -/wARtSIAnQDzQGgdr9j+AAAA//8sDaALBQDAofqixhWv+boAAAAAAAAA6iQACtgEgAD8YGgdoI3l -AFgrdsAg0Q8AiTcrmRTlNAAFizmAAPMhKBXv5z4AHJWhj4AuIhiNIIZQ/86QFaAKVQD2IAYVoDsF -AFliwMAg0Q//5UgNoAUFAP/mTA2v+PUAHJWWL0IULkIRjSAoQhOYEPahyBWgClUA9iAmFaA7BQBZ -YrJj/3kAAP/nbA2gBgUAixz6QGgdoAwVAPtjABXgDcUAWCwqY/yIjCJlyGiLGfpAaB2gDBUA67wY -K2gEgABYLCPAINEPjCJlyEorIEH6QGgdoAwVAPtiQBXgDQUAWCwbwCDRDywwFPxMBh2v16IA6iQA -C2AEgADuMgsq6ASAAO8yBynYBIAAWAF9wCDRDwDAgPQiJhWv1ZIAANogW7LvEpUlC6gR6CIIBQTx -gAAM6jArIoWLsLCi7LsICVAEgABZY/0clVYqwpz6QAQA0AsVAAC7GguqAirGnFlkMsAg0Q/FwvxA -ph2v2D4Aixz6QGgdoAwVAPtiQBXgDQUAWCvzY/utAAAAAAD/4bANoAMFAMCgWV8MGZQliZj5P+04 -kgCdAMCA9CImFa/3MgAAAMCAG5Qf9CImFaAKpQAKmjT7YQYVr/bKAAAA+gDiHaALFQBYJSYrIn/6 -UGYV4AIFANEP/KBoHeCMRQDstQgrYASAAFkcPMAg0Q8A6iQACdgEgAD8wGgdoI2FAFkddcAg0Q8A -/MBoHaCNVQDttQgq6ASAAFkcMMAg0Q8AbBAEhifCeeZiDiGZA4AAyzjsRAAK6ASAAOokAAnYBIAA -W8JbyqH0YAXxEChFAClhErGZKWUSKiAF+UAGXCArdQD7QAacYgCdANEPbzUCbzNO/H6AgtArZQAq -IAV7oenrNAAJUASAAPygaB3gDAUAW8JIZK/TLCAFd8F265UGGVAEgABYvumNZ4pmsdvtu1J1cAUA -AJtnnmbRDwAAAAAAAOokAAnYBIAA7EQACugEgABbwjdkr5L8aEACUCiFAClhFymcASllFy8gBfn/ -+90iAJ0AJyQF+kBoHaGbBQBYvtLRD5tnmmbRDyphE7GqKmUT0Q/CtfpAph3v/g4ALGEWscwsZRbR -DwAAKyQF+kBoHaBrRQBYvsXRD/pAaB2gLYUA/ECmHeGbBQBYvr/RDwAAAGwQCoc09yhOBaN3gQAH -eAkMiBGoZitif+QwMyWBwYAAGpOyLW0CLdAALqKHKqKQDt0ICd0R/UAARXAMFQBZTl8pbQIpnIAp -kHnulwd9MASAAH+fA9EPAADqNAAI2ASAAFj30MivwKL9KYAFoDslAFlh4dEPAADbcOwxCitQBIAA -WPe556QABQTZgADacOxEAAHYgQAAWPamZa+49gUiHaAuhQD+BOId4C1VAPSABMiQLEUA9IAIsRIA -nQD0gAmpkgCdAGhEjWlFiipwBdMP/UAODCIAnQD9QA60YgCdAHriB/9ADXHiAJ0A91/7PSIAnQAq -fGj6JAAV4Aw1AFj2gWWvUSocGPrnABXgDIUAWPZ990AO4JIAnQDaIFj2b9EPAAAAKzEK+sBoHau7 -AQBY9rbnpAANer4AAMCi/SkYBaA7JQBZYazRDwDacPogaB3gCDUAbYoVLKBoJLAg/IAPDSIAnQDq -rAEl2AUAACpwBcKUeaEufaFBeuI2f6IzB3oC+iBoHeAMhQDTD23KFS2wGCygSOqsASXYBQAA/aAL -VSIAnQDaIFj2TNEPAAAAAAAAAPdf9QUiAJ0A7DwmK9AEgAD8oGgd4AslAFv/QdEPKnAFfKEX/UAH -jGIAnQD63/OrIgCdAP9f82tiAJ0A2iBY9jrRDwAAKnAFfKEIfaE6euIvf6Is2nD6IGgd4A01AG3a -FSygaC2wIP2gCrUiAJ0A6qwBJdgFAADaIFj2K9EPAAAA91/xBSIAnQDacPogaB3gDjUAbeoVLKBo -LbAg/aAJjSIAnQDqrAEl2AUAANpw+iBoHeAPhQBt+hUsoEgtsBj9oAb1IgCdAOqsASXYBQAA+uBo -HaALNQD8oGgd4AwFAFv/ENEPK3xo+iQAFaAMNQBY9hllra/aIFj2DNEPAAAAAADqdAAI2ASAAFj1 -u8muiaeJnsCBKJR+0Q/64GgdoAs1APygaB3gDAUAW/790Q/Aov0oSAWgOyUAWWFD0Q8AAAAA+uBo -HaALNQD8oGgd4AwFAFv+89EPAAAA/YDWDe/69QDAofdf9GCSAJ0AKhEB8V/0DxIAnQDsPCYr0ASA -APygaB3gCyUAW/7m0Q8AAAAAAAD1gNYNr/r1AMChZa0GY/4a/YDWDe/69QDAofdf+QCSAJ0AAioC -WPXc0Q8AAAAAAAD9gNYN7/r1AMChZazWY/6l/YDWDe/79QDAsWS+zep0AAroBIAA/GTAFaALRQBb -/szRDwAAAAAAAGwQFiIWIucSIivgBIAALXAH/sBoHaBKdQD+4LAV4EtlAPwgphWh3QEA7RYOKZAE -gADr8Qx26GEAAPvgOn0iAJ0AJHEijH6dFJUZIyAVGJMrihUvIAMpcEEmIhOi/wmZCQpmNv8gABS/ -+gUA6YgIB/j9AAAK/wGK8SiCf+gWDSfYQQAA+8A1MqEzIQDA0P4hBhXgBQUADe8MHZL9LdJ/CcwR -rNwswTf0IOYVp/31AHzbChSTOfIgxhXgAEoAJEoABMQ28iDGFe9EAQAck5Aswn/vAgAOGASAAPvA -BLqiAJ0AjRgPqgyI05wTjdKo+Jgaf4sBsd2SHO0SCi7gBIAA9sAusJAPBQCSHPdALmCSAJ0AwCBt -CFoKaDQDKQoISDSYkAMoCy2GAyyGAimSAAlmDAmqDA2YCOgWDyEQBQAA6YsHfmgEgAAtzAHs1AAH -+AUAAO0SDyEMMQAA8mMAFeACBQD2wCsgkgCdAPdAKuCSAJ0AY/+enBOSHAtdCwtaCoqgidON0g+q -DKn5mRt/mwfiFgwm6AUAANzQ/CFoFeAPBQD+I+YV4AIFAPbABQCSAJ0A/iQGFaAAzgAAACgSH+jo -CAKoBQAA6BYfIowxAAD7YwAV4AUFAAtcCwtaCoqgjcPswgIjDZuAAP9c8A3gDgUAamHHbQhOAykL -Ay8KCmg0CEg0CGYMCKoMmPCcki2WA+2PCAEQBQAA6PsHfmgEgAAtzAHs1AAHcAUAAOghDG/oBIAA -8mMAFeACBQBkr3323/vQkgCdAGP/qgAALhIggxP2IYgVoAgFAJgQiBUmYhMrcBYuFhz4wQALMPn1 -AHmxGowZ+ugwFafMAQD9n8AVoAkVAAycOVgpkGSlBy0SH+mSKxafOYAAFZMbix0ekieNHoQXLRYS -7NIRDu+CgAD4QABBfYQdAO7dCAolAoAA9IYACnarAQD8IgYV4AUFAPQjphXiy0EA4hYULmYCgAD9 -RgANMA8VAOQWGCPhIQAA7BYVLEUCgADyIMgVoLs5AOQWEy3dQoAA+wYADHAOBQD7JGAF4AUFAPsG -AAwwPVUA6xYRI9FxAAD6IsYVoAQFAOgWGiPBgQAA6BYXIRNJAADz4gAPMDxlAP+tAA4wAgUA/CMm -FaAAPgAtEh/8oBmkYgCdAMDlDl4v5OJkYqgFAAAkTQEkTIAqcQcbkfzyQAEGeqoBAOmSvB1XAoAA -C6oCmkCPcPiARhXgSEUAmEP94AAXsAiFAAj/Ap9BjsAtCgT+6DAV4e4BAA7dDC4SGuwWGy/8AoAA -D+4CH5K1+CKoFeHdAQAtFh4P7gIuRgQuEhj9gAgVoA8FAC9FCi5GBg3MCO2SxhZg8QAALEULLUYH -6QMeAkCBAAAIAmH85UQV4AoFACpENfyFph3gCwUA+obmHeAIBQAoRDP+heYd4AkFAPiGhh3gDgUA -LkQu+COoFeAOBQD+hgYdoA8FAP6GJh3gCAUA+IZGHaALBQArRDb6IsgV6N0dAC1ELPjq8BWvzAEA -CckI6RYdIlDxAAD4h2YdqIgdAPiHRh2oiB0A+IcmHaiIHQD4hwYdoAxFAFlZoukSFyJRAQAACSCI -CgSKCQCICgCKLHIcKxIf/IpmHajMHQD8ikYdqMwdAPyKJh2ozB0ALERQe1kz/CPIFeBOJQAudHQe -krwqTEX6IGgd4d0BAP+mAA6wDpUA7nRlLu4CgAD8IAYV4Aw1AFlZhyoSHC9xNQMrCygSGSh0V+2y -Ayf4BQAAL3U1LxIbHpJ8LkYWjPAtRhksRheIf4nwi7IrRhiqmip2HI/wCWYMKhYc6P8IARAFAADv -dg8hDDEAAPJjABXgAgUAGpGE+iPIFeAJVQAJWS8qRhrkuwgF4CEAACxGGypwdOq0dCzulgAALRIf -Bd0MLdwB97/uCVIAnQAoEhQvEhMvhp0rcBYuCv9+sQgqcEEsEh1YKJotEhOMHCsSHJvLlseJcsSn -6nQFJIjhgADRDy0SHy4SEtMPBd0M/aAgFeAKVQAK3TYN3RHtFhMnJVEAAC4SFNMP0w8u4p4PAgD9 -wAej4gCdACgSECQSFCiCvyRCnQhEAWRPoCkSEf6AaB2gCgUA6QAFBup5gABtCA/uDAAFUAUAAP1f -6eRiAJ0AY//pGpE8iqj3QAWgkgCdACsSFCuynv1gBkviAJ0AKBIQJBIUKIK/JEKdCEQBZEC0G5Ex -sKn5YQYV7/56AACOHvwiZhXgDAUA7BYdL3cCgACp7i4WFCkSFCgSEyiWnStwFi8K/3+xCCpwQSwS -HVgoXIocKqATyK+LHIx/i7Z8uQfEyCx0BdEPAMTWLXQF0Q8AjbMvFh+MsvtgCBWv61IAAP//sA2g -AgUA2nD6IIgV4AwVAFgo2NEPAP/8bA2gBAUA/CQmFeAKBQBZW/AakQqKqC0SIflf+ciSAJ0A//vg -DaAEBQDAQByRA8C6C6s0+4EGFe/7mgCF9KpV5eNzfWgEgAD0IEYV4AgFAPggJhWgBQUAiBGNEuVc -ASRAEQAA6BYBJCRRAAD7YwAV4AkFAPggJhXgBQUAiBGriIiArYiYEnjrzp8Y/d/IquIAnQD/rwAP -v+RCANEPAAApcEH5IkAV4A0FAPgghhXv+FIAAAAAAAD//zgNoAUFAGwQCJQRJyIHJjEL+EDwFeBK -hQD84oIV4ExlAPhAsBWgS1UA9tiAFaGZAQDlcgkmjEmAAPsAEU0iAJ0AKFASyIvpFgUj0EEAAFgh -CYkVhFCVEvQgZhXnRMEA9IAWmx/NBQAoEgMoggoqMF/3ABfTogCdAOyQxByoBIAA5KFNYzjdAAAH -RxS0d/UgCoISAJ0AGpC+DJgRqogqgp73QB/T4gCdACiCnQyaCiqivwqIARWR3JgQ6BYEJBXZgAAc -kNgMAIdteQIIAmH0gB2LEgCdAC0wXxyQuxmQ9eswXi6NfgAAw+P+gAscIgCdAPSACxMSAJ0AjxIv -8BNk8V2EEo0vhEb8gBzlYgCdAGWxf8DwjRMo0AfuIQcv1gKAAAqIApjRKCAHKyEI/0AABzCoEQDs -7hENUoKAAAruAvnGAA9xiAEA6hIELEwCgAAJuQIbkNmeoI4gnKKbpguZAvlAhhXgCwUAm6Wbp/3A -ABcwC0UA6+4CBWCBAAD/QCYVoDsFAOumAy7IBIAACSCGDAJjCQCGDAJhHZB96xIDLGcCgACtzCfG -nYuw+vgABfA8JQB8sWXaIFgbmNEPAAAA+wAF5SAFBQDA4C7gEmXud2P+gS8wXmX+sP/6yA2gB0UA -AAAbkGiKuPdAF2CSAJ0AHpBoDFgRrogugp73wBf74gCdACiCnQxeCi7ivw6IAWSC7bCv/2EGFe/6 -egAmIRQjIRL+2QAN4AQFAOpSQinYBIAA/AACHaANFQBYHgEoUkPkTAEhmAUAAAgzLnZJ22P/ZGS+ -vmAAOwBkvrdgADQAAGS+r2AALAAA/R/uvCIAnQD7H+58YgCdAGP/PwAAAAAA/R/6JCIAnQD7H/nk -YgCdAGP/JywgB4oQHpCH+kEEFeDcEQD1sAAWscwBAO7dAg5kAoAADLsCnaAdkET8QAgVoA8FAO+m -BSNwYQAAnqPuEgMjIN0AAP1ARhXkRB0A7ZFTHmYCgAAMTAKcoQ27ApukDgSJlqedpgogiywgDOam -By5kAoAADLsC66YEK2AEgADrPFQlUKEAAFlYGI4QGZBm7JAqGkcCgAD5wABHMI+VAP4ghhWv94oA -AIonhxHsoRUrvwKAAOc3CAVQgQAADaoBqsrqrEArwASAAPrgDpqiAJ0A+CBmFa/0EgAAAAAAAAAA -9wBoHa/0GgAAAIwiZMGY9J/xwxIAnQCHJy55FCZSveThfWPQgQAAhXklUAfAsOxkAAroBIAAWB4g -hyeJePjipBWvzQUA6nILI9iBAAANtAGkiOiMQC3gBIAA+UALVGIAnQCNES55FAzdEa2are4udRT7 -AAtbogCdAMozjhHvlAAHAPmAAG3pBQNAhg8CZYwnirAPAgAPAgDtqggGYIEAAPlADqQiAJ0AmrAt -+sCHwIvDjiAowQWKEQ3CAeKICA92AoAADqoC6pYBJEEBAAD3YAg0YgCdAC/JBAxZEal6Cf8IL8UE -+wAKQ6IAnQDJaAZjAu90AAKAmYAAbVkFA2CGDwJnKsIACaoI+UALvCIAnQCawNEPiieNEcDA6qwg -LtgEgABYHeWaEvogZhWv8PIAAP/wSA2gCAUAdNsUjxINTgzu9gYt7v4AAP4RYh3v8WYAihIE2Azo -pgYt7lYAAP4Rgh3v8RIAAAAA+CCmFeAKBQBZWo4bj6iKuIkVHI+o+V/n+JIAnQD/7vQNoAgFAADA -gMDKDKw0/WEGFa/utgAAAAD/+hQNoAUFAOucGCvoBIAA+kBoHaAMFQBYJ15j/lAAAPzvAAw/+LYA -+UBoHeAOBQD+4WYVr/tmAMDwn8PRDwAA6YcMAYHhgACMEQdKFO6sCCnABIAA7sw2DPgEgABtyQUI -gIYPAmmPEac46v8MAlEBAABt+QUIoIYKAmuMJyzMIAfeDK5OLuxA/2AGFa/5+gDniwwDAbGAAAtK -FO2sCCv4BIAA7V02C0AEgADTD23ZBQjAhg8CbQtoCOpeDAFRAQAAbekFCOCGCgJvC58Mry8v/ECf -wNEPAAAoTED5YAYVr/iuACksQJnA0Q8AAGwQCBmQjCmSfy2RAuuRBSkwBIAA/SCEFaAHBQDqkgAm -kwmAAOUWBCbz/QAA7pUCJmP9AADlkIEeZwKAAOyqCA3fAoAA+08ADXAAygAokQXqkQQkQAUAAP0g -CBWviAEAKJUF+UAPxCIAnQCwqgyqEerKCAxfAoAAC6oMCgCHB4dg6gAHBHMjgAAqkQUZj0TiUkQt -VkKAAKqIKZJ8+CAmFa+IAQCYEqiYCYgRqCKNJ/sevAXvyAUA59UUJuCBAAD5gAQGNU4FAO7VFSZh -AQAA7NYIIUiBAADs1gkhUSEAAOsABQFhOQAACQJhCQJhCQJhCQJhCQJhCQJhK2AEnBOOYPVgDJKS -AJ0AL2IWJVJE6WEiI1lFAADrFgUvxkKAAKhVjVgoUHyfLe4mDiN5OQAAnxAt0AQpJSL4SCYdoB7F -AP+gCVQiAJ0A+q5AFeAMZQBZVwuKE/qtgBXgDGUAWVcIhRCLFfpMIBWgDDUAWVcE21D6S6AVoAw1 -AFlXAYlpFZAv+EFmFe/IBQDnJgwkgDGAAJKckmmKJylgFi1gBy5hCS9hByxgDStSzyZgDC4lCS0k -BykkFiYkDO8lByWwBQAA/EGmHaALZQArJAQmVs+MFOwlCCV4gQAA+eAEAzBM9QAsJAUloRWLqImr -plXnJgIiqQEAAPsgB0xiAJ0AKKkUDEcRq3mniCilFPigBwviAJ0AyTHIT9mwbUkFAwCGCQJhKfIA -B5kI9SAIdGIAnQCZ8I4g7RICL2YCgAAMTAIstgHsj/8W0CMAAColNvogKBXgClUA+kEkHeA7JQBZ -XRPRDyeVBf/4FA2gCAUAAAAA5fQAD9gEgABYqb+KE4sVWKm+Y/7ewKX9H94FoDslAFldB8Ag0Q8A -AAAfj6qIYC1gfC5hKS4lIi0kQegmDSNZyQAA/kHGFeAMZQBZVrGKE/rNgBXgDGUAWVauiWuZK+cm -DCSAMYAAkpwo+sDywWYVr/riAJer+yBoHe/9JgAAAADrWgwBgbGAAApOFOzsCCnABIAA7Ew2DcgE -gADTD23JBQgghgkCYwo4CO5NDANJAQAAbdkFCECGCQJlCn4Mrm4u7ED/4AYVr/vyAChsQPngBhWv -+8YAAAAAbBAS5DIEKngEgADWIA8CAPMeAgWjRIEABEQJDEQRBCIIJCJ/7jELIgWZgAAuFhQmFhMZ -jonqj6ARQAsAACiAACmShyUWEiqiXOmICApYBIAA7xYRLEZCgAD5QABFMAwVAFlJMi8wMykwMC4w -N+qgBy1gBIAAJTA0JjA1/GbQFeGqAQDqFhcqrgKAAAZVAuYigyHY4QAA6jAxLu4CgADu3QINuASA -AO4xCizOAoAA6pkCAwIxgACKZ+qiDiSNEQAA/S2AAVAO5QD1IAVhkgCdAGiUCfUgEqKSAJ0A0Q9p -8vpkb/crFhDsFgkh6OkAAPwixhXgBHoAAAD9OICA0AoFAPXgJgiSAJ0A6xYQL5c8AACPNg8fUu4W -GSeluYAA6sQACuAEgABY93fRDwAAAAAAAPXgDQiSAJ0AafKfGI9fKIF/8QAP31IAnQAuMDjAmH6S -iWrnhvXAJ1uSAJ0AK6EjsbsrpSMqEhMsEhHtEhIp2ASAAFkEwdEPAPX/+viSAJ0A+f/6uVIAnQBk -X0/yAoId4AQFAPoiBhXgA2oAAAAocAEHhwqkhHVLYylwAH+Z7i5wAihwAyphGQjuEQjuAvtgAAU7 -7gEAeunUKC0CKIyAKIB7A4gRCOgCKGUZiBgvgRvaYOwSESnYBIAA7RISJ/gFAAD/A2Qd4A5lAFj1 -pylwAQeXCqSU9JQWDeAP5QCGactoihkqoBbqZBYjWQEAAPoiyBWgDGUAWPcK/14MDeAP5QCJZ/Yi -CBXgBAUA6ZIOIv5xgAD4IQYV7/2KANEPAAAAAPtf9D1iAJ0AHI8qDwIADDMBLXABB9cKpNT0gB8a -4gCdACpwAGiiMP1aoIJQC7UAJiKDxu/uMwEDcpmAALR/nxSKFPrJABXgDGUAWPbtZK/Ahmllb+pj -/jImIoPHi+gzAQNxUYAAsnkpFhUqEhX6yAAV4AxlAFj24mSvlYZpZW/pY/4HAAAuMDjAqH6iB/nA -GnuSAJ0AwLn73++FYgCdAByPBS8wQy4wQi0wQSowPZoQKTA+mRH4Z/AVoDslAPggRhWgCkUAWVwT -KhITLBIR7RISKdgEgABY9tbRDyoSEywSEe0SEinYBIAAW/oN0Q8AABiNxoozEo7cKIJ2IiJcqogJ -iBGoIoonK6kUZLKKi6kfjbspEheHsOWNuh2wBIAA+yBoHaALpQD1IBOqF3fBAAyZEaWVKFKe/wAd -q6IAnQApUp0PrQot0r8NmQHVkPCmrA3gOgUAjiJk4236/+ltIgCdAIknFY6cL5kUJVJ/5PNPZNCB -AAArkgkmsAcFXAL8wGgd4AsFAFgbxIon2zDsEhElUIEAAFggZI0gLBIRiycI3RENzALspgEl0IEA -AOtUAAtgBIAAWCBc0Q8ALiAHGI3ZDi9A7BYJL/qCgAAI/wKfUIggHY2Z/KBGFeCJxQDpVgMsRgKA -AAuIAphRenEZiictEhHAwOqsIC7YBIAAWBum7iAHLTAEgAAbjqUsIQj+IAAGsAcFAOdWBS7sAoAA -DcwCC8wCLFYEBgSJ5SAXAtChAAD6oMYV4HxFAOxWByHZUQAAWVVpGo6Iiy0qolz9aAAVsAxlAOuq -CAHYBwAA6hYPJVGxAADqFg0l2ykAAFlVXoof9megFeAMNQDqrEgr2ASAAFlVWYsfDwIADwIAi7fq -jnMV2EEAAJsbW6xJHI5wHY2Ojx8ejoXqEgstWASAAI/wW6v/ih+KpyqsEJoeW6vc9UARoJIAnQDb -cPrDIBWgDDUAWVVFKzxB+sOgFaAMNQBZVUGDHfpAaB2gBwUA+sDwFeAN5QDrZgEi4AcAAOzMoCtY -BIAAWQ9kHo5uHY5uGo5uH45qDU0Cl6+Xrpetl6yXq5eql6mXqJenl6aXpZekl6OXopeh56YAKdgE -gAD/0AQd4AhFAPnH5hWgDGUA7eY+JVApAABZVSQYjl2KGSiCuRuOWvwAgh2gDVUAC4AA2iBYGEbR -DymgULGZKaRQ0Q8A7hIULlAEgADvEhkq4ASAAFj26dEPAAAA//XMDaALBQAdjRWN2CkWGPegDKCS -AJ0ADKkRpZUoUp7/AA2TogCdAClSnQ+uCi7ivw6ZAWSRoBiNCbDfn4j1IGgd7/XOAAAAKhITLBIR -7RISKdgEgABY+nzRDymhIbGZ+UQkHe/sYgBlOof2IggV4AQFAPAAZA2gDbUAAIM5zzkrcAEHtwqk -tPSABGLgDbUALHAAfcnpZGpZiWrjlAAE/wmAAOkWBSP4JQAA7xYHI/AxAADuFgYjkAkAANog+m2A -FeAMZQBY9ehlr7CKF/ppABXgDDUAWPXkZa+gihb6aAAV4AxlAFj14GWvkIgVc4FaKYAFwqx6mYf6 -YGgdoAsVAFj7sdowWPtt2jD6CiId4AwFAFj1emP/Z4tni74qsRyxqiq1HNEPAAD/8tANoAsFAPpA -aB2gG8UA/AAiHaAN5QBYJI7/8ewNoDoFANpgWPxmY/8sAAAAAAD/8VwNoAkFAIoeW6tqHI2fC60R -7cwIBQO5gAAN6jArwoWLsLCqmhqtu1lceRyN040aKsKE+6AEANALFQAAuxoLqgIqxoRZXK1j/YwA -AAAAAPwhJhWgCgUAWVeQHYyqjBmN2B+MqvojCBWgDuUA+b/yeJALpQD/+bQNoAkFAADAkB+MoQve -NP/hBhWv+XIAnBz6AOIdoAsVAFgdqokcKJJ/+TBmFa/00gBsEAgpMQ8rMCwejcvsMC0svcYAABaN -Le+Mlh3eAoAA/WYADb/6tQD3ZsYNoAc1AByNwx2Nw/1gBSQiAJ0A/WAXvGIAnQAYjXgZjQD5YA3c -IgCdAPlgFkxiAJ0AwCDRDwAAizQo8n333QgVo5uBAO2XB3zgBIAACpwBrIgJiBGoZvNgFmJSAJ0A -KzA39WAoyJIAnQD9eIADUBkVAHm5vCowQiswQwiqEftGAA1wSzUAe6moKzBELDBFCLsR/WYADbBM -RQB8uZTqJAAJ2ASAAOxEAAroBIAAWO6+wCDRD4s0KTAuLDAvLTAx/SAAFLMrgQDsmQIJIASAAOww -MCSMMQAA+T/6u1IAnQAIzBENzAL3n/pNIgCdACUwNCgwNQhVEQhVAmhRB/i/+ZFSAJ0ALTBGKTBH -Fo0B7DBILu4CgAAJ3QLpMEku7gKAAAzdAuiNfh7uAoAACd0CBtYB+N/37CIAnQAYjQ4ZjIoI2AH5 -H/dkYgCdAH0nAgokASjyfSLi6A8CAKSICYgRqCLxYBWiUgCdACYxCg8CAAYKS/dAFTESAJ0AGY1p -+yAU2yIAnQDqJAALWASAAFju1vwAIh2gDQUA+4IADrALBQANyzjqojkF9GGAACgwNS0wRi4wRy8w -SOUwNC7uAoAADt0C7jBJLu4CgADv3QIKrgKAAOhVAg7uAoAA/6YADrAI+gAdjOEnMQoZjU+IMoI0 -KzBFLDBE+xnKBaMigQDvAgAN3AKAAPkABHziAJ0AKPJ2iTOomSji6AmZEamI6BYGJi0JgAANuQF6 -mXjNjCoxJnaiDSziFyut+P1gGwOiAJ0AwKDqFgYlb2mAACswPPV/7xCSAJ0A9WAiuZIAnQD1YBqa -kgCdAPVgIvuSAJ0AwuL/f+4EIgCdAMLz/3/ttWIAnQDqEgYp2ASAAOxEAAroBIAAWQ0ewCDRD+24 -AQYlqYAAeoGI83/sYlIAnQAajR/iKwkB4IEAAOwWBS3fAoAAq6rqon8r2ASAAFkRMeakAA0EJgAA -HI0WLzA/LjA+/GewFeAKVQDyIAYVoDslAFlaGcAg0Q8AAAAAAOokAAnYBIAA7EQACugEgABb/TnA -INEPAOokAAnYBIAA7EQACugEgABZElnAINEPAOsxCitQBIAAWO5t/AAiHeAOBQD7ogAPMAwFAA7c -OOqmOQ5otgAAY/zhAADbcOwSBSHpBQAAWRDd4qQADQBuAAD2wAgV4AAeAACHoByM7ygwQy8wQi4w -QS0wPPYgJhXgClUA+CAGFaA7JQBZWfDbMOImOQpgBIAA+sBoHaP99QBb/EErPDzzQGgdoBwFAOeh -NiVRcQAAWVOaKhwQ+GTEFeAMNQDpJTYhMXUAAOclNytYBIAAWVOTLyBhLiBiLmQB72QAINhBAAD8 -THAV4Aw1AO1kAiFRhQAAWVOK6iQACdgEgADsRAAK6ASAAFgDc8Ag0Q8WjB8cjMYpMD6OJy8wPCgw -PY7u7hYHL/4CgAAI/wLoMD8v/gKAAAn/Av/VSBWgClUA9CAmFeA7BQDtFgAv/gKAAOj/AgpoBIAA -WVm8KzBGLDBHhRftMEgt3gKAAAy7AuwwSS3eAoAADbsCCLsR7LsCCtAEgABY7Sxkq54qUpD1QA5R -EgCdAPVADhGSAJ0AKzA8LDA97TA+Ld4CgAAMuwLsMD8t3gKAAA27Agi7EQy7AigwNS8wNJsQJTBG -JzBH7IyYGmgEgADpMEgqrgKAAPamAArwClUA5zBJKq4CgADpVQIP/gKAAOj/AgquAoAA51UCC3AE -gAD0ICYV4DsFAFlZjykwNCowNQiZEQqZAvUgDbCSAJ0A+T/YCVIAnQAejIEtIRssMD0rMDz/oAQG -u+YBAA7dAu4wPi3eAoAADLsC7DA/Ld4CgADuuwIJUASAAP1gABW/3QEA7LsCAeDZAABY7IzAINEP -AI1gLmA5/mhQFeAKVQD9GNgFoDsFAFlZb8Ag0Q8r8nws4uiquhuMaAmqEarK+0AARX/ySgCMFizA -BcTW/Z/TpWIAnQCJFomXLZkUZNF6i5kajAyOFiywBymwFS3gaPvFRB2gD4UAL+Rn/8yGHeAIFQD5 -y4YdoA8FAC/kZu/kZSboBQAALeRo+mnQFaAo1QD8afAV4ZkhAP/GpB3gTxUA7+R0LVYCgADtqgIE -y0kAAPvG5B2gLeUACY04LeRXKrAV+s4ADTAJNQB6kAUosBQo5GCKFi4yFe8yFiroBIAAW/gqwCDR -DwArMDwtMD0sUobuMD4t3gKAAA27Au0wPy3eAoAADrsCCLsRDbsC+5/x3WIAnQBoowInVpDApf0Y -VgWgOwUAWVkswCDRDwAAAAAAAADqEgYp2ASAAOxEAAroBIAAWQwSwCDRDwDqEgYp2ASAAOxEAAro -BIAAW/n3wCDRDwAvMD0sMDwtMEYoMEfuMEguZgKAAO/MAg7uAoAACN0CLzBJ6DA+Lu4CgADu3QIJ -UASAAO4wPy5mAoAA6MwCDu4CgAD/pgAO8AslAO88Ni5mAoAA7swCD/AEgABY7GXAINEPAAAAAAAA -AP/6DA2gCwUAACoxJg8CAA8CAHaiCCniFyit+HmDIcCg6hYGJUY5gADqEgYp2ASAAOxEAAroBIAA -WRAfwCDRDwAr8nws4uiquhuL7QmqEarK+0AARX//MgAdi+su0X4s0kAp1X8J7gLu1X4mYAUAAP2o -BhWgAgUA0Q9lj6tj/4kAbBAGJTAHHIqaFoqb+ZAQFeFVAQDripga1wKAAAaqCOiiniTI3QAA+qAB -BvSZHQDt0r8kyCEAAPkABmviAJ0AKaKdDZkB65QABIY5gAAeiq8OAIcJAmEJAmEJAmEJAmEJAmEY -i8n5FwAF4AwVAPpACBWgDgUA/iAmFaANBQD4IAYV4A8FAPggRhWgDjUAWBzwLDEHHYqE+xd6BerM -AQDvioQeZwKAAA3MApyg+EAIFeAYBQCYo5+i65sCDM4CgAD7QIYV4AslAAuZAvlAJhXgCxUAK6QZ -DF4R98AARzANVQAt5p34YEgV4Ax1ACwkBCs0eAuZApkyj0THhwj/AZ9E0Q8AAAAAAAAA//zkDaAJ -BQCNMsjS0Q8AAC3AgO3cNynQBIAA+qMAFeTdHQD9oQAV4AwVAFgiFtEPbBAIHIpMJCAHjsgVik3A -mvfAEmCRRAEAKFKuG4pKZIJoK7J/KlKtC6oBZKJesO6eyPdAaB2gBxUA7Yo/FRHxgAAq0IDvij4V -UN0AAP6AABW0qh0A5bsIBVBZAAD0gA2yEgCdACyynvuAFauiAJ0AKrKdD0wKLMK/DKoB6hYEJRDZ -gACMJ8CQKSR2L8kUKCAU58IJJ43hgACKcaqICAhHKCQU9QARVlIAnQAUi2scimQrcReOc/bhSBWg -DwUAL9Yy5tYzL3oCgAD/pyYV6O4dAC7WOAy7Avun5hXvu40A69Y+IjHhAACLFChCgioyAC5Cfy1C -hC9CgylCgSkWAfwgBhXgDBUA+CBGFaANBQBYHILqFgQiIGEAAHZJyosniHQtIQf/YoIV4BkFAAmI -AvjghhWq3QEA6TIAJ4j5gACDuY409EDwFa//hQAP7gGeNC8gLCsxF+mKRRy1AoAABv8C7+4CDu8C -gAD3FAgFoPQRAP5ghhWhRAEA7oo7H/qCgADv3QIKZAKAAAy7Agm7Ag7dAp2ghyD3QEYVoD8FAJ+j -+0CGFeAIBQCYpZmm6KYHKcgEgAD84AATsAhFAOh3AgVYgQAA56YBJTkBAAAJIIYLAmMJAIYLAmGG -MiYmHIkx8yAIGFIAnQDxMcgN4AMFAMCz+kDoFaAMBQD+gAAXsA01AOX/CAHwTQAA7vadJVCBAABY -F/LSoNEPmhb3wAhgkgCdAAxLEaW7KLKe+wAI86IAnQAqsp0PSAoogr8IqgFkoQyw6fmBBhXv+NoA -AAAAAAAAAPcgaB3v+RIA//uMDaADBQDAoFlUmhyJs47I+d/tUJAJpQD/9uwNoAoFAACKImSg3/ZO -xh3gAgUA0Q/AoAnuNP+BBhWv9noAAAAAiyJlv+AdiaYt0IDrTBgm6N0AAPpAaB2k3R0A/aLAFeAM -FQBYIWX2TsYd4AIFANEPAAAAAAAA6iQAC1gEgABbotv9Ey4F7/cuAADaIOt0AAtgBIAAW6RfiTGa -FeOkAA13AoAA7ncIBPdlgADrdAAJUASAAPzAaB3gDAUAW6Qk80AAQf/7RgD/9VwNoAoFAMCgWVRo -HImBHYmCjsiKFh+Jgfnf9xCSAJ0A//TUDaAKBQDAoMD6D+80/4EGFe/0mgAAAAAAAAAA+kBoHaAb -xQD84GgdoA0VAFghOPZOxh3gAgUA0Q8AAABsEAqJJxuJayYgByiZFBqKs/cS1gXhZgEA7rIIJBhZ -gACEmY9BKEEK+CDmFaAFBQAlJhn3wBnIkA2lAClyruiiGCSbIYAAI3KtCDMBZDNYsO6euOk0AAGZ -8YAAE4lXIzCAHYlW6RYFIZjdAAD+wAAWNDMdAOfMCAGYnQAA9MAVghIAnQAowp7zAB7r4gCdACPC -nQ1oCiiCvwgzAWQzFCkgFA+ZCAkJRykkFPUgGdZSAJ0AKgoCWRFk5YlQHRnmAACWGfQhBhWgCgUA -WRE7FIqCFoqEHYl7G4qBjxgYioIlskj54uQV4AwFACy22o7z7IEEJEA/AAAognaP+i+22+2ZAg96 -AoAA/3wmFejuHQAutuAptuesqvsAAEQ/mY0A6bbmLEZCgACoVSUmGZJYKEKCilAuQn8tQoQvQoMp -QoHpFgEp2ASAAPwgBhXgDBUA+CBGFaANBQBYG5AkTBjmSc19GASAAB6KYSshB4kYhBkciR/3IUQV -qrsBAO5OAg3fAoAADLwCnKD+oAgV4A0FAJ2rnar9QMYV7/P1AJOpk6iepZOnHokV/0BGFaAIRQDj -iTUfrgKAAAhVAuWmAS//goAAA/8C/0CGFeAjhQCTo4iZiZgvphQuphItphYtphctphgtphktphot -phstphwtph0jphMsphAlphHlij0c5gKAAPzGAAs3mcEACYgCmKyWreVEAgUYBwAA5Yj4EZoBAAD1 -QqYVoAwFAPQhCBWgJkUA/EDoFeAKBQAqJHePRC7ZFMGA+eYAD7AJRQDvRgQnCNmAAI7ZiOTH2A2I -AY3hJCAH6OYELn4CgAAP3QIvICyeFv3AJhXg1BEA6P8CDuqCgAANvQIYiRif5C/hF/mmAA6xRAEA -7TYAKkQCgAAI/wIdiRKIIJ02lTKaNZo3Df8C/mCGFeA/BQDvNgMsRgKAAOmIAg9QBIAA6DYBIciB -AAAKIIYJAmMKAIYJAmGF4iUmHInhIzxA8yAJyFAOBQDuFgQsisoAAI4U+gBiHeAMBQD6QOgVoA01 -AObuCAp/AoAA5/8IB3ARAADu9p0lUIEAAFgWztKg0Q8AAAAA//PcDaAEBQCWGvfACdCSAJ0ADGwR -p8wowp7zAAqT4gCdACPCnQ1oCiiCvwgzAWQxQLDp+WEGFe/08gAAAAAAAP/7nA2gDgUA7xYLKtAE -gABZU3QbiI6OuBqJ148b+d/lkJANpQD/8wgNoAMFAIoiZKENwLH6TuYd4AIFANEPwDAN7jT/YQYV -r/KSAIwiZc/hHYiALdCA62wYJujdAAD6QGgdpN0dAP2k4BXgDBUAWCA/wOH+TuYdoAIFANEPAAAA -6xIFKVAEgABbobVj/LsAAP0TfAWgCkUA/CDoFeAr5QBZVrIrIQfAZPtAAAXwjIUA/2AAFb/4TgDa -IOs0AArgBIAAW6MwiRYPAgAPAgApkgHqFgQtZwKAAOwzCAT1fYAA6zQACVAEgAD8oGgd4AwFAFui -84sUq6v6IIYV7/pCAAD/8LwNoAMFAP4hZhXgCgUAWVM0G4hOjxuOuB2IToYa+d/1eJIAnQD/8CAN -oAMFAADAMMDKDOw0/WEGFa/v4gAA+kBoHaAbxQD8ACIdoA0VAFggBsCx+k7mHeACBQDRDwBsEASG -MMSC+BCCHeA35QD2+AADMDQFAPTHpg2gOjUA90EuDaA8dQDDsXtqCveHLg2gPVUAfWIxIiAFdyFK -+EjmDaA+1QD+RAYNoI9VAP5hBB3gAhUA0Q8AIiAFdyEO+EFmDaAk1QB0IQPAINEPKDAw/R6gAtP7 -9QAqMQj7QmYN4AIVACk1CNEP+GEEHeACFQDRD8Ah0Q8AAGwQBCswPC8xCyYgB/xByBXvxwUA6iIA -J+MRAAD1YA1wkWYBAB6JKflgDftQOdUAK+Jc7ogLHsZCgACou+iwBSe4TQAA74gGG28CgACu3fkA -Dg1kdx0AKdKe9yAOW+IAnQAt0p0PaAoogr8I3QFl0HOJImSRQgUKR/lACbFSAJ0AjieM6PvCpBXv -wgUA7+ILJ2iBAAAC0gGiu+jpFCXZAQAA/eAMXCIAnQAMTxGvyq+IKOUU+2AMC6IAnQDpxAABgMmA -AMlBbUkFAwCGCQJhitAPAgAPAgCvqvtADORiAJ0AmtDRDyggBykhBx6IJvlAAATw+BEA6v8QDM8C -gAAPmQIOmQIusQj+QQQdoYgBAOnWACxEAoAA6O4CDVYCgAD+QAgV7+kVAOnUGCZI/QAA+WPoFaSZ -HQAKmQLo1gsv/gKAAA9/Ap/RL7Iemdks1Q8biRHv1gomQMEAAJjTH4kPC+sCHofND88Cm9T/oQYV -4AsFAOvWBSbRAQAA7tYCIdlRAABZT7Eeh7eLJwxtEf+gAEa/zAUA59adJdCBAAD9QAQFMAwFAOy1 -FCVRAQAAmrmauNEP0Q8AAAAA62wYK+gEgAD6QGgdoAwVAFgfamP+pognL4wg9+AEB/AJBQDphRQn -+QEAAJ+Jn4jRDwDCgni53inhf32X2Os0AApgBIAA/KBoHeP69QDqJQgpUASAAFj4qdEPAMW16yQF -KVAEgABYErPRDwAAAAAAAP/5BA2gDQUAwMCc69EPAADsuwwBgXGAAAtJFLie7k42CdAEgABt6QUK -IIYMAmMJSAzrOQgBUQEAAG2JBQlAhgoCZQv5DKkpKZxAmdDRDwAAKixAmtDRDwBsEBLdcPwiRhXg -CQUAmRCZESggB/SACBXgDzUA6TYAKlgEgAD2gPAV4TgBAPDeAA3nVcEAimD0oAuzEgCdACwgBCoV -BPWAEUIQPQUALiB8LhUF/KAPvGIAnQDAwRWHYIsQGYdf6hIBKe8CgAD0YArCEgCdAKndKNKe9wAb -WdIAnQAt0p0FPgou4r8O3QHuiKMeqASAAOiHXRaGuYAALiEH/CKGFaruAQDrFhUvdwKAAAjuAp7Q -jiD9DrAF4CgFAJhT7VYCL24CgAAP3QKdUS0gBCoWFigRBfWgDfwSAJ0AHoiQCIgJDIgRqO4u4X/1 -oAoikgCdACgiEigWES0gTC0WEB2IiA09AhiIHwzuEf6hBhWn6x0ACO4BDO4CLlUKHoiC/KCGFeCK -+QCYVg6uAf/YABc4i7kADogCKFYHGIh8LhEE7lULKfeCgAAI7gIuVgkoIAcIKEDzEAAUN/71AA6I -AihWCww+EQnuCC/mnR6Ia+yIcBKRiYAAjyADOgnrhx8dVwKAAK6pLZJ/7LsID/4CgAAPfwLrqggD -QCEAAJjQnWOaYiiWf/6AJhXgAgUA0Q8ch0ErQSfVQP1gDCwiAJ0A//pgDaAMBQAehwOO6CoWFvfA -EXCSAJ0ADD0Rqd0o0p73ABJp0gCdAC3SnQU4CiiCvwjdAeiG+BaR2YAA4hYXJxP9AACSiPIi6BWv -+g4AAADrRAAJUASAAFu018Dz5qQABRERgAApqRRkkTyEqfqAaB3v+A4AKCITKBYRLSBQ/CIGFe/6 -/gAAAAAAAAAAKbAY1bDzIAbX0gCdACtcHfoggBWgDDUAWU7Y//e4DaAPNQDaEPpKIBXgDDUAWU7T -tBr6ScAV4Aw1AFlO0PpKsBWgDzUA+iCkHa/3EgAfiCYYh4wtIRf6IgAVoAkFAJmgmaGZopmjmaSZ -pZmmmacogn+ZqJmpCO4M/8YAD3bNAQD+ImYVop1BAP0oABSw3TkA6cwCDuwCgAD9hgAOcA0VAJ0U -7BYGINkBAABYEChloIociA78IggV4ApVAP4iKBWgOyUAWVT4GYazKhIWKxIVLBIU/CJoFeAPNQD/ -93ANoA4FAADaEPtjIBXgDDUAWU6jY/8ZACkgBCoVBPUgCSqSAJ0AaJQdK1xB+iCAFaAMNQBZTpr/ -+XANoA81AP/7BA2gBAUA2hD6SiAV4Aw1AFlOkyogVfogpB2v/zoAwKX9D9gFoDslAFlU2MCl/Q/U -BaA7JQBZVNUeh94DOgkch+cMqhGuqayqLBIS6MM3Y1ghAAAskoGbwJxjmmIrloEqkoKNomTQdo4g -CO4RDn4C/oAmFaACBQDRDwAAAAAA//KEDaANBQAvkoCb8Zpjn2L7MAYV7/8yAAAsFhT6IqYV4AoF -AFlRVx6GcRmGcyoSFo7oKxIVLBIU+d/tmJAPNQD/8YANoA0FAMDQwIoI7jQYhmf/AQYVr/E6ACIK -iNEPK6AH+iAABfAMFQD7YwAV4A01AFgeJowgCMwRDHwC/IAmFaACBQDRD9oQ+kkAFeAMNQBZTlMt -IHz8IKQd7/s6AGwQBhyHr40g/kBIFadVAQD+v8AV4AMVAP5tAA/wClUA8kMIFeA7BQBZVJCKIseN -6KgBBXg0gAD4QEYVoABeAADIrllThdug+kBoHa/89QBYHJIkIAfaIPQgAAIwC4UA7RQACmAEgABY -H+XxTzAN78YFAB2HlIwgDcwCnKAbhrCMPugSACpPAoAAq5nolgAmAHmAAOsyEClQBIAAC8AAiif9 -DToFoAQFAP8NmgWv+PUA5KBEZXiBAAAkpRQG/wHopgAn+QEAAJ+on6nkJgclWCEAAPvABJOiAJ0A -LsJ9HYbAm+GdoynCfSmmAijCf+vGfSRABQAAKMZ/lC6ULyQmECQmESQmEiQmEyQmFCQmFSQmFiQm -FyQmGCQmGSQmGiQmG/0O1AWgClUA+gYCHeA9hQBZVE4kJAQkJAWUIiQkICQkISQkIiQkI5QplCqU -K5QsJCUa5CUbKdAEgABZD6nAINEPaFIowCDRDy3CgOvWASZICwAAmaMowoCYoi/CguvGgCf4BQAA -/5BGFe/9vgCLJy+5FIq5Lrwg5u4BB/hBAADvtRQlU8EAAOq2CSdxAQAAfqsqKLEVHIX4qoiYuZyA -iSD/DoYF4AwVAO+GAizOAoAADJkC+QAmFeACBQDRDxyF7pygiyD5DnQF4AwVAOmmAi3eAoAADLsC -+0AmFeACBQDRDwAAAGwQCow1FIcyG4cn/Q5gBeuMQQAIiAnphdYcRwKAAK2KKqJ/q5mpiPlAH7wg -BwUAiaGDoJOQjqAvqRAThvrp5gEla/8AAPdAJhXgCxUA56YAK8AEgADjMugnhmmAAIWnhlH+oGgV -qJYdAASZAYRS/2IADDAOBQAEvjiEUAmZEfhgAEH3ZgEA+cAHHidEwQD0gAbbEgCdACtRCC46//9g -BmwiAJ0AGYcMKJJDLqz4+6+kFef8AQDrFgskQ/0AAOiWQyeSaYAAJ9WQ/0MAFe/IBQAI/wHshkEX -+QEAAC/WRy/WRv+AL3uiAJ0AG4b7j7rq9gEl8KEAAC7WQYy6LNZAibzqtgokyAUAAJm8Gob0+iFo -FeAMBQD7WmgVoA0VAFgTOcAg0Q8AAIhzhXL2ACIdoAkFAPjCAAywCAUABWg4hnEGhRQEVAEJRBGk -M4Rw9OBoHedmAQD5AqAd50TBAGhGDSlxCCs6//s/+d1iAJ0ALqz4+a+kFeeMAQDpFgssHsYAAPSA -FRMSAJ0AKlEImhIbhZaMIOzWPiFIgQAA7iYHIVEhAADrAAUBcTkAAAkCYQkCYQkCYQkCYQkCYQkC -YS0wBB+Gxu4WCSHhRQAA9aAkupIAnQCcFykyFi/y9Ygw7TEiLN5CgACr/58aK/B8j/iZLegmDiHJ -OQAAKRYIL/AELSUi+kgmHeAYxQD54BGkIgCdACsSCvtuQBXgDGUAWU1IixqKGfttgBXgDGUAWU1E -ihfAw+osYS1YBIAAWU1Aixj6S6AVoAw1AFlNPYo5iRKaK+cmDCUAMYAAkqySORiGoCsxBy+C0i4w -Fi0wB+owDSf4BQAAL4bS+GGQFaAPZQAvJAQpJQgoJAwqJA0rJQctJAeXIo0gLiQW9iFoFeBO9QDu -JAUu7gKAAA1tAp1RJyUJ9IAPUxA4BQD4gAzUIDk1APUljg2gOhUA+oSODaA75QAqMAUnJTb7QBLk -YEwlAP1AEqQiAJ0Aw939QB5VYgCdABiFLQhICiiCEOokAArYBIAA/MBoHaANJQALgADAINEPGYU2 -iiAq1j6eJ+kABQFIgQAACQJhCQJhCQJhCQJhCQJhCQJhKzAELCxO7BYJIVEhAAD1YB3SkgCdABSG -ZSYyFiRCRyUxIu4yACtGQoAAqEQvQHyNSJ4uli3t0AQhsUUAAPRERB3gHsUA7yRBIak5AAD/oAg0 -IgCdAPqOQBXgDGUAWUzrihn6jYAV4AxlAFlM59tg+kwgFaAMNQBZTOTbUPpLoBWgDDUAWUzhijma -K+cmDCUAMYAAkqySOSwxCS4xBxuGQy8wDfhhkBWgCWUAKSQEKCQMLyQNiBsqstL+QOQdo/31AC0l -COwlCSVQBQAAKrbSKjAWKzAH+kDmHeBJ9QApJAWXIiokFuglCSlQBIAAWA/wwCDRDxuGLSuxVfF/ -6sdSAJ0ALDr//CBGFa/1SgAA25BYn7yKGYsXWJ+6+iDoFa/3fgAtMAQnJTb1oAWSEgCdANow61QA -CWAEgABb/HtkrnvqJAAK2ASAAPzAaB2gDSUAWQzfwCDRD9tQWJ+q6hIJK1gEgABYn6dj/wLnJTci -mPEAAOMDHgF5cQAA7wwAANARAAD+pMQVoAw1AO4lNiEZdQAA5yU3KdgEgABZTJsqIGEpIGIpNAHq -NAAg2BEAAPhMcBWgDDUA6DQCIVGFAABZTJLqJAAK2ASAAPzAaB2gDSUAW/x8wCDRDwAAAAAAACpc -GfpqIBXgDDUAWUyIKlwd+mnAFeAMNQBZTIRj/zIAAAAAAOokAAtgBIAA+hCCHeANJQDrVQgq2ASA -AFkMrMAg0Q8AEoXg+bIkFa/LBQDoFgQlSGEAAAuZAZkV5SJDK18CgAAL/wypiOj/MgKr/QAAJSZD -JdJH79WQJEEBAACYFuIivCrgBIAA+KAN4qIAnQDLtIgWkhOstfUADwviAJ0A6cQAAwCpgABtaQUJ -IIYCAmMl0kcv2ZCltYgWghP4oA/kIgCdACXWR2TxZxmE//8gDBuiAJ0AHIW8iMrqhgEmeKEAAC/W -QY7KLtZAi8zqxgol2AUAACvGDOo0AAjYBIAA/EBoHaANBQD+AAIdoA8lAFv81PvgAASwjYUAfZkY -aEYVKiEIKzr/e6EM2jDrJAALYASAAFkNrRqFpfohaBXgDAUA+1poFaANFQBYEerAINEPAAAAH4Um -iDAtMHwuMSkuJSItJEHoJg0h2ckAAP5BxhXgDGUAWUwtihn6bYAV4AxlAFlMKoo7mivnJgwlADGA -AJKsiRLyYWYVr+7GAAAAAAAAAOxkAAlQBIAA+qBoHeCJVQD4oQQd4A0lAFkMTMAg0Q8AHIWBiM3q -hgEmeNEAAC/WQY7NLtZAi8/qxg0l2AUAAPuB5hXv6E4AAAAAHYUALDEpKTB8jjCeLSkkQewlIiHZ -yQAA/EHGFeAMZQBZTAeKGfptgBXgDGUAWUwEijuaK+cmDCUAMYAAkqzyYWYVr/ImAI8V59WQJ/kB -AAAv1kf/qMYV7/oeAIwUDFwM/ajmFa/4/gAfhVyL/eq2ASfI0QAAKdZBiP0o1kCO/+r2DSdwBQAA -/+HmFa/6AgAADI8M+EBoHeRfHQBtWQUMQIYJAmWJFa8s5WgMBMkBAABtiQUJYIYMAmeMFQ+/DK/M -79mQJmEBAAD9qOYVr/g+AIgVKIxA+ajmFa/4CgAAbBAEijUKSVHrhCcUieGAAPUgC+CSAJ0A9SAI -oRIAnQD5IATB0gCdACiyevVwqBXrmkEAqYgJiBGoVYhSx575AAQEd6oBAOhWAiUCsYAA/U3AAdAb -ZQB7qUaJVyyZFCOSCWTAcxyFKC8yBC0xCv5hSBWgGAUA+eYAD7AKVQD+YIYV4CvlAFlSAepUAAnY -BIAA/GDwFaANVQBYAm7AINEPAOJWGSrQBIAA5SYIKVgEgABb+WGLKMijibJkkFPAINEPGoUSKqF+ -wMHxQaAN4AMFANpQW/o5Y//a//4gDaADBQAAAAAAAACJVyxUeCuZFONWGSWAMYAAg5n6oGgdoA01 -AOwwBynYBIAAWAJSY/+kAAAssAfasPyAaB3hvAEA+2MAFeAMFQBYG1nAINEPAAAAAOokAAnYBIAA -7EQACugEgABb/bjAINEPACiyevVwqBXrmkEAqYgJiBGoVYlXHoOwjDQtmRTuzAEGggGAAIuZKrQb -nLcsUAbszP8q0ASAAPygxh2gCxUAW571wCDRDwAAAOokAAnYBIAA7EQACugEgABZDebAINEPAP// -CA2gCwUAbBBIG4PVJRaD4yAHKcgEgAAqkgDoEoMiO/0AACcWhOuqAQyoBIAA+jCmFaeIAQD1AArJ -ETMBACkiAioShCsSheyDXxyQ7gAA5oNgFVANAADrpzkJzwKAAOqDWxPwDQAA9GAM0hANpQAGmQgr -kp7/YBKzogCdACuSnQo+Ci7ivw67AesWgiWQUYAAiMj3ABDAkgCdAClirhqDTWSRyCqifylirQqb -AfsgDfYiAJ0AsI2dyPsgDe4iAJ0ALiAUpO4ODkcuJBT1wA+mUgCdAOhcECIMVQAA6kz/KMgEgABt -qQUIAIYJAmEiFoDrFAAA0AsAAFlJ7Nig6haGJQnxgADAo+sUAAxgBIAAWU/eKxKF0w8PAgDJuesS -gilQBIAA7XQAAuAhAABYFYH7QGgd4AASAOhBF2jABIAA6kz/LcgEgADTD22pBQgAhgkCYSwShO1S -Ay5nAoAArLvsUgIpUASAAFgVOt1A6iIHKecCgADmzAgD2A0AAOvGnSpYBIAA+0QAFaAMBQBYETbS -oNEPhieFaPrCpBXvzQUA6GILI2CBAAANzQGtu+0WgSXZAQAA9QAI/GIAnQAuaRQMTRGl2q3uLmUU -+2AJ06IAnQDJmslI6JQACsgEgABtSQUIAIYJAmEqwgAPAgANqgj7QAukYgCdAJrA2VD1IGgd7/j+ -AAAAiMj3AAbgkgCdAAw5EaaZK5Kes37/YAnbogCdACuSnQo+Ci7ivw67AWSxKbCPn8jrFoIt8s4A -AGAAV4gQGYQW/DBoFeeIwQAJiAoogqQqEoDsEAco2ASAAAuAAPgwyBWv+oYAwCDRDwDAsA2JNOnG -CC3yXgAA+kBoHaAbxQD8AAIdoA0VAFgalsAg0Q8AAAAAAADrPBgpUASAAPzgYBXgDAUAWBqOwCDR -DwDAoFlNqhyCw4jI+R/u8JANpQBj/7XaIFucBGP+BwAAAP/22A2gCwUA+QBoHeAKBQD6wWYVr/xi -AMCgWU2cHIK1iMgagrb5H/i4kA2lAP/80A2gCwUAAAAA5bsMBIHRgAALTxTu/AgswASAAO5ONgrQ -BIAA0w9t6QUIAIYKAmGrmCkSgdMP70oMBMkBAABtqQUIIIYJAmMqEoEL3gyuqiqsQPuABhWv+pYA -wLANjzT/gQYV7/tOAAAAKBKBKIxA+YAGFa/6IgAAAGwQBiYgcvpAsBWgCIUA+MYACzAEBQD2TkYd -oBVFAPVAB0RvxgUA9wfiBeATJQD6ZjYNoAW1AHOhZ/VADN2QA5UAdaF59UAEdJIAnQBzqVuJImWQ -VsCoKiQF8AE4DaAKhQAAANogWLUp+kBoHaALBQBYFrUcgnuNICMkBSzCeCtyl63MCcwRrLstsgcs -3CAGzAHk1RQmYQEAACzWCZzYJLQFjSLTD2TRdyogBcDp/0D2Da/yVQDRDwDSQNEPAACPImX/5wIq -Alu1mmWv28CK+ECmHaAKpQCJImWfz9ogW7V0Za/ELCAGiyLyQKYd4A0VAO27AgZgBQAA/EDGHaAK -lQD6QEYV7/6eAAAAiScomRTAsO6cICT4wQAA7ZIJJAfZgACD8O83DAGbIQAA9m0ADfAXZQDm4wEG -g0mAAIjg0w8PAgB9gVos0AD1gAYkYBlVAPmABeRiAJ0A94AFpGAYhQD5gAVkIBmVAPmABSRgGKUA -+YAE5CIAnQAs0Acp4QWZEOOZCA5nAoAA7N0IBMkBAADp2zl+4ASAAO3EAA58/gAA8WHADeAt1QAs -sAB9wWGOvsCw7vgMB3MhAAAI6zllv+cvIE7cQP7tAA5wAR4AjBD9rwAOP/8WAACNImXezdogW7V+ -Za7CLyAGjiLAnPhAph3gCBUA6O4CB/gFAAD+QMYd4ArFAP5ARhWv+o4AAAAAAPxOJh2v+EoA/IBo -He/8FgD6QGgdoAs1AFlM/+agsW0YBIAAFoIGimj3QAXokgCdABOCBisyrnWzbC1yZywyrQ3LAX3A -YrCunmh9wGjaIPxBJBWgDQUA/h/iHeAOBQBYtHj8RGQVoA0FAPtAaB3gDhUA+kBoHaAPJQBYtHEl -Nq0oIAaPIsGh+kCmHaAJFQDp/wIEQAUAAPhAxh2gGhUA/kBGFe/35gDAsMDKDKw07GYILfzeAAD6 -QGgdoBvFAPwAIh2gDbUAWBmlY/3MAAAAAAD6QGgdoBvFAPwAIh2gLQUAWBmeZT2xY/+VwKBZTLqK -aPlf+eCSAJ0AY/+5AGwQBBqDOIY/FIJyiT6ZYPhhyBWgBwUA4psMBMshAAALlzn3ACYVoAUFAJU/ -5TYOK5AEgADjQyRxsOEAAC6ifR2DKpbhnT8son2cPiuif+amfSXYBQAAK6Z/0Q8AKKKA5oYBJSAL -AACUPyKigJI+L6KC5qaAJ/gFAADvpoIrkASAANEPAGwQBIoix43/QWAHk5qRAAioAZgi0Q/InllO -69ug7CICKVAEgABZTE/RDwAAbBAEiSf5IYgVoCU1APYEgh2gJ1UA8yYAFaALBQDiiQwEQyEAAPkN -AA3wI5UA8WPQDeAktQBgABCKvsCw6iwMBVMhAAAMqznKsyywAHPJ6C2wECqwH3TZ33WhBXahAnep -1togW/+7+0BoHe//dgDRD2wQBBWCLQ8CACNRjuhRbyGBUYAAhCAlIgcq+sDoQzxykIEAAPpABAUw -CQUA6SUEJVEBAACaIZog0Q+MJ/2EABXvzgUA/6AEBrALBQDrxRQm6QEAAJ3JncjRDwAAL1kUZP/B -hVlkX7wjUADCSnQ5tBmC14hUeYms0Q8AAABsEAQoIHDTD3yHFCogB/pACBXgqhEAWQwcyKfSoNEP -AMAg0Q8rIHAsCvcMuwHrJHAtEASAANEPAGwQBBWBzCJSf/BCQA3gBgUAIlJ9hCGJIJlAiCCUgZYh -liAjUn+wM+NWfyET4QAA0Q8iUoCEIYkgmUCIIJSBliCWISNSgrAz41aCIRPhAADRDwAAAGwQEoo4 -hzn4QPAV7wsFAPtABAV/zwUA+uAEA/eFAQD5AASZUVkBAI0njNgr0RXm0gsm8IEAAA/vAe+7CApP -AoAA7GkQddkBAADAMJPb8sBoHeABlgAo2RQpFhOslqmIKNUU92AQMqIAnQDsuwwBgeGAAPeAaB2k -ix0A6BYSJEAhAADoSDYJ6ASAAG2JBQ0AhgYCYSgSEgs9COhIDAeZAQAAbYkFDSCGAwJjC50Mrf0t -3ECd4NPAjiLI4sAg0Q8egScfgReJNBaBFxiCe/EgCWbQCwUAGYJ9LTELKyYZ6zEKKucCgADpkH8q -oASAAPSgE7oSAJ0Apswowp73ACyx0gCdACzCnQ9YCiiCvwjMAeiBEBYGaYAALyEHDw9KDP8RCP8C -n8CPIPojJhXgKAUA+YBmFaAINQDuxgIv9gKAAAjuAp7BLiAEKRYaKhYb9cAL5BIAnQAN3wkdgkMM -/xGv3S3Rf/XAEjqSAJ0ALiISnh0oIEyYHB6CPQ5eAh+B0wzYEfmBBhWnih0AD4gBCJgCKMUKGII2 -+4FkHeD3+QCfxgh4Af8YABQ4+rkACP8CGIIxnsTvxgcq/4KAAAj/Ap/JKCAHCChA8xAAFDf/9QAP -iAKYywxPEffgAEewCDUAKPadZMFpizTGzwy7AZs0iSLAoQqZAvhARhXgAgUA0Q8dgW3xIASPEAqF -ACuCffsS6BWseR0Ap7sJuxGrqiomGZKo9KAM2hAL5QAMXBGmzC7CnvvAJRPiAJ0ALMKdD14KLuK/ -DswBZMG2L9GOyPko0W/44CJbogCdANsg7DQACmgEgABb9hPAINEPAAAAAAAA6cQAAYCxgADITi0S -E21JBQNAhgkCZYbgrWZ7aToo/ED5wAYVr/iSACkgdsid6yIZKVAEgABb9lDSoNEPKyB3ZLFfLNGO -ZM4F2iBb9yvSoNEPAAAAAAAAAPfABhWv97IALBYXGoHqGYH5+ELkFaALBQCbGZsYmxebFpsVmxSb -EymSjCsWAfjAAAaw6DkA+e8ADPKIQQDqmQIMfkKAAO/dAg90AoAADt0C+CIGFeAOFQDuFgAo0ASA -AO0WAiDYwQAAWAnrZKMrwKX9A6YFoDslAFlOvsCl/QOgBaA7JQBZTruKImWtaOtcGClQBIAA/AAi -HaANNQBYGDXAINEPHIBsKRYajMgqFhssFhH3gBsgkgCdAAxMEabMKMKe9wAcYdIAnQAswp0PSAoo -gr8IzAFkw3ovEhEYgF6w//8BBhXv9ZYALiITnh0oIFD4IYYVr/buABiAVoiIKhYWKBYR9wAbAJIA -nQAMXBGmzCnCnvsgG7PiAJ0ALMKdD14KLuK/DswBZMNkLxIRGIBJsP+fiGXOSNog7CQAAthhAABY -EU7AINEPACggeGSMpRmAQYmYKRYR9yAaOJIAnQArYq5ks3sdgaMsYq0t0mcNzAFkw24oEhEZgDew -iCgWEZiYZMNAHYA0J9CAJ3w3B0cU7BYOI7gdAAD0oA0aEgCdAAxcEabMKMKe9wAcm+IAnQAswp0P -XQot0r8NzAHnxAAGGeGAAC8gFKT/Dw9HLyQU9eAaplIAnQAoIhnpMgQkDQmAAPsmAA282R0A+mCG -FeAJBQDA8C8keIwwLyYZ8YAHqpIAnQCKJyipFGSBuoSpIyAHKiEHj0HrQgQsrgKAAPsAsgWqmgEA -9eYAD//8hQAMuwElQRebRCwgLJ9B74BTHu0CgAANzALsuwIMzwKAAPqAhhXgsxEA9XAAFbEzAQDr -mQIJxAKAAAhVAg9VAgqZAplwjCCVdJ92/uBGFaA7BQD64GYV4A0FAJ11/ODmFeAKJQD9gAAWMA1F -AO3MAgpIBIAA7HYBI+iBAABtqgUJYIYNAmeFQiUmHIlBJ3xA8yAUOFIAnQDzKhgN4AQFAMCz+kDo -FaAMBQD+YAAXsA01AOb/CAJwEQAA7vadJVCBAABYDgLSoNEPiDIoJhyJMegWDyvYBIAADwIA8yAT -0FIAnQDzKUgN4AMFAOoiByrfAoAA92AARbAMBQDjtp0qaASAAOqsICpYBIAAWA3v0qDRDwAsEhH3 -gBOwkgCdAAxcEabMLcKe96AUS+IAnQAswp0PXQot0r8NzAFkwncvEhEYf7mw//8BBhXv+R4AAAAA -AAAA/AACHeAYBQD5JgAMMImFAPhghhWv+X4AAAAcgQf8IYgV4ApVAP4hqBWgOyUAWU3xKhIbKRIa -KxIZLBIXLhIQ/+vMDaANBQAA//kMDaAEBQD/6dgNoAwFAOp0AArYBIAAWAN961QACVAEgADsgQcb -6ASAAFgRMsCxKyR4iTTHpwqZAfhghhXgAgUA0Q8AAAAA/+2oDaAMBQAAAC0WGPojJhXgCgUAWUpx -KhIbHn+bKRIaHH+IKxIZLRIYjMgff4gsFhH5n+OgkgCdAP/n8A2gDAUAwMAvEhHAigj/NBh/fv8B -BhXv554AAMCgWUpgGX95H397HYAeiZgqEhYpFhH5P+RQkAvlAP/yrA2gDAUAwMArEhHA6g67NB5/ -b/vBBhXv8loAwKBZSlEYf2sef3uIiB9/aygWEfkf5TCQCoUA//MADaAMBQCJImWZKvpAaB2gG8UA -/AAiHaANFQBYFybAINEPwMArEhH8/rYF4AilAAi7NCsWEfuhBhXv8iYAiSJlmPMdf1Ut0IDt3Dcp -UASAAPqjABXk3R0A/aDgFeAMFQBYFxTAINEPAOsSDilQBIAAW5iNHn9Z//J4DaAKhQAAAP/x5A2g -DAUA2iDrdAAK4ASAAFuaDolBKhYU5KQADXcCgADudwgE6z2AAOt0AAlQBIAA/KBoHeAMBQBbmdP1 -QABCP/UyAADaIOt0AAxgBIAAW5n+iTEqFhXjpAANXwKAAOt7CATrpYAA2iD8IegV4AwFAFuZxfNA -AEH/9X4AwKBZSgscfyQefzSMyB9/JCwWEfmf67iQCoUA/++EDaAMBQDAwC0SEcD6D900H38a/eEG -Fe/vMgAAAABsEAiTECYgBSsgB4kn7YCBGvgEgADw1rAN4bsBAPsmABWn7wEA9cANQRIAnQD0gAQB -0AwVABqAeC0hCNMP9U+wFeAJBQD7T5AVoAaFAG1qLgBRBOiAch4wCoAA5qAbdMgFAAAIWAongf8m -jQImYQB30wf8wA264gCdALFVBQVCnhKbE/4ghhXgBwUAwKX9AMgFoAsFAO50AAr4BIAAWU03jxQW -gF2LE44S5WR9K5deAADVQBqAXOsWAS3PAoAA9WAJShIAnQAcfugPAgCsmSySnvWAFdviAJ0ACrwK -6ZKdJmATAAAswhsMmQHnfygUjimAACogBwomQApmEAdmAiaWAC0iAB9+5++WAi7uAoAADV0CLZYB -9IAOTWIAnQAoMAPolgMk0EEAAPnAD5FSAJ0A6zwQLUgEgADrFgAiDD0AALBKbakFCwCGCQJhwDAc -gDb8QAgV4ApVAP4gCBWgK4UAWU0GjREefsAM3RGu3SXWnSwgBO4gBS4ATgAAzOFkMOnSMNEPZZ6V -JtLnZGKaKdLljpGKkJrghpDc0P7AJhWgDQUAnZCdkSrC57CqKsbn7SIAJPP/AADt5j4ky+EAAPhA -5hXgDAUALCQg/EQmHa/5QgCGnMCQ6mwMAzMhAAAMaTlknknwAbQNoCy1AB1+nIzY94ANcJIAnQCJ -ERZ+mwyZEaaZJ5Ke9OAOO+IAnQCGEQpmCumSnSMwEwAAJmIbBpkBZJGusMj5oQYVr/sGAJ4S+iBm -FeAHFQD+IIYV7/lCAAAAAI2ewJDtpgwG6yEAAAbZOWSd2CaQAHxp52Sdz21JBQMAhgkCYcAg0Q+J -J/b+QAXv+vUA+yAGFaAIBQDoJgckwCEAAPjgC8PiAJ0AHH/iL8LlHn8XmPGeky3C5Z2SK8Ln6Mbl -JdgFAADrxucpkASAANEPiiLAbnpgDYgniIJlgbfHkQmqAZoiZKEX6iQACdgEgADsRAAP6ASAAFgR -D9Kg0Q8cftUff9H6QQQV4CgFAPkgZhWgBgUA9yCmFaHaAQDvlgYu7AKAAA27Agy7AuuWBCTQgQAA -9d/wsRIAnQCMJ4vMjbGPsJ/QjrAKqQL9wCYV4A0FAO22ACZgwQAA7bYBJethAABtSQUNAIYJAmEW -fucnvMj2wAfT4gCdABl/ri6S6x1/qJvhnbEqkuuasCiS7euW6yRABQAAKJbtj8DAMOz2DAf7IQAA -9+0ACb/3BgD/9VgNoAkFAMBT9IBAAv/0VgCeEpsT/iCGFeAKBQBZSREdfiqPFIsTjNiOEhp/mvmf -8aiSAJ0A//R8DaAJBQAAwJDAagbGNPehBhWv9D4AAAAAAJ8U6iQABdhhAAD8oGgd4AwFAFgV4f4g -iBXv+z4AG3+FLrLoHX9MmOGdkyyy6JySKrLq6LboJVAFAADqtuopkASAANEPACnS6O2SAS7QBIAA -hpCW0I6Q/cAmFeAMBQCckJyRJqLqsGb3XUYVr/WCABl/cC6S7h1/dpvhnbEqku6asCiS8OuW7iRA -BQAA+T4GFa/8JgAAAJsTnxRZSzrboOwiAilQBIAAWBRHjxSKIvogaBXv+M4AAAAAAAAAAGwQBIoo -iacomRTrkgkkAZGAAPlASBXgDQUALSQELSQF80MmFa/85QAMmQGZov1g8BWgDTUAW/yb0qDRDwAA -AAD//zgNoAsFAGwQCC4gBJUS9cAao5AXhQD3wBuUYCW1ACwSAgwMRywWA/WAC4kSAJ0AKzAQ/v6C -BeAIFQD1YCgEYC3VAP1gKoRgPiUA/2AQjCAmZQD3YBWEIgCdAIoTKSAH9UANARGZAQCKIvxBBBXg -CwUA6xYBLSHmAADl8H0iGbuAACvwfB5/LfoAAh2gDIUAbcotDlwK58H/KoEKgAAAhhrmsBd1UAUA -AOfTD3YwCwAAJmEA/MASIuIAnQCxVQUFQvggphXgBwUAKgoF/P44BaALBQDudAAK+ASAAFlL7xZ/ -FokV5WR9K6Z+AAAERQIafaXpFgAsxwKAAPUgCSoSAJ0AG32hC4gILIKe9YAlA+IAnQAogp0Kmwor -sr8LiAFkg3wpIAcffeEPAgAJLkAK7hAP7gKegIwgHX2hLxID7YYCLmYCgAAMXAIshgH0gBx9YgCd -ACYwA+aGAyRIQQAA+eAd0VIAnQDoPBAiDD0AALBKbakFCACGCQJhwDCJEBp9ggyZEaqZJZadKCAE -zIcqIAVloAJkNPzSMNEPAACMJ4Qy9YHADee0wQAdfuoefuoffZv4YQAVoKYlAOzs4CdLwQAA92Ah -jCIAnQAPAIcOAmHjBgAOUASAAPqCaB2j5OEAbekFCACICQCKDeYRv2QERBTm1G8iIAUAACTUc/OA -aB3v+M4AhieKbCZsMPdf8swiAJ0AK6zIZL5OwGH2ICYVoApOABx9VovI92AhmJIAnQCIEB19VQyI -Ea2ILYKe9aAiI+IAnQCNECiCnQrdCi3Svw2IAWSEMLC+nshljsRgAj6GE/jf76lSAJ0AKSAiZJBD -KiAHG33lwMD8REYdoaoBAAuqCSuh/qm5KaX+KSAiZJQnKvI4jSvgoQQEs/0AAOBmGgxwCoAA5t0I -B3P9AAAO3QItJgopICD6QLAV4ApFAAqZAikkIPl/7JFSAJ0ACQtD+X/sPVIAnQCKJ8Cw6yQgJVBB -AABYDWL+/SgF4AgVAMDALCQU/ECmHa/1jgDAcfggphXv9x4AAI0T+b/qcVIAnQCOJ8ng2iBb+oXa -IFv7rv79DAXgCBUAKiAF8U0QDeAJhQAmICAJaQIpJCD5X+jhUgCdAAkKQ/lf6I1SAJ0AiifAsOsk -ICVQQQAAWA1FwIH+/OwF7/4yAOokAAnYBIAA7EQACugEgABb/xHcoOoiCC4DJgAAjaJk0FrAINEP -jzL8/OYFoApVAPxACBXn/8EA/iCGFeA7BQBZSzyIFMJm9wAFLCIAnQD1AAWsYgCdAMJ99wAGHGIA -nQDDknmJu+okAAnYBIAA7RICKmAEgABZCADAINEPK6AH+iAABfAMFQDrvBgqaASAAFgUqMAg0Q8A -HH1RLcJ/ZNK6KsJ9i6GOoJ6wjaD7oCYV4AYFAJaglqEuwn+w7i7Gf+0iACVz/wAA7eY+JWPhAACc -J/hEBh3gC3UA+kCmHeAGBQD2RCYdr/A6AAAAAAAAAOokAAnYBIAA7RICKmAEgABZCFvAINEPAOok -AAnYBIAA7RICKmAEgABZCBnAINEPAOokAAnYBIAA7RICKmAEgABZCAHAINEPAOokAATYYQAA/KBo -HeAMBQBYFHrqJAAJ2ASAAO0SAipgBIAAWA9djyJl/r6GEWRuufpAaB2gCwUAW5gkwCDRDwCKExx9 -HR5+GfpBBBXgBgUA9wCmFaAvBQD/AGYV4dkBAO6GBi7sAoAADbsCDLsC64YEJEiBAAD1X+JxEgCd -AIon/SBoHaALFQDqrDAqaASAAFgBUvNAaB3v8RoAAAAAAAD8/BAFoApVAPxACBXgK4UA7zAfKfAE -gABZStAoMB/Cs/sADCRiAJ0AwsT9AAvUIgCdAC0KJf0AC3xiAJ0A+kBoHaALJQBYAh7Agf771gXv -6zoAAAAAAAAA+kBoHaALJQBYAejAgf77yAXv6s4AAAAA/+2wDaAIBQDAU/SAQAL/7MoAGn1U9kDw -FaGEgQCqiiqggPRBBB2g+bUA6WYBDVeCgAD6xgALMPnFAAlmAQhmAvZA5h2v7toAJDELDyCH7iwA -AlPhAADykGgd46oBAOdM/CVT8QAA6nQ4DlAEgAD6kmgdoBYFAAZONv5uAA0/9+UAd6EKsq9t+QUI -YIgJDIqypg1mEb9k/7FmHaREHQDm1G8iIAUAAPWuZh2v7o4AAAD4IKYV4AoFAFlHKhx8RIvIiRUa -fET5f93AkgCdAP/vaA2gCAUAAMCAwKoKujT7gQYVr+8qABh83yuBjsmyLYFvjCB9ywuIJy6JFMjj -j4ll+ubaIFgAwNIw0Q+JKfhBRhXv79YAKsKAjqGLoJvghqD+wCYVoA0FAJ2gnaErwoKwu/uQRhXv -9RIA6iQACdgEgADtEgIqYASAAFv9CdKg0Q8AbBAOGH2YH3xU5HxTGlgEgACNgomD7oIBIOBBAACe -wZnDncLoggApUASAAPmABhWgDQUA9CAmFaAIBQD4IAYVoA4FAPggRhWgDBUAWA6FwND+AGIdoA8F -APj5igXgDAUA7BYBLVgEgADsFgIpUASAAPggBhXgDBUAWA55KhYQFHwN6awILVgEgAD++EgF4WMB -APL5XAXgCEUA7xYPKT4CgADodwIFaIEAAOh8KBVgwQAA7wAFAPCBAADuDAAJL4KAAP73/gXgDgUA -+KYACrAIJQBtiniKH5Sw57YBLJAEgAD6BAoVoCiFAAIAivVgxhXgAgUAn7T5YKYVoAoVAO4qOQTJ -AQAA6+gRDVYCgADqiAIOEASAAOhoAgZhAQAA44gCB3AFAADotgcgwEEAAOgLHg7QBIAA6kwAAMCB -AADoBx4G6QEAAOIsAAXZAQAAIhIQIi0BIiyA0Q9sEAwfe/8Ve/4Ze/IdfUAoIAfjQgQp2ASAAIzR -htLq0gMg8EEAAJrjCTMBluL9wCYVoSgBAP2gCBXgDBUA/cAGFeAGBQDmFgAp0ASAAPYgRhWgDQUA -9CAmFeAOBQBYDirAwf742AWgDwUA/iAmFeANBQDvFgItWASAAO4WACnQBIAA/gBiHaAPBQBYDh8f -fFkYe9kce8z8YAASsAZFAAZVAuN7sRmngoAA8vdYBaFiAQD8IeYVoA0FAOhEAgVIIQAA7AAFANiB -AADrDAAFYIEAAPtGABXgCCUAbYp4iB+SoOWmASzwBIAA+AQKFaAnhQAOAIr1QMYVoA4FAJOk90Cm -FeAIFQDt6DkEyQEAAOvXEQxGAoAA6HcCDfAEgADnZwIF2QEAAO93AgboBQAA56YHILhBAADnCx4O -QASAAOhMAAC4gQAA5wceBmEBAADuLAAFUQEAAMAu0Q8AAABsEASLJxx74vj4KAWv+fUA+WAGFeAN -BQCdJ+uDNnXQIQAAKcJ9GHwNmpGYsy/CfZ+yLsJ/6sZ9J3AFAAAuxn8bfAgqsY7ssW8lAEmAAIog -fKMm0Q8pwoDqlgEmQAsAAJizL8KAn7IuwoLqxoAncAUAAP+QRhWv/yoAKSEabpQMLSUa8AAkDaAJ -BQAAAGiTwsDBDJwCDAxP7CUaLh7cAAAtsXoNqgxYrpTRDwAAbBAEKyAH7HywGVAEgAD6IAAF8A0F -AFgM29EPAGwQBNggiYCGkRx74euSACmQBIAA62YAKhgEgADqkgAqoASAAOJ8oBkvAoAApZX3QCYV -oAYFAOaWACTrIQAA5pYBIqshAABtSQUFAIYDAmEWfJZ9wyoqIn2ZoZaRIyJ9k5AvIn/pJn0n+AUA -AC8mf46AwCDo7wwHcyEAAA/iOdEPLyKA6fYBIXALAACekS0igJ2QLCKC6SaAJmAFAAAsJoKLgMAg -6LwMBdshAAAMsjnRDwAAAGwQBh17Ehx7Exp7PCkgB4c0+PYiBaAL5QD1YEAHsZkBAOkWACy3AoAA -qGYuYp4KdwGXEf/AC4viAJ0ALmKdDJwKLMK/DOwB58QABgspgACK2PdAC5iSAJ0ALoKu5nsAFwhJ -gAAsgq0mYn/mywEFc/0AAPeAB64iAJ0Antj3gAfGIgCdAC8gFKT/Dw9HLyQU9eAKrlIAnQAbe9of -evqKESuxf+16+xy3AoAA+MAAQzdVAQDro1ZzyEEAAC4wA59wjCDudgMhwEEAAO12Ai5mAoAA7EwC -AlP9AADsdgEiDDUAAG2pBQgAhgkCYeRmnSKV1QAAKiIH+oBoHeAMBQDqrCAqaASAAFgI+tKg0Q8c -evyLNOokAApoBIAA7LsBCeAEgADrFgIr2ASAAFv+/yMSAupmnSKUdQAAKiIH+oBoHeAMBQAPAgDq -rCAqaASAAFgI6OsSAClQBIAA7Hw4GegEgABYDFTAINEPAADAsMDKDKw07NYILfiGAAD6QGgdoBvF -APwAAh2gDRUAWBJ46iQACdgEgADsRAAK6ASAAFgPHdKg0Q8AAAAAAAD/+mwNoAwFAAS9N4sQ2iD7 -YwAV4AwFAFgSa2P/xQAAAPggZhXgCgUAWUWEHXqeitiJExh6n/lf88CSAJ0AY/+P6RYDKVAEgABb -k9sYepn4IGgV7/p2AAAAbBAEhSDiVAwCmyEAAMAgBDI50Q9sEAQqICArCvP7QAQFcAQFAOokICGU -nQAAaTEY/0KgB5D81QAMrAEsJCDaIFv4+i0gIGTQadEPAAAAAAD/XqAH0AMVAC4K/g6uAe4kIClQ -BIAAW/kQKCAHHHsbKSAi9ERGHaGIAQAMiAkvgf6p/y+F/ikgIoorLsKL5JAyZOv9AAAA4QTg3RoJ -2AqAAO2qCAXb/QAAC6oC+kFGFa/+PgCKJyqsEFgKoSQkFCQkBdEPiyn6QUYV7/3WAAAAAGwQBCog -BcCIeoM08URQDeAFBQAqICDzRECF4AQVAAOpAukkICGVmQAA2iBb+MzaIFv4riogIAoKQWqjQ9EP -AADqJAAJ2ASAAFkFE2Wv7SogBWP/tosnZLCAGnruLKGO7qFvJn2BgACNIH7bpmkyoxt7LyohGguq -AfpDRB2v/l4A2iBb+I8kJAXRDwDaIFv40iggBxx63SkgIvRERh3hiAEADIgJL4H+qf8vhf4pICKK -Ky7Ci+SQY2Tr/QAAAOEE4N0aClgKgADtqggF2/0AAAuqAvpBRhWv/U4AG3qaL7J/6bJ9J4IJgACK -kY2QnaCMkJrBlZCVkSiyf7CIKLZ/7yIAJMP/AADvhj4k8+EAAJ4nJSQg9EQmHe/9CgCJKfhBRhXv -/CIAKbKAjZGPkJ/QjpCd4ZWQlZEssoKwzP1wRhWv/v4AAGwQDCQWCCUWBikgBycwECowA/og5hWh -mQEAKRYJ9OAW3JAOtQD+4BccIA8FAC8WBSgiAhx6Afr0BAWgCQUA6RYELA+eAAArEgkVef7rFgoi -eCkAAPVgCSIQDaUADLkRBZkILpKe/8AeG+IAnQAmkp0KuAoogr8IZgFkYZj69SoFoBmlAPjgCaRi -AJ0AKyAE6MIIJYmRgAD3ABuIkgCdAC5Srhp56WThSSqifylSrQqbAfsgCf4iAJ0AsI2dyPsgCfYi -AJ0ALiAUpO4ODkcuJBT1wBpuUgCdAPTgEpwSAJ0AiBYbeeYdeeKdYIogjBecY+tmAi1WAoAA6koC -A0hBAAD6wCYVp4gBAPkAEZlSAJ0A6DwQIgw9AACwSm2pBQgAhgkCYcCAsEnuMgAszwKAAKlp6BYL -JNhBAADzwBE6kA0VACoKgfrgCPQgjDUAmBv84AikIgCdAI8a7hIIL/8CgACl/y72nS0gBMzbwJt5 -cWQqIAXMoWSDb9KA0Q8AiMj3ABdQkgCdAIkaDJkRpZkrkp66Tv9gF7OiAJ0Ajhorkp0K7gou4r8O -uwHmtAAFl0GAALCPn8hlbsRgAFoAACihjmSOyWAC2SkgFKSZ+EKGHe/8KgAAABp6PyqhjmSvkRx6 -PIsgLMFvfLuG2iBb/kzAINEPAMCwDY407sYILfZWAAD6QGgdoBvFAPwAAh2gDRUAWBFSYAAfKCAE -ixntTAopUASAAP4AIh3gDAUA6Pw4BdhhAABYEUmMFWTCGeokAAnYBIAA7RIGKmAEgABYDCqNImXf -mo4UZO+V+kBoHaALBQBblPLAINEPFHoawDH2ISgVoAwVAPSORBWgCAUA+CAmFa/59QD4IAYV4A1V -APaAAEIwDgUA9kAIFaAPBQDmFgIqUASAAFgL3vwAAh2gDVUA/gACHaAGBQD2IAYVoA8FAOYWAS1Y -BIAA5hYCKlAEgABYC9OLGIkiKiAGiBvjmQIF2BkAAOsWCCVQBQAAKiQG+EBGFe/5lgAAAAAAAAAA -+kBoHaALFQBb/u1gAAwAAPpAaB2gCxUAW/66ihYKCkf1QAihEgCdAMCx+iCmFe/0GgAA2iBZBQhk -oX6MImTNo2P+9Y0V6CIHJow5gAD9IGgdoAsVAOqMMCpoBIAAW/33+UBoHa/3FgAmIAcuIAQceX/+ -QAgV4KYRAAqqEAyqAuTho2/mAoAAH3lVHnk9mpT/IMYVoDoFAPsg5hWgDkUADs4C7pYFJNCBAAD+ -AAgd4A41AG3qAgoCYRl5biogByYhCPz1NAWgDyUA9eEAD7GqAQDstgYtVAKAAApmAulmAgXQgQAA -5rYELUgEgADTD235BQMAhgkCYftoABXgDAUA7KQGIkgRAAD4IQYV7/TqAADAoFlD8Bx5CYjI+R/k -KJANpQBj/d3aIFuSSmP8rgAAAP/xJA2gBgUAjSeI3C3cMP0f9yxiAJ0ALozIZO7awPH+IIYV7/eu -AADqJAAJ2ASAAO0SBipgBIAAWA1l0qDRDwDAoFlD2Bx48YjIGnjy+R/oSJANpQD/9LwNoAYFAAAA -AP/0YA2gCwUADYk0+YEGFe/0ZgDqJAAJ2ASAAO0SBipgBIAAW/3P0qDRDyogBflf89RSAJ0AwLL6 -QKYd7/nCAAD9IGgdoAsVAOqMICpoBIAAWAb/+UBoHa/xCgAceXoswY7oFgsmAUGAAB55do0gLuFv -mBt+2xaJJy+ZFOgWCyeAcYAAipnoFgstYxYAANogW/1VghvRD5qUKyEI5HjVEhgNAADyIQYV4eYB -APUgxhWgAzUA48MCD3QCgAAOuwLzIKYV4C4FAJ6XJCAUjimDK5Od/yGGFaADBQCTme55TBoiAoAA -DUQClJsOuwKbmA7+Au6WCiTZAQAA8kKGHe/u5gBsEAgnIAfoIgIiS/0AAOkWASJgDQAA+CBGFeF3 -AQDpeKUcBrYAABZ4pO14oBvHAoAApogrgp4JeQopkr/9YAfDogCdACuCnQm5ASggBOkWAySHeYAA -ZICPiNj3ABIokgCdACtirux4lRWFQYAAKmKtLMJ/DKsB7wIABHP9AAD9QASOIgCdAJ7Y/UAEpiIA -nQAvIBSk/w8PRy8kFPXgEM5SAJ0A+CBoFeeFAQD5AAWRUgCdAOg8ECIMPQAAsEptqQUIAIYJAmHA -gIow80AI4pIAnQDrEgIr5wKAAKbM68adLBAEgADRDwAALSAUpN38QoYd7/7KAAAAAOokAAnYBIAA -7EQACugEgABYDNnSoNEPAADAsMDqDo407tYILfumAAD6QGgdoBvFAPwAAh2gDRUAWBAmY//BAAD/ -/DgNoAkFAI0R6iQAA9hhAAD+ACId4AwFAOj8OAboEQAAWBAcY/+XAI0niNn5ooIV78UFAOvRFSbg -gQAABcUBlRDltQgKVwKAAOqZDARAQQAAmNkImTLp1RQiqQEAAPUADELiAJ0AaKs9qKsrvPD6oAi7 -4gCdAO0SAyIMdQAAsE5t6QUIAIYNAmErwgELqwjpyQQl28EAAPVgDpRiAJ0A68YBLcAEgABlnuRg -ASAAAAAALiAELSAHihGJExt4eu8iAC1XAoAA+yAARLCtEQAKqhDrqgIP5gKAAOt4NxcI0YAAm5Ka -kP7wlgWgOgUA+yBmFaAPRQAPzwL/ICYV4A01AO4ABQTQQQAAbdoCCgJhHXhmLiAHLCEI/vMmBeAL -JQD1YQANse4BAO+WBi90AoAADswC7cwCBPiBAADslgQv0ASAANMPbbkFAyCGCgJjjBHA0O30BiZg -EQAADH0Rpt3s1p0sEASAANEPAADAoFlC5x14AYjY+R/tiJIAnQBj/mfaIFuRQWP94gAACF0M+iBo -FeT9HQBt+QUIQIYLAmWLE4gQD08MrbvojEAnjD0AALD+bekFCGCGCwJniBAvyQQNqQypiCiMMOjG -AS/uLgAAihDAsOvFBCVRAQAA+4AmFaAIBQD7gAYVr/amAAuIDPmhJhWv+dYAAAATeHeakP5BBBWg -KgUAmpP7IEYV4U0BAOP1AgokAoAABO4C88YAD3ADNQADwwKTkYQpKiAUgyuTmZSYnpT1IMYV4A4V -APQgKBWgBQUA5ZYFLVICgAAOqgLqlgciIA0AAOUkFCwQBIAA6hIEK68CgACmVSRWndEPiBAojED5 -gCYVr/jGAABsEARoQwZoQkLAINEPKCAGsIgICEfoJAYsf4YAAIkiLDABx677IAQEsPvVAOkmAi4Y -sAAAe8nR+kBoHaALBQBbkyrAINEPAAAAAAAA6zwQKVAEgABZBArAINEPAOokAAnYBIAAWQQawCDR -DwBsEAQbd6wZeR79/+IdoAoVAP5gaB2jKAUA4zMJDwEKgADoKCgNUAqAAAysA6mJ4pK+KWgEgACr -iOuSvymfAoAACDMIKJLAC8sBK5a/CMgBKJbA4swBAZgjAADslr4qFAKAAOI22yp4BIAA4jbaIgBp -gAArkr4LqwIrlr7ApPzyAgWgKwUAWUXJwCDRD2wQCBx4/pcQKBIRKRIQ+CCGFeArBQDpFgEpaASA -AOgWBSrWAoAA6BYCKnwCgADq/wIJ8ASAAP7GAA/wCkUAWUW4Hnd5+vHeBaAHFQD48dwF4A0FAPCL -EA3v/PUAaEEExirRDwD4IIgVoysFAAsrKI8VDr4ICbQI4zkJCYEKgADrEhIszwKAAO6ZCA//goAA -4H4aBMgnAADr+zgEucEAAOv7OAQHqYAAKH0CK4UAKkK/HHjWLRIFCuoCKka/LYUF7JaYIoeJgABp -UZSKFIsVWUbp/AECHeAMBQBZRhL8AAIdo+2FAFlGHe5CvylQBIAA7LQAA+gLAADt0QAp2ASAAFkO -Z8Ag0Q8AAAAkOiAEJCgDOAnuTwgJgQqAAOlECAvgCoAA60K/LEcCgAD54ABHv/j1AOjMAwf4JwAA -7LsBB8HBAADrRr8kQAsAAC2FBer2mCKEWYAA+L/4MNIAnQCKFIsVWUbE/AECHeAMBQBZRe38AAId -o+2FAFlF+dog7kK/LeAEgAD6YGgd4A0FAFkOQ8Ag0Q8AAAAAACtCvwzvAw+7AetGvyPACwAALYUF -6paYKvi+AAD43/U40gCdAO5CvylQBIAA7BIEI+gLAADt0QAp2ASAAFkOMcAg0Q8AyW343/Po0gCd -AOwSBClQBIAA7kK/KdgEgABZDinAINEP2iDsEgQp2ASAAFiV58Ag0Q8AAGwQBikwAmSQ/2iRP/0g -wAFf4qUA0Q8iMAb477AFoykFAAkpHamIKIKfJDAF4zIDJAUhgAD6AIIdoCsFAOx4cBloBIAAWUUy -xirRDwAvMQgUdvIZeGQuMAf8YNAV7/j1APJkAh2gChUA7uwJDwEKgADi0h0NUAqAAAioA6kpK5K+ -pCLkkr8uZwKAAKLMIpLABIQBJJa/AoIBIpbA64gBBmAjAADolr4v3AKAACvG2+vG2ieAaYAAKJK+ -CKgCKJa+wKT88JIFoCsFAFlFEcAg0Q8AAAAA+gCCHaArBQDseEkZaASAAO5EAAn4BIAAWUUIZEBB -+J/6iNIAnQDqJAAJ2ASAAFkDOMAg0Q8qMAYrMAcsMAMtMAQuMAWPMokzmRAoMQmYESkxCikWAlv/ -MdKg0Q8AAAAA6iQACdgEgABZA2nAINEPAGwQBBJ34CIgBCI0CCI0CSI0CvJhZh2gAgUAIjQC0Q8A -bBAEwCDRDwBsEAQmIhDTD/DDIA3gBQUAGnaiKCIR5yB2JUixAABtCBkjooUikoWoVKQzCTMRoyLn -JBYiqAUAAHZbAmP/39EPbBAEIiEF0Q9sEAYVdqX0AAIdoAYFAP7wIAWgBwUA+GBoHeAMFQD4IAYV -4AMFAP/PxBWgAM4AAAD8wGgdoAoFAFlGL44SjxELMwIKdwL34ABDMAwVAOVcASIgBQAA6EkvYRAR -AAAAQAQOCBt/h+aLIGiwLCpQMAChBO4WAi5ICoAA+iAmFaCZTQB7kKvGKtEPixCTsfdgBhXgAgUA -0Q8AAPyAaB3gCiUA/O/aBaALBQBZRKzGKtEPAGwQBAQAh8hWAiNgBABh0Q8CI2DRDwAAAGwQCBp3 -4ygSEJIV53ZdG8gEgADmEgUrEASAAJgXmRT6wABDMAAqAABkUGzNKypigAo8AexJ8XKr/QAAjRRk -0Er7oAYVoAIFANEPjxdk8EAI6jAZdlIpkkHApQoqNwqZKKmImBYMAgCKFylyghh2TAqZAQkajgio -CoiEC4AADOowixYMuwxrsdtj/6HAINEPANogWTnrY/+U3jDtEgUqeASAAPogBhWgC4UA/O90BaAK -FQBZRHjHK9EPAAAAbBAEBeowFnY2KGJBwJUJKTcJiCgUdiz4oABCsABWAAaoCoiEC4AACeowCVkM -apETDAIAKkKCCjoBChqOZS/faabc0Q/RDwAAbBAEKSECJiEEhCD0QKQV4AgFAOZs/yTL/QAA6SUC -KzcCgADmRAgKrwKAAPSPAApwAMIAACUhBSYhBLFV9EAIFa9VAQDlJQUjS/0AAOVhJ3zPAoAA6UQI -Cr8CgAAHRAwEAIcIWGDkAAcC8y+AACIhBQkiEaJS0Q8oJQX//1gNoAUFAAAAbBAE9O8GBeMoBQAI -KCioVS5SwilSvHPhAciQ0Q/ApPzu+gWgKwUA7SQACfgEgABZRDcjVsLqJAAJ2ASAAFkNnRZ3ISZg -BP7dEA3gBAUA2iDsNAAKWASAAP63iBWgDQUAWQ0JsUR2SeVj/68AbBAEiCIrIAcZdeAcdeDxCiwN -4bsBAAy4EayILYKe9aAFU6IAnQAogp0Jugoqor8ddeIKiAHpdeMUBJmAAI8yLiEHKiAHDg5K+gIA -BTf/wQDg/xENUoKAAO+qAg93AoAADqoCDaoCmoDuIgAiU/0AAAyvEf8AZhXn1QEA6YYCL3YCgADu -TgIESEEAAO6GAS6VTAAAKDwQ/IGgANACBQBtqQUIAIYJAmEMvxGs/yT2ndEPAAAA6iQACdgEgADs -RAAK6ASAAFgKH9Kg0Q8A6iQABdhhAAD8gGgd4AwVAFgNcGP/0Ycngnn64qQVr8YFAOV5FCPogQAA -BtYB5qMICk8CgADpVQwBEEEAAJJ5CFUy5XUUIZkBAADyQAU64gCdAGibN6KaKqzw6jM9dDhBAADo -QRxrwASAAC5M/23pBQIAhggCYYrRqprl2QQlU8EAAHOheurWAS0QBIAAZFBMDL0RrN0k1p3RDwAC -OgwKTxRt+QUCIIYHAmMPQgzqjggDQQEAAO/sECEMRQAAsC7TD23pBQhAhg8CZS/ZBAqSDKJiIiww -4tYBL/2+AADAIOLVBCNBAQAAmNCY0Qy9EazdJNad0Q8KIgzy4SYVr/1aACJsQPOgJhWv/hoAAAAA -AABsEAQTdgwWddkadgviMyBxKCEAACtifZWxmiMpYn2ZIihif+VmfSRABQAAKGZ/0Q8vYoDl9gEj -cAsAAJ4jLWKAnSIsYoLlZoAmYAUAACxmgtEPAABsEAQTdfcWdrsadrviMyBxKOEAACtifZWxmi8p -Yn2ZLihif+VmfSRABQAAKGZ/0Q8vYoDl9gEjcAsAAJ4vLWKAnS4sYoLlZoAmYAUAACxmgtEPAABs -EAYYdaoWdsgogH0qYn0pYoYmYowJqhGqmeZgFCR8PIAAJ50B9vAAFeAAKgAnnQMnfID2wAd4kgCd -ANRg8gACHeACBQD07XIF4AGWACOkOS6gDI2g+UDwFe/49QD5QsYdoAwFACylG/ztZAWg+8UAC5kB -CSkCKaQHkhKdEZMQ+LAQFaA7BQD4IGYVoApVAFlDYylQgAAwBAkJG3+fceIsASGYBQAA42F8ciAF -AAAbdp4vcA0qsnMtcAwrsoaqTOo6CA5mQoAA7LwIDVZCgACrqiymEP1Bhh3l3sUALqUaL6QNKVCA -ACAECQsb83/699IAnQBtCA+xIgAgBAkMG/Of+l/SAJ0AY//pAAAAAAAAAPoAoh2gOwUA7HaHGegE -gABZQz5j/3fRD2wQBIgnG3Ub9QKCFe/HBQDiggkpMASAAOmBFSQggQAA50QBAqkBAADlhRQhEwEA -AOKGCSIhAQAA9EESDaAKRQCikpKJCwCH7XT2GSgEgADTD22qAgUCYZ0gjGDA1OMmAi5mAoAADcwC -nCHRD2wQBBl1x40uH3ZnKCAE/kFoFaAEBQD9/SgVoAMFAPUABzMQVWUA6iIML1gEgAD5oA2cYgCd -AAnYEejMCAUDeYAAnquLK8iwmryKJ5Mr4yYMJVBBAABYBQGNJ/2kABWvxgUABswB49UUJmEBAACc -2ZzYKyAFHnZNIyQV9WAONGBN9QDtJAUiBgGAABt1pCUgByuxfuTiuylQBIAA9CAAAvK7HQBYixlk -obLRDwCNyXLZa+7GCS9YBIAALsAF8WBgDeBIJQCTvPnf+/0iAJ0Aiclln3WKy2WvcPuACBXgOPUA -KMQFLvLS+/2IFaANFQD/bwANsAwFAFgCSxp0m4musJn5QcYV7/0WACvyEoog+1/4quIAnQD//DQN -oAQVAI3LiMpy2Q+ey/pBaBXv/j4AAAAAAAAA8wAETSIAnQDb4P+BRhWv/d4AKeJCKuK9KyEJ+T/g -FeAMBQD5yEYV4A0VAFgCMYsn7iICJdCBAAAGqgHjtRQlUQEAAJq56rYIJwFJgAD87BQFoApVAPxA -CBXgOyUAWUK9iiJZQbfHz+ukAAlQBIAAWArEIyQFLSEJ/kAIFaAKVQD86/4FoDslAFlCstEPLSAF -9b/3jWIAnQAtITb+RuQVoApVAPzr7gWgOyUAWUKq0Q8AiC0JiBHozAgNcp4AAInL8yAHBSIAnQCe -y4sryLCTvCrABcOw+1/x5WIAnQCNy2XeMo7MZe4tiM1ljij7gAgV4Ci1ACjEBS7y0/v9qBWgDRUA -/28ADbAMBQBYAflj/gQtITb+RuQVoApVAPzrtAWgOyUAWUKM0Q+NJxl115lAi9iIICzRFenSCybw -gQAA98AEB7AHFQDvzAgMRgKAAAeIAphB65FxdmEBAAAq2RQPAgAPAgAqrBDq1RQl0EEAAHrDXchK -BACGCwJhKtIIKqwQ/UAEhCIAnQCa4IsiZb3l61wYKVAEgAD8ACIdoE0FAFgL7dEPjc1y2Qnb4P+B -phWv/HYAiMzzH/idIgCdANvg/4GGFa/8JgAA86FmFe/++gDrzAwCAZGAAAxNFLja6no2CkgEgABt -qQUJIIYLAmOsSer8QCaMSQAADXsM0w9tuQUJQIYKAmUM/Qwt3FD9wAYV7/3qACj8QPnABhWv/b4A -AGwQBPhACBWvyQUA6SkBAYJJgADTD20ILnOBPCowACwwB3ShMyohBemrCA5nAoAA7DMIBdkBAADr -OxV5uASAAON0AAOAqYAAY//IAAAAAAAA+m8AC7//rgDzDwAPcA0FAA7TONIw0Q8AbBAEKSAHG3Q1 -JyEI+AIABXGZAQDodH4czAKAAOl3Ag1SgoAAC6oCG3Py+mAGFaAsBQAIdwKNIJsy/GBmFaAONQDo -2AIO7gKAAA7dAp0xiismIBT4QSgV4AUFAJU1lzSYNpk46jYJKzICgAAGRgKWN+UkFCGQwQAA0Q8A -AGwQBCQgIhh0XPJBaBXgBRUA5EAhYjP9AAAogn8AgQTgZhoKqAqAAOYzCAKr/QAABTMCkyrRD4kp -mSrRD2wQBPTonAXgBBUAJVJ/hiuDKQBRBPyAAQPf+PUA5jIMA7v9AAAIdwMHZgHmMwwKggqAAPKN -AAkxM50AoyLRDwBsEAYoIAUrIAfTUPkABhRRuwEAjSuMKf2ABbViAJ0Ajycv8RTB4P/ABTviAJ0A -F3OkDLoRp6ooop7sc6AdyASAAPcABZnSAJ0AKqKdDL0KLdK/DaoBwGHxSlAN4AUFABtzpiggBx10 -Kx5z3/5BBBXgyBEA9ZAAFjGIAQDuzAIMRAKAAAj/Ag3/Apyg+EAIFaAuBQCeo/tARhXgDjUA7Y0C -DEYCgAAOiAKYoYwpKCAUiyubqZyonaafpOWmBSxCAoAABogCmKclJBQMnxGn/y72nQMJR2mSA8Ag -0Q+KJ/qAaB3gDAUA6qwgKmgEgABYAZrSoNEPAAAAAP/9ZA2gCgUAjCJkwIoDDUdp0m2JJy6ZFC2c -IO4WACcDiYAAipkUdPvxSCAN78cFAPAAHA2gI6UAZKByi9Dn3gEFAZmAAHqxKy+gAHPxJS/RBSmg -B+74CAzPAoAA6aoIBEEBAADoqyB9YASAAOrEAA5+rgAACrwMDFo4y6COonTptsAg0Q8AAAD/TwAO -f/+CAP/+RA2gCgUA6iQABdhhAAD8wGgdoA01AFgLDGP/XosQitHn3wEF2EEAAOvVBCVTwQAA6tYB -J/kBAAB/qyIs0QUec06qyprRnqCNIOSmAi7uAoAABt0C/UAmFeACBQDRDx5zRp6gjSDkpgIu7gKA -AAbdAv1AJhXgAgUA0Q9sEAgoIAUmIAf0IIYVoBk1AA8CAPkACmVhZgEAiCLxFawN4AoFABNzJQxu -EaPuK+KeF3MfH3Mh92AQS1IAnQAk4p0PaAoogr8IRAFkQfX6QGgdoAslAFk+DWaiB4x494ANGJIA -nQApMq4adKj3IA37UgCdACsyrS2i3w23Af1gDYZiAJ0AH3MKsM6e+GRxhNtA/AAiHaANBQD4QSgV -oB6lAPpACBWuDwUA+EGGFaAJBQD4ICYV6IgBAO8WACxFwoAA+CBGFaAPBQBYBXLodJAdWASAAPpA -CBWgCQUAmRAogHwsCgH+5tQF4A0FAOkWAixAQoAA+CAmFaAe5QBYBWXARuokAAvYBIAA9kEkFeAN -FQD+wAAUsA4FAPMgAETw//UA5JadK+AEgABYpWL8QrAV4A4FAP5Chh2gSwUA66QDK+YCgADupAAu -6QKAAP2GAA5wDRUADcwCnKH0daYVoBpFACokBQUPR2jyDCggOsCf+QAIZGIAnQDAINEPAAULR2my -9IknJ5kU5HBxZPCBAACLmRV0X/FvwA3vxgUA98AEB7AkpQCM4Mq9e8ErLbAAKbAHdNEiI+EF7zgI -DM8CgADpuwgEQQEAAOi7KX3oBIAA69QADv6uAAALzQwNqzhksLOPsnXxkebvAQ393gAAYACjAAAA -APNvAA7//14A//5EDaALBQAAwKBZPZCMePmf8rCSAJ0A+kBoHaAbxQD8ACIdoA1lAFgKav/9RA2g -CgUAwHAZcp/AigjINPkhBhWv+T4AAAAA6iQAA1hhAAD8ACIdoA1lAFgKXv/8hA2gCgUAAAAAAAD6 -QGgdoBvFAPwAIh2gLQUAWApW//wEDaAKBQCKJ40UwMDqrCAu2ASAAFgAsdKg0Q+K4St8ECvlBObr -AQVTwQAA6uYBJdkBAAB7qyQs4QWqyprhHnKRnqCNIMDh5aYCLu4CgAAO3QL9QCYV4AIFANEPHnKK -nqCNIMDh5aYCLu4CgAAO3QL9QCYV4AIFANEPAABsEAQoICIlIAcXcw8DiAz4REYdoVUBAAdVCSRR -/qNEJFX+IyAiiSv88WgVoAoVAOQwHmHb/QAAAMEE4LsaDVAKgADrmQgFU/0AAAqZApkq0Q+NKZ0q -0Q8AbBAEKCAG6Iz/KVAEgAD4QMYdoAsVAFuNz8Ag0Q8AAABsEAQpIQSHIP8gABS1gx0A6XcIDEeC -gAD47wALsAoVAPb/gBXkkwEA5nIALIEKgAD9QAEFX/j1AAioA+aqAQyCCoAA+yAEANE6nQDoZgEK -QAqAAAhmAuZ2ACKA0YAAJSECzUPqIQMi2AUAAAsLTyslAnuhD9Iw0Q+wXOwlAimQBIAA0Q/A0O0l -BSmQBIAA0Q9sEAT0QIQV5UMdAOIiACongoAADFURpSIEIgwiLf/yR+gVpEMBAPqABADQBRUAAFUa -5SIBCgIKgAACAhnRDwAAbBAEJyEEiCDTD/b/4BWvkzkA6SUFKy8CgADlhQgM7wKAAA1dDA0Ahyoh -A/oAIh3mwwEADDtgCgpG/GJgR1AMBQAMnRENXQwNIIcMO2JvPhf4wT4N7/31AMihc6EqCZIRojLR -DwAAAADnIQQkyAUAAAkJTyklBXeRUbB2DGUR9QAAQv/+/gAAAAmUEaQ0/uAAFzWUHQDuiAgMz4KA -AAmIDPkfgBWkRAEA7oIAKgEKgADpIQUtsAqAAA1vAw/uAQ5mApaA+EAIFa/+hgAsJQX//pwNoAkF -AAAAbBAEiDMPAgAIiFdvhASJImWQJ4on5TIEKlgEgADjMgUqaASAAPtEABWgDAUAWAAE6iQACdgE -gAALUADAINEPAGwQBPhAaB2vzAUADIwB64kEKZAEgADiVAwKGASAAO2CASqvAoAABbsM6LsyCVcC -gACq0i2BBZKBK4UErNnqWgwEyQEAAHkrOcqgqiV1kz3ITm1JBQIAhgMCYYWBK4kEqlV5UWjlhgEq -kASAAMiw0Q8mzECWgfcABhWgAgUAIoUE0Q8NIgzzACYVr/7+AAKXDAdLFOtEDAmoBIAA0w9tuQUC -AIYFAmHnMwgGEQEAAG1JBQIghgMCYy2JBAeiDKLCIixA4oYBLv1GAABj/6IizEDzACYVr/5iAGwQ -BhNzOfIgBhWgBQUA+iAIFeAJBQD05mwFoAdFAPjmZgWgDBUA4LEEDbbCgADkZAgOUAqAAPogJhWg -AgUA+MAAQzAAmgAAAAAAAAAAAMIa5mwgIqgFAADnfP8iIIEAAORw7WGYCQAAKDG+LUJi4FEEDCNs -AADqcdsW/rGAAC5CXiJCYCqif4jh6+IAJ3v/AAAv8j6bgIvg6RYCL/5CgACvqii2AenmASET/QAA -6eYAJtv9AADrRmInc/8AAOJGYCdz8QAA6eWBJYERgAD6oAQA0AIVAOsSASkQCoAAW4zliRL//agN -oAwVAAAtYH4u3OgODkfpZH8nMGOAABhy/vnAAQQ///UAL4a7L4bDLWB+6RYCLPgEgAAP5BYBAgAY -cVwogoIA0QTgmxoOgQqAAP2AAQbf/vUADt0DDYgBC4gCG3FUKLaCD+QW/CBoFe/+AgAJ5BYBAgAb -cU4qsoKNEcfvDt0DjhDA8eLyOQ8BCoAA7aoBCWAKgAAMqgIqtoIJ5BbRDwBsEAQpITkoITgqIAf5 -AQYN4aoBAMAg0Q8ecTsVcTwu4IAMqxGlu+2ynidw3QAADk4U7HE1F3ANAADvAgANGASAAP+gBDui -AJ0AKbKdDKoKKqK/CpkBCZQC65QABIPBgAAsIHVkwB0CKgLsIhws2ASAAFuL8eyrEQ0wBIAA+oAA -RfAAGgDAYCwgdMrHHHHK7SIcKVAEgABbi7emru1xURn/AoAApf8u9p38Q4YV4AIFANEPAADocUsZ -zwKAAKWZJpad+EOGFaACBQDRDwD//hQNoAkFAMck0Q9sEAgqIAeIIuxypRlIBIAA+iAABTAPRQDq -qwoMBUYAAA67Eay8Lc0CLtECJ9ED/8EAD3AEBQDuFgMnBVGAABZymRNyl5wSEnECmhGZEKKyIhYE -AnIL4hYFIRBXAADyXIAVoAsVAIgVJSK/o4h4UViLUYxQnLCMUCr6gApaAfuAJhXgCQUAmVCZUVv/ -p/FF6A3gCxUAiRQLeAMJiAumji/ifo0To4iV8JhQn1Hl5n4iIAUAAH1JrisSAiu9Aue1Ay0CIgAA -wCDRD4IUC3cDAnIL4hYFIRBXAAAiLOQlIr9j/40AAAD3oGQd4AIFANEPiBUpIr+jiJWRmVCYUfRX -5hXv/t4AAB1wyi3QgIsR6hIAJujdAAD8jgAO8AwVAO3cAiXYYQAAWAiIwCDRDwBsEAQacV0bcV0Z -cVr5UBAVr/71AOsrCgkBCoAA9zAQFeAMFQDkSDkOMAqAAPlQBh2nRgEA50UBCeuCgADlxTkJ08KA -AONRJ3vABIAADaoCDk4D7ncBCegKgAANdwL7c+YVp3cBAOeUgCQAeYAAyX/RDwAAAAAAAADvcUMT -/5mAAC7y0scuAu4BDs4CLvbS0Q8VcT0jUtLHjggzASNW0tEPbBAIG3I2GXI20w8osh/85GoF4AoF -AOyQACRz/QAAbYkbDM8KDf8KL/G+5fASZVAFAACxzAzsAQwMRyyUANEPAB1wjC/Shiqy36z/Cf8R -r6qPoimgB8Aw8fDcDeGZAQAechwJnArichseX4KAAK675XIaFfALAADo4QImu5sAAAfHCvfAZBWg -D0UAD4g26BYDJAW5gACaEJsSlxQHZwvnFgUjuFcAAOkWASO7kQAAiRUkcr+imXlBdIxBi0CbwC1C -ACr6gApKAf2gJhWgCwUAK0YAK0YBW/8h6BIELQTKAADA8Q9vAwj/C6X9LtJ+jBOi/5Tgn0CeQeTW -fiGYBQAAfDmriBLpcfYUQAsAAOaFAy0D0gAAGnHzKZIfK6AA6Zz/JdgFAAALmQEppADRD4cUwIEI -ZgMHZwvnFgUjuFcAACd85CRyv2P/bxlx5Rpx5SblAymSHyugAOu8ASTL/QAAC5kBKaQA0Q8AG3He -scoK6gEqtADRD4sVLHK/oruUwZxAm0H09+YVr/3yAB1wNS3QgIsR6hIAJujdAAD8jgAO8AwVAO3c -AiXYYQAAWAf0HnHLH3HMLuIfKPAA6IwBJ3P9AAAI7gEu9ADRDwAAAGwQBBJwLSIikwLCUNEPAABs -EAQacCLTDy2ihCiihymih/lgAAQ7mYEAeYkUCeowGnAiK6JBw8IMuyirmSmmzNEPDAIALqKCf+/h -ItAHbivbwKX84SAFoAsFAP5AABcw/wUAWT5TIizxyiL0AeId4HMVAMChWTO5BSQ2BDMM+mBoHeAK -BQBZGxQEIgxlL+PAoVkzsvoOIh3gCgUAWRsPCeowGnAFK6JBw8IMuyirmSmmzNEPAGwQBBRwlRdw -lRtwkiNAgP7hKgWv/+UA/f/iHeAMFQDkMA1iK6MAALAyIkSA0Q8AAPQAAh2gCEUADwIA0w9timrp -Ufwi0AsAACqhACiwgPqABADQBhUA+yDWDaADFQDAYADJGgkJRwiSAeIyOQxQBIAA5iEsexuCgAAN -kgPiiAELE8KAAOMiAgsYCoAAA4gC8vPmFaeIAQDotIAlAKmAAMmIsUTnfAQiqAkAANEPAAAA/x7Q -DeADFQBgAAHAMCji0g+IAQg4AvnaRhWv/14AAABsEASFI4ggFm/N/N+UBeFVAQDkgGdq1sKAAKam -KWJ/J2J+HG/D8yX+DaALBQByeS8rZn8rZn6EIYggmECFICNifZRRmyDrJgEhm/0AACNmfdEPAAAA -AAAA8uAEBCIAnQBykRyKIZigjCApYn2awZsh6yYAJMv9AAApZn3RD9EPAKzerq5+gTDoZn8qga4A -AM6NL2J9avIoI2J7I2Z/hSGJIJlQiCCVgZsg6yYBJ6P9AAAkZn3RDwAA62Z/Iv6pgACFIYkgmVCI -ICRifZWBmyDrJgEiI/0AACRmfdEPjiGs36+vD+8MD744/s/GFa/90gBsEAQjIQQVb430YAQB9kM5 -AAQzAiMlBNEPAAAAbBAEGm/X6CIDIVv7AAArsnwqon/HnOmIAQ3eQoAAC6oIC4AA0qDRD2wQBIkw -Fm/Mh0CKkSZif4yQnKCLkIgy+2AmFaALBQCbkeuWACRD/QAAmDKFJwl3EedjCAKr/QAAlSfrRQMi -gEmAANIw0Q8AJCAaJkzo+kNmHedmAQD8wmATX/n1ABhw+ghoCimGuymGwyQgGuI0AA3QBIAACuQW -AQIAHW9aLNKC+oAEANAPFQDgvhoKAQqAAAD/Ggn/Aw/MAQ7MAizWggrkFtEPbBAEJCELJEz4BARD -Ikz8sDgCgzjSMNEPbBAIGHDNkhTmEgQrEASAAPjAAEMwACIAylnNJypigAo5AelJ8nKr/QAA8OEw -DeACBQBgAAfaIFky7GP/3ppw0Q/AINEP3jDtEgQqeASAAPogBhWgC4UA/OFyBaAKFQBZPXfHK9EP -AABsEAYaby8VcM71/8IdoAcFANMPI1KuAAIAKaLQ0w8EmQEpptAYb8YnhlxYg0dZOShZPvOSEBZw -wx1vUwgsEAw8Ag3MAixWrhtwwNMPJ7aEKmIi9OF+BeADBQDncKIVAnmAABJvGRRwuSsiiypi3Ks7 -CbsRq6ouQoAtcIAAMAT1wAQHcN2dAO5GgCb8OIAALKIfyMPAsVkXdC1iIrEz7TPHciCDAADyIAgV -r/TlAB9wqS7yggTuAe72giEsLQAAWIMg0Q8AAFka4hhwpC+CyBlwown/Af8ZBhXv/5oAbBAE6iQA -CdgEgAD8ACIdoE0FAFgGudEPbBAEwEAE5BYBAgAWbvAlYoL6QAQA0AgVAOA3GgkBCoAA/QABBF/5 -9QAJiAMIVQEHVQIlZoIE5BbRDwAAbBAEE3CLIzF+ojLRDwAAAGwQBokiJDIDDwIA8ThMDedEwQD0 -gAlSUgCdABVu2eZu1xpPAoAA9IAJ0hIAnQClmSiSnvcAC8tSAJ0AK5KdBkwKLMK/DLsBZLFNHXB2 -iiAt0n/9QATT4gCdABZwcfwAIh2gDUUA9s/EFaAOBQD6IEYVr/j1APggBhWgDwUA9MAAQzAIBQDo -FgErUASAAFgBMvwAAh2gDUUA/gACHaAIBQD4IAYVoA8FAOgWAS1YBIAA6BYCK1AEgABYASfAlgxO -EaXuKeadLSAGiyL64K4FoAwVAOy7AgboBQAALSQGmyL6YEYVoAIFANEPAAAAAAAAAPwAAh2gCQUA -+CAGFeANRQD4ICYV4A4FAPggRhXgDwUAWAERwJMMThGl7inmnS0gBosi+uCCBaAMFQDsuwIG6AUA -AC0kBpsi+mBGFaACBQDRDysgBv7gcgXgChUACpgC6CYCJdgFAAArJAb+YEYV4AIFANEPF26Einhq -oUsMSRGlmSySnm7GUiuSnQZMCizCvwy7AeSwRWVr/QAAnXhlvrlgAATAINEPAOtMGClQBIAA/AAi -HaANZQBYBjrAINEPAP/6TA2gCwUAwKBZOVSKeGuhqf//HA2gCwUAwLDA6g6uNP7hBhWv/uIAAAAA -bBAGjCfucBIa0ASAAPWBKBXvywUA6ckUJkCBAAALiAHrbnESq4EAAOXGCSTIgQAA6cUUJEEBAADo -Wwt5ygKAAC3BFaXVlcmbUI8g+qCmFaAIJQDpVgMv/gKAAAj/Ap9RiSL0oIYVpzMBAO5WAiyMXgAA -9GAJClIAnQAUbkrmbkgZzwKAAPRgCXoSAJ0ApJkskp73gAszUgCdACuSnQY8CizCvwy7AWSxOh1v -54og0w8t0n8PAgD9QAST4gCdABZv4fwAIh2gDUUA9s/EFaAOBQD6IEYVr/j1APggBhWgDwUA8sAA -Q3AIBQDoFgErUASAAFgAovwAAh2gDUUA/gACHaAIBQD4IAYVoA8FAOgWAS1YBIAA6BYCK1AEgABY -AJfA1gw+EaTuLeadLCAGiiL4344F4AsVAOuqAgZgBQAALCQGmiKZUtEPAAAAAAD8AAIdoAgFAPgg -BhWgDUUA+CAmFaAOBQD4IEYVoA8FAFgAg8DTDD4RpO4t5p0sIAaKIvjfZgXgCxUA66oCBmAFAAAs -JAaaIplS0Q8rIAb+31gF4AoVAAqYAugmAiXYBQAAKyQGn1LRDwAAAAAXbfiKeGqhQww5EaSZLJKe -bsZKK5KdBjwKLMK/DLsB5LA9ZWv9AACdeGW+xOs8GClQBIAA/AAiHaANZQBYBbDRD9EPAP/6mA2g -CwUAwKBZOMqKeGuhsf//PA2gCwUAwLDA6g6uNP7hBhWv/wIAAAAAbBAEKyAHFm3rGW5Q/NxKBeDL -EQDqIQguYoKAAP2GAA5xuwEA7DYALdwCgAALqgIJqgKHIJk2lDiVOfZgRhWgIgUA8mBmFaAIBQCY -Opg7mjT84AATsAg1AAh3Auc2ASGQwQAA0Q8AAABsEAQsIAfrIgAp0ASAAPwAIh3gDkUA/AIABjA/ -BQBZOlIdbeEYbgYeb2ftAAUNSASAAAkCYQkCYQkCYSkgBy8hCAkJQe6mAizMAoAA+eYAD/ALBQDo -/wIFEMEAAO+mACVIQQAABCCGCQJjBACGCQJhK6QW0Q8AAABsEAQoIAcabe4IKEAKiRAKmQKZMIcg -HW2vFm216m7HG74CgAAHVwKXMeYAFQG4IQAABwCKKyEI7TYELGQCgADsuwIKzwKAAOq7AgTjoQAA -nDX6YMYV4A4FAJ43BACJ6jYIJMtBAACZOeMhFwGQwQAA0Q8AAGwQBJc2GW2UHG2T/NsqBeAehQD+ -YGYVoAs1AO02AirGwoAA7DYAKnhCgADo/wIJVgKAAAuqAhhvKQ9vApoxixqbOYoZCSkCmTSaOIkY -CP8CGG2LmTefNegAFQGQoQAAAgCKIjww0Q8AbBAEGG7VJYJ/wJDmgn0iggmAAIphjGCcoItgmrGZ -YJlhJ4J/sHcnhn+OIZbgnmGSYOYmASMzIQAA4jQACxgEgABtSQUCAIYDAmHSYNEPAAAmgoCFYYpg -mlCHYJVxmWGZYC+CgrD//xBGFe/+/gAAAGwQBAUGR2liSBhut4UnKoJ/9KYAFeAJBQDign0lAdmA -AIchiyCbcIogl6GZIJkhJoJ/sGYmhn+NUZLQLSYBJSYA4lYBIWMhAABtSQUDAIYMAmHAINEPAAAi -goCPIYcgl/CGIJ9hmSGZIC6CgrDu/xBGFa//FgAAAGwQBIgg+kCkFa/MBQDiIgMpOASAAAx8Aeyq -CApfAoAA6CE4dVEBAAAteQSouavdLXUEeaMtyTfJReI0AAwYBIAAbUkFAgCGAwJhKXIAC5kI6pFZ -fBAEgACZcNEPwKCac9EPAAipDOm6DAGBoYAACUsU7bwIKZAEgADtTTYMMASAAG3ZBQIAhgYCYQky -COtODAYZAQAAbekFAiCGAwJjqs8v/EDvdgAsEASAANEPIsxA4nYALBAEgADRDwAAbBAMkhuUF5UZ -lhgoIgcpMAPrMAIp8ASAAOcWDCRAgQAA6BYNKrgEgAD1YByhEgCdACIKAvsh4BWgHAUA/CCGFaSq -HQDlEgwlYAUAAOYSDS5vAoAA7e0ICUcCgACoVfLApBXvyAUACGYBlhqmNuZsQC7QBIAA96AjGqIA -nQDfoOy0AA5PwoAA+WAV9WIAnQCIoYmgmVAIeDYIdwzoVgElSCEAAOkGAAKYIQAAAwCKjaGD8oqj -CN0MnfGqinirAiM8AZrzk/L0IAYV4AkVAP0tAA7wCAUA7Zg4BkgJAADomzkEFlmAAIUQ5HLBYphB -AACEF+9EEQXQBQAA9UAVeqIAnQAYbOvA0OgWBSRAQQAA+CDGFaAPBQBtCBcAATAAADEgDAkgDQEA -ATEAAgAAAjAgDAGMHYkaLMEFDrYLqcnpnEArUASAAPjAB9riAJ0AjKAD2Qvj1goEyCEAAOx4NgYC -0YAACHcM6GYAJWAhAAAMIIgJBIqGo4mgjKKmhgiZDJmglqPoawZ3+AUAALHM7KYCI4ihgABk0LTo -EgYhmGEAAPBgBY8QDQUA6AAVCcgEgAAJAIoJAIoJAIqMoex5NgYDYYAACXcMA9gLA9YK6WYAJWBB -AADsRgAEQCEAAAgIioaliKEsogQGlggJiAwopgEmpgXpawd3+AUAACzMAeymBCOFIYAAZNBk6BIG -IZhhAADtEgUh8YSAAOgAFQnIBIAACQCKCQCKCQCKwNCJoGSQVORwcWXQBQAAdKtpY/7YAAAAAAAA -APzPAA0//BYA//2gDaANFQCMFewABQnIBIAA+ACoHeAAygAAAAAAAAD//ugNoA0VAO0ABQnIBIAA -+ACoHeAAcgCJobO4+QIADf/+jgAAAAAA+ACoHe/8ggD4AKgd7/4iAP/gAIHwCPUACDMK+8BGHeQz -HQDjIggH+AUAAO9VASEQBQAAgxsZbgKMFCQxBx5tIBhsXfohiBXqRAEA7WxdGicCgAAIRAKUsIMw -D+05nLPttgIp1gKAAAoqApqxKZJ/8yANF9IAnQCIGGaBmI0bH23xGWx0/aNEFeHIMQCvzCzAgOi2 -By7qAoAAnbbrEgkt0ASAAAk5ApmkDLsMm6XRDwAAAAAAAJsShBeTEZUQ70QRBdAFAAD1SvINoA0F -AOsWAi0YBIAA7xYDLagEgABtCCruvAsN+ASAAObLIn5QBIAAjKBlwJiMobHd5cDIYZgNAACz++Q7 -GX2oBIAAY//OihH7jwANP/9yAP/70A2gDwUAixIN3An9YABFv/SiAL+a8THQDeSqHQCDHOLsECGY -gQAAbakFAgCGAwJhhxkLqwnzQEAVoAMVAOl3DATAQQAA6BYEI4CpgAAsEgcPzBH9bwAOMAkFAAyT -Of4AIh3gDQUAA/04Zdwp//qkDaAPBQCNEAx4Ngh3DOjWASV4IQAA72YABughAAANDIqMo4mgg6Ks -jAiZDJmgeMsBsTOco/NARhXgANYAjRAMfzYPdwzv1gElGEEAAOOGAAboIQAADRCKjKWIoYOkrPwP -iAyYoX/LAbEznKWTpIMTGGy1gzAIMwGIEJOAj6Bl/EeJobNY+QIADf/xAgCNGRxsD4scjxgUbYn/ -YOYV4AoFAPtgxhWh7zEApO4u4IAMPAKctA7dDJ210Q8AAAAAAADzrwANf+52APIAQh2gGAUA+CCG -Fa/tUgBsEASEKYMsBDMMAwNIIyUfIyUh0Q8AAABsEASJJyqSDPkighWgAgUA5ZwgJKDBAADkqwwF -UyEAAOuiOQQE4YAAKpIJ8UUQDeAG1QAnCjzNNiugAHexQWi1K3a5CyygEGjBNXa5AmjCLy2gB8DA -6lQADtgEgABb+dIrWQSKUci/Za/LYAASLKAQacjNYAAJAAD//6wNoAoFAPBBwA3gI9UALSAAc9EZ -ji7AIO5PDAdzIQAAD+I5ZS/nwCDRDwAAAADrJAAKUASAAFvpxOKkAA1+jgAAY//hAAD//ZwNoAoF -AGwQBAUGR2liUosniLj5YqQV780FAOeyCyXQgQAADa0B7ZkICmcCgADocTR0yQEAAC65FKyFrO4u -tRR1kyrIP8hNbUkFAwCGCAJhJaIADFUI+KsGDeACBQCVoNEPwCDRD8AgkrvRDwAA6JUMAYFxgAAF -RxS4duZGNgmQBIAAbWkFAgCGCAJhBTII50gMBpkBAABtiQUCIIYDAmMFyQyp2SmcQPlABhXgAgUA -0Q8r3ED7QAYV4AIFANEPAAAAbBAELiAHH2uqDi5A7WtuH3KCgAAP7gKeMOgiACpQBIAA9Nb+BaAL -RQD8YEYV4DwFAOw2AyxGAoAAC4gCG2ue6DYBKcgEgADkAAUBmEEAAAMCYQMCYQMCYSwgByghCPwg -AAYwBCUA5UQ2DmQCgAD9BgAMMNZNAOuIAgvkAoAA68wCBJEBAADslgYkqIEAAOiWBCqYBIAAbUkF -CgCGAwJhLVQG0Q9sEAT6QLAV4AW1APbZOAWgDIUA9gAiHeATJQD6ZZYN4AQFAHOxZfVgBg2QA5UA -dbF09WAETJIAnQBzuVeIImWAUtvA/ECmHaABNgDaIFid0/pAaB2gCwUAW/9fGmsliyAjJAUqongp -YperqgmqEaqZi5f7ZAAVr8wFAAyqAeS1FCVRAQAAmrmauCSUBYsiZLCOKyAFwMn9YNYNr/JVANEP -0kDRDwAAjSJl3+gCKgJbnkRlr9zA6v5Aph2gC6UAjyJl/9DaIFueHmWvxSkgBogiIyQF54gCBMgF -AAD4QMYd4AuVAPhARhWv/rYAiSJln6PaIFueXWWvmCwgBooiwLwrJAXnqgIGYAUAAPxAxh2gC8UA -+kBGFa/9+gAAAAAAAAD6QGgdoAs1AFk14+agqW0YBIAAHmrqiuj3QAW4kgCdABNq6iwyrnXDZy1i -ZywyrQ3LAX3AXbCvn+h9wGPaIPxBJBWgDQUA/h/iHeAOBQBYnVz8RGQVoA0FAPtAaB3gDhUA+kBo -HaAPJQBYnVUlNq0pIAaIIsGhKiQF54gCBMgFAAD4QMYd4BsVAPhARhWv+54AwLDAygysNOzmCC39 -BgAA+kBoHaAbxQD8ACIdoA21AFgCimP+ugAA+kBoHaAbxQD8ACIdoC0FAFgChGU+omP/nQAAwKBZ -NZ8earmK6Plf+fiSAJ0AY/+3bBAE4iBwKSAEgADiOAIBAEmAAChEcNEP+oBoHaALBQBb/usqQHAM -OREJOQIKmQIpRHDRD2wQBiYgB4on+GBoHec1AQD4IAYV4WYBAOeiDimV4AAA9IALmRIAnQD4gAgA -0gCdACipFGSADSwgBiqiCfWADGCSAJ0A+kBoHaALRQBb/99loOeKEIqjKSEJCgpD5JkRDVbCgAD7 -JgAMsBoFAAqZAvjgBhXgAFYAAIwQLMwQ7AMeC9gEgAALAmEtIAVu2H0uIHJ+73faIFv/S2Wgpy8g -BekiAi/BpAAAKCByfo9e7Gp+HISeAADpan0YBAqAAPTABPoSAJ0ADGoRqaorop4MbQot0r/3YApq -UgCdACuinQ27AWSxJ9xw+kBoHaANJQD+AAIdoA8lAFv/ChhqbAxvEdMP+eAAR7AORQAu9p1oMkyK -J/qAaB3gDAUA6qwgKmgEgABb+IjSoNEPAAAAAPpAaB2gCyUAW/+lZK89wCDRDwAAAAAAAOsSAClQ -BIAA7EQACugEgABb/sPSoNEPAMAg0Q8ealCN6PegBhiSAJ0ADGoRqaorop73YAaaUgCdACuinQxv -Ci/yvw+7AWSwwbDYmOhlv1BgAHUAiZL4+AAE8Dt1APs/9B1iAJ0A+kBoHaALhQBb/4Zlr4KLECu8 -EOsHHgvQBIAA+gSoHa/7AgBkrnSNoPz4AAbwLqUA/7/zPSIAnQAfarOOov/f8tViAJ0AiSKwy/pA -xh3v+uUACpkB+EBGFe/5DgAAAAAAAAAA6iQAA1hhAAD8ACIdoA1FAFgB6GP/IQAA//rkDaALBQDA -oFk1Ah5qG43oGWodHGob+b/5aJIAnQD//SANoAsFAMCwwIoI2DT5wQYVr/zmAABsEAgba8ObEPhA -CBWgCQUAmRKZE5MU5BYFKVAEgAD0IMYV4AwlAOYWByxGAoAA/QYADDANJQDoFgEo2ASAAFv/WdEP -AGwQBMAg0Q8AbBAGlhEsIDuGJ+MWAiPZAQAA9CAGFe/IBQD0xAAV4+wBAOhVAQ93AoAA/mAARTAN -FQD7RQAVoACuAAAALCA7sd2xzCwkOy5hFeXoCAV4QQAA6IxAL9AEgAD54Ab6ogCdAImh6U82BP7R -gADvRAwNSASAAOkmAA3gBIAADASKCQCIDACKLqIDI6ICL7YBKaIBDv4ILqYDD5kM6aYBJdhBAADv -6wd54ASAACw8AeymAiIOMwAAjhAvISKFERprgyQhB4kSIyEJ+kDwFepEAQDpkgAqIwKAAAQzAiQh -JPsgBASwuxEA6moyHdqCgAD7JgAM84UBAOtp2RxCAoAACEQCGGtzCpkCmXCMIJ51k3MSacyUdJV2 -4v8CDmYCgADvdgImkBEAAAwsApxx6wAVA6jBAAAFAIoI2AKYftEPAP/vAA0//IYAbBAE/tNsBeAY -FQDjiwl53sKAAMGVc5tjr7+IIvxA6BXv/vUADkkDCYgBitMp0QOM0pyg/aBIFaCZcQAJmQkPmQqa -wfzWpAWgDwUAn9Of0ugmAiTIUwAAIpLC7LgIARP9AAAilsIqgmCwqvsMBhWgAhUA79UDJQCpgADR -D9sw7CICKVAEgABZNDXRDy3NAa27KbB+KpzoCgpHb6YOGGsiCKgKLoa7LobDKbB+2vAK5BYBAgAc -aYMrwoIAkQTg/RoMgQqAAAAoGg6IAwi7AQ27AivGggrkFtEPbBAEKyAHHml4/kDkFeG7AQDsaXQd -zwKAAK6Z+TPIFar/AQDsvQoN0ASAAO3Svy//AoAA9wAFilIAnQApkp0NmQHslAAEhVmAABhpdSkg -BxRpry0xEPggAALwmREA62msHMqCgADp/wIKrAKAAAXdAgvdAgT/Ap/AjyCdxPmARhWgNAUA9YBm -FaAJBQCZxfmA5hXgBUUA68YGL/4CgADl/wIGWIEAAO/GASHIQQAACSCGCwJjCQCGCwJh+EDoFaAL -NQD/QAAUsAwFAP8gAESwDTUA5ZadJFCBAABb92nSoNEPAAAAAAAAAP/9VA2gCQUAiiLIosAg0Q/r -vBgpUASAAPwAAh2gDUUAWAD8wCDRDwBsEAQoIQWHIfRAghXvyQUA6SkBClcCgAAKdwwKVQglJQTn -JgEk2QEAAHt7BQh3CCcmAaiS5DAaYREBAACnpXUjFuI0AAuYBIAAbUkFAgCGAwJh0nDRDwAABykM -CUgU6owIK7AEgADqSjYJkASAAG2pBQIAhgYCYQOSCAhMDG3JBQIghgsCY9Jw0Q8AAGwQBIsnH2kh -HmrFhrkpuRT5ZAAVr8oFAOqIAQMzQQAA5rYJJMjBAADptRQkQQEAAPjBcg2gDTUAKbEVppaWuZ9g -jCApbBDuZgIuZgKAAO3MAgrGAoAA/MAmFaALJQBtugUEAIYJAmHAkvkGAAxwBQUA6GYFIYT5gACJ -OPbSfgXvnmUA6zIJJICBgACVO5mwjDibwZU4lTmNPCRyi9MP7jQFJvzIgAArMhIuQibTDwuKRPta -AA0wDAUA/0ABBTANFQD7QAgVr7uBAFv2jPRiRhXvygUAiDeLMOU2DCR4gQAACv8B5YUUJ/kBAACf -iZ+ILnJz+oIoFaAMBQD/bwANsA0VAFv2fihCEg8CAA8CACiM/yhGEuokAAtYBIAA/ABiHaANNQBb -/0/RDwAAAGwQBPrTOAWjLAUADCwoHWmdqsooor76YAQA0AsVAO9oxx3YCoAAe4hGHmmXCDgQ6EgC -CU8CgACumZiQLqK/KaLAfrAoCbkCKabADuowAzgJ78kIDEcCgAComa2ZKJJ/LpZ+BIgM6JZ/LAGC -AADRDwADPQnvywgO7wKAAK27K70JK7xsK70CKrED9U8ADTANBQANqjUqtQPRDy6iwQ6+Au6mwSlQ -BIAAW7C00Q9sEAT20uIFoygFAAgoKKaGJGK++mAEANAJFQAAlxp3SDIUaWoMIhGkIoIgKmK/AnIB -8y0ACTAIBQD64OCFoAkVANEPACpiwQp6AQqYOAgiAdEPAAAdaI8DPAntjQgOZwKAAK3MLM0JLMx4 -LcH+68H9JmALAAAswQENuwF8uwPAINEP0pDRDwAAbBAEKiAiKSAjHmkH+y8ADLAPFQDkkFxnI0EA -ACwgBwwMQQTMCSvB/gm9Ng27DCvF/iogIowprar6QWgV56oBAOokIiUCQYAAKOJ/4IEEBUv9AADg -mRoPwAqAAOm7CARD/QAACLsCmyoMuQxzkyrAINEPAAAqIAVooXWLIsDCDLsC+kBGFe/yRQDRDwCL -KZsq/WBoHa//UgAAKyAHDawM/ERGHaG7AQAEuQkokf6tiCiV/iogIsurLOJ/jSvgwQQFS/0AAOCZ -Gg/ACoAA6d0IBEP9AAAI3QKdKuu8HylQBIAA/AAiHaANBQBYAAXHJNEPxyTRD40p/EFGFe//fgAA -AGwQBPjT2gXgGBUA8wAD++AGFQALOxGpuiyiYCmdAem5CAYE2YAAH2g4jScETgmvvw/uCug8EQdA -UwAA54LBKnyCgAAPzALsXAIG+CEAAO92ACdwXwAAntKX0x5oWieCwi+GwQ7MAuzVAyO4BQAAJ4bC -L6Jg7pB+J/gFAAAvpmCMIgDhBABtGg3MApwi0Q/BhfMf+/viAJ0AGGnKCDgKKIJ/2jD6QGgd4AwF -AAuAAIkiADEEAGoaCpkCmSLRDyyQfvUv5h3gBwUAJ5R855R9K8AEgAAI5BYBAgAdaAQu0oIAwQT8 -wAEGX//1AA/PAw/uAQ7MAizWggjkFi886A8PR/X/+RtSAJ0AHWmSDf0KJ9a797hmFe/8SgBsEA4q -IhAooAUpCpX5AC2sYgCdAIwiZcXrLSIS/tAEBaHdQQDmZ+we/oKAAO8WBS7vgoAArt38IMYV4Anm -AAAAAAAAAPtgBAUwCAUACpg4ihgIqgFkpTwtVCf2IgYVoAkFAClUIfikZh3obR0A9qTGHaALVQD6 -pAYd6GYdAPakph2gCwUA+qRGHehmHQDmVCQiUOEAAOoGAALAoQAACACKhj72pmYdqGYdAPamRh2o -Zh0A9qYmHahmHQAmVDD6YagVoAYFACZUOStUOipUN/inZh3oqh0A+qbGHaiqHQD6pqYdqKodAPqm -hh2gCgUAKlQ4KSIWK1RCK1ROJlRNJlRBKlRMKlRA+KfmHeAKBQAqVEP6qeYdqJkdAPinxh3omR0A -+KemHeiZHQApVDwmMhWxaCg2Ffao5h2oZh0A9qjGHahmHQD2qKYdqGYdACZURCoyEysyFKq7+qlm -Hei7HQD6qUYd6LsdAPqpJh3oux0AK1RIKTISJhIQCpkM+aIeDeALBQAoUCEpCoAJiAIoVCEqQhkp -TGjkoitiwWEAACs2Fys2FgraNipWFQkgiAgEii4yE6ruLjYTK0IZCt4MLUIaCrsM60YZJZQpgAAu -QhuurnrrAbHdLkYb/INGFeANBQApIQcbZ4f9oACEepkBAOohGizPAoAAC5kCmVDuIgAsR4KAACiM -b/7QggXkiB0A71YCL34CgAAPjwKfUR9oi/rQFAXgSQUA6VYDJsgFAAAPmQIfZ5sLeworsp8P7gIf -aAMPuwGPFQv/Ahtof55UHmeTC/8CD2tBq+7u4IAtUgKAAJpWKVYUDs4M71YHJ3DBAACeVYspKiIV -rLvsqggF2MEAAOsmCSVQwQAAKiYVDHkRppkolp0rIBYvCv9/sQcqIAeMGlv+hCsyEyoyEvtAE0Ri -AJ0AJyAHBwdB6GdFG9cCgACmqiyingh4CvkX6BWgG+UA+4AWu+IAnQAlop2MFghVAeRSx2LZgQAA -iCovMhcuMhMtMhIswoCJNw7dDC4iFyqZFJsX/6EADrAEBQDtzAgFECGAAISZiSkP/wkE/wvpiAwG -SMEAAOkWCi7wBIAA6YNtd/nBAAAoIBYqIAf4IWYVoPn1APkf6HxjKwUAmhkLqh0bZ/WJG5oUq6oo -or77IAQA0AsVAAC7GvsAD5DiAJ0AiRkYZ+4MmRGomYmQ+WAEBPAIFQAJiTkoor+ZGCqiwfl/5Yig -CRUA+iEIFa/y5gCcHJ8dnR6dH+okAAzYBIAAW/6OjR+OHo8d7BIMJXvRgADAINEPKjIWD6oKiqBk -oHwK2jYqVhUrMhYPuwu4u+tGAALJYQAACQiKJDIWKDITD0QKqogoNhOOQAruDJ5AJDIWD0sKi7Dq -3gwFgRmAAA9OC4/jjeKvr5/jevsBsd2d4v/29A2gDQUAAAAAAADwkkAN4AkFACgyF9MPDwIA6TYW -JEAFAADoNhcn+GEAAPHOwA3gCQUA9GLIFaC5AQCIFw9KCoqgCLQKCuo2mkAtMhYP3QvouAsG6CEA -AO1mAARAIQAACAyKJDIWKDITD0QKqogoNhONQArdDJ1AJDIWD0gKiIAK7gzlgFRk6AUAAOoSByIB -4YAAKDIX/+MAFeAJBQDpNhYkQAUAAPhi5hWgBAUA6KwYLsgEgAD7DQANcLkBAOoWBy97zgAAYABT -AAD0ACIdoAkVAPhixhXv/14AD04LhOOP4qSklON6SwGx///ARhXv80IAY/3+iTjKmYs5wKCaO5mw -jDibwZo4+mEmFa+YlQD4YKYdoAIFANEPAMCQ/SBoHe/yegAt+pn8YKYd4AIFANEPAMCB+GLGFa/7 -ygAJmwkoEgQZZqMPAgDpiAgN3wKAAAi7CCu9CSu8eCqx/umx/SXYCwAAK7EBCpkB+z/WIuAKFQDr -fBIpUASAAPwAIh2gDQUAW/5QwCDRDwDrfBgpUASAAPwAIh2gHeUAW/5KwCDRDwAAHGg5jSAuIhiI -MI+g/86QFaA7BQD4IAYVoApVAFk0wYk4ZJ3WgjmZIIg48wAmFaAPBQCfOJ85/mFmFeACBQDRD8Ag -0Q8AAABsEATIMdEPAIgnJokUg4n1BAAV78cFAOdVAQMwQQAA5oUUIZvBAADjhgkiqQEAAHU7HiqB -FRtmdKOqmomboIkgwLHkpgIszgKAAAuZApmh0Q8cZm2cMIsgwMHkNgIt3gKAAAy7Apsx0Q8AAABs -EARkILZkMLNkULAoIgL4zKQF52QBAA8CAOdmUBwE/gAA7TwfIyV5AAAMaBEHiAgqgp4Jawr7d+gV -5N0dAP1ABJPiAJ0AKoKdC6oBZKBxHGZoHmZQ7AAFDUAEgABt2QIIAmEYZkmYoI8gnVCTo+6mAi/+ -AoAAD98C76YBJRBBAADRDwAfZjOO+GrhTwxoEaeIKoKe6WsKAeh9AAD7d+gV5N0dAH2jViqCnQuq -AeSgT2dj/QAAnPhlr5RgAAPAINEP2iD6gwAV4AwFAFv96cAg0Q8AAP/90A2gCgUAwKBZMQIfZhuO -+OlmHBcOiwAA/GPgFeAKBQD//vQNpN0dAMCgwIoI6DT54QYVr/66AAAAAAAAAABsEATAUQMkLAMi -LgJSOaQi0Q8AAAEQGAEAAQAAARAYAQAEAAAgBDmDIAQ55SAEOXAgBDnlIAQ5cCAEOeUgBDmHIAQ5 -hyAEOc0gBDm1IAQ5ngAAAAAgBEC3IARAtyAEPssgBEC3IAQ+yyAEQJQgBEBtIAQ+yyAEP6EgBD7L -IAQ+yyAEP5ggBD7LIARAtyAEPssgBD7LIARAtyAEPtQDAQACAAAAACAEy8ogBM0aIATOJyAEy8og -BM4fIATOCiAEy8ogBMvKIATLyiAEy8ogBMvKIATLyiAEy8ogBMvKIATLyiAEy8ogBM3/IATN9CAE -y8ogBMvKIATLyiAEy8ogBMvKIATLyiAEy8ogBMvKIATLyiAEy8ogBMvKIATLyiAEy8ogBMvKIATN -BSAEy8ogBMz/IATM/yAEy8ogBMz/IATM/yAEzdcgBMz/AAAAAAAAAAAAAAAAIATv7iAE7+4gBO6i -IATv7iAE610gBO/kIATvwCAE610gBOtdIATrXSAE610gBOtdIATuhiAE7+4gBOtdIATuhiAE7+4A -AAAAAAAAAAAAAAAgBPk4IAT9hSAE/SggBPy/IAT8WiAE/AMgBPvFIAT38CAE+4YgBPoYIAT6/yAE -+nggBPmnIAT5ZwAAAAAAAAAAARAYAQACAAABEBgBAAIAACAFiz8gBYbUIAWLEyAFiucgBYq6IAWK -pSAFhvsgBYcSIAWKjCAFh0cgBYczIAWG+yAFh0cgBYb7IAWG+yAFhvsgBYs/AAAAAAAAAAAAAAAA -AAAAACAF17ggBdIoIAXR0CAFz6ggBcwgAAAAAAAAAAAgBdIQIAXSCiAF0gogBdIKIAXSECAF0gog -BdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIQIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF -0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXS -CiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIK -IAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIQIAXSCiAF0gog -BdIKIAXSECAF0gogBdIKIAXSCiAF0hAgBdIKIAXSCiAF0gogBdIQIAXSCiAF0gogBdIKIAXSECAF -0gogBdIKIAXSCiAF0hAgBdIKIAXSCiAF0gogBdIQIAXSCiAF0gogBdIKIAXSECAF0gogBdIKIAXS -CiAF0hAgBdIKIAXSCiAF0gogBdIQIAXSCiAF0gogBdIKIAXSECAF0gogBdIKIAXSCiAF0hAgBdIK -IAXSCiAF0gogBdIQIAXSCiAF0gogBdIKIAXSECAF0gogBdIKIAXSCiAF0hAgBdIKIAXSCiAF0gog -BdIQIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF -0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0hAgBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXS -CiAF0gogBdIKIAXSCiAF0hAgBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIK -IAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0hAg -BdIKIAXSCiAF0gogBdIQIAXSCiAF0gogBdIKIAXSECAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF -0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXS -CiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIK -IAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIKIAXSCiAF0gog -BdIKIAXSCiAF0gogBdIKIAXSCiAF0gogBdIQAAAAAAAAAAAAAAAAIAXSXSAF07UgBdVFIAXVNyAF -1SIgBdUUIAXU/yAF1PEgBdTcIAXUzyAF1LwgBdSvIAXUnCAF1I8gBdR8IAXSViAF1GkgBdRcIAXU -SSAF1DwgBdQoIAXUHyAF1BAgBdQfIAXUECAF0lYgBdJWIAXSViAF0lYgBdJWIAXSViAF1AMgBdJ2 -IAXSViAF0lYgBdJWIAXT5iAF080gBdPDIAXTpCAF0lYgBdORIAXTdyAF02QgBdNKIAXTNyAF0x8g -BdMMIAXS+SAF0pMAAAAAAAAAACAF1/sgBdgFIAXZgiAF2VcgBdlQIAXZSSAF2UIgBdk7IAXZNCAF -2S0gBdkmIAXZHCAF2RIgBdj8IAXY1SAF2KogBdihIAXYhiAF2HwgBdhsIAXYXCAF2FUgBdhCIAXY -OyAF1+0gBdgSAAAAAAAAAAAgBnTQIAZyJCAGcLwgBm5UIAZr8CAGZ1AgBmnoIAZqmCAGZewgBmy8 -Aw8IEoOOk5JSVgAAEAAAAGNvbmZpZ3VyYXRpb24gZmlsZSBwYXJzZXIgZm91bmQgYWRkaXRpb25h -bCBjb25maWd1cmF0aW9uIGFmdGVyIFtmaW5pXQoAADAAAABmY29lAAAAAHZuaWNfaWQAdmxhbgAA -AAB0b3MAZXRoZXJ0eXBlAAAAbWFjbWF0Y2gAAAAAbXBzaGl0dHlwZQAAZnJhZ21lbnRhdGlvbgAA -AGJhc2ljdmlydHVhbAAAAABzeW5tYXBlbgAAAABzeW40dHVwZW5pcHY2AAAAc3luMnR1cGVuaXB2 -NgAAAHN5bjR0dXBlbmlwdjQAAABzeW4ydHVwZW5pcHY0AAAAb2ZkbWFwZW4AAAAAdG5sbWFwZW4A -AAAAdG5sYWxsbGtwAAAAaGFzaHRvZXBsaXR6AAAAAGxhbgB3YW4AY2x1c3RlcgBtYW51YWwAAHRw -X3BpbwAAdHBfdG1fcGlvAAAAbmljX3ZtAABuaWNfdW0AAG5pY191bV9pc2dsAG5pY19oYXNoZmls -dGVyAABvZmxkAAAAAHJkZHAAAAAAcmRtYWMAAABpc2NzaV9pbml0aWF0b3JfcGR1AGlzY3NpX3Rh -cmdldF9wZHUAAAAAaXNjc2lfaW5pdGlhdG9yX2ZvZmxkAAAAaXNjc2lfdGFyZ2V0X2ZvZmxkAABm -Y29lX2luaXRpYXRvcgAAZmNvZV90YXJnZXQAcG9mY29lX2luaXRpYXRvcgAAAABwb2Zjb2VfdGFy -Z2V0AAAAaXNjc2lfdDEwZGlmAAAAAHBwcABkY2J4AAAAAGIyYgBzb2NrZXRudW0AAABjZWUAaWVl -ZQAAAABhdXRvAAAAAGFuX2RpcwAAIAMUOAAEAAAAAAQAAAQAAAAAAAAgBmWwAAAAACAGZQggBmKg -IAZhkCAGQRggBd5YIAXLeCAFymAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgBGDMIARtbCAEbWwg -BG1sIAR+eCAElSggBKPYIASq6CAEXdAgBFtAIAQTrCAEyqQgBBFcIAQOICAFyjQgBckMIAXCaCAE -bWwgBcGQIAXAPCAFv3AgBqsoIANq2CADwewgBn8kAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAgBDb0IAQhwCAEMLAgBC8YIAQtnAAAAAAgBCtEIAQ2KAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAgBrLcIAaZUCAGsswgBplgIAaZdCAGmIAgBpocIAaYFP8YMGBgAAAAAAECAwAAAAAg -BnV4IARfMCAAZ8wAAAAAAAAAgQAAAAAAAAAAAAAAACAEB4QgBAbIIAQEdAAAAAAgA+UkIAPlHCAD -5RQAAAAAIAPcNCAD3cAgA91cIAPd1CAD3FAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAPc -5AAAAAAAAAAAAAAAADAxMjM0NTY3ODlhYmNkZWZBQkNERUYAAAAAAAAAAAAAQUJDREVGR0hJSktM -TU5PUFFSU1RVVldYWVphYmNkZWZnaGlqa2xtbm9wcXJzdHV2d3h5ejAxMjM0NTY3ODkrLwAAAAAA -AAAAAAAAAAAAAAAgACBEAAEAACAAICQABQABIAAgCAADAAUgAB/oAAcAAGOCU2MAAAAA//////// -AAAAAAAIAAAABQAAAAcAAAAAAAAAiAAAAIUAAACHAAAAAAAAAEAAAAEAAAACgAAAAAAABAQIAAQE -CAAEBAgAAAAAAAAAAAAAAIAAAAIAAAACgAAHQwAAAAAAAAAAAAAAAAD///////////////////// -ACEACQCBAEEAIQAJACEACQCBAEEAgQBBAIEAQQCBAEEAIQAJAIEAQQAhAAkAIQARAIEAQQCBAEEA -IQAJAIEAQQAhAAkAIQAJAQEAQQCBACEAgQAhABAQYQEBAIEBAQCBAwIBAAAAAAAAAAAAAAAAAAAA -AIAAAAEAAAACAAAABAAAAAgAAAAQAAAAAAAAAAAAIAMH4AAAAAAgAw44AAAAACADB+QAAAABIAMO -pAAAAAIgAwfsAAAABCADB/QAAAAIIAMH/AAAABAgAw6sAAAAICADCAAAAABAIAMIDAAAAIAgAwgY -AAABACADCCQAAAIAAAAABQAAAAMAAAABAAAAAiAGeNggBniUIAZ3hCAGdkQgBnYEIAZ12CAGdiwA -AAAAAAACAAAABAAAAAgAWWVzADAxMjM0NTY3ODlhYmNkZWZnaGlqa2xtbm9wcXJzdHV2d3h5egAA -AABub25lAAAAAGFsbAAqAAAAZGlzYWJsZWQAAAAAZW5hYmxlZAAweAAATkEAAFYwAABWMQAAVjIA -AFYzAABWNAAAVjUAAFY2AABWNwAAVjgAAFY5AABWQQAAVkIAAFZDAABWRAAAVkUAAFZGAABwb3J0 -AAAAAHByb3RvY29sAAAAAGdsb2JhbAAAZnVuY3Rpb24AAAAAZmluaQAAAAByZWcAZmlsdGVyTW9k -ZQAAZmlsdGVyTWFzawAAcnNzX2dsYl9jb25maWdfbW9kZQByc3NfZ2xiX2NvbmZpZ19vcHRpb25z -AABzZ2VfdGltZXJfdmFsdWUAdHBfcG1yeAB0cF9wbXJ4X3BhZ2VzaXplAAAAAHRwX3BtcnhfZmxh -Z3MAAAB0cF9ucnhjaAAAAAB0cF9wbXR4AHRwX3BtdHhfcGFnZXNpemUAAAAAdHBfbnR4Y2gAAAAA -dHBfbXR1cwB0cF90Y3B0dW5pbmcAAAAAdHBfdGltZXJyZXMAdHBfdGltZXJ2YWxzAAAAAHRwX2Jh -Y2tvZmZ2YWxzAABzY2hlZF9pb3F0eF9icF9wcmlvcml0eQBudmYAd3hfY2FwcwByX2NhcHMAAG5p -cWZsaW50AAAAAG5lcQBuZXRoY3RybAAAAABudmkAcnNzbnZpAABuZXhhY3RmAGNtYXNrAAAAcG1h -c2sAAABuZXRob2ZsZAAAAABucm91dGUAAG5jbGlwAAAAbmZpbHRlcgBuc2VydmVyAG5oYXNoAAAA -dHBfbDJ0AAB0cF9kZHAAAHRwX2RkcF9pc2NzaQAAAAB0cF9zdGFnAHRwX3BibAAAdHBfcnEAAABp -c2NzaV9udGFzawBpc2NzaV9uc2VzcwBpc2NzaV9uY29ubl9wZXJfc2Vzc2lvbgBpc2NzaV9uaW5p -dGlhdG9yX2luc3RhbmNlAAAAaXNjc2lfbWF4X3NnZQAAAHBwbV9tYXhfem9uZXMAAABwcG1fem9u -ZV9yYW5nZTAAcHBtX3pvbmVfcmFuZ2UxAHBwbV96b25lX3JhbmdlMgBwcG1fem9uZV9yYW5nZTMA -ZmNvZV9uZmNmAAAAZmNvZV9udm5wAAAAZmNvZV9uc3NuAAAAZmNvZV9uZmNiAAAAZGNiAGRjYl9h -cHBfdGx2AGRjYl9kY2J4X3Byb3RvY29sAAAAYmdfbWVtAABscGJrX21lbQAAAABod20AbHdtAGR3 -bQBkZWJvdW5jZXRpbWUAAAAAdmVyc2lvbgBjaGVja3N1bQAAAABTZXNzaW9uVHlwZQBPRk1hcmtl -cgAAAABJRk1hcmtlcgAAAABEYXRhRGlnZXN0AABIZWFkZXJEaWdlc3QAAAAARXJyb3JSZWNvdmVy -eUxldmVsAABJbW1lZGlhdGVEYXRhAAAARGF0YVBEVUluT3JkZXIAAERhdGFTZXF1ZW5jZUluT3Jk -ZXIASW5pdGlhbFIyVAAATWF4Q29ubmVjdGlvbnMAAE1heFJlY3ZEYXRhU2VnbWVudExlbmd0aAAA -AABJbml0aWF0b3JOYW1lAAAASW5pdGlhdG9yQWxpYXMAAERlZmF1bHRUaW1lMldhaXQAAAAARGVm -YXVsdFRpbWUyUmV0YWluAABNYXhCdXJzdExlbmd0aAAARmlyc3RCdXJzdExlbmd0aAAAAABNYXhP -dXRzdGFuZGluZ1IyVAAAAFRhcmdldE5hbWUAAFRhcmdldEFsaWFzAFRhcmdldEFkZHJlc3MAAABU -YXJnZXRQb3J0YWxHcm91cFRhZwAAAABBdXRoTWV0aG9kAABTZW5kVGFyZ2V0cz1BbGwAQ0hBUF9B -AABDSEFQX0kAAENIQVBfQwAAQ0hBUF9OAABDSEFQX1IAAERpc2NvdmVyeQAAAE5vcm1hbAAATm9u -ZQAAAABDUkMzMkMAAENSQzMyQyxOb25lAE5vbmUsQ1JDMzJDAENIQVAAAAAAQ0hBUCxOb25lAAAA -Tm9uZSxDSEFQAAAATm90VW5kZXJzdG9vZAAAAElycmVsZXZhbnQAAFJlamVjdAAATm8AADUAAABD -SE5ldCAxLjAwAAAAAAAMAAAAAAEAAXwADAEAAAAAEAAAABQgBsboAAADFQ5AAAAf/AAAH/wAAB// -tBAf/7QQIAbRUCAG1RAgCSUQIAklECAKAAAgCoAAIAqAACAK5oAAAEAAAACAAAAACADhAY4AAAGR -DIAAAAAgC2IAIAthsCALYjD///P/IAth4CALAWAAAEAOH/+S0AAAQBogCwGQAAAQAAAA/+kAAP/g -AABQAAAA//gAAEANAAD/9wAAD/8AAA9CAAD/9QAAIEAAACAAAADEEAAAwAEAAMggIAsB8CALAhAA -AMQAAADIAAAAxDAAAMQxAAIQCOD//gAgCwIw///3/wAA6AAgCwJQAADIEAAAxEUAABABAAAgfAAA -MgAAAMwAAAJJ7wAA//8AAknw4QBeAOEALgAgC2WAIAtl4AgAAAAf/OB0IANEOB//rPwgCwMgIAsE -UB//rNAgCwNQDzwAACALA+AgA6+4IAOxmCALBLAPAAAAIAsFEB//hrAf/4UgH/+HoB//r5AgCwVg -IAsFoCALBeAgAweQIAML8CADC3AgC2dwIAMLUCALZ6AgC2ZQIAtmsCALZxAf/61QH/+TVAEAAAAo -AAAA4AAAAAAPQkAgACBkAAIAAB//rlQA////IAtnwCALBnAgCwbQIAsHICALBqAgA4xUAAgAACAD -kTggCwiwIAbNgAAP/AAf/5jYH/+aDAAAMAAgCwjwIAsJgCALCiBVAAAAEAAAAA4AAAAgC2hgIAMM -aAAACAYgCwqwAAAP/iADbMQCAAAAIANxlB//rbQgCwsAH/+rxCALC8AgC2iAIAsLYCADXbggA2eE -IAsMIB//rQAgCwyQIAsM4CALaRBAAAAAAADwACALDSAAHoSAIAsNkCALDfAgCw5gIAbU0CALDuAg -BtGQIAsPEO////8gCw9wIAsPwP3////hAAAAIAsSkCALECAgCxCQIAsQ8CADdAwgCxFQIAsRsCAL -EgAgCxJAIAtpUCADDGAf/N4AH/+rRCADDCAEAAAIgQAAAAwAAAAf/4cQH/+IECADDhAf/4bwP/// -/8////8gCxLwH/+HzCALEzAgCxNwIAtpcCALE/B///+r//8uXAAA0aT//9BNAACcTiALFHAgCxQw -IAsTsB//hwwAAEgAIAMMJB//hwgf/4dwIAsUsCADqcwgA5UgIAsVYB//rPQf/60MIAtqACALaZAg -C2ngIAOX9AP/0AAAQAAAAFAH/wABAAAgC2sQH/+ZjCALaoAgA12QIAtrQCALFfD//f//IAsWMB// -rUQgCxdAIAsWgABMS0AgA5SgIAsW0CALF4AEAQAIACAAACADdowgCxewIAsYACALGGAgC2ggIAOm -xCALZ/AgCxsQAACOAiALG4AgCxvQIAscICALG0AgCxxwA4AAAB//r6AgCxzAIAsdIP/3//8gCx4Q -IAse0CADonAgCx+QH/+rgCADpdAgA5U8AADAACADoIggC2ugIAtr4CALH9AgCyAQIAsg8AAAF3Ag -C2yAIAMJtCALbNAgC20AIAttICALbLAAACcQIAPDZCALbFAgAwmU4QIWAOECGgD//8j///8X//// -H/8AAhkcAAIZfAACGZwAAhn8H/+tCP//8f8AAhkAwAAAAAACGiQAAhqkAAIaKAACGqgAAho0AAIa -tAACGjAAAhqw4QIOAAAAE4cgAwqEIAMKdAQAAAHhAhIAAAAhwSALISAAAhDg//+//wACHCDhAHoA -IAshUB//q6AgCyFwIAshoCALIcAf/6p0IAsh8B//qaDhAH4AH/+rrAAA8/8EAAAAFAAAACoAAAAg -A+MUH/+vQBoAAAAgCyLwg/+3AIP/tiAgC25AH/+toCAEPogf/7CEAA///yALI0Af/69EH/+tZCAL -btAf/6zsOAAAAAAAH0AwAAB0AAAQNv//7/8f/7BoMAAAECALcBDhAf4AH/+ZBCIiIiIf/5TMAAAM -vAAAiQYgCyOAH/+UmOAACSQAnAAAH/+qqCALI6AgCyPAAAIEwOECAgDhAI4AAACWgAAAlkAgBtFQ -AACWoAAAlmDhAgAs//8AAAAB4ADhAZIAAAHjAB//rRQgCyQAIAskMB//seQgCySAIAsk4B//q5Qf -/5Tk4QGWACAG1FAXkAAAFLAAAAAAYAAgBtOQgAAABCAG1FoAAB+aAACD/xWgAAAgAwAAIAbUkCAD -AAgWoAAACFABACCgAAA/8AAAI6AAAAP/AB8AwAAEIAamWCAEJvwgBqYc3q2+/x//segawAAAIAbT -WAAA/+ogBtRgAAAIFAAAiAAAEAAAH/+rbB//rhgf/6t0IAPtwCADABAgC26AIAtuoP8///8gAwBA -IAslEDAAAAgwAAAMNAAACNAAAAAAAIkUOwAACDSQAAAFXUqAIAREzAAYAAD/B///ADgAAAAwAAAg -C3FQBgAAACAEU4T4AAAAAf//5wABwAAgAACABAAQAB//qbDhAZoA4QGaQOEBmjzhAZo44QGaNOEB -mjAf/64AgAAAA4AAAAIf/6uY//z//+EBDgAf/60Ef////x//lHwf/5T8IARgzCALdQAgC3VAIAt1 -cCALdbAgC3XgIAt2ICALdlAgC3aQIAt00A////D/8AAAIAt3MB//sJAf/5n0IAt28B//qMQgBG1s -IAt4MCALeGAgC3fQIAt3cB//mYQf/5ucAAD/gB//k1AAACMoIAsmACALJjAABAAA//v//+EB4sAf -/6osH/+rsJAAAPD8/4DAAgAAIP7/gMAgCyaAIAsmwAAA+AAAAgEIAAIBDI////8f/6uk4QGYACAL -JxAgCydgIAsnkCALJ9DhAN4AAAIDCAACAgD///AA4QDuAP//f/8AAPwAH/+tHAAA4AAAAAwAAAID -BAABERwAAREYABAIAIAACAAAAgEEH/+dkP//wAAAAP/+AAAlgAACAwAf/6uc4P/iwCALeJAgC3kg -IAt5UCALeXAgC3kAIAMAiAAA//MgC3mQIAt5sAAAKjAgC3nQAAD/jwAAUrUAAEgKAACPggAAj4YA -ADgAAACPigAA4z8AAIOuAAD/8SALKCD//8+/AAAQQCALefAgC3ogAAASACALKFAgAwywAAIZBAAA -/z8gC22AIAttQCADAJAgC3pwIAsooCALKHAAgAAAIAt7AAACFgh/P///AAD+/wAA/8AAAPn/IAt6 -oCALe6AgC3tQIAspUCALKRAgCyjgIAspcO4AAAABwIAAEAAFDQkAAAAf/7EkH/+uKCADAUAAAIMA -IAssEAAAggAgCyswIAsrgCALK8AQAAcCIAMBkCALMRAgCy2wIAsw0CALMJAgCy/QIAswUCALMBAg -Cy+QIAsvQCALLwAgCy6wIAsuYCALLiAgCy3gIAt/oCALf9AgC4AAIAuAQCALgIAgC4CwIAQMeCAE -DAggBAoMAAAbIQAAgMIgC4DgIAuBICALMUAgBtGAAP/AABIAAAAgBQ6YIAsx8CALMbAf/60sIAsy -kCALMtAgCzIwIAszMCALgUAgCzRQIAML4CALM4AgCzRwIAsz4B//sewf/7B4AAAIUCALNLAgCzUA -IAs04AD///wyAAAAH/+yaiADAdAzkAAAIAtvMCALb5AgC29wIAtv0CALNSAgBE+MIAMB2CALNdAA -AIAFAACAByALNgCBgAAA3q2+7yALNkAf/6zwAACD/TAAABwwAAAEMAAAFAAA/+///v//AP/wAAAo -AAAgCzZwAAAIQCALNqAA///6IAs28DAAAAAwAAA0AACAASADAeAAAIACIAVa8CALgnAQAAUQmQAA -ADQAAAAgC4IgIAs3MAAZAAAgCzeAIAMMwAAAEg8gCzfwH/+pIB//qbgf/7AsBQAAAIP/ABsDFQAA -IAs4oP//QAAgC4QQIAs5gCALOgAgCzpQ4QGRrAABkay/////3////yALOoALAAAAIAW3BCALOvAg -CztgIAs70CALPAAgC4TgIAuFYB//lNwgBK1kH/+cCAABOIDgAAYAAAInECALhgB///8PH/+sePwA -///hAJYA4QCaAAAACf8AALAAH/+tmCADAjAgCz0A4QAOAAMAAADhABIAEwAAABEAAAAf/AAAH/+s -3CALPUAgC4cgAAGUjwABlM8gAwJQH/+c8B//qxggAwYgIAs9gCAGy5AgCz2wIAMG8B//k4Qf/5v0 -H/+aiB//lNQgC0NAIAtDkCALQ/AgC0SAH/+q0AA///8f/5qcAAD/lwAA//0AAP/V//+rk8IAAA4F -3AEAA/8AAMAAAAEgC0TgIAtFICALRXAgC0WwIAtGACALRkAf///wH/+txAIAABAf/5LwH/+c0B// -lQgAAv//AACcQCALcFAgC0igIAtJEB//q4QgC0nQIAttwCALSmAgC0qgIAtK4CALSyAABQACGwAA -ACALjzAAAP4AIAXwvCALS3AgC0uQAAAhACALj/AgC4+AIAuPwCAGAVwgBs04IAbNSCAGzWAgBs1w -AADAEAACYloAAMMwIAAAAPAAw3AQEAAAIBAAAAAQB2D/7/ifHv4AAOECGAwgC0vAH/+U0B//rbAg -C4vQAAGQ+CALjBD//Ph/IAuMgCAGzQQf/5NsIAtL8AADAAIgC0xwIAtMICALTKAgC3pAAAGGoAAA -GCAf/6qkACYloACYloACYloABfXhACALkDD3////ATD4wCALTQAgC0zg/gAAAABf9qcAsjfHYgAA -AB3///8gC5BwIAtNgCALTcAgC00gIAtNUCALkLAgC5EwIAuQ8CAGKTAgAwqUAAAZAAACHBgd//Dv -AAAKAOECAAQABAXu4QIBBOEB4gAf/5SgAFAAAACgAAAAAHYAH/+c3B//nOAf/5o44QByACAIAAAf -/5zsIAguoCAIBmAgCG7wIAgFUCAIBEAgCAMwIAgCICAIARAf/62cH/+pbCAGzYQf/6e4H/+qsCAB -x2ThAHYA///wDyADCcAf/6ykIAtUIB//q6gf/6oYIAbUmiALVrAUkAAAQAAABCALVyAgC1dQIAtV -ICADbGAgC1VgIAtVwAAAj/4gC1YQIygVACALVnAf/6vQIAuWQCALlsAgBtPYH/+zCB//r9Af/7J4 -/+gP/wAQgAAgC1igIAZjuCADB2AByEAG4QGZ4AAAflAAAH5AAAB+GCAGciQf/6twIAMOQCADDkQg -Aw44IAMOSCADDlQgAw5cAFAgBuEBmgwf/60YAHAgBh//m9D/4AAAAAIgBiALWrDhAZoE4QGaCAAE -IAYgC1rgH/+TYCADB4ggC1sQIAtbcCALW0AAAP7lIAtboCALXBAf/6wIAAST4P/7bCD/4XuAIAuX -QB//mGzgAAEA3//+AB/8wAAAAGKAAAP/AMAAAAUgBpxYIAtcgP/v//8gC5dwH/+tEOEAVgDhAZTQ -IAtcsCALXPAdAAAAH/+trCAGz5QgAwvkIAuYcCALmSAgC5egIAuX4CALmaAgC5jAIAuYICAGzJQf -/6rcH/+tYCALXXAgBp9gH/+ukB//s8Qf/7PcH/+z9B//sFAf/7PIH/+z4B//s/jhADEAH/+bsB// -m6gf/OGA4gAAACAKgAAf/5PQAAAbwJ////8f/6kIv//w/yALmmAf/6xUH/+VeP8P//8f/6uIIAte -0CALX6AgC2AQIAtfYB//qdAgBtGIH/+o0CALYOAKAAAAIAbF6GwQBvv33gWkDAUA+ffcBaAFBQD0 -oGgdoMsFAOs7AQrIBIAA64k5AeQcgAAKmQIKnQHtxDkEwDSAAB774w8CAA5EAiYgIfP3wgXgFwUA -/NgAEzAIdQAIZgImNsEnNsIb+938AAIdoD0lAPv3sgWgDhUA9HhmFeAPBQBbqkfnoFBtEASAAMCk -/feoBaAbRQBY59FmIHYmNsEnNsIb+878AAIdoD0lAPv3lAWgDhUA9HhmFeAPBQBbqjjnoFxtEASA -AMCh/feMBaAbRQBY58LRDwD794IF4AwFAPwGQh3gDhUA+/d4BaAINQD4eGYVoA8FAFuqKeegFm0Q -BIAAwKT993AFoBtFAFjns2cviNEPKTLC+CAEHe/99gAb+7MqEQALqgEKSgIKCk8qNsIb+6r8AAId -oD0lAPv3TAWgDhUA/nhmFaAPBQBbqhTnoBFtEASAAMCh/fdKBaAbRQBY557RDwBsEAbjFgIp8ASA -AP33QAWgCkUA/ERQFeAbRQBY55YU+5MY+5f39zYF4AYFAPQAAh3gAwUA6BYAJENBAAD4ICYVoAA6 -ALFm9MAFvJIAnQAsICH9mAAWMB3lAA3MAixGwSdGwhr7g/v3BgXgDAUA/AZCHeAOFQDymGYV4A8F -AFup7maglxr7exv7e/wAAh2gPSUA/gAiHaAINQD4mGYVoA8FAFup5WaggyVCwgUFT35XLArqMBn7 -eCmSQaqZCOowCJgM9x/7+JIAnQBtCA0K6jAKmgz3X/twkgCdAGP/64wSKiAh/BACHeCLBQD9bQAJ -sBvlAOz7aRnwBIAAWGV8wCDRD95Q/ERQFeAKRQD99sgFoBtFAFjnWMcr0Q8AwKT8ICgVoBtFAFjn -U2P/gcCk/CAIFaAbRQBY509j/3EAbBAEKiAhHftX+gDiHeAFBQD+oGgdoIMZAPmtAA8wLAUAWGVj -5qBTbSAEgAAc+0/6AEIdogkFAOo6AQrwBIAACp45KiAh/EACHeALdQBYZVjmoCdtIASAAPpEMBWh -DQUA+iACHeDDAQD9bQAKsAt1AP6gaB2gHAUAWGVN0kDRDwBsEAT8BQIdoCtlAPxjoAZQKlUA+mAE -jCIAnQD6YAUUYgCdAHwxUMYq0Q8AAC0gQ/ugBhwiAJ0A+6AE9GIAnQB82eMqICH99i4F4As1APwA -Ah2gDgUAWGU2ZqCVKiAhHPsjHfsj//ZABaALdQBYZTDSoNEPAAAAACogIf32FAXgCzUA//YQBaAM -BQBYZSlmoGAc+xcd+xcqICH/9gQFoAt1AFhlI9Kg0Q/AsfpEMBWgDAUA/AAiHeAOFQBYZR3SoNEP -HPsMHfr5KiAh//XuBaALdQBYZRfSoNEPKiAhHPsGHfry+gDiHeAOBQBYZRHSoNEP0qDRDwAqICH6 -ACId4AwFAPwAIh3gDgUAWGUJ0qDRD2wQBMAg0Q8AbBAGKSAh9fXIBaAHBQD9OAAUsBrlAAqZAilG -wRj68ShGwhr63uv63hvgBIAA/AZCHeAOFQD2mGYV4A8FAFupSRX62/NAaB3gBjUA5VzQJQO9gAAq -CgT8oGgdoBtFAFjm0GYwlykRAAk5QfkgBPDQChUAKiUgLCAh/ZgAFjANdQANzAIsRsHBsytGwhr6 -xPv1iAXgDAUA/AZCHeAOFQD2mGYV4A8FAFupL2egccCk/KBoHaAbRQBY5roqICErLDxYAbbSoNEP -G/q2/AACHaA9JQD79WQFoA4VAPaYZhWgDwUAW6kg56AYbRgEgADApP31XgWgG0UAWOaqZz9n0jDR -Dy1CwvwgBB3v/WoAAP0ogIFQDiUA/kQEHa/9dgAAABr6n/v1PgXgDAUA/AZCHeAOFQD2mGYVoA8F -AFupCmegLMCk/fU0BaAbRQBY5pVj/2kAAAAAAPk/+OnSAJ0AwPj+RAQd7/xOAAAAAAAAAPiYSBWv -/SYAbBAGKCAhFPqH/RgAFDAJdQAJiAIoRsEuCgEuRsL79QYF4D0lAPv1AAWgDAUA/JhmFaAPBQBb -qO7moERtEASAAPv09gXgDAUA/AZCHeAOFQD79OwFoAk1APiYZhXgDwUAW6jj5qAsbRAEgAAqQsIq -FQBmIAkrEQALK0CbMNEP0Q/ApP301gWgG0UAWOZoZy/jY//qwKT99NAFoBtFAFjmY2P/zQBsEAYp -ICEqCgfz9LoF4AcFAAuZEQqZAik2wRj6bCg2whr6Wev6WRvgBIAA/AZCHeAOFQD2eGYV4A8FAFuo -xBb6VfVAaB2gBTUA5mzQLQYSAAAb+k38AAIdoD0lAPv0lAWgDhUA9HhmFeAPBQBbqLfmoRxtIASA -ACgywigVAGZAgSogIRz6Uh36Uv/0pAWgC3UAWGRbZ6AHwCXRDwAAAAAqICH9WAAVMAt1AAuqAio2 -wRn6Sik2whv6NPwAAh2gPSUA+/RgBaAOFQD2eGYV4A8FAFuonuegyW0gBIAAwKT8wGgdoBtFAFjm -KGZAFSogIRz6Nx36N/4gBBWgC3UAWGRA1KBmT5EkEQF4TxXAJtEPwKT8wGgdoBtFAFjmG2P/XQAA -KyAh0w/9eAAVsAx1AAy7Ais2wcDhLjbC+/QmBeAMBQD79CAFoD0lAPZ4ZhXgDwUAW6h+5qCKbRAE -gAAb+gv8AAIdoD0lAPv0DgWgDhUA9HhmFeAPBQBbqHXmoHRtEASAACQywmYvDgRCQNEPAADApP30 -AAWgG0UAWOX7Y/7dAAAa+fj78/IF4AwFAPwGQh3gDhUA9HhmFeAPBQBbqGPnoBRtIASAAMCk/fPk -BaAbRQBY5e1j/xEsMsL8ICQdr/wuANxg+gCCHaAbRQBY5eZj/5LApP3z0AWgG0UAWOXiY/+CAABs -EAQiGsvRD2wQBH83YiogIfoAIh3gDJUA/AAiHeAOFQBYY/YqICH988QF4At1APwAAh2gDgUAWGPx -KiAh/fPMBeALFQD/88oFoAwFAFhj6wOYQeogISwchAAA/fPCBaALdQD8AGId4A4lAFhj5GAAGcYq -0Q8AAAD987QFoAt1APwAYh3gDhUAWGPc+kQwFaALdQD986gF4CwFAFjP/fpEMBWgC3UA/EACHeAM -BQBYz/gqICH6ACId4AyVAPwAIh3gDgUAWGPNwCDRDwBsEAQoMAjIgsYq0Q8qICEU+aj9WAAVMBvl -AAuqAipGwRn5vylGwhv5pPwGQh3gDhUA+/NABaAMBQD8mGYVoA8FAFuoDuagPG0QBIAA+/M2BeAM -BQD8BkId4A4VAPvzLAWgCDUA+JhmFaAPBQBbqAPmoCltEASAAClCwgiZMgmJT5kz0Q/ApP3zGgWg -G0UAWOWKCKoyCopPmjPRDwDApP3zEgWgG0UAWOWECKoyCopPmjPRDwBsEAYjIR3Apf3zMgWgG4UA -7SAiKfAEgABY5Xr78uoFpAwFAPny6AWgBQUA9KBoHaDLBQDrOwEKyASAAOuJOQHkHIAACpkCCp0B -7cQ5BMA0gAAe+WkPAgAORAImICHz8s4F4BcFAPzYABMwCHUACGYCJjbBJzbCG/lj/AACHaA9JQD7 -8r4FoA4VAPR4ZhXgDwUAW6fN56BQbRAEgADApP3ytAWgG0UAWOVXZiB2JjbBJzbCG/lU/AACHaA9 -JQD78qAFoA4VAPR4ZhXgDwUAW6e+56BcbRAEgADAof3ymAWgG0UAWOVI0Q8A+/KOBeAMBQD8BkId -4A4VAPvyhAWgCDUA+HhmFaAPBQBbp6/noBZtEASAAMCk/fJ8BaAbRQBY5TlnL4jRDykywvggBB3v -/fYAG/k5KhEAC6oBCkoCCgpPKjbCG/kw/AACHaA9JQD78lgFoA4VAP54ZhWgDwUAW6ea56ARbRAE -gADAof3yVgWgG0UAWOUk0Q8AbBAE+gCCHaAbRQDs+TsZ6ASAAFjlHiogIfoA4h3gHAUA9AACHaCT -AQD+gGgdoQgFAPkNAA9xDQUAWGMyZqBAHfkPHPku/oBoHaCjCQAK3jn6RDAVoAt1AFhjKmagIR35 -FvpEMBWgsxkA+60ACnAsBQD+gGgdoAt1AFhjItKg0Q/SoNEPbBAG9fH+BaAFBQACCUf9OAAUsAp1 -AAqZAilGwcGDKEbCG/j5/AACHaA9JQD78ewFoA4VAPSYZhXgDwUAW6dj5qBebRAEgAD78eAF4AwF -APwGQh3gDhUA+/HWBaAINQD4mGYVoA8FAFunWeagRm0QBIAAKULCKRUAZiAjLBEAHfjeG/jc+qBo -HaDsWQD/rQAKsMxRAAy6OQWqAio1ANEP0Q/ApP3xtAWgG0UAWOTXZy/JY//qwKT98a4FoBtFAFjk -0mP/s2wQBCkgIRP4zf04ABSwCnUACpkCKTbBGPjoKDbCGvjI+/GSBeA9JQD+ACIdoAwFAPx4ZhWg -DwUAW6cz8URkDeAENQDApP3xgAWgG0UAWOS9CRlB/SPAANALFQD9LCABUAwlAP0iIIHQCoUA+kQE -HaAAJgAAACslICogISssPFv/r9Kg0Q8a+K778V4F4AwFAPwGQh3gDhUA9HhmFaAPBQBbpxlnoBHA -pP3xVAWgG0UAWOSkY/+ZAAApMsJj/5EAAPxEBB2v/tIAbBAEKSAhwX7z8TYF4AUFAAuZEQeZAik2 -wRj4tig2whv4l/ygaB2gPSUA+/EmBaAOFQD0eGYV4A8FAFunAdSg8Uy4DeAGFQAlNsIb+Iz8AAId -oD0lAOr4iBtwBIAA9nhmFaAPBQBbpvbnoAxtIASAAGAAqgAAZkCRLSAhC90RB90CLTbBHPidLDbC -G/h8/AACHaA9JQD78PAFoA4VAPR4ZhXgDwUAW6bm5qCCbSAEgAAlNsIb+HL8AAIdoD0lAPvw3AWg -DhUA9nhmFaAPBQBbptznoAttIASAAGAAYQBmQCkvICIS+IYD/xGi/xL4haL/LvKAxyDzwAQHMALl -AALuAu72gCoQBIAA0Q/SQNEP2mD98LoFoBtFAFjkWGP/VsCh/fC4BaAbRQBY5FRj/0bAof3wqgWg -G0UAWORQY/+ewKH98KgFoBtFAFjkTGP/jgAAbBAEKSAhwW7z8I4F4AUFAAuZEQaZAik2wRj4Yig2 -whr4Quv4QhrgBIAA/AZCHeAOFQD0eGYV4A8FAFumrRf4QMBB0w/nfNAtBGIAACgKoyg2whr4Nfvw -agXgDAUA/oBoHaA9JQD0eGYVoA8FAFumoGaggSogIQuqEQaqAio2wRn4Sik2whr4KPvwUgXgDAUA -/AZCHeAOFQD0eGYV4A8FAFumk2agPSsKYys2whr4H/vwPgXgDAUA/AZCHeAOFQD0eGYVoA8FAFum -ivFDeA3gAgUA0Q/aQPzgaB2gG0UAWOQTY/+OwKH84GgdoBtFAFjkD8Ag0Q/Aof3wJAWgG0UAWOQL -Y/9twKH98BwFoBtFAFjkB8Ag0Q8AAGwQBP3wTAWgCkUA/ERQFeAbRQBY5AApICHBbvPv+AXgBQUA -C5kRBpkCKTbBGPgYKDbCGvf36/f3GuAEgAD8BkId4A4VAPR4ZhXgDwUAW6ZiF/f2wEHnfNAtBeoA -ACgKoyg2whr36/vv1gXgDAUA/oBoHaA9JQD0eGYVoA8FAFumVmagsSogIQuqEQaqAio2wRn4ACk2 -whr33vvvvAXgDAUA/AZCHeAOFQD0eGYV4A8FAFumSWagbisKYys2whr31PvvqgXgDAUA/AZCHeAO -FQD0eGYVoA8FAFumP2agZx737S0gIiIhIMD3+bgAFrAMZQDu3QgBE/0AAAL8OB73567dLtKAx/AP -7gEOzAL9sAYVoAIFANEP2kD84GgdoBtFAFjjvGP/Xdxw+gAiHaAbRQBY47hj/6fAof3veAWgG0UA -WOO0Y/89wKH973AFoBtFAFjjsGP/hwAAbBAGJiAh9e9WBaAFBQAPAgD82AATMBjlAAhmAiZGwSVG -whv3pvwAAh2gPSUA++9EBaAOFQD0mGYV4A8FAFumEOegVG0QBIAAwKT97zoFoBtFAFjjmmYgeiZG -wSVGwhv3l/wAAh2gPSUA++8mBaAOFQD0mGYV4A8FAFumAeegYm0QBIAAwKH97x4FoBtFAFjji9EP -AAAAAAD77xIF4AwFAPwGQh3gDhUA++8IBaAINQD4mGYVoA8FAFul8eegFm0QBIAAwKT97wAFoBtF -AFjje2cvhNEPKULC+CAEHe/95gAAABv3mRz3cioRAAPFOQuqAQWqAgoKTypGwhv3cPwAAh2gPSUA -++7YBaAOFQD+mGYVoA8FAFul2uegEW0QBIAAwKH97tYFoBtFAFjjZNEPAGwQBikgIfXuvgWgGuUA -9kRQFaAHBQALmREKmQIpRsEY93QoRsIb91n84GgdoD0lAPvurAWgDhUA9phmFeAPBQBbpcPdoPFM -+A3gBTUAG/dP/AACHaA9JQD77pgFoA4VAPSYZhXgDwUAW6W52aDqFgItBfoAACxCwiwVAOaQj2zo -BIAALhkA/+gABzBv1QD/4AWDogCdACggIf0YABQwDhUADogCKEbBH/ddL0bC++5uBeAMBQD77mgF -oD0lAPaYZhXgDwUAW6Wi5qEnbRAEgAAb9y/8AAIdoD0lAPvuVgWgDhUA9JhmFeAPBQBbpZnmoRFt -EASAAClCwikVAuYgDmloBIAAKhECCgpAmjDRD9LQ0Q8AAAAAAAD97jwFoApFAPwgRhXgG0UAWOMY -iRJj/0rApP3uMgWgG0UAWOMUiRJj/zgsICBoxMXdYP3uagWgCkUA+kQGHaAbRQBY4wwjICHTD/x4 -ABGwGOUACDMCI0bBJ0bCGvcF++4KBeAMBQD8BkId4A4VAPaYZhXgDwUAW6Vw56CAbRAEgADApP3t -+gWgG0UAWOL65iBAaWgEgAAjRsEnRsIa9vX77eoF4AwFAPwGQh3gDhUA9phmFeAPBQBbpWDnoIVt -EASAACoKAf3t2gWgG0UAWOLp3SBm3yDHK9EPAADApP3tzAWgG0UAWOLjY/74wKT97cgFoBtFAFji -32P+6AAAGvbc++26BeAMBQD8BkId4A4VAPSYZhXgDwUAW6VH56AUbRAEgADApP3trAWgG0UAWOLR -Y/9aKELC+CAkHa/9UgAAAAAAABv2ySoZAQuqAipGwhr2yBv2yPwAAh2gPSUA/gAiHaAJFQD4mGYV -4A8FAFulMuevXG0QBIAAwKH97YYFoBtFAFjivGP/R2wQBiggIGmEA8Ak0Q8qICEU9rX2AAIdoAt1 -AAuqEQuqAipGwRn22ClGwhr2r+v2rxtgBIAA/AZCHeAOFQD2mGYVoA8FAFulGuf2rB0YBIAA8UGU -DeAFNQDApPz6ABWgG0UAWOKiZzBEwCXRDwAa9p777T4F4AwFAPwGQh3gDhUA9JhmFeAPBQBbpQnn -oBVtGASAAMCk/OBoHaAbRQBY4pNgAAYALELCLBUAZj+6IxEAcDd3A51EaNV2LyAh/fgAF7AIdQAI -/wIvRsHB4y5Gwhr2hhv2hvwAAh2gPSUA8iAmFeAOFQD2mGYVoA8FAFuk8IIR5qA+bRgEgAAa9nv7 -7PYF4AwFAPwGQh3gDhUA9JhmFeAPBQBbpObmoChtGASAACJCwmY/QQLSQNEPwCbRDwDAItEPwKT9 -7NwFoBtFAFjia2P/3sCk/OBoHaAbRQBY4mdj/85sEAQoICH17QQFoBblAPPswAXgBQUAC4gRBogC -KDbBJDbCGvZc6/ZcGuAEgAD8BkId4A4VAPR4ZhXgDwUAW6THZqDgGvZUG/ZU/AACHaA9JQD+ACId -oAk1APh4ZhXgDwUAW6S+ZqDMKTLC+kQwFaEHBQD/KwAF0AIVAAusEQbMAiw2wSQ2whr2Q/vshgXg -DAUA/kBoHaA9JQD0eGYV4A8FAFukrmagnCU2whr2OvvsdAXgDAUA/AZCHeAOFQDyeGYVoA8FAFuk -pWegU2AAmAutEQbdAi02wSQ2whr2LvvsXAXgDAUA/kBoHaA9JQD0eGYV4A8FAFukmWagWSc2whr2 -JfvsSgXgDAUA/AZCHeAOFQDyeGYVoA8FAFukkGagWMAg0Q8AwKT97DoFoBtFAFjiGmP/NcCk/ew2 -BaAbRQBY4hZj/+zAof3sLAWgG0UAWOISwCDRD8Ch/ewkBaAbRQBY4g7AINEPAMCh/ewgBaAbRQBY -4gnAINEPwKH97BgFoBtFAFjiBcAg0Q8AAABsEAYkICEV9ijz6/wF4AYFAPyYABIwCEUACEQCJDbB -JTbCG/X6/MBoHaA9JQD76+wFoA4VAPZ4ZhWgDwUAW6Rk56BUbRAEgADApP3r4gWgG0UAWOHuZiB6 -JDbBJTbCG/Xr/AACHaA9JQD7684FoA4VAPZ4ZhWgDwUAW6RV56BhbRAEgADAof3rxgWgG0UAWOHf -0Q8AAAAAAPvrugXgDAUA/AZCHeAOFQD767AFoAg1APh4ZhWgDwUAW6RF56AWbRAEgADApP3rqAWg -G0UAWOHPZy+E0Q8pMsL4IAQd7/3mAAAb9dUqGQDTDw8CAAuqAio2whv1xvwAAh2gPSUA++uEBaAO -FQD+eGYVoA8FAFukMOegEW0QBIAAwKH964IFoBtFAFjhutEPAGwQBiggIcCX8+tqBeAGBQALiBEJ -iAIoNsEmNsIa9bHr9bEbYASAAPwGQh3gDhUA9nhmFaAPBQBbpBwX9a36IEYVoAQ1AOV80C0G2gAA -GvWk++tKBeAMBQD8BkId4A4VAPR4ZhWgDwUAW6QP6hYCLQZiAAApMsIpFQCKEg8CAGagtCsRACog -IfNgBizSAJ0A+gAiHeAMlQD8ACId4A4VAFhfr/pEMBWgC3UA/etsBeAsBQBYy88qICH960QFoAt1 -APwAYh3gDgUAWF+kKiAh/etcBeALFQD/6zAFoAwFAFhfnyogIf3rFgXgC3UA/+sSBaAMBQBYX5n6 -RDAVoAt1AP3rRgXgDAUAWMu6KiAh+gAiHeAMlQD8ACId4A4FAFhfj8Ag0Q/ApPygaB2gG0UAWOFt -jBJnz0qCEtEPAMCk/OBoHaAbRQBY4Wdj/y0AAAAAAP1YABawDnUADt0CnREtNsEmNsIa9V/76r4F -4AwFAPwGQh3gDhUA9nhmFaAPBQBbo8rnoFVtEASAANxQ+gCCHaAbRQBY4VRmIHaPES82wSY2whr1 -T/vqoAXgDAUA/AZCHeAOFQD2eGYVoA8FAFujuuegWm0QBIAAwKH96pAFoBtFAFjhRNEPAAAAABr1 -QfvqggXgDAUA/AZCHeAOFQD0eGYVoA8FAFujrOegFm0QBIAA3HD6AIIdoBtFAFjhNmcviNEPKDLC -+CAkHa/99gApGQEqKgAKmQIpNsIa9S376lwF4AwFAPwGQh3gDhUA/nhmFaAPBQBbo5jnr4ltEASA -AMCh/epSBaAbRQBY4SLRDwAAbBAGFvVL8+o6BeAEBQD36pIF4BzlAPnqPAWnsgEA6BYALd7CgAD9 -ZgANsAIFAOsWAiRDQQAA+CAmFaAAOgCxRPaABTRiAJ0AjBIsNsEmNsIa9Qz76hgF4AwFAPwGQh3g -DhUA8nhmFaAPBQBbo3fmoHxtEASAABr1Ahv1AvwAAh2gPSUA/gAiHaAINQD4eGYVoA8FAFujbOag -Y20QBIAAJTLC5iBAaVAEgAD+pwAOUAIFAArqMBv0+ymyQSuyQQuZCgqZCgrqMAqaDPdf+4CSAJ0A -bQgNDOowDJwM95/6+JIAnQBj/+vSoNEPxyvRD8Ck/CAoFaAbRQBY4OJj/6PApPwgCBWgG0UAWODe -Y/+TAABsEAb36hIFp3IBAPz4ABOwGOUACHcCGPTaFfUE8+moBaALBQDoFgAkQ0EAAPggJhWgAYYA -ACcmwSgKACgmwhr0zPvpmAXgDAUA/AZCHeAOFQD4WGYVoA8FAFujN2agcBr0xBv0xPwAAh2gPSUA -/gAiHaAJNQD4WGYV4A8FAFujLmagXyQiwgQET3ZJO7E7dbEw07AK6jAc9L8pwkEswkEMmQoKmQoI -6jAImAxqgYZtCA0N6jANnQz3v/vQkgCdAGP/68Ah0Q8AAHUx9sAg0Q8AwKT8ICgVoBtFAFjgpnZB -q2P/5MCk/CAIFaAbRQBY4KFj/5UAbBAEGPSn8gACHaAm9QD1AGgd4BMFAG06DCRRkOZBDHKoCQAA -sSLHK9EPAABmIGcW9MMpYoTAoPpABADQBRUA/KABAt/09QDkVAMJAQqAAOlJAQ1QCoAACpkCKWaE -A+owIoJBJ4JBByIKAyIKB+owBycManEObQgICOowCCgMaoECY//wKWKECUkBCVkC+NCGFeACBQDR -D9EPAAAAbBAEwFAY9Kcogq5xji4d9H36AAIdoCz1APugaB3gGQUAbZoOLrGQsrv9wAUcIgCdALGq -x5vmkQVs0ASAANogW/+MZqD42iBb/0ZmoPAU9GMCCEf9GAAUMBnlAAmIAihGwcLwL0bCGvRe++i8 -BeAMBQD8BkId4A4VAPSYZhXgDwUAW6LJ5qC7bRAEgAAa9FUb9FX8AAIdoD0lAP4AIh2gCTUA+Jhm -FeAPBQBbor/moKdtEASAAClCwgkJT+Ygf2lQBIAA+GAGFeACBQDRDwAAAOavYW1IBIAAHPRy0w8o -woT7QAQA0AsVAP1gAQXf+fUA6boDDQEKgADoqAEKyAqAAAmIAijGhA7qMCnSQS/SQQ+ZCg6ZCg7q -MA6eDGrhDm0ICA3qMA2dDGrRAmP/8C7ChA6uAQ6+Av+QhhWv/A4A0qDRDwDApP3oUAWgG0UAWOAl -//2cDaAJBQDApP3oSgWgG0UAWOAgY//nbBAEIhrL0Q9sEAgkIhgc9EwjIAf8gJAV4ApVAP6ACBWg -OwUA/oCwFeEzAQBY4BMpQAUoCnJ5gwTAINEPABz0QY9ILUAE/oAIFaAIFQD/4AgV50UBAOMWACIr -+QAA9Q0ACvAKVQD0ICYV4DsFAFjgA/pAaB2gC4UA7RwQKeAEgABbq2Lu9DEVAemAAI0gwPCfoQ7d -Ap2gHPQt6RIEKd8CgACsu+m2ACIWRQAAiif6ACId4AwFAPtEABWgDRUAW5/QwCDRD9og7PQiGtgE -gABbqzTAINEPAAAAbBAIE/QeDwIADwIAJzKLJxYGJ3IS/eg0BaAKVQD84Ggd4DsFAFjf3/bgEKCS -AJ0AhBb0RwAV4AYFAOUWBSIhQQAA9CCGFaAFBQDwAUgNr/T1AMeNCNgBmDKJOMqV/egSBaAKVQD8 -YAgV4DsFAFjfzYs5jTidsIw4+4AmFeAKBQCaOJo5iC/vEgUh8IEAAJ6AnziYOZ4vsVX04AokYgCd -AIoW6qIRIlgFAABbn1kY8/YT8/Mogn4jMoWqiOzz9BxGQoAAqDMvMAXqMgotIASAAI4wiDyKoJoQ -KTIC+CAmFeA7BQDpIgAqaASAAPggRhXgiAEA+CBmFaAKVQBY36qPOi4wBXL5YSkKlnnhWyoKl3rh -VSsKmnvhTywKmezhYXMwBQAALQqYfeFWLwqb/8AEBGIAnQCNMmTfH/O/+K+SAJ0AGPPT/R/4jmIA -nQBk0OjAsG0IEC76AH3gMA2NFOTQQWXYIQAAY//o/GAIFeAKVQD955IFoDsFAFjfimP/FwAAGfPG -+GFmFe/+tgDHoH2gC7S78AAYDaTdHQCxuw0dFGXf9/pgaB2v/PUAW6eJY/6oizzxf/v30gCdAIoU -KzISWzAvLDErKjYT7fO1FmANAAD8YWYV4cwNAPxlRB2v/WIAiBWPLsCl/edcBaANFQD57wAPsA4F -AP+iAA9wOwUA7SICK3gEgABY32dkYEYZ86aKInmgBAorU8m2KyAH2iD6IAAF8AwVAPtjABXgDQUA -W6jd0Q8AAAAAAP/9mA2gCwUA/EcAFaAGBQD8IKYVr/5SAAAAAMCl/ecoBaA7BQD8QAgV4A5FAFjf -T/pCCBWgC0UAWBu60Q8AAABsEASKN6VC+0HIFaAMBQAsJAAjQADDmvhgCQxgK8UAZDE1BEUCbQgQ -I1ABsVfVcOkxCXvABIAAyDFj/+gpcAEsdAD7JAYN4AMFAMmYwDBtCBEnUAKxM+txDHKoBQAAyHRv -NQJj/+eoO+y0ASGCqYAA+AwCHeAHBQD1AGgd4HylAPoHIh3gKPUA0w9tOjAjUAFziwxzswnyegAV -4ABmAAAAc5sMc8MJ8nUgFeAAJgAAACM8yQd3CuN3CQKoBQAAYAABwHD8AAId4AwFAPAAZA2gK+UA -LpAAsZgOiTnsXAIMoASAALHdaNQ3I0AA5D/0bmYCgAD6Z2YN4AgFAMBQbQgaBVUK41UJBEAFAACk -iSOQAOQ/v2KrQQAAezG3Y//eAADsppIlMAsAAPbERB3gAgUA0Q/ZQP/+bA2gBQUAJUABLEQA61Ej -fhgEgADJW9hA9IBoHe/76gAAACVAASxEAOtRB34YBIAAZV/i+IBoHa/75gAAAABsEAQb8y8LOwsj -sIDaIOuyISngBIAAWNidG/MqGPMrDUQRq0uoRCRAgPJgAEUwPNUA7KQAJVAFAADrssEqYASAAFjY -kqQ884AARzANBQDt5AImEAkAANEPbBAEhiD6YAQA0AQVAABDGnYwA8Ag0Q8GMwLjJgApkASAANEP -bBAWgjcZ8xKCLhzzEukABQDAgQAA6AwAAUgPAAAvkH4ukH0tkHzrkH8hIBMAAOsWACIiAQAAKkAA -KhYBKEABKBYCK0AC6xYDJJoBAAD4gHAVoApVAPgghhWgOwUAWN63HPL+L0AGLkAFLUAEKEAHmBAr -QAibESpACZoSKUAKmRP4gXAVoDsFAPgghhWgClUAWN6qKTDYKRQ05DDaINDhAABbMa0qHDj6JoAV -4AwVAFsxWCktBSmcgCqQXtMP8UGQDeAMBQBtCAwqkF+xzOSgCWTIBQAAY//sAAArLQXqHDgl23kA -AFsxSustAypgBIAA6hw4JdltAABbMUXqHDgg2IEAAFsw9vokABWgGwUAbboPLKAAKzD8sTPryQt1 -UAUAAMAg0Q8AAAD9YNYNr/r1AMChZK/rwKL95ZIFoDsFAFjef8Ah0Q8AAGwQBiggAMOQ6YktedgE -gAAqIAHz5YIF4FyFAP1ACVwiAJ0ALQp4/UAJBGIAnQDE4n6hCS8KYn+hA8Yq0Q8sIALzhdAN4AYF -APogRhXgPtUA/4AV5CIAnQAZ8rH7gGgdoAUFAPggJhXgDwUA9yAQFeAEBQAKDUf85YYN4AoFABvy -qNMP0w/rsIAt4ASAAG0IEuSwmWVQBQAAK8CB7bEHdmAFAABj/+aJEampZJB/A5kMKZ38KZygZpBz -6v8RAqgFAADvnwIKoLgAAIgS9IBgFaAFBQD/AEYd76+BAPsABh2onx0A6YQBJEANAAD4IEYVoA8F -ALFmomsssALqxAAGAOGAAP+f+20iAJ0AyMx+qR0qsAPlr/Zl2AUAAGRRhfSgEDkSAJ0A9KAQiZIA -nQDGSvoAoh2gOwUA7PJ7GmgEgABY3i3SQNEPAAAAACwgAuTydh2wBIAA/EBAFevKBQDxgqAN4AkF -AG0IDC4gA7GZ5OAJYRAFAABj/+wAAAkIQAgPBgmPO/XgCTCSAJ0AwCAr0ADLvO9AgC6oBIAA8iAG -FaAHBQALDkf/y+YN4AoFAOtAgCpgBIAAbQgS5LASZVAFAAArwIHusSF2YAUAAGP/5sYq+gCiHaA7 -BQDs8lUZaASAAFjeBNEPAAAA80AARPvOBQB+kdpmr9crUAFkv9FqqxT9X0AVoABOAAAuusB+Mb8r -UAFkv7ncoPWAaB3n6wEA/8nGDeAKBQDrQIAqYASAAA8CANMP0w9tCBLkv5JlUAUAACvAge6xCXZg -BQAAY//fAADzQABF+8wFAP1/+5QiAJ0AZq9qaqsU/V9AFaAATgAAAC66wP5/+rwiAJ0A3KCmeOcS -ACqvAoAABcUC5YQAIRAFAAAHJwwNdQkrUABlvwNj/y7AQMaK9QIACj/6GgAi0AAuQIDzxyYNoAwF -AN9AZO8NLvCBsczi6fR3+AUAAKPJ+z/33CIAnQDmzvNm6AUAAGrLF/2fQBWgAFIAAAAAAAAA+n/2 -1CIAnQCx3ey0ACWwBQAA//ocDaACFQAAAAAAAADqxAAJWASAAPQAAh3gDwUA//egDaAEBQCLEvSA -IBWkrx0A+2AGHa/9tgCNEvSAQBWq7x0A/6AGHaLPHQD9oCYdr/1OAGwQCCMiGC8wBf5gCBWgdCUA -f0t3+gCiHaA7BQDs8fAZ6ASAAFjdni8wBSgKc/Xihg2gAgUA+eAHXCB5VQD54AfcYgCdAMCl/ePM -BaA7BQDuMgAp6ASAAFjdksosgzjTDyUyGBzxwCIwB/ygkBXgClUA/qAIFaA7BQD+oLAV4SIBAFjd -hyhQBXhDPNEP/EAIFeAKVQD946gFoDsFAFjdgC8wBfX8hg2geQUAefHc+mEIFaALBQD8AAIdoA0l -AFuI3dEPAAAAAAAc8aiPWC1QBI5Q/+AIFeAKVQDyIAYVoAgFAPggJhWgOwUAWN1t+mBoHaALhQDt -HBApYASAAFuozO7xmxUCiYAAjTDAwJyhDt0CnaAb8ZfoEgQpTwKAAKuZmJDRDwCCOC8KdC80Bf5A -ph3gAhUA/mCwFe/8NgCJOCgKdyg0Bfkgph2gAhUA/mCwFe/71gDaMP3jDgWgCwUAW6iZ0Q8AbBAO -KCAFKQqV0w/5AAs0YAUFACkyEv8igArRqfEA9UALsJG54QD1YAtwkgCdAIQnhE4mTQIlZBGHMPaQ -5hXgCRUAKWQSLCBu5/GPEzIBAAD1gAYgkgCdABjxjBrxcYks/EIoFa+dJQAtJAUsJhT94xIFr/vV -AAuZAZksKqKHKXJwKIB95WSRLVZCgACqmY4gnBb74v4FoA9FAOoWACR8PIAAKZ0B+TAAFeAAKgAp -nQMpnIAY8XboFgIvdgKAAA/uAp4RLZAHK5EpDQ1BAN0RDbsCDLsCmxQK6jCVF+oWBSJICwAA6WYA -ANCBAAAKDIoJQIgKCIoJIIgKBIoJAIgKAIrqJAAI2ASAAPwAgh2gDSUAW5ji0Q8AACpCkGSvORzx -Xos3DKoo6hYQJdhBAAArFhFbLa0sEhAd8P0e8ViPMOoSES1YBIAAWy1kH/FVLjISjTcP7gLuNhIm -mEEAANowWy0+IxYS+V/3eNIAnQD6YGgdoADyAIonKqwQW57liyz0RqQd7/zlAAy7AfpBhhXv+hYA -AAAAAAAA+mBoHaALBQD8AAIdoA0lAFsto9EPWy1HE/E9C6gR6DMIBQGxgAAM6jArMoWLsLCj7LsI -CdAEgABY3lUqcpj6YAQA0AsVAAC7GguqAip2mFjei2P+agAAAPoA4h2gCxUAW5+YLDJ//HBmFa/5 -WgAAAGwQCpIYlRn2gCNAkgCdAPIAAh2gBgUA8AD0DaA31QAA92AJjGIAnQDA0CZs6vSCwBWv66UA -/AACHaAOBQCKGI8ZC2sMqzuvL1svy6KiZiEn9oAJIJIAnQCjbyvwAGS/v/dgB4xiAJ0AakG82/D+ -gABC8A0FAG0IGi6wAbHa7aQABeAFAADrxAAHAHmAAHfhCnXKBGP/3gAAd+mOKMABwLDrxAAlcAUA -AOSDrGZgBQAA9cAbYaIAnQDA4G0IE7HureuvuSmQAeSQDGXQBQAAdKoGY//lAACxugSpDAm6OO+o -CAVIBQAA9yAAQzALBQArhADpRAwM2ASAAPff+gGSAJ0AZOKeL8AAZPKYFfDNJVLbmRAqUAD3wBZ4 -kgCdAP9AFj1iAJ0A9CBGFeALBQBtCCHr6QwF2AUAAPUgEziSAJ0AihJqkjSquqy1JVAAKqAAdakz -Y//XKPAB/eAgFaAJBQDp9AAkGCGAAPaAEeESAJ0A//0QDaANBQDRD4oSrLUlUACquiqgAIsQnxd6 -UxD1QfYN4A8VAPAAHA2gDwUAAMf/ZPH/FfCnJVLXwPDqUAAnDCeAAIgXeKEK9CDoFeAA0gAAAACV -Fg/pDPUgDriSAJ0A6hIGJ/gFAAD3IA/BEgCdAKz1qvolUAAqoAAP6Qx6Udd6Uw/1QdYN4A8VAPAA -GA2gDwUAx/9k8ZoV8I4PAgAlUp3A8OpQACcMJ4AAiBd4oQr0IOgV4ADSAAAAAJUVD+kM9SALeJIA -nQDqEgUn+AUAAPcgDWESAJ0ArPWq+iVQACqgAA/pDHpR13pTD/VB1g3gDxUA8AAYDaAPBQDH/2Tx -MhXwdA8CACVShcDw6lAAJwwngACIF3ihCvQg6BXgANIAAAAAlRQP6Qz1IAg4kgCdAOoSBCf4BQAA -9yAKoRIAnQCs9ar6JVAAKqAAD+kMelHXelMP9UHWDeAPFQDwABgNoA8FAMf/ZPDKFfBaDwIAJVK1 -wPDqUAAnDCeAAIgXeKEK9CDoFeAA2gAAAACVEw/pDO8CAAf4BQAA9SAEuJIAnQD3IAfhEgCdAIoT -rPUlUACq+iqgAA/pDHpR1XpTEPVB9g3gDxUA8AAcDaAPBQAAx/9k8F8V8D8lUp/A8OpQACcMJ4AA -iBd4oQr0IOgV4AC6AAAAAJURD+kM6JE3Z/gFAAD3IAahEgCdAIoRrPUlUACq+iqgAA/pDHpR3XpT -EPVB9g3gDxUA8AAcDaAPBQAAx/9l/JnHL9EPAPSABmCSAJ0AwJHA4P8gAERwDQUA7YQAJNgFAAD3 -YABDMA0FAPqPAAp/8bYAihas9SVQAKr6KqAAY/4MAAAAAAD14Ggd7/ZqAIoVrPUlUACq+iqgAGP+ -WACKFKz1JVAAqvoqoABj/rAAihOs9SVQAKr6KqAAY/8KAATrDAuuONng/yAARHAOBQDuhAAk2AUA -APdgAEMwDgUA+o8ACn/v5gCKEaz1JVAAqvoqoABj/zIA5OsMD0gEgAD7QgAM//8SAGhBFP/9DA2g -CRUAwCDRDwD//NgNoAkFAP/8uA2gCQUAbBAUKzAHKDAEKjAFJCIQ6TAGLEYCgAAKiALqQgcsRgKA -AAmIAunv/BxGAoAAC4gCiq4qFhz5AAQEcgwFAPgjZhWgCwUAWNVZKDAB9mSQFaBOdQD4IAAEt3g5 -APgGAAWxWBEA6YckevgEgAArFhrpFhkjjLGAAMCi/d/SBaA7BQBY24rwAxgNoE51ACsWGukWGSOL -sYAAZFKp9KAVgJIAnQDvAgAP3MKAAP/gBQQiAJ0AKiISKRIbDwIAC6oC6iYSJIGpgADslAAJUASA -AO0SHCHYwQAAW/6o56AcbUgEgADAov3fngWgOwUAWNtw8AFwDaBOdQAAwJDpFhgjEyGAACsiGPTA -CFCfjHUAjkD8QAgV4AolAOy0dCt4BIAA/d+CBaA7BQBY22HtEhor8ASAAOwSGSr4BIAA/CAGFaAK -JQD933QFoDsFAFjbWMTnGu+QHe+qKaKQKqKHGO+pLdB97++pHVZCgAD7IABEsAxFAOoSHCb8QIAA -KZ0B+TAAFeAALgAAKZ0DKZyA7e+cFVgLAAAutBGLQJ8enRroFggt3gKAAAy7ApsZKJAHLZEpCAhB -AIgRCN0CD90CnRwM6jCcHflAQCXgCwUA6xYPINEBAAAJYIgKDIoJQIgKCIoJIIgKBIoJAIgKAIrr -HCAqUASAAPwAgh2gDSUAW5cE2iBb/YPAINEPAAAAAP6gaB3v+lYAAI0gjkD9boYdoAolAP3fBgWg -OwUAWNsh8kMIFeAMBQAsRhAsRhX8goYVoA4VAC5GEv6CZhWvnVUALUQFLzAFLjIA/IImFaB0JQD+ -gAoS4gCdAPoAoh2gOwUA7O9fGegEgABY2w4vMAV08RUoCnP54A/cIgCdACkKdfngEERiAJ0AwCDA -pf3eqgWgOwUA7jIAKegEgABY2wFkIO2FOCJSGBzvMCNQB/xAkBXgClUA/kAIFaA7BQD+QLAV4TMB -AFja9yggBQ8CAA8CAPiABdqiAJ0AHO8kjyguIgAtIAT/4AgV4ApVAPIgBhXgCQUA+CAmFeA7BQBY -2un6oGgdoAuFAO0cECngBIAAW6ZIZKHEHO8Wi1DA0J2hDLsCm6AZ7xTiEgQpxwKAAKmI8wAGFaAC -BQDRD82RY/4EAAAAAABknfz1P+/IkgCdAP4jKBXv9RYA+kBoHaALBQBbl5MsIhIM2UHvkmNtWASA -AC4SHC0SGC2lCp6k/95WBaCKBQAKygKesiomEo8iZPE3wCDRDwAAAPxACBXgClUA/d4kBaA7BQBY -2r4vMAV08d8oCnB48dn6YQgVoAsFAPwAAh2gDSUAW4YcwCDRDwAA+T/qYdIAnQAuIhga7xQt+ost -5HQKygIqJhIpMBwqMB0sQhLtMB4szgKAAAqZAuowHyzOAoAADZkCCJkRCpkCfJkFLcwBLUYSLkBu -LzAgLDAhKTAO6DAiL/4CgAAM/wLqMA8v/gKAAAj/AugwIyzOAoAACpkC6UYQL/4CgAAI/wLvRhMv -Ao4AAMevmrQoIhIe7vT/YEYVoIkFAAmIAvhCRhWv/G4AjDgrCnQrNAX7gKYd4AIVAP5gsBXv+AoA -jjgtCnctNAX9wKYd4AIVAP5gsBXv96oAiif6AIId4AwFAPtEABWgDUUAW5pc6kQACVgEgABb/SnA -INEP2iD8AIIdoA01AAvgAMAg0Q8A2lD93UwFoAsFAFuluMAg0Q9sEAwf7siONBjuvxzupI02KIB9 -K8KQ+5DoFavdAQCdHA/uAe4WCS1WQoAA66oIBHxIgAAprQEpnID4IUYV4AAyAC2tAy3cgJ0ahjmF -HCTCf4c4lxilROcxDyomQoAApLT0gSgV4A4FAJ4uLiYPLiYQLiYRLiYSLiYTLiYULiYVLiYWLiYX -LiYYLiYZLiYaLiYbW4qmHO6tjSD9QAYV4DsFAO4iEi1ABIAA+EDmFaAKVQBY2kGJGCsgBx3upI4a -/KDwFaAPBQAvJRsvJCIu4Qf+oZAV4cwBAP2AAEZw/bUADbsBLyQMLMCAL1ANLiUHLyQN7+6XHmeC -gAAMuwL6QOYd4PzFAAy7Afqg8BWgHoUALiQEmSuZKSkmFZYs9kKGFaGqAQALqgIb7m32QmYVoA2F -AC0kBSyyoR3uhyokB3z7BwxoDK+IKCYULtJ9KLKjL9KA7ogID4IKgAD5H+AVoO5NAPnABAcwDyUA -+bBIFaDunQAP7jcf7nn5wQAPM8dhAP+AAIZw//UAD+42LiQjLMG+LMzY/iFmFa/MAQDsJRoj4DSA -ACzM9AwMTywlGvqi0BXgjgUA5e5qFnAzgAD+Q0QdoIwFACskFijSfQhVNn+xDgoKQVtUDCwhGgpV -NgxVNwxYLAjIHOglGylQBIAAW5fehRgc7luNJy4gBC8gBYobmhD4QUgV4DsFAPggJhXgClUAWNnp -HO5UjRyOGSQmGOJGCCFQ4QAAmi76QeYVoHk1AClEBSkkBY80lhCVEShABZcT+CBGFaA7BQD4QAgV -oApVAPgghhWn/8EAWNnX/dyGBaAKVQD8gggV4DsFAFjZ0oonwLD7RAAVoAwVAFueVIxNG+4766YA -JgB5gADrQhApUASAAAvAAMAg0Q8AAGwQBIonKqwQW5u5jizJ4fpAaB2gCwUA/AACHaANJQAL4ADA -INEPAABsEASLKykgOS0hG/9hgAEQBkUA9yYADTAAGgDakOjuIxWEPIAABNUR+KYACrAAGgDAUBzu -Hy8gDC4gDfrgAAWwKaUA+GBkHeAKBQDqNQIv/gKAAO/uAg3cAoAADrsCDLsC+mAGFeAKVQD93CQF -oDsFAFjZoJUy6jwWIRDpAAD6QGgd4AxlAFjTUCtADSxADy1AEShAECpADilADA2IAgyqAguZAgqZ -AgmIAuWAEmHQQQAA+9wCBeAMZQBY00NgAAr6gYAV4AxlAFjTPxvt/B/thvZkZh2gDGUALDQijkD8 -gEgV4AkVACk0H/5kJh3gCAUA+GPGHaAKhQAqNBwrNB3tNC8pWASAAP5kph2o3R0A/GXGHejuHQD+ -ZIYdqN0dAPxlph3gDoUA/mQGHajdHQDtNCwh0JkAAFjTJCpAEytAFSxAFy9AFilAFChAEgz/AguZ -AgqIAgmIAgj/Asj8Kjww+oJAFeAMZQBY0xeNQfxnJh3o3R0A/GcGHejdHQD8ZuYd6N0dAPxmxh3g -AgUA0Q8AbBAEHO3L/GJEFeAKVQD+QggVoDsFAFjZUyoxEtQg+2AABTAMBQDr7cMVFHuAAHqyFiki -EOTEAASHAYAALZEbDQ1LCt0MDZQ4ZEDIi0eLviW8EOMTHgrIBIAACQJpA2CGCQJnA0CGCQJlAyCG -CQJjAwCGCQJh+9skBaADFQAjthmNQC8gPyggPoJH9eAAF7ftQQDsthosZAKAAO/MAg92AoAA/4YA -DjfdAQANzALsthshEEEAAFsp1hztgh3tJu7tnh1YBIAA70IAKVAEgABbKYyCRyIsENogWylpaKFU -Ge2XiEsc7ZfpiAIKUASAAPiBZhWiW+UAWy+066QABQCZgACKQsAg5bYIJQC5gADRDwDALNEPwSbR -D/WAaB2v/LIA2kD8AUIdoA01AFsvacAg0Q8AANogWylvEu1lC6gR6CIIBQGxgAAM6jArIoWLsLCi -7LsICVAEgABY2n0c7Xoqwn8AIQQAOxoLqgIqxn9Y2rNj/2oAAAAAAPoA4h2gCxUAW5vALCJ//FBm -Fa/9UgAAAGwQBhztbfxiRBXgClUA/kIIFaA7BQBY2O8qMRLn7WgZIASAAPxhSBXrqgEA6+1eFRSr -gAB6siIpIhBkkjoskRsMDEv7jwAOMAQFAAyUOMxI1CDwAXQNoBJlAIVHJnLA+PpoFeCKBQDlUg4m -gPmAAIhzCWYRqWZtiRCLZ4u+K7Kq/WAGZGIAnQCqZiJSretSqyEIIYAAHO1KLVKqLlKr/rYoFeAK -VQDyIAYVoDsFAFjYycEgG+0BLEAMK7KHKnLTrLvt7PEd3kKAAKuqLKEpfcF7jkeO7hjtFBztBO/t -EhdoCwAA5e0SFtlBAADsAAUNyASAAAkCYQkCYQkCYQkCYYlAL+aW9dNGFeAMRQDo5pQszgKAAAyZ -AinmlSigBy+hKQgIQQCIEQj/AgX/Au/mmCbJwQAAAyCGCQJjAwCGCQJh2dDzMMYdoA0lAFuUetEP -ABztHS5gOY9gnRH6IAYV4ApVAP3gaB3gOwUAWNiaZG8Z9N/4tCIAnQCNIP7HMBWgClUA/doiBaA7 -BQBY2JLyDGIdr/yKAIo6ZKDAijssUrF6sQIqVquKPC5SqnrBAipWsY06/6AFnCIAnQD4YGgd4AYV -AOZWkCLQCwAA6RseBVPBAAAKAm0JoIYKAmsJgIYKAmkJYIYKAmcJQIYKAmUc7PeCUPJhSBXgCyUA -K1aQ+kBoHaA7pQBbLwzrpAAFAKmAAJao80EmFeANBQCdqowiZMBegkca7MPmVpEhEEEAAFspFBzs -vx3sZO7s5h1YBIAA70IAKVAEgABbKMqCRyIsENogWyinaKE4wCDRD8CAKFaqKFarKFaxKFal//kY -DaACBQAAAAAAAAAA//dIDaAEBQDaIPwBQh2gDTUAWy6tY/+Q2iBbKLQS7KkLqBHoIggFAYmAAAzq -MCsihYuwsKLsuwgJUASAAFjZwipy+wAhBABrGguqAip2+1jZ+cAg0Q8AAPoA4h2gCxUAW5sGLCJ/ -/FBmFaACBQDRD2wQCBzsuo0g/kCQFaAKVQD+YrAV4DsFAFjYNBfsiRbshx3ssyggOBXsh+TsXRbj -QQAA9QAE+xJ/BQAuCmQoIAwrwocq0oSouwm7EauqKaEp9SAM5CIAnQCNJ43eGexpK90CK7xQ6QAF -DcgEgAD4AKgd4AhFAAkCYQkCYQkCYYwgJtaWJ9aU5daaLmYCgAAIzAIs1pUpoAcooSkJCUHv3AgM -zAKAAAmIAgWIAijWmAMghgwCYwMAhgwCYS/dA//wABXgDEUA/+DGHaANJQBbk9/AINEPACowFfVA -CyCSAJ0A9UAIg5AIlQD5QAs8IAm1AJoS+UAJlGIAnQAc7H78QAgV4ApVAP4gSBWgOwUAWNf3HOww -/gLCHaJ/BQD9hgAV7/x6AMGmmhEuIAwrwocq0oSuuwm7EauqK6EpGOwx9WAERCIAnQCPJ4/+K/0C -K7xQ6AAFDcgEgAAJAmEJAmEJAmEJAmGOICf2lPfyxhWgCEUA5faaL3YCgAAI7gIu9pUpoAcooSkJ -CUEAmRHpiAIH8AsAAOWIAgdxwQAA6PaYKcgEgAAJYIYOAmcJQIYOAmX54GAloAxFAO8SASRCAQAA -/wDGHeANJQBbk6GOEZ4T/diUBaAKVQD8QAgV4DsFAFjXw44THOv7Lypw5e5RZmjBAADAINEPKjES -CgpL91/5KRIAnQAb7C77f/jTIgCdAC4iEO4WAC8I5gAAwPL+ICYV7/wmAAAAAAAAAOokAAnYBIAA -W/5V/0BoHa/+UgAAAAAAAOokAAnYBIAAW/6z/0BoHa/98gAc7Cr8QAgV4ApVAP5iZBWgOwUAWNeh -KSIQKjET6iUaJIA5gAAqlRob69UsIAwa7BwrsocPAgAqooSsuwm7EauqKKEp9QAEdCIAnQAsIgcs -wg4d69crzQIrvFDtAAUNyASAAAkCYQkCYQkCYQkCYSgiACXGmveSxhWgCUUA58aULEYCgAAJiAIo -xpUvoAcuoSkPD0EA/xHv7gIGaAsAAOXuAgbpwQAA7saYKcgEgAAJoIYNAmsJgIYNAmn9gGAloA0l -AP2QABWgCQUA+YDGHeAMRQBbk0n/+nwNoA4FACsgBywgFi0hGy4gOS8gDSghGooQkxQpIRgjIAwp -pRgopRovpA0upDktpRsiphErpAfspBYhWOkAAPNBhh3gDGUA4xIEJVDpAABY0REb6+GKKxzrkwuq -AosQLcww6rYLJRSEgAAY69wusRsI7gEutRsoMRIICEsI7gL/Y2QdoAAqACkxEim1G8Cw+iAmFe/1 -qgAAAABsEAaDJ4M+HOvPjSD+cggVoApVAP5yKBXgOwUAWNdCiicqrBBbmTUrMpBksXb1YAuYkA5F -ABrrjhzrgRXraBbrkPfXGgXgBAUA7euMFZRxAAD1YAURkgCdACQ2keQ2kCoQBIAA0Q8AAAArMpEf -6631YAqqUgCdAIUw9nDIFaA7pQD94GgdoAklAOk2kCrQBIAAWy2+66QABQDJgACWqfVBRhWgDBUA -nKgqUgIPAgBkoh8tMpGEJ+rrpBboBQAA7TaRIiBBAABbJ8Mc658d6xPu65UdWASAAO8iACpQBIAA -Wyd5giciLBDaIFsnVvlABnjSAJ0AYAH5LyAMK6JnKqJwr7sJuxGrqiihKfUABBxiAJ0AjyeP/iX9 -AitcUOwABQ3IBIAACQJhCQJhCQJhCQJhgiAm9pot9pTn9pYpFgKAAA4iAiL2lSygByihKQwMQQDM -EeyIAgHICwAA5ogCBMvBAADo9pgikcEAAAkghgICYwkAhgICYfngYCXgDEUA+TAAFeBoJQD5IMYd -oA0lAFuSviQ2kfRyBhWgYiUA0Q8AAAAAAAD8QAgV4ApVAP5gQCWgOwUA7OtmF3PBAABY1trAINEP -AAAAAAAqMogrMocoMoaYECg2qus2qyHIDwAA6jaxJMpBAAApNqXqMgAv4ASAAPogJhWgO6UAWy1k -66QABQDJgACMEf4gCBWgDRUAnaieqp6pjMJkwMcb6vwsIAwa6xQrsocqonCsuwm7EauqL6Ep9eAE -dGIAnQCNJy3SDhzq/yvdAiu8UOwABQ3IBIAACQJhCQJhCQJhCQJhGesIKCIAJtaaJ9aWKdaU/QAA -FDAJRQAJiAIo1pUvoAcuoSkPD0Hi3QIv/AKAAO/uAgERwQAA5u4CAcgLAADu1pgky8EAAAlghgIC -ZwlAhgICZS7dA//QABWgDEUA9cDGHaANJQBbknEkNpH0cgYVoAIFANEP2lD8AUIdoA01AFss7mP9 -z4oR/AFCHaANNQBbLOpj/yfaIFsm8RLq5guoEegiCAUB4YAADOowKyKFi7Cwouy7CAlQBIAAWNf/ -HOrV0w8qwpj6QAQA0AsVAAC7GguqAirGmFjYM8Ag0Q8AAAD6AOIdoAsVAFuZQCwif/xQZhWgAgUA -0Q9sEAgc6v4X6qwoMAiYFegyACloBIAAjtApcn328ggV44gBACgWBAmICO/QBCxGQoAACHcI5HIL -KhAEgAAjFgDyICYVoApVAPQgRhXgOwUAWNZhHOrr/uAIFaAKVQD+4JAV4DsFAOQWACvoBIAAWNZZ -6erlGcAEgADTD20pBQgAhgkCYRzq4Rnq4S0wCf5hUBWgClUA9SKGFeA7BQBY1k0qMAmOe+vqfxUA -UYAAC+4CLnYLjDD8IKgV4AIFAPnVaAWgGwUA/4FAApAKZQBo0TVo0l906RYm+o12IQzaUOs0AAlg -BIAAWNSlwCDRD91A+gCiHaA7BQDs6sYZeASAAFjWNWP/0AApcDjsEgQktZEAAMCgKnQ46OsCC9AE -gADrdgsp2ASAAFi4tI5780BoHa/+kgCNcAjdEZ0zLHA4acaSc+aPH+q0jBQqdDgP7gHrzAIL0ASA -AO52CynYBIAAWLimjnvzQGgdr/2yAAAAAAAAAPPf+vDSAJ0AjBQqdDj7hgAOf/5SAAAAAGwQBhLq -SxzqoigifYUx8lIIFaOUAQCpiP0IABQwClUA+EAAQTA7BQD+RzAVp1VBAP5HEBXgVU0A5BYAKugE -gABY1gLIXdog6zQACmAEgABYuIjRDyggOLGI+EcGHa//ogAAAABsEAaDJ4M+HOqKjSD+YygVoApV -AP5jSBXgOwUAWNXyKDIZFOqEF+pE5uqEFCAhgAAoMhkV6hr1AAlREAQFACgyGfUADCISAJ0AKTIZ -9SANwpIAnQAqMhnbQPVAHHwSAJ0ALTIasd38Y0YV4Gw1AH3LR/3U5AWgClUA/EAIFeA7BQBY1dka -6isb6hEf6iqOJyQ2GiQ2GS/wfSuyhyqicO7iDi3eQoAA66oIB/xogAAqrQH7UAAVoABWAI0iZNNg -wCDRDwAAKq0DKqyAK6EpdbHtGeobiKD3xMYV7+wVACzkqCnmJP0AABQwGWUACYgCKOYlL6AHDw9B -AP8RD78CBv8CL+YoDeow7eYpIchBAAD4DcIdoSwFAOzlVydYBwAA6OTGJdrBAAAJIIYLAmMJAIYL -AmEpMRqMPizmNIg/+c2EHeANJQDo5jUnWAcAAO8yECXaQQAA/8bmFeAcZQBbkXrAINEPAMCl/dRs -BaA7BQBY1Z4c6jT8QAgV4ApVAO8gOSHwQQAA/iAGFaA7BQBY1ZbaIP3UGAWiW+UAWyws+0BoHeAP -FQD8ACIdoAkFAPuCAAywDgUA6f44BQBBgACNEJ2oZe6dY/73AAAAHOof/EAIFeAKVQDvIDkh8EEA -AP4gBhWgOwUAWNWA2iD91DAFolvlAFssFuukAAV2MYAAiBD5QQYVr/l+AAAAHOoS/EAIFeAKVQDv -IDkh8EEAAP4gBhWgOwUAWNVxHOoL/EAIFeAKVQD+Y8gVoDsFAFjVayQ2GiwyHy0yIS4yHvpA6BWg -C4UAKzYZLjaqLTax7DarJVBBAABbl1Yd6fSMKxjpso8nDcwBnCsrMh4qMh8pMiEpNhCaP5s+G+mS -GumqKIB9K7KHKqJw7/IOLd5CgADrqggEfDyAACqtAftQABWgACoAKq0DKqyAK6Ep9WAEhGIAnQAc -6Z+IoPfkxhXv7RUALfSoLPYk/QAAFDAcZQAMiAIo9iUuoAcODkHpEgAvdAKAAA6+AgbuAi72KA3q -MC32KfX4xh2hLAUA7PVXJ9gHAADpDx4F2sEAAAsCZwlAhgsCZSkxGow+LPY0iD/57YQd4A0lAOj2 -NSfYBwAA7jIQJdpBAAD/5uYVoBxlAFuRAIQwJTKqHOmk+oBoHaA7pQBbK7zrpAAFAKmAAJWq9UEm -FeANFQCdqIxCZMDQLTIcZN1BwKX902wFoDsFAFjVGBjpbiQyHIMn0w8IRCgPAgDqRAABmEEAAFsl -vO3pDRpgBIAA7umEHVgEgADvIgAp0ASAAFslcYMnDwIAIzwQ2jBbJU71QAQ4kgCdABnpe4grCYgC -+EFmFaACBQDRDwAAAAAAAP3TOAWgClUA/GOIFeA7BQBY1PraIP3TJAWiW+UAWyuQ66QABWVxgAAq -PBD7YQYVr/EaANog/AFCHaANNQBbK0rAINEPiicqrBBbluGLKwS7AfpBZhXgAgUA0Q/aQPwBQh2g -DTUAWytAY/8e2jBbJUcT6T0LqBHoMwgFAjGAAAzqMCsyhYuwsKPsuwgJ0ASAAFjWVRzpLCrCmPpg -BADQCxUAALsaC6oCKsaYWNaKHelJjCsNzAL8QWYVoAIFANEPAPoA4h2gCxUAW5eUH+lCjisoMn8o -NoMP7gL+QWYVoAIFANEPAGwQBosr9IAIFaXYxQAoFQDpIDklkDiAAMCk+yYADTAAGgDakO0hGyWE -SIAAGOkpBNUR+KYACrAAGgDAUBzpJi4gDS8gDPrgAAWySeUA+GBkHeAKBQDqNQIv/gKAAO/uAg3c -AoAADrsCDLsC+mAGFeAKVQD90o4FoDsFAFjUp+U2AiHQQQAA/EnCHaALBQBYzmLHfyc0ECc0Eec0 -EiHQWQAA9mJmHeAMZQDnNBQhKOkAAOc0FSrYBIAAWM5MJzQxGuiT89EgBaBPRQD+ZmYd4A4FAP5m -Rh2gTTUA/GamHeJIBQD4ZCYdqLcdACs0MPJkph2gCYUAKTQc+mOmHaAGJQD2ZAYdoAwFAPxmhh2g -BhUAJjQ69mdmHaAMZQD8Z4YdoAolAPpmxh2gSQUAKTQk+GTGHeASFQDyZOYdqLsdAOgwHiGQ0QAA -+mXmHei7HQD6ZcYd4ivFAPpm5h3jiAEA+QYADHD5BQD5AAQEcAlVAOmIAgHRWQAA6DQeKtgEgABY -zhv6Z8AVoAVlAPqLgBXgDEUAWM4W6+kAEdAHAAD7RMAVoAxFAFjOESYk9/ZfBh2gPVUA/F7GHeA+ -dQAuJPksQBd4xwr0X0Yd4AAyAAAAAMD1LyT6JiT79F+mHeAJNQApJPwoQBcpPQHinDIkYDiAAMGh -6pQyJJDNAADB2vxABh3gBSUA9EBmHeAcxQD8QCYdoDuVAOskAiFQEQAA6xQACuAEgABYzfIb6IUr -sqAusADxwZAN4AoFANmwbQgMLJABsarkwAdkyAUAAGP/7PpA5h2gPcUA/EDGHec6AQDsNAABUCEA -AFjN4aI+J+QI9IKmFeACBQDRDwAAbBAGiyv0gAgVpdjFAPggBB2gBkUA6SA5JZAwgAD3JgANMAAa -ANqQ7SEbJYRUgAAY6IoE1RH4pgAKsAAmAAAAAMBQHOiGLiANLyAM+uAABbJJ5QD4YGQd4AoFAOo1 -Ai/+AoAA7+4CDdwCgAAOuwIMuwL6YAYV4ApVAP3RTgWgOwUAWNQH5TYCIdBBAAD8ScIdoAsFAFjN -wsdfJTQQJTQR5TQSIdBZAAD0YmYd4AxlAOU0FCEQ6QAA5TQVKVgEgABYza0lNDEtMB4Y5/H9z+QF -oB8VAC80J/xjph2o5R0A/mYGHaALhQD6Y4Yd4koFAPpkJh2gCSUAKTQg+GSmHaAJJQD4ZsYd4AgV -ACg0OvhnZh2iKsUA+mbmHaALBQD6ZoYd6O4dAP5l5h2gTDUA/GamHajuHQD+ZcYdoAxlAPxnhh2g -TgUALjQk/mTGHaPdAQD/pgAOsP4FAP+gBAawDlUA7t0CCVgEgAD8Y8Yd4E5FAP5mZh2gDQUA7TQy -IdFZAABYzX0qPD76i4AV4AxFAFjNeevoYxHQBwAA+0TAFaAMRQBYzXT6jIAV4LeFAPJgICWgDRUA -/EVmHeA5ZQD4RaYd4DxVAPxFRh2gCDUA9kXGHaAMRQDoJCwpUASAAOqsLyES4QAAWM1kJiR8+o0A -FeAMRQD6YCAloD4lAO4keyVQ1QAAWM1c2xD6YCAloD+VAP5QJh3gDCUA7CSCJVDtAABYzVUb5+gr -sqAosADxAeAN4AwFAAu5Am0IDC2QAbHM5NALZMgFAABj/+wAAAAA+mAgJaAGNQD8UMYdoD7FAP5Q -ph2nLAEA7CQABVD9AABYzUGjL6f/JfSH9oKmFaACBQDRD2wQBiYgB4giGegm9EIIFeFmAQDlgkJr -VwKAAKmqKKKeG+gh9wAR6tIAnQAkop0LaAoogr8IRAHo570SEUmAACciEoop+EFIFeB3QQAnFgAI -dwoncoDqmQwD2MEAAPsgD4PiAJ0AKyAWKAr/eLEN+kDwFaAMBQBbnIhkof8rIQcc6Av50BIF6rsB -AOroCR3fAoAADLsCm0CIIPvOuAXgTgUA/oBmFaAPVQDqRgIsbgKAAA/dAp1BLCISjRAuIRr7z/oF -osxRAOrdEQ5lgoAADN0CC90C+wYADDHNMQCpzOzAgC9yAoAAnkaYRAx8DO1GByZgwQAAnEWLKSoi -FRbnjqe756oIBdjBAADrJgklUMEAAPpCphWv6QUA+IAJ5GIAnQDmAAUCSIEAAAkCYQkCYQkCYcSG -KEQg/GJQFaALBQArRCMrRCIrRCcrRCb6hKYd4A4FAP6Ehh2gjQUADcwCLEQh5gIVAkihAAAJAIop -MBLqMQgkgHmAAPqGph2oqh0AKkQ0il76huYd6LsdAA8CAOtENiVQBQAAml5bKk/6hmYdqKodAPqG -Rh2oqh0A+oYmHaiqHQAqRDApUhH4h2Yd6JkdAPiHRh3omR0A+IcmHeiZHQApRDgoIhb4h+YdqIgd -APiHxh2oiB0A+IemHaiIHQAoRDzmAAUCeQEAAA8CYS4gBw4OQR/npwzuEf/AAEdwDVUALeadKyAW -LAr/fLEK+kDwFaA8BQBbm/GKJ/oAgh3gDAUA+0QAFaANRQBbktorIhIs+n8MuwH6QkYV4AIFANEP -2iBbnDNkrgvAINEPAAAAAAAAAOtsGClQBIAA/AAiHaANVQBbnGrAINEPAOtsEilQBIAA/AAiHaAN -BQBbnGTAINEPAGwQDCciEKNGEueHKHBviXcrIhUsIFCcGIme+CCmFeCICQDoFg0rUASAAFjMjR3n -fooYjB0b5xn6wABFMDXVAOWkAC5nQoAAq8srst2tzCzAgOwWDiVQBQAAWMyBjxiOHisiFywgWK/u -98AAQzAPBQAvZAItcG/sFgkncAkAAK5E8oAAQ3DdEQDtFg0rUASAAFjMc4oZHOdkix2qaiWkAAy7 -CyywgJwe67IhJVAFAABYzGyPGY4eKyIZLCBgr+73wABDMA8FAC9kAi1wb+wWCidwCQAArkTygABD -cN0ZAO0WDStQBIAAWMxeihoc50+LHapqJaQADLsLLLCAnB7rsiElUAUAAFjMVo8ajh4rIhuv7vfA -AEMwDwUAL2QCLXBvLCBo7BYLJ3AJAAD+gABCMN0BAONGCAboOQAA7RYGK1AEgABYzEcd5tWKGxvm -04wWqmolpAALywvrssEuZ0KAAA3MCCzAgOwWByVQBQAAWMw8jRuMFysiHa3Mps72ThAVoA0FAO3k -AiZgCQAArETjSggLYASAAFjMMhLnJaZEGObAmByjRiVkAOtxNCjgBIAA6AAFCPgEgAD+AKgd4A6l -AP4ghhXv/WUAwJBtCB7asA67LQ2/KK+qqioqoADqxAAuUASAAOSwDmZgBQAAY//YAAAAAAAAACmk -AXobLNsQbQgi7bAAJnP/AAAp4P/t5P8lU/0AAOm0ACXYBQAA6rsJdmP9AABj/9YAAC8QAPHhkA3g -BQUA2RBtCAwokAGxVeSAB2TIBQAAY//ssWrrFAAK4ASAAFjMA7Fbq0Qb5vIlsHijSrGq67IfKuAE -gABYy/2PHOkSBSLYBQAAq0TygABDcDrVACpkAOmSjyjgBIAA7wQFCPAEgAD+BKgdoA2lAP4ghhWv -+2UAwOBtCB7fkA2ZLQuYKKj/ry8v8ADvxAAuUASAAOSQCGZgBQAAY//YAC6kAXobLNsQbQgi7rAA -Jnv/AAAt8P/u9P8lU/0AAO20ACXYBQAA6rsJdmP9AABj/9YAACgQAPEBkA3gBQUA2RBtCAwqkAGx -VeSgB2TIBQAAY//ssWrrFAAK4ASAAFjLzbFbq0Qb5rwlsKADSggqrAHrsikq4ASAAFjLxu8SDCLI -BQAAqUTygABDcDjVAChkAOlyGCjgBIAA7wgFCPAEgAD+CKgdoA2lAP4ghhWv+2UAbQge2pANmS0L -niiuqqoqKqAA6sQALlAEgADkkAhmYAUAAGP/2gDAgCikAXobLNsQbQgi7bAAJnP/AAAp4P/t5P8l -U/0AAOm0ACXYBQAA6rsJdmP9AABj/9YAAC8QAPHhkA3gBQUA2RBtCAwokAGxVeSAB2TIBQAAY//s -sWrrFAAK4ASAAFjLl7Fbq0Qb5oYlsKgDSggqrAHrsisq4ASAAFjLkO8SDCLIBQAAqUTygABDcDjV -AChkAOlyFyjgBIAA7wwFCPAEgAD+DKgdoA2lAP4ghhWv+2UAwOBtCB7akA2ZLQufKK+qqioqoADq -xAAuUASAAOSQCGZgBQAAY//YAC6kAXobLNsQbQgi7bAAJnP/AAAp4P/t5P8lU/0AAOm0ACXYBQAA -6rsJdmP9AABj/9YAAC8QAPHhkA3gBQUA2RBtCAwokAGxVeSAB2TIBQAAY//ssWrrFAAK4ASAAFjL -YRvmUbFcrEQlsLADSggqrAHrsi0q4ASAAFjLWu4SDCKwBQAApkTygABDcD/VAC9kAOlyFijgBIAA -7hAFCOgEgAD8EKgd4A+lAPwghhXv/mUA+iBoHeAKBQBtCB7YkA+ZLQ6dKK2IqCgogADoxAAuaASA -AOSQB2ZgBQAAY//SKtQBfbs36tQACNgEgAAPAgDTD20IIu+wACZD/wAALoD/74T/JVP9AADutAAl -2AUAAOq7CXZj/QAAY//RAAApEADxIZAN4AIFANkQbQgMKpABsSLkoAdkyAUAAGP/7LFq6xQACWAE -gABYyyekIrIi0Q8AbBAOIhYRJCIQlB0S5haHRyRAbyYgSCsiE+dyDinQBIAA/MBoHaBEMQBYyxqX -FRvlpxXmCuY6CAonQoAAq0ulRCRAgPt7qBXgNdUAJaQA7EQABVAFAABYyw+mSisiFYQd80AAQ3AH -BQDnZAIlUAkAAJoXJiBQJEBvo6qaHvzAaB2gRDkAWMsDG+X1ih4LSwsksICmquWkACVQBQAA67Ih -KmAEgABYyvsqEhGIF40ejB2mRK1NJ9QCLMBuqESyROqiEiYXKYAAKyIXo0b8SxAVoerhAOwWDydw -CQAA7hYIK1AEgABYyuwd5XqKHxvleIwYCmoIJaQAC8sL67LBLmdCgAANzAgswIDsFgklUAUAAFjK -4Y8fjhkrIhktEhGv7gbvCCf0Ai3SEiwgYOwWECdwCQAA/oAAQjHd8QDjRggG6AkAAO0WCitQBIAA -WMrSHeVgKhIQG+VejBqqaiWkAAvLCyuywQ3MCyzAgOwWCyVQBQAAWMrILRIQjBsrIhutzKbNJiBo -59QCJmAJAACsRONKCAtgBIAAWMq/GOVPpkSjRiVkAOgABQiQBIAAAgJhkhQS5awvIACYHPYgJh3g -BQUA7xQAJ4DJgADZEG0IDCiQAbFV5IAHZMgFAABj/+yxausUAArgBIAAWMqrsVurRBvlnSWwsKNK -sarrsi0q4ASAAFjKpY8c6RIFItAFAACqRPKAAENwOtUAKmQA7wQFBMgHAADpFgYo4ASAAOmRlCjw -BIAA/gSoHaANpQD+IIYVr/tlAG0IHt6QDZktC58or+6uLi7gAO7EAC5QBIAA5JAKZmAFAABj/9oA -AAAnpAF6GyzbEG0IIu2wACZz/wAAKeD/7eT/JVP9AADptAAl2AUAAOq7CXZj/QAAY//WAAAvEADx -4ZAN4AUFANkQbQgMKJABsVXkgAdkyAUAAGP/7LFq6xQACuAEgABYynMb5WaxXKxEJbC4o0qxquuy -LyrgBIAAWMptjxzpEgYi2AUAAKtE8oAAQ3A61QAqZADpkZUo4ASAAO8IBQjwBIAA/gioHaANpQD+ -IIYVr/tlAG0IHt6QDZktC58or+6uLi7gAO7EAC5QBIAA5JAKZmAFAABj/9oAAAAnpAF6GyzbEG0I -Iu2wACZz/wAAKeD/7eT/JVP9AADptAAl2AUAAOq7CXZj/QAAY//WAAAvEADx4ZAN4AIFANkQbQgM -KJABsSLkgAdkyAUAAGP/7LFq6xQACWAEgABYyj2kIrIi0Q8c5TAb5TAoEhEMqgELqgH7AkYVr/Qy -AAAAbBAihjeGbi5ijhzlKfoAoh2gOwUA/KBoHeHuuQBY0HgS5SX8oeAA0AcFAMGIeFE/0nDRDwAA -JSAs+oBoHaAz1QDrIgwq4ASAAFjKIisiNiYg1KVKI6QA7GQABVAFAABYyh2lYqQo54QCIRAJAADR -DxXknYg9KVKBJVKQqYjrIhIsRkKAAAhVCCVSBywgRCwWOOVSDipQBIAAWMoOKxI40w/6gABG8DPV -ACPUACxQAiUWOerBO25QBIAAKV0C6ZyALtAEgABtyRIukEjupAEkyAUAAOTgD2VQBQAAJRY5+qBQ -FaAAPgAlFjms3yf0ASpQAhzkiKuiLBY17AAFAMiBAAAJAmHpFgwjQA8AAOuAWCRKAQAAKRY2+iaG -HeKFBQDogHsg0OEAAOgWMCEQCQAA0w9bIzoqHDj6JoAV4AwVAFsi5SoSOaWpLZAo8aGADeAMBQBt -CAwukCmxzOTgCGTIBQAAY//sACutA+ocOCXaoQAAWyLY6hw4I1gPAADsEjAl2fEAAFsi0+ocOCDY -gQAAWyKEHOTJLxAiLhAhLRAgKBAjmBArECSbESoQJZoSKRAmmRP4JPAVoDsFAPgghhWgClUAWNAP -HOS9LxAqLhApLRAoKRArmRAoECyYESsQLZsSKhAumhP4JfAV4DsFAPgghhXgClUAWNACG+SvpCos -sEwsFi8rshRYybMsEi8Z5EqsIqQq80AGHeA/BQD1QCToEH6FAC+kAS6kAu0cIC1gBIAA+AICHaB7 -5QBtijL3YCNh0gCdAC7QAA5PQ/8gAEfz7gEArp4u4IDv8IAmYAkAAO/EASXb+QAA7sQCJugFAAD3 -RGYd4C81AC8WNy0SN/3JIAWgClUA/CaGFaA7BQBYz9woEjdmhFD6AKIdoDsFAOzkiRxoBIAAWM/W -KxI3KmKO+2AgFeAJNQD6QABBeKq5APsgIRYiAJ0A4+SAENH9AAD7QiAVoAkVAAvqMA3qMAvbOB3k -fB7keg24LQ6MKBXkeqy7HOR5BYgoDLsoq4ijiwi4OgvqMA/qMAv7OA2/LQ7+KK67Bf8oDLsoq/+j -+w+/OgvqMA7qMAvrOB7kaQ29LQXVKA7dKK27DLsoq1XyoABGdL8NAAv/A/WRAAr0uA0A+xcADHTl -DQD11wAPcrgNAPsXAAxyv40A+/cAD/KIAQD/CAAUMv8BAPnmAA+yjo0ACO4D//AAF7HuAQAP7gLu -pAAky/0AAOWfP2VQBQAApCotEJAb5ET9yEoFoA4VAA7dN/4myBWn3QEALRSQJcYTLeTYLLA0LBYy -K7IOWMlCH+Q1LBIyKhI1KRCQ/EAAQTAOpQD0QABGMDvVAOvEACDp/QAA6gQFBsCFAADoLAAG6IUA -APglhhWv+2UA2JAOmS0LmigPAgCqiAj4CCiAAOjUAC7QBIAA5Z/hZugFAAArHH/npAEl2IUAAHq7 -LG0IIu+wACbD/wAALoD/74T/JVP9AADutAAl2AUAAOq7C3br/QAAY//WAAAAACocfyqsISugAMCQ -6RYxJYD5gABtCAwroAGxmeSwDGVQBQAAY//sAAAAAAApFjHqzAEg2f0AAOwSMSXYhQAAWMkLLBIx -6+QCFmAFAAAMIggEKggssDwsFjPrshAlUAUAAFjJAy0SM+sSNSboBQAArSL0QABFMDzVAOykACNI -DwAA6wAFBMltAAAJAmEJAmEJAmEJAmEJAmEJAmEJAmEJAmEqFjryJcYVoAkFAPQlphXgHgUAberH -DOowDuowDOw4G+PqHuPpC8otDq0oH+Porcwd4+gPqigNzCisqqOlClo6DOowBOowDEw4C8gtDoIo -D4gooswNzCisiKOECEg6DOowAuowDCw4C8stDr4oD7sorswNzCj9YABFtMoNAAyqA/NgAEb0yA0A -DIgD+7EADfLKDQD9VwANNFsNAPq3AAryyI0A/RcADDKqAQD/SAAVMogBAPsGAAwypY0AClUD/xAA -FDFVAQAIVQKmmCiNA+sWLSRCAQAA5YTbJMgFAAAvEjYZ45QoEi0qEjr5ImYVoB4FAC702vVABmgS -AJ0AK8ql+sAHdGIAnQD5xpIF4D8FAP9AJh3gfYUA/UBGHeB75QDvEjYtaASAAG3qLW6zNyjw2whM -Q/0gAEYziAEAqJgogIDswIAm6AkAAOzUASXb+QAA6NQCJ/gFAAAnpCPwADgNoCM1AAAA96BmHe/j -pQD6AKIdoDsFAOwSNCnoBIAAWM7eZjBJ+gCiHaA7BQDs45IZ6ASAAFjO2SISLrE4qCLRDwAAAAAA -APeAZh3v6aUA+CbmFe/vCgDGqvom5hWv7uIAAAAAAAAA//6QDa/jpQDApf3HBAWgOwUAWM7Ixy/R -D9EPwKX9xvwFoDsFAFjOw8cv0Q8npAH//dQNr+OlAABsEAgmIhAU4vaWFIVtJ0KBiGckQpAmYG6I -jqdV5+NyGq5CgAClRIRHJXAkKBYB63IKKdAEgADkQg4q4ASAAFjIZB3i8uvi8RtnQoAA9GAARXA2 -1QAmpACryyuywa3MLMCA7BYCJVAFAABYyFmKEqWqJXCEmhPzQABFcAsFAOukAiVQCQAA63IiKuAE -gABYyFCME6XFo1ompAIrQAjxYgAN4AwFANlAbQgMLZAJsczk0A5kyAUAAGP/7AAAAAAAAADqrAMi -WCEAAFjIQS5ACPHBsA3gCQUABEoCbQgML6AJsZnk8AhlUAUAAGP/7ACllfKgAEVwCAUAKKQEKEDo -5IEDYqgRAAArcIxqsS+jXOpyJC5IBIAAbbkSLaAA7ZQAJVAFAADk0AlkyAUAAGAADAAA+4AAR/AO -BQAu9ACrVfKgAENwOdUAKWQAKEDo8QGwDeAMBQDZQG0IDCqQ6bHM5KAJZMgFAABj/+wAACtNAeu8 -6CNQBQAAWMgWK0Do8WGQDeAJBQDaQG0IDCyg6bGZ5MAHZVAFAABj/+yyna1V8qAAQ3AEBQAkZACO -FC7gbmjhYCgiEiJw3PrnCBXgM9UA+sBoHaJ4yQDnfAYpYASAAFjIABvijhzijqJqI6QADHwLI8CA -63sLBVAFAADrssEp4ASAAFjH96I4pYKmiOSEAiEQCQAA0Q/AQPKgAEN//nYAAAArcjAscLzsFgAr -UASAAFjH7I8QihGvVfKgAENwPtUA7mQAJWgLAAAp0HDAwOSQIGbqAQAA2dBtCAwokPGxzOSADmTI -BQAAY//sAAAAAAAAAO0WBSVYCwAA67xwI1AFAABYx9eJFSuQ8PFhkA3gCgUA3ZBtCAws0PGxquTA -B2boBQAAY//ssq2tVaNW9MAGHa/8QgAAbBAMJyAHJiIQGeKz+8VoBaF3AQDsYgcrxwKAAKmIKYKe -jM78IWYVoEslAPsgJAPiAJ0AJIKdCnkKKZK/GuJM+IAEAnBJJQDoRAACIyGAAJoa+gAIHaA6BQBt -mgIIAmEsMQrJyOsyBCJRQQAAWMevLDEK+4YAFaAAIgAAAAAAKyIS+i0AB3APdQDzwvAN4AgFAPXA -FMCSAJ0A1YAtIgkrIgoFxQjypgAV4ZUBAAk+DC7sBAnjOQ27DPNgD0PiAJ0AKyAWKQr/ebES+kDw -FaAMBQBblv/zX0AN4A91AB7ihBnigiwhBxvimBrilx3ifvtwEBXqzAEA6CEaLmcCgAANzAILOwyc -QO0iACxCAoAAmEbrRgUh4EEAAJxD6kYHIeC9AAD4gEYV4Ek1APiEBh3kzB0AnBgO3gLuRgQu7gKA -AA3MAuxGASJQoQAA+EJIFaALBQD6hEYd4IkVAPqEZh3gjQUA+iFoFeGIaQD5IgAOsAxlAO/eAgRD -/QAA6O04BdgPAADtFgkl2UkAAFjHaCxiEIpu/IXmHajMHQAsRC5bJNyJGfqGZh2o6h0A/oZGHaju -HQD+hiYdqO4dAC5EMCxiEfyHZh2gDRUA/IamHeANBQD8hoYd6MwdAPyHRh2ozB0A/IcmHajMHQAs -RDj8IUgVoAoFAPxCyBXo5R0A+obmHaj+HQD4hCYd6I8dAChEJC9EJS5EJiVEJ/yH5h3gCwUA+obG -HejdHQD8h8Yd6N0dAPyHph3o3R0ALUQ87AAFAlkBAAALAmGJKSoiFaOZo6oqJhWZKRjiIe4SCCv/ -AoAAqP8u9p0rIBYtCv99sQrqIAcp4ASAAFuWa4on+gCCHeAMBQD7RAAVoA1FAFuNVCsiEiz6fwy7 -AfpCRhXgAgUA0Q8AAAAAAOokAAnYBIAAW5aq/0DQDeAPdQDAINEPAI0bLd0DJdBZC55W5FDsZuoB -AAD//+42IgCdAO0WBygECoAA8qAE59IAnQDB2PW/7WZiAJ0A6kwIC1gEgADszCApUASAAFv81Y4X -LeDZJQr39aAEBvDl9QD1oAQG8A91AO3k2S0oBIAA/GFEFa/1zgAAZc1oqkUrXCDrFgUpUASAAFv7 -1ihgbtyg9QAKmJIAnQAY4f8ugID3wARAkgCdAKWq64IhLUAEgABt6RIpsADphCAl2AUAAOSQXWRA -BQAAYABgANtg+oAARjANFQDszCApUASAAFv8sY4XLeDZJQr+9aAEBvAPdQDt5NktKASAAPxhRBWv -864AAAAAqkvrvCApUASAAFv+ZvVAaB3gD3UA/GFEFa/zLgD/QABHsA0FAC30IBvhNB/hxYoars0t -Fgb1oABC8DzVAOxUICjABIAA6gQFCMgEgAD4BKgd4A6lAPgghhXv/GUA2bAOuy0Muiiqman5KZAA -6YQALFAEgADlv+VkQAUAAMCwK6QBehsk2xDtsAAkc/8AACzg/+3k/yVT/QAA7LQAJdgFAADqs+F0 -Q/0AAC8QAPHhMA3gDAUA2BApgAGxzOWf92RABQAAnBzrFAAC0IUAAFjGqYgWhRyoVbJVwPf8YUQV -r/AaAAAAAOsSBSlQBIAAW/oJ9UBoHe//kgCJImWeAut8GClQBIAA/AACHaBNJQBblmTAINEPAOt8 -EilQBIAA/AACHaANBQBbll7AINEPAGwQBigiEiYiEBnhmPEAFAsSAJ0AJyAHijSLIvVAE7AQBQUA -82asDeF3AQAf4XIMehGvqiiinilcX/vC3gXkmR0A+QAXi+IAnQAkop0LeAoogr8IRAHs4QoSFumA -ACsiEioiCfhBSBXgu0EAmxAMuworsoAKmQwPAgAFuwjrFgEl2MEAAPsgD+PiAJ0AKyAWLAr/fLES -+kDwFaAMBQBbldPv4VUVFWGAACkhBxrhVf/CpgWqmQEA6OFTHM8CgAAKmQKZQBngp+0iACLhAQAA -7EYDIrl9AAD4gEYVpHcdAOjhSh7eAoAAC3sCm0EqIhKLECwhGvmmAA6yqlEA6rsRDVWCgAAKuwIJ -uwL4ICgV4asxAK6qKqCA7UYELmICgACcRgqaDOtGByVQwQAAmkWIKS4iFRrg1fkAAER/7QUA6e4I -BEDBAADoJgkncMEAAO4mFSJIgQAA/IAJxGIAnQD6AAgdoAhFAAkCYQkCYQkCYS1AIfiEBh2gjgUA -Dt0CLUQhLGIR/IdmHajMHQD8h0YdqMwdAPyHJh2ozB0ALEQ4KyIW+ofmHei7HQD6h8Yd6LsdAPqH -ph3oux0AK0Q8iTSaEvxgqBWgCwUA9SAHABjZHQApRDcrRCcrRCYrRCUrRCQtRDb8hmYdqN0dAPyG -ph3o3R0A/IaGHejMHQD8hkYdqMwdAPyGJh2ozB0ALEQw+oRmHejLHQAsRCLqAAUCWQEAAAsCYSkg -BwkJQQyZEa+ZJ5adKyAWKAr/eLEK6iAHIuDBAABblT+KJ/oAgh3gDAUA+0QAFaANRQBbjCgtIhIs -YhH6wogV7371AA7dAe0mEiZgBQAA7GYRJdgFAAD6woYV4AIFANEP2iBblXvv4NoVb/mAAMAg0Q8l -kICxVQUIQQhfDLT/+e0ACr/19gAAHOCN/cC8BeD+9QAuRDQtRDX8hsYdr/v1ACtEN4pusaqablsj -Vxvg5/qGZh2oyh0ALEQy83AQFejMHQD8hiYdqMwdAOxEMCJRQQAA67IhKeAEgABYxdIf4LyKEvKA -AEdwCwUA6+RQIegFAAD8hOYd6N0dAPyExh3o3R0A/ISmHejdHQD8hIYd7/tqANog63wYIul9AAD8 -jgAO8AwVAFuVisAg0Q8A63wSKVAEgAD8ACIdoA0FAFuVhMAg0Q8AbBAEKCAFKQqQ0w95gUv9wXwF -oApVAPxACBXgOwUAWMv7gyeDPuvguRlQBIAAW4i66OC4EcgLAAD5QIYVoAsFAOumBSVggQAACSCG -DAJjCQCGDAJhm6aJIsiaiicqrBBbjeDAINEPiKL7QGgd4AxFAPpAaB2gDTUAC4AAY//bAABsEASJ -KsifipjIq8Cw/AACHaANJQBYzCzRDwAAbBAOHOCdjSAuIAUvMgAoMAX0ICYVoApVAPggBhWgOwUA -WMvTH+AoHuAmHeAnF+CTjDf6MAId4JUlAPoSAh2gZlUA7MIOIg1NAABvRCJuQh8kMAUPAgB6QWYo -MG71AAigkJlVAPiADqRiAJ0AwCDRD2lE+Cowbmmh8iswBXW57I0o+mBoHaAMJQD9rIYdoAsVAFgI -JcAg0Q8AJDAF+oAHZCIAnQAuMG5p4cF1Sb6PKMCx6/RkKdAEgABYCBvAINEPq8spcniIPRLf/SNy -fipyhyIgfemICAmeQoAA46MIDEZCgADoqggBfDyAACk9AfkwABXgACoAKT0DKZyAJrSRiKCdGv4g -hhXgC0UA7hYGLEYCgAALiAKYFSuQBymRKQsLQQC7EQuZAg2ZApkYCOow+CEmFaALBQDrFgsmSAsA -AOlmAADYwQAACwyKCUCICwiKCSCICwSKCQCICwCKKxwQ/ACCHaANJQBbh1rAINEPAAAAAAAA9J/3 -ZWIAnQD6YGgdoAsVAFgH6MAg0Q8Aq8spcniIPRLfyiNyfipyhyIgfemICAmeQoAA46MIDEZCgADo -qggBfESAACk9AfkwABXgADIAAAApPQMpnIAmtJGIoJ0a/iCGFeALRQDuFgYsRgKAAAuIApgVK5AH -KZEpCwtBALsRC5kCDZkCmRgI6jD4ISYVoAsFAOsWCyZICwAA6eYAANjBAAALHIoJwIgLGIoJoIgL -FIoJgIgLEIorHBD8AIIdoA0lAFuHJsAg0Q/6YGgdoAtVAFgHuMAg0Q8AbBAEFuAME9+aJWJ4JGKB -IzB96GKHKq5CgAD0gABCcAYFAP5ioAfQBQUAJEInhE4kQhD0n+AVoAA+AAAkQqeETiRCELBEbUkT -5CANYzAFAACHhKV3KXAmyJUlXEjAINEPiXRymfPScNEPAAAAbBASHN/yLiAFjSCGKScgB/hDaBWn -RQEA/p/AFeAJFQAPnzkvFhf4IAYVoApVAPhDiBWgOwUA+CAmFaF3AQBYyx6KZ4quJSITK6KrIyIS -JBYWC1wBCzsBfLECI6KxLKKr3lD8oAQGsAsVAPxgBAYwDwUA/Y8ADnAKVQDsvzgJ6ASAAP2/pgWg -OwUAWMsLyTzaMFv/wOoWGC1IBIAA5KQABRhBgAD/IgQVoAAmAADAQMfv+gCiHaA7BQDs38YaaASA -AFjK/RPfxfCDnA3gBQUAKyIb92AQcdIAnQAtEhYlJhv1oAwhEgCdAIon/KBoHaALFQD7RAAVoA0V -AFuK0GABZwAAAAD6QGgdoCsFAO0cECvgBIAAW5ZM46QABRaJgAAlIgr7viQF4QwFAPRYAALwDhUA -Bc45/2AKyaIAnQD6AAIdoA3VAG0IF7Gq+6AKMyIAnQAAoQQAuBr/AAnBogCdAGP/4QAc356JKy9h -GAyZAiwhNSw1AighNJkw+EJoFefaAQDoNQMt0QKAAOhBEC7rgoAACt0CKkER6TYCLEcCgAAI3QIo -IhKYM/7AAARz/xkA6SAHLEfCgAD/pgAO94gBAOTvEQxhAoAA/4YADnGZAQDv34Qcz4KAAOyZAgrm -AoAADJkCmTUP3QIc33+cN/xghhXgDwUAnxgpQCifHP4iJhXgDRUA/CIGFeAMZQCcHZkZmB8Y3rsZ -3qWZHvlABfQiAJ0AHd9xDaoCL2EYmhv+wAAHco9BAP0IABQw/zkA6O4CD/wCgADv7gIA2UEAAO4W -CiDQgQAAW4XBZKDkwKX9vsYFoDsFAFjKlI0vyNvaIPpCCBXgDBUAC9AAjC7IyfpCCBXgCgUAC8AA -2iBYhfDAINEPAMCgL2EaHd9W7NH/J4e5gAD9//XzoAsFAPoAAh3gDOUADwIA0w/TD20IGu3cAiXY -BQAA+5/04+IAnQAo0f/5//SLogCdAGP/1wAA+70SBa/9IgAc30T8QAgV4ApVAO4gBSXIBQAA+ENm -FeA7BQBYym6EJ+rexBIgQQAAWxsVHN7BHd5lHt84jyDrpAAKUASAAFsazIgn4yYMJBBBAADaIFsa -qPVABjiSAJ0AwCDRDy8SFf2+XAWgClUA/mDGFeA7BQDuEhQv6ASAAFjKVy0Kci0kBRzeiSkSFuoS -BCvfAoAArLvqtgAklLEAAIon+gAiHeAMBQD7RAAVoA0VAFuKK9Kg0Q8vWtz9/+5DoAsFAGP/BgDA -INEP2mD9vYIFoDulAFsg2siularzQSYV4AwVAJyoi2LIuykSGGWc1mP83gAAAAD7QGgd4AylAPrA -aB2gDTUAWyCPY//cAAArEhfs3voZUASAAFuVecAg0Q8A2iBbGpES3ocLqBHoIggFAfGAAAzqMCsi -hYuwsKLsuwgJUASAAFjLnxzenCrCf/pABADQCxUAALsaC6oCKsZ/WMvUwCDRDwAAAAAAAAD6AOId -oAsVAFuM4Cwif/xQZhWgAgUA0Q9sEBAc3tknIAeFKY0g+ENoFaB+FQD+QKYdoApVAPggBhWgOwUA -+EOIFaAPBQD4ICYVoXcBANMPWMoHileKriYiEymiqyMiEglrAQk5AXuRAiOisSyiq95g/MAEBrAL -FQD8YAQGMA8FAP2PAA5wClUA7L84CegEgAD9vXoFoDsFAFjJ9PBiEA3gBAUA2jBb/qjqFhYtSASA -AOSkAAUUoYAA/yIEFaAAGgDH7/oAoh2gOwUA7N6vGmgEgABYyeYT3q3wl8wN4AYFACsiG/dgD3HS -AJ0A9kNmFaAEegAAAHjjD+jB/yXYBQAA62vxdmAJAADr3qId8QKAAPpBaBWnygEA6FEYLmOCgAAO -zAILqgIrITUrNQIpITSaMCsiEyk1AypBES5BEJsyKSIS6TYDL3cCgAAOzAL4YwAHNogBAOkgByxH -woAA/4YADjeIAQDk3hEMWQKAAP9mAA2xmQEA7t6HHM+CgADrmQIP3gKAAAuZApk1G96DDswCnDT6 -YOYV4A4FAJ4YKUAonhz+IiYVoAwVAPwiBhWgC2UAmx2ZGZgfGN2/Gd2pmR75QAvsIgCdAB3edQ2q -Ai9RGJob/sAAB3KPQQD9CAAUMP85AOjuAg/8AoAA7+4CANlBAADuFgog0IEAAFuExWShgcCl/bzO -BaA7BQBYyZiNL8jb2iD6QggV4AwVAAvQAIwuyMn6QggV4AoFAAvAANogWIT07yIAKXAEgAD9vLwF -oApVAPoGAh3gDQUAWMmIwCDRDwAAAAAAAAD6QGgdoCsFAO0cECvgBIAAW5Tk46QABQs5gACPKvu7 -VAXhCAUA/lgAB/ANFQAPjTn9YAVh4gCdAMCg8AAoDaAM1QAAuBp9igmxqurK9H0BCoAALlEaHN49 -7MH/JwXpgAD93/JjoAsFABzeOfoAAh3gBuUA/YBAFa/4pgAAABzeNPxACBXgClUA7iAFJfgFAAD+ -Q2YV4DsFAFjJXoQn6t20EiBBAABbGgYc3bId3VYe3imPIOukAApQBIAAWxm9iCcPAgDjJgwkGEEA -ANowWxmY+V/4MNIAnQBgALQAAAAAAP/9uA2gCgUA+7q6Ba/6JgDaUP27jAWgO6UAWx/fyK+WqvNB -JhXgCxUAm6iJUmSQVykSFmWdSWP9Ti5a3P3f7IOgCwUAY/9AAAAALxIV/bwUBaAKVQD+YMYV4DsF -AO4SFC/oBIAAWMkzLwpyLyQFHt1l7BIEK+8CgACu3f2gBhWv+e4AAAAAAAD7QGgd4AylAPqgaB2g -DTUAWx+BY/+R2iD9u9oFoAsFAFuUa2P+SwAA2jBbGYQT3XkLqBHoMwgFAcmAAAzqMCsyhYuwsKPs -uwgJ0ASAAFjKkhzdjyrCf/pgBADQCxUAALsaC6oCKsZ/WMrHY/4EAAAA+gDiHaALFQBbi9QsMn/8 -cGYVr/fCAAAAbBAEizz3uxAF4AQFAPpgsBWvlgUA/2FgB5CcJQDHjQi7AZs8/UAFhCCVVQD1QAVE -YJkFAPlBZg3gmzUAe6EDwCDRD4k4InKK7DIJJIBxgACZwI04nNGUOJQ5KHJ0ijeFMPtByBWgCwUA -+K8ACrVshQBYwqyUOJQ5lDqUO5Q8lD2UPpQ/JDYQJDYRJDYSJDYTJDYUJDYVJDYWJDYXJDYYJDYZ -JDYaJDYbJDYcJDYd5DYeKtgEgAD2YKYdoAwFAPpCCBWgDRUAW4g+KyITsLv6QmYV4AIFANEPZC9j -LSISft46fKFYlDr1RH4N4AKFAH+/G/pgaB2gC1UAWAU4jjzA+A/uAv5hhhWgAgUA0Q8CsgLyYYYV -oAIFANEPAACKJyqsEFuKtR7dky0iEos8KjAFDt0B/EJGFeCcJQB8qaZ/t6OKN8fODLsB6zYMJVBB -AABbiqqJOOJyiiSAgYAAjTmZ0I44neGUOJQ5KHJ0ijeFMPtByBWgCwUA+K8ACrVshQBYwmkmNAUk -Nh4kNh0kNhwkNhskNhokNhkkNhgkNhckNhYkNhUkNhQkNhMkNhIkNhEkNhCUP5Q+lD2UPJQ7lDrk -Ngkq2ASAAPRhBhWgDAUA+kIIFaANFQBbh/orIhOwu/pCZhXgAgUA0Q9sEA4c3WKNIC4gBYMnLyE1 -iCzyYcgV4ApVAPggBhWgOwUAWMiGjyz3urQFoAUVAPpBaBXgBAUA8+ALX1AHJQAqITW1qgoKTyol -NfPgExeSAJ0AK7EZ+2AJeqIAnQCKJyqsEFuKa4gs/bqWBa+aNQD6QKYdr/nlAPkABARwOwUA6CYM -IagLAAD2okYd4AolAO0hNSKqAQAAWMhpHNy+H9y7GtygG9y5KWJzKqKHK7B9JFSR7iIALVZCgADq -mQgF/DyAACmdAfkwABXgACoAKZ0DKZyAnBoY3K7vFgYvdgKAAPgghhWgD0UAD+4CnhUtkAcrkSkN -DUEA3RENuwIMuwKbGArqMJQb6hYJIcgLAADpZgAA0MEAAAoMiglAiAoIigkgiAoEigkAiAoAiusc -EClQBIAA/ACCHaANJQBbhBiPLA8CAA8CAOQlNSfw5IAAKiAF/+FgB5CbJQDHnQn5AZks+0PmDeCc -VQD9QuYNoJ0FAP1ABQRiAJ0ALgqT/0AErCIAnQDAINEPACUmEiQmECQmFSQmESQmE+okAAnYBIAA -WAYSwCDRD4on0w8qrBBbihgkJTX9ufIFoApVAPxACBXgOwUAWMgegyfq3PURmEEAAFsYxRzc8h3c -FR7c8Y8g66QACdAEgABbGHwjIgcPAgAjPBADOgJbGFj1QAZ4kgCdAIgsBYgC+EGGFaACBQDRDwAA -AAAAiSjjYnkkgIGAAIopmaCLKJqxlCiUKShiY4onhSD7QcgVoAsFAPivAAq1bIUAWMG+JCYeJCYd -JCYcJCYbJCYaJCYZJCYYJCYXJCYWJCYVJCYUJCYTJCYSJCYRJCYQlC+ULpQtlCyUK5Qq5CYJKtgE -gAD0QQYVr50FAPxAph3gDAUA+mIIFaANFQBbh04uMhOw7v5iZhWgAgUA0Q8AjSD+QLAVoApVAP25 -dAWgOwUAWMfewCDRDwAA2jBbGD8T3DULqBHoMwgFAfGAAAzqMCsyhYuwsKPsuwgJ0ASAAFjJTSpi -mwAxBABbGguqAipmm1jJhIwsBcwC/EGGFaACBQDRDwAAAAD6AOIdoAsVAFuKjo0sLjJ/LjaDBd0C -/EGGFeACBQDRD2wQBBnciogq+kEIFaALBQD5BgAMcAwFAPhBRhWgDSUAWMgH0Q8AAABsEATwTJAN -4JhVAIo3KzAFiq75Y0YNoJwFAP1iRg2gnTUA/WAGFGIAnQDAINEPAAAb3Awpoo4vIhj9t3wF4AQF -APJCBhXhWeEA+eFIFaHJ8QD0QsYVoqnJAO0mFy1RwoAA8ZAAFjGZuQDkVRAMykKAAPymAAqyiMEA -6lUCDEWCgAAJiAIIVQL0QkYV746lAO70dClQBIAAW4RUjCItIhIkpQr1QIYVoI4FAO7dAg1YBIAA -7SYSLnumAACIosDE+kBoHaANNQALgADAINEPAAAA+gBCHaA7BQDs3FwZ6ASAAFjHfsAg0Q8AKiIY -W/+7wCDRDwAAbBAKGNuykhga28spgocogpAqoH3lFgEszkKAAKmI/0JAB9AJFQArjQErvID6IEYV -4AAyACyNAyzMgJwSFdv/HtwLHduuhxidFI00nRmHdy3QBxjcBYd+9iDmFeHdAQCdEO0WBiO4CwAA -lxXpdBIuvwKAAOh3CA7vgoAArt38IGYV4AP2AC5yngKCNiYsT/ggaBWkZh0A98ARU6IAnQAkcp0o -gr8IRAFkQeeJFNMP6QAFCkAEgABtaQIIAmGLGS2wByyxB/1AAAYw3REA6t0QDmcCgAANzAId3CCP -Ehjb5w3MApxA+2AIFe/qFQDqRBghSMEAAJlD6EYCLd4CgAALawKbQSjwBy/xKfggAAQwDgUA7kYF -LEQCgAAI/wIF/wKfRA3qMIs1nUWMN+JFDyJRAQAA7LsICWAEgABYwOCJN4g2jheimQKIDJg2mTci -5oEtMCjk0BJiSIEAAMyKHNwAKuKADKoCKuaAiBUIIIYJAmMIAIYJAmEmdp2NNmTQZY4YjuIvEgZl -4N4oMgb5//eyUcIFABnboymSCCgWCvcgCWCSAJ0A+vPIFaHCBQACgjYmLE/4IGgVpGYdAPdACcOi -AJ0AJHKdKIK/G9uWCEQB5EEmZNP9AACauGVOzmAAswAAAAAAAPohKBWgCwUAW4PBjhkt4hIvCoAP -3QIt5hIsMCjrpAAGAgGAAMCAKKQSKKUIGNvUmKKJGYmSy5qKEQoKR2iiGooYiqf6AIId4AwFAPtE -ABWgDUUAW4bN0qDRD8Ag0Q8AABjbTIw5jTidpJyl+UBGFa//CgCKGfwAgh2gDTUAC4AAY/+zjxGN -GP23fAWgClUA/uAAB/AEFQDt0gAn+/kAAP6NAA/wOwUAWMbXwCDRD4sQ6hIIK2gEgAD7YwAV4AwF -AFuQUI0YHNuv/iAIFaAKVQD9oAgV4DsFAFjGysAg0Q8A//d8DaAEBQDAoFjDZBnbU4mYiBr5P/ZA -kgCdACIawAKCNvZJ4BWgBAUA//uYDaRmHQDAQBrbSsCKCJg0+UEGFa/7UgBsEAYa2vAmIAcY2wgp -opAqoocogH39SAAVMWYBAOqZCAR8PIAAJ50B9vAAFeAAKgAnnQMnfICIIsiCwCDRDx3bSezbRxtY -BIAA9MAHWhIAnQAMahGsqiminvUgCPuiAJ0AKaKdDW4KLuK/DpkBZJDzHdtAKiAHLyEHHtrvGNt1 -/0AAB/CqEQDqqhAP/wKAAAr/Agj/Ap+QiCDulgYiU/0AAO2WAi1XAoAA6pYDLEYCgAAISAKYkS9w -By1xKf4gAAfwCAUA6JYFL/wCgAAP3QIO3QKdlArqMJqV6JYHJNCBAAD4ZAAV4A8lAG36BQkAhgoC -YQy5Ef0gAES3hQEA5JadJBSRAACKJ/oAgh3gDAUA0w/7RAAVoA1FAFuGUvVAaB2gABYAAIw0yMnr -MgUpUASAAAvAANJA0Q8f2viO+GrhQwy6EayqKKKedINeKaKdDbgKKIK/CJkB5JBRZ1P9AACa+GWf -C+okAApoBIAA+sMAFeAMBQBbj9rAINEPAP/7tA2gCQUA9iAGFaAKBQBYwvIf2uKO+Bza84sQ7dry -Fw6PAAD//vANoAkFAADAkMDaDe00/eEGFe/+sgAAAABsEDYjIhgjMgcjMg4qIhApMCItMCEsMCAu -MBwoMB3vMB4uZgKAAO3MAg92AoAACO4CLTAA6DAfL3YCgAAP7gLvMCMuZgKAAOnMAg92AoAA6O4C -DmYCgAAPzAL/jwAMNd0BAP0EQCBQP/UAK6ISfrEIC+gMZoACLqYSK6ITfLEIC8kMZpACLKYT9aAS -JhAqNQD7oBJMIAUFAPoEgh3ghgUA+6AFzGAsZQD9oAy0IAcVAMPiftESf9F7wKL9tfYFoDsFAFjG -GNEPAC8wGCQwGegwGi/+AoAABP8C5DAbL/4CgAAI/wII/xEE/wKx/y8mFikwJCQiEP88wA3gkyUA -9SAQqJIAnQBrlLxqkrnApf21zgWgOwUAWMYDKEBuaYGmKUAFc5mgJyRk+oBoHaALFQBYAmvRDyow -GCswGewwGi1WAoAAC6oC6zAbLVYCgAAMqgIIqhELqgKxqiomFtEPLDAYLTAZJCIQ7jAaLmYCgAAN -zALtMBsuZgKAAA7MAutAbi5mAoAADcwCLMwB7CYWLfm+AADr2scaUASAAFuCpRzaPCkwBC8wBS4w -Bu0wByzOAoAA75kCDVgEgADltgcszgKAAO6ZAgHQwQAA6rYFLM4CgAANmQIMmQGZtigwAZK0BogB -KLQoLzAUKDAV6TAWL/4CgAAI/wLoMBcv/gKAAAn/Agj/EQj/Ap+4LjAQLzAR6DASL3YCgAAP7gLv -MBMvdgKAAAjuAgjuEQ/uAp65jUJl3pOIstpA/ACCHaANNQALgADRDyswGyowGC0wGSQiEOwwGi1W -AoAADaoC50IHLVYCgAAMqgIIqhELqgLncg4lSAUAACkmFnqZBLKrKyYWLDACZc5B2iBb5/8tQG75 -v/Gw0gCdACt9AvogaB2hXAUAWL9WGdnZHtnzKpKHKZKQ/8+wFaAPJQDvFBAtVkKAAKqZ8cAHL9IA -nQD3IABEsAOOAADqJAAJ2ASAAFsbOdEPKjAYKzAZ7DAaLVYCgAALqgLrMBstVgKAAAyqAgiqEQuq -AuqsASnYBIAA6iYWKVAEgABb6drRDwAAwKX9tM4FoDsFAFjFgCtAbmixaiwiEnjHIoon+gCCHeAM -BQD7RAAVoA1FAFuFWSsiEiz6f9MPDLsBKyYSLiIY+7SiBe+NxQDt5HQpUASAAFuCMiWkEigiEo8i -JaUI5ogCDVgEgADoJhIv6jYAAIiiwMT6QGgdoA01AAuAANEPKUAFc5mO+oBoHaALFQBYAc5j/4Ap -nQMpnIAd2bMb2bEa2bAlFBGPQCoWVvoqhhXgCEUA7RZaL/4CgAAI/wIvFlUukAcskSkODkEA7hEO -zAINzAIsFlgL6jArFlnlFlsg0AcAAOqscCjIBIAACWCICgyKCUCICgiKCSCICgSKCQCICgCK2kD6 -ICAl4AxFAPtqABXgDSUAW4EW0Q8AbBAEiTcomRSFmWSBmi5SA/ygSBXgClUA/bQ4BaA7BQBYxTQk -IAce2dMEBEHq2dMaTwKAAK6ZK5KeCkoK6qK/KmAEgAD3YAtCUgCdACiSnRbZzR3aBAqKAejZfRUK -oYAAKyEHJCAH+KEEFeq7AQD0IAAHsEQRAOpEEA3fAoAA5LsCD/wCgAAPmQIImQINuwKboI8gmKb3 -QEYVoAQFAJSl9UDmFaA7BQD7QGYV4A1FAOmmBC/+AoAADf8C76YBJUiBAAAFIIYJAmMFAIYJAmEf -2e+fqQzMEa7MLcad/bPaBaAKVQBYxQSIOMqEHNnq/GAIFeAKVQD+YLAVoDsFAFjE/Yk5lDuLOJuQ -ijiZoZQ4lDmMPP+CYAffnbUALTQFji4vLDh/4VrAINEPHtkoizCIN/XRaBXvmmUA+mCmHa/JBQDk -NgwkeIEAAAn/AeSFFCf5AQAAn4mfiC7ic/qiKBWgDAUA/28ADbANFQBbhEkrUhKwu/qiRhXv/p4A -AAAAAAAA/bOMBaAKVQD6BgId4A01AFjE2PpCCBWgCzUAWAFEwCDRDwAAAAAAAP/5hA2gBQUA/bN2 -BaAKVQD8QEgV4DsFAFjEzIwiZc9c60wYKVAEgAD8ACIdoA1FAFuORsAg0Q8AbBAG8iBGFeAKVQD9 -s1oFoDsFAP5gCBWn9QEA///AFeAIFQDvjzkJ6ASAAFjEuhbZWRPZXBfZpI0SGdmjmRAl0hPt0Sok -y8EAAPggJhXgACIAAAAAAMCl/bM6BaAERQD1oQAKMDsFAO9UAApwBIAAWMSpKyAHCwtB7NlIHdcC -gACmquiinipOgoAA7LwKBMi9AAD9l+gVpJkdAPkAC1PiAJ0AKqKd+iAoFeWVHQDtEgAqdoKAAP1A -BAU1jh0A5KFHZ3i9AACXoJmjmKKIIP0AABQ0/x0ACPgCmKHtABUFSEEAAAkAiu6mByVAgQAA46YG -J0g9AAD6AAgd5JkdAG2ZAggCYS4gBw4OQYoS7aEqKkaCgADoVQgPdwKAAKbuBN0M/9OmFe/dAQDt -pSou+U4AAIkSiZjxIUAN4AUFAIoSi6mVq5mwjKibwZWolamPEhbYp4389NFoFa+eZQDu9AUm/e6A -AIoSiKf1QYYV78kFAOuiACR4gQAACf8B5YUUJ/kBAACfiJ+JLmJz+oIoFaAMBQD/bwANsA0VAFuD -xS1CEosusN3tRhIhYOEAAHyxCcAg0Q8AAAAAAAD9sowFoApVAPoGAh3gDUUAWMRS+kIIFaALRQBY -AL7AINEPK/ISLkImC4pE+1oADTAMBQD/QAEFMA0VAPtACBWvu4EAW4OtjBL1gkYV7/1+AI0iyNqO -EvXCZhXgAgUA0Q8rIAfaIPyQABawDBUA/aXgFeG7AQD7YwAV5N0dAFuNt44S9cJmFeACBQDRD2wQ -DBvYbBjYhSmykCuyhyiAfdog4zo5Dd5CgADrmQgEfDyAACydAf2QABWgACoALJ0DLMyA7dhrGMgE -gADzQZ4N7/v1APphaBXgABIADQCHCQJhCQJhCQJhCQJh5AceAMiBAAAJAmMEAIYJAmEd2GwZ2G2I -oB/YbJ8W+CAGFeAORQDtFgIsRgKAAA6NAp0RKcAHLcEpCQlBAJkRCd0CD90C7RYEL2AEgAAJ6jCZ -FSUUMfojhB3gDwUA/iDmFeANJQANiALoFgko2ASAAFt/2NEPAAAAbBAEE9g3KCEMJDKBIzKQqEQJ -RBGkM4Q3KCAThE5kgB/0gQAV4AsFAPqgaB2g7AUAWL2y2lDsIBMhWIEAAFi9pCggEsqBJE0B9J0A -FaALBQD6gGgdoOwFAFi9qNpA7CASIVgHAABYvZoo+oXoNAUpkASAANEPAAAAbBAEFNhhKSEMKEJ1 -I0KEqYgJiBGoM4o39JFIFaALBQD7QcgVozwFAFi9lopPKyEM/AACHaANFQBbgzkc1//8QYQdr/v1 -APphZhXvilUAKjQF0Q8AbBAEFdhMJFKKik8ooQIjIQzIidswW4NRyKjAINEPwCDRDwAA6kIPKdgE -gAD8ACIdoA0VAFuDJRjX9yiCgSRShKg1CVURpUSFRywgE4VeZMAK6ywgItAhAABYvWosIBLIzCpd -AestASVToQAAWL1lKSENikCaJSlFGCkhDvEhwA3vi2UAk0spRRkjJQzrRAUqEASAANEPAAAAAAAA -8oFmFeAd5QAtRRnyQYQd74xlAOxEBSoQBIAA0Q8AAABsEATiSQgJMASAANMPbUoSJTAA5WQAIZgF -AADkUAZjMAUAANEPwIAolADRD2wQCBfYUf2xAAWgBhUA+kFIFeAFBQD/r44Fr4/lAPhgaB3gnVUA -8WQgDeGDBQCKuO8CAA0gBIAA9SAEIJIAnQDsrDgklQEAAPUgFOmSAJ0A9SAU6hIAnQD1IA5akgCd -APUgCVMSAJ0A0Q8AAPUgEqiSAJ0A9SARyRIAnQD0oGgdr/8iAIiufIEnik6JoOuiASSAcYAAmbCN -oJvRlaCVoYhOwPH9DwAMMA4FAAj+OGTv15xO7EYPKlAEgABb43rRDyuiEilCGIqiDLsBK0YSL5R0 -7SQFJQCZgADxQAl/kgCdAMfNDKwBnEItQhLzoA1LkgCdAIgqHNhFioiNIC4gBY+gKqAFKhYAKYIA -KRYB+QCwFaA7BQD4IEYVoApVAFjDSoMngz4tPQIt3ID3smYdoAw1AOzUkiHYDwAAJbRZJiYSJiYT -JSYQJSYV5SYRKVAEgADlJhQr2ASAAFt//uk9Ai1YBIAA6QceBWCBAAAMAmMJAIYMAmGVpogiZY7r -iKIsCgT6QGgdoA01AAuAANEPHNggiLiNIC4gBY+AKIAFmBCKsJoR+WCwFeAKVQD4IEYV4DsFAFjD -JYkniZ6ZFOOZCAlQBIAA5pSSK9gEgABbf+GJFCmdAukPHgVggQAADAJnCUCGDAJllaaLIuWmBCWL -OYAAHdd3LEISLvqSLiQFDcwCLEYS0Q8AAAAAAAAA+9/2xiIAnQBkoWz94AIdoAsFAPABNA2v/QUA -gyfq198RmEEAAFsTsBzX3R3XAB7X9o8g66QACdAEgABbE2eDJyM8ENowWxNE9UAKYJIAnQCILAaI -Apgs0Q8KihTkoB5l2CEAAHrI8XrQDbS78AAgDaSqHQAAALG7ChoUZa/3+oBoHa/89QBbivhj/k+K -RyqsEFuE3xzXvStCEgy7AfqCRhXv+RoAjV7DyP2gBpwiAJ0A9KBoHa/3IgApUhKKUihSGAyZASlW -Ei+EdO0kBSUDkYAAfqdU9KBoHa/38gDaQFvi7tEPAIpH+gAiHeAMBQD7RAAVoA0VAFuCsilAZPUg -BDiSAJ0A9SAEORIAnQD5P+pZ0gCdAPqAaB2gCwUA/AACHaANJQBYf1vRD3rgCvSgaB2v+14AAAAA -9KBoHa/2ggD0oGgdr/ZiAIii+0BoHeAMRQD6QGgdoA01AAuAAB3XGSxCEi76ki4kBQ3MAixGEtEP -AAAAAAAAAP/8CA2gCwUA9KBoHa/0hgDaQFvlBNEPACpCGFv66dEP2jBbEwwT1wELqBHoMwgFAcmA -AAzqMCsyhYuwsKPsuwgJ0ASAAFjEGhzXFyrCfwAxBABrGguqAirGf1jEUIwsBswCnCzRDwAA+gDi -HaALFQBbhVyNLC4yfy42gwbdAp0s0Q8AAGwQBIoswMT4EqId4A0lAPNACA9QBQUAiyeOvgyvAu8m -DCdwCwAALeQQKCAF+QAHzGIAnQCJKoOYKzISKPqNKJR082AFe5IAnQAY122EJxrXVAi4Aeg2EiIg -QQAAWxMjHNdQHdZz7tdPHVgEgADvIgAqUASAAFsS2SQiByRMEARKAlsStvVACDiQBhUAiyyKKigy -EvdmAA2whAUA+kGGFe+JxQDppHQkYJSAAIo3+gCCHeAMBQD7RAAVoA1FAFuCQSsyEiz6fwy7ASs2 -EuvXOxnQBIAAW38dJaQSLjISjTIlpQjk7gINWASAAO42EiaEeYAA0Q+KNyqsEFuERhzXJCsyEgy7 -AfpiRhXv/QIAAAAAAAAAAPxACBXgClUA/a56BaA7BQBYwkbRDyq8EFuEOYMnG9cD4zIOKVAEgABb -fwPlpgUtWASAAOjW/xVggQAA6KYEIcgLAAAJIIYMAmMJAIYMAmGVpokiZZ+HiKLAxPpAaB2gDTUA -C4AA0Q8AAIiiwMT6YGgdoA01AAuAANEPANpAWxKPFNaFC6gR6EQIBQGxgAAM6jArQoWLsLCk7LsI -ClAEgABYw50c1poqwn8AQQQAaxoLqgIqxn9Yw9Nj/rwAAAAAAPoA4h2gCxUAW4TgLEJ//JBmFa/6 -mgAAAGwQCBfXCSxyFCpy0fT9SBWgjQUA7nLkJgDpgADrMpMtVkKAAK6qbckLjKeMzi7CqnvhDK2q -wND8IKYV4ABOAAAvwq3qFgUn+AUAAC/GrYpNKKEC6UIOJAfxgAApkQJkkPNbfZgY1jUogn8lcuTq -iAgNMASAAOpCDixGQoAAqFVbfZEY1u0Z1uob1unuUAch+AcAAO0SBSf5IQAA/iCGFeDzxQAD7gEs -0AciVhCbXZ1ZlluZXxPW4PihxhWhzAEADswCo6MjVTUsVAcu0Dkc1tsp8kAt0AcpVhL56CgVoDsF -APiiZhWgClUA/+/EFeHdAQDvVTQpeASAAFjB1o1NHNbQLnIVLdEClRDoUgAreASAAPIgRhXgClUA -+CAmFaA7BQBYwczwotAN4AQlAC8gbvqAaB2gDhUAD+o59UAEeJAMBQD1QAZ5H+OlAMg82lBYfSbS -MNEPwCzRDwAc1rr8oAgV4ApVAP6g6BWgOwUAWMG5KCBuGtYulSrpUgokBmGAAAqaAppajSyMFATd -AvxBhhXvjYUA7VR0JmAHAAArwCEuwCLtwCMt3gKAAA67AuzAJC3eAoAADbsCCLsRDLsC61YcKtAE -gABb947SoNEPLnLqi+su7Cx+sUePsYmwKfYAKLIAL4YBLLYA7LYBJYKhgADuUgAl+/8AAC72Poxa -Lbz4nVf7hgAOMAMFAPyhRhWv/PIAAAAAKHLqi4kojCR4uQv//JgNr/NFAAAAAACJsY6wnpCNsJnR -nLDstgEt/aYAAP/8GA2gAwUA+KFGFe/85gBsEAwe1dYb1bgc1dIY1dEpspArsocogH3t1dAZUASA -AOQ0ES3eQoAA65kIBHw8gAApnQH5MAAV4AAqACmdAymcgIignRD+IMYVoAtFAOwWAixGAoAAC4gC -mBEvkActkSkPD0EA/xEP3QIO3QKdFAzqMPwgphWgCwUA6xYHIMiBAAADYIgJDIoDQIgJCIoDIIgJ -BIoDAIgJAIrbEPwAgh2gDSUAW30w0Q9sEAQT1dclMoooUhAogQIqCobmMoQho0EAAOSBWmK5QQAA -iSUoMnWpiAmIEQhmCClgBXqRBSr6hipkBSpSEFt84yRCgCMyhKpECUQRpDOENx/VQIRO/mHGFeAO -FQAuNhP+YkYVoA0FAC02FC02EYwo+0BoHeHM8QDsNG4qUASAAFsdiBzWMy5hGfzDBBXv+vUAmj+J -JfhhphXgOwUA9mFmFaAIBQD4ZqQdoApVAFjBK/pAaB3gBgUA+oBAJaFcBQBYutsqQojTD9MP/0JA -ARAMJQArMG/TDw8CAAy7Ais0b/9BoADQDkUALTBvDt0CLTRv/0JgAJAIhQAvMG8PAgAPAgAI/wIv -NG/8AGIdp9rBAP9BoAFQCxUAKTBvC5kCKTRv/YJgHeAfBQAuMG8PAgAPAgAP7gIuNG/pMgAiYAcA -AC3Bki01NCzBkyw2FitCiys2GCpCjCo2FylGhihwOCg0cCJSE5Y45jYJIRAFAADiVhMpkASAANEP -wCDRD2wQBiQgIfOp6AXgBQUA/JgAEjAIdQAIRAIkNsElNsIb1PD8oGgdoD0lAPup2AWgDhUA9Hhm -FeAPBQBbg1rnoFNtEASAAMCk/anOBaAbRQBYwORmIHkkNsElNsIb1OH8AAIdoD0lAPupugWgDhUA -9HhmFeAPBQBbg0vnoGBtEASAAMCh/amyBaAbRQBYwNXRDwAAAAD7qaYF4AwFAPwGQh3gDhUA+6mc -BaAINQD4eGYVoA8FAFuDO+egFm0QBIAAwKT9qZQFoBtFAFjAxWcvhdEPKTLC+CAEHe/96gAAKhkA -KyoAC6oCKjbCG9S9/AACHaA9JQD7qXQFoA4VAP54ZhWgDwUAW4Mn56ARbRAEgADAof2pcAWgG0UA -WMCx0Q8AAGwQBo4z/cJgQt/spQDqVAAJ2ASAAFi/FMAg0Q/9q04FoApVAPxgSBXgCwUAWMCkiDCG -MhnVovEABZqQDAUAJDIDdpsH//8UDa/spQD0gAiJkgCdAOsiByoCCoAA+k7wFaAM1QD8XwAOMAkV -AOuyDioBCoAA78cMfTgEgAAtIA3TD2XfvwCcGuwWACMDMYAAC08L6sgCCmgEgADs1YkTcAUAAOgk -dyf4FwAA//0EHaAKRQD//SQdoAsFAFjAgRvVgQtLCiuyf8iz2iALsABkcGjdQP7AaB2gClUA/ar2 -BaALBQBYwHf//JANoAwFAGP/HADdQPeAaB2gClUA/aroBaALBQBYwG8qIHfHvwtrAwuqAQoKR+ok -dyP+aYAAZa/FwKX9qtYFoAsFAFjAZYonKqwQW4JYY/+tAAArIHfTD2S/jsCl/arIBaALBQBYwF0b -1WLs1WIZUASAAFi80mP/cAAAW4HrZa7swKL9qroFoAsFAFjAU2P+zABsEAaEJxXVWSkgd4RO9gAC -HaADBQD6YAgA0AdVAPSAoCWgqZ0Af6djK0HosLsLC0/rRegtgsYAAOkWAiGc7QAALCAN6iAMLgNG -AADpFgItVgKAABnUhgoIRwmICpgRKILkCooUmhALgAAKCUHokVxtWASAAGiTVyhSf9og0w8LgAAq -QejpEgIlA7mAAOVcBCMwIQAA5EwIIZgFAADgMAQDu/0AAP733A3gqZ0AYABwG9TpiiArsn+ZEguq -DP1AABUwCxUA+0YADX/+LgB8pxmNJ43eiRKm3f2gwCXgDBUA/a0EHa/+pgAAAIgRKILm+iAIFa/5 -xQAJuwELgABj/3cqIHf6YAQA0AsVAP1gAQXf/PUADLsDC6oB+k7mHa/9wgAb1RDs1RAZUASAAFi8 -gMAg0Q8AAGwQBBfUDPeqGgWgCSUA/EdgAd/4BQAJLDYMDEcDzBGmzCvCzAi7AQs7AivGzArqMCVy -QapVBOowBFQMakEObQgIDeowDV0MatECY//waCI3CSw2DAxHA8wRpswrwuwIuwELOwIrxuwK6jAO -6jAlckEKVQgOXgxq4Q5tCAgN6jANXQxq0QJj//BvImUW1O0JJTYFBUcDVRGmVSZSTAhmAQY2AiZW -TATqMA7qMCJyQaQiDi4MauEObQgICeowCSkMapECY//wJlJsCGYBBjYCJlZsBOowCuowInJBpCIK -KgxqoQ5tCAgI6jAIKAxqgQJj//DRDwBsEAQX1NMZ084Y1M/8SQAB0AolAAotNg0NRwPdEQjdCCzS -y+RPEQn2AoAAD+4CB8wBDswCLNbLC+owJpJBC2YIBeowBWUMalEObQgIDuowDm4MauECY//waCJB -Ci02DQ1HA90RqN0s0uvkTxEJ9gKAAA/uAgfMAQ7MAizW6wvqMA/qMCaSQatmD28MavEObQgIDuow -Dm4MauECY//wbyJwGNSrCiY2BgZHA2YRqGYoYkvkRREJngKAAAUzAgeIAQg4AihmSwXqMA/qMCKS -QaUiDy8MavEObQgICOowCCgMaoECY//wJWJrB1UBBTUCJWZrBOowCuowIpJBpCIKKgxqoQ5tCAgI -6jAIKAxqgQJj//DRDwBsEAQY1I8Z1I8X03z3pxYFoA0lAP2nDAWgCgUA69SGER2xAADllAANIASA -AA0vNg8PRwP/Eav/LvLfA51ADYU5Bj0B9cAEB3BTWQDtdDgKrIKAAPSGAApwU1EAA1URBe4CBO4C -LvbfDeowJcJBrVUO6jAOXgz9wmAg0A0lAG0ICATqMARUDGpBAmP/8GgiaO6UAA14BIAADSU2BQVH -A1URq1UkUv8Dm0ALjjn+gAQCMLNZAOY+AQ3cgoAA/uIAD7DjUQDr+wIPdMKAAA5EAgtEAiRW/w/q -MA7qMCvCQa+7Dr4MauESbQgIDuowDr4MauEGY//wAAAAAPRABSlSAJ0AHtRODSs2CwtHA7sRrrsl -sl/2YAQHMgIFAPJgBAEwBBUA8o0ACTAPBQAOTzj+7QANcONRAPMNAAywQ1kA5ZUBCiSCgADqRAIP -HMKAAAQzAgU1AiW2XwTqMA/qMCLCQaQiDy8MavEObQgIDeowDS0MatECY//wJbJ/BZUBBTUCJbZ/ -BOowDuowIsJBpCIOLgxq4Q5tCAgI6jAIKAxqgQJj//DRDwBsEARoI0X3qE4FoAclAAcnNgcHRwN3 -EfbgAEMwawUA7DQAC1AEgABYP50a1B8rKgDTD+p6CApgBIAAWD+YyEvaYPygaB2gG/UAWD+UFtMP -/E8gAV/pBQD7qCoFoAclAAcnNgcHR/j4ABOwawUA6noICeAEgABYP4ka1A4rKgAPAgDqeggKYASA -AFg/hPCDwA3v6QUAHdP/rX0s0ucJzAEMXAIs1ucL6jAqYkGrqgvqMAurDGqxFW0ICA7qMA6uDGrh -CWP/8AAAAAAAAAD0QAlhUgCdAC36n/2n3gWgCyUACys2CwtHA7sRDLsILrJHDe4BDj4CLrZHDOow -D+owKmJBDKoID68MavEPbQgIDOowDKwMasEDY//wAC7a/y+yXw7/AQ9PAi+2XwzqMA/qMCpiQayq -D68MavEObQgICOowCKgMaoECY//wKLJnDYgBCDgCKLZnD+owDOowKmJBr6oMrAxqwQ5tCAgM6jAM -rAxqwQJj//Atsn8O3QENTQIttn8M6jAN6jAqYkGsqg2tDGrRD20ICA7qMA6uDGrhA2P/8ABkQFct -skcJ3QENXQIttkcM6jAP6jAqYkGsqg+vDGrxDm0ICA7qMA6uDGrhAmP/8CyyZwnMAQxcAiy2Zwrq -MA/qMCliQaqZD58MavEObQgIDeowDZ0MatECY//w0Q8AAAAAAABsEAQW06slYoL5pTwFoAmVAOdi -fSKDmYAAAncICXcRB1cIJnAj/MTgCVAEBQBmYB8jcCxoMiJoMR9oNhxoMxloNE/AQgQiCggiCiIi -W9EPAHlh3GtqAmtpCgQiCggiCiIiW9EPaDVNaDc9/GHgBFAEBQD8ZkAE0AW1AHUxKgQiCggiCiIi -W9EPDCIRooIiIlvRDyNwNnkxwGk5psAxAyIKCCIKIiJb0Q/AMgMiCggiCiIiW9EPwDEDIgoIIgoi -IlvRDwAAbBAEGtN6KaKCFdJt8yTQDeAMtQAron2iuwm7EaubKrAj/UYgCVANlQBmoCkqsCxoojFo -pS71QBSaEgCdAPVAFBiSAJ0A9UATghIAnQDwAFQNoAklAAB9odJrqgf5QBHskgCdAMCQAiQJpJQF -RAokQnv0YAk8kgCdAPxgCPwiAJ0A9GAIuRIAnQAECkLzpp4F4AclAAcnNgcHRwN3EeNzCA03AoAA -9kAIQV+K9QD0QA3ZEgCdACwy4ArMAQxsAiw24AvqMAjqMClSQauZCJgMaoEObQgIDeowDZ0MatEC -Y//wfEcX2iD8AAIdoGsFAFv/EmAAGgAAAAAAfE/n+kBoHaALBQD0hAAGsgwFAFv/CuokAApYBIAA -W/6fc0dpHNM0LTLcBNpC7N0BDVXCgAANrQItNtwL6jAO6jApUkGrmQ6eDGrhDm0ICA7qMA6eDGrh -AmP/8B7THq5+LeJ8DN0BDa0CLeZ8C+owD+owKVJBq5kPnwxq8RBtCAgP6jAPnwxq8QRj//AAANog -9FAABbHEmQBb/jt2TwzRDwAAAP/7sA2gCkUA+kBoHaO0qQBb/ffRDxrTECsKcA8CAOp6CAtgBIAA -WD6ELDLgKfqPCcwBDGwCLDbgC+owKlJBq6oL6jALqwxqsQ5tCAgN6jANrQxq0QJj//Ab0varey2y -QAndAQ1tAi22QAzqMA7qMCpSQayqDq4MauEQbQgIDOowDKwMasEEY//wAAAssmAJzAEMbAIstmAK -6jAN6jApUkGqmQ2dDPe/9OCSAJ0AbQgNDeowDZ0M97/1GJIAnQBj/+sCJAkFRAr0j2gVr/gqAAAs -MsAKzAEMbAIsNsAL6jAI6jApUkGrmQiYDPcf8riSAJ0ADeowDZ0Ma9H2Y/5GAAAAaDVDaDc4aDgF -aDkyfDEv//bYDaAJBQAAAAAAAC6wNvnf7GzSAJ0A//Z4DaAJFQAvsDb9/+tVYgCdAGP9iQAA//YY -DaAJJQD/9fgNoAkVAGwQBBfSvyVygubRshKK6YAAKHJ9oogJiBGoWCeAI/zkwAlQCZUAZnAeI4As -aDImaDEjaDYgaDMd9GAKqhIAnQDwAFANoAMlAHlx3Wt6B/jgCTSSAJ0AwDADJQoGVQolUlsT0qrj -UwECiDiAAMJU9GYACvAAJgDAWAU1AvelMAXgCSUA/EegAd8YNQAJKzYLC0cDuxEHuwgqsoQIqgEK -WgIqtoQE6jAjYkEEMwgK6jAKOgxqoQ5tCAgM6jAMPAxqwQJj//BoIjkJKzYLC0cDuxEHuwgqsqQI -qgEKWgIqtqQE6jAN6jAjYkEEMwgNPQxq0Q9tCAgM6jAMPAxqwQNj//AAbyJjFNJ3CSM2AwNHAzMR -pDOHNAh3AQdXApc0BOowDeowImJBpCINLQxq0Q5tCAgE6jAEJAxqQQJj//AnMiQIdwEHVwInNiQE -6jAH6jAiYkGkIgcnDGpxDm0ICAjqMAgoDGqBAmP/8NEPDCURpWX0q2gV7/u6AABoNTxoNzFoOAdo -OSvAi3gxJv/7LA2gAwUAI4A2+H/19GIAnQD4f/UE0gCdAP/6wA2gAxUAAAAAAP/6kA2gAyUA//pw -DaADFQBsEATqJAAJ2ASAAFv+oQqkAus0AAlQBIAAWAHzE9E++6R+BeAFJQAFJTb0QAaRkgCdAANZ -EfsgAETz1KkALZaIDOowKjJBrKoI6jAIqAxqgRBtCAgM6jAMrAxqwQRj//AAAAT9RS2WiQzqMA3q -MCoyQayqDa0MatEQbQgIDuowDq4MauEEY//wAAAErUQtlooM6jAP6jAqMkGsqg+vDGrxEG0ICA7q -MA6uDGrhBGP/8AAABH1CLZaNDOowD+owKjJBrKoPrwxq8RBtCAgO6jAOrgxq4QRj//AAAAQMRiyW -jArqMA/qMCkyQaqZD58MavEObQgIDeowDZ0MatECY//w9EAGoRIAnQADWRH7IABE89SpAC2WqAzq -MA7qMCoyQdMPrKoOrgxq4RBtCAgL6jALqwxqsQRj//AAAAT8RSyWqQvqMAzqMCoyQauqDKwMasEQ -bQgIDeowDa0MatEEY//wAAAErEQslqoL6jAO6jAqMkGrqg6uDGrhEG0ICA3qMA2tDGrRBGP/8AAA -BHxCLJatC+owDuowKjJBq6oOrgxq4RBtCAgN6jANrQxq0QRj//AAAAQLRiuWrArqMA7qMCkyQaqZ -Dp4MauEObQgIDOowDJwMasECY//wFtHS9EAFyVIAnQADUhH2QABFM7SpAJuoDOowDeowKTJBrJkN -nQz3oAeQkgCdAG0IDQ3qMA2dDPegBwiSAJ0AY//rAAAa0c0E90XqKggL2ASAAFgAPhrRyeoqCAvY -BIAAWAA6GtHHBKdE6ioIC9gEgABYADYa0cTqKggL2ASAAFgAMxrRwQR3QuoqCAvYBIAAWAAuGtG+ -6ioIC9gEgABYACsa0bsEBEbqKggKWASAAFgAJxrRuOoqCApYBIAAWAAjBQpHA6oR90AARTAMFQAs -pqIL6jApMkGrmQvqMAubDGqxEG0ICAvqMAubDGqxBGP/8AAAwMAspqIL6jAM6jApMkGrmQycDGrB -Dm0ICA3qMA2dDGrRAmP/8NEPAAArpigM6jAO6jApMkGsmQ6eDPff+JiSAJ0AbQgNDeowDZ0M97/4 -EJIAnQBj/+sAAABsEAQY0QeoKJOACOowFdB4JVJBqFIE6jAEJAxqQQ5tCAgJ6jAJKQxqkQJj//DR -DwBsEAQY0YYDJxGodyNyu/5nwA/QBQUAGNBq96MCBaAAPgApcrvvnyhyqAUAAHZRLQPqMCKCQQMi -CArqMAoqDGqh320ICAnqMAkpDGqR02P/8Cpyt/r25hWgAgUA0Q/HK9EPAAAAbBAE9IALiRIAnQDL -OfRgC3iSAJ0A9GAK+RIAnQBoMydvNwf0YArKUgCdAPRgCkuSAJ0AbzkCbzgObzoH9GAKBNIAnQBg -AA0AwDAV0V0OMxGlMyMyf/WihgWgCCUACCg296B+BaeIAQD5GAAUP3cFAOSECAEQ04AAKULAB5kB -CTkCKUbABeowImJBpSIJ6jAJKQxqkUptCAgK6jAKKgxqoT5j//AAAAAA9EAG4RIAnQAoQuAHiAEI -OAIoRuAF6jAL6jAiYkGlIgsrDGqxDm0ICAnqMAkpDGqRAmP/8NEPAAApQuAHmQEJOQIpRuAF6jAK -6jAiYkGlIgoqDGqhDm0ICArqMAoqDGqhAmP/8BTRF6SEKUJAB5kBCTkCKUZABeowC+owImJBpSIL -KwxqsQ5tCAgF6jAFJQxqUQJj//AoQmDTDweIAQg4AihGYAXqMAjqMCJiQaUiCCgM9x/7+JIAnQBt -CAgJ6jAJKQxqkQJj//DRDwAAAAAAAP/7OA2gAyUA//sYDaADFQAoQsAHiAEIOAIoRsAF6jAK6jAi -YkGlIgoqDPdf+bCSAJ0ACeowCSkMa5H20Q8AAABsEAQYz+gX0Or8jOABUAklAGQwX2gxJGgyWWgz -Vm83Am80GGg3TW85Am84R/RgBgVSAJ0A9mAFxNIAnQAJKzYDuxH3YABF8Pr1ACq2hgXqMAPqMCKC -QdMPpSIDIwz2YAR4kgCdAG0ICAzqMAwsDGrBSWP/8G8iRQItCQjdCi3Se3bWb2g4bGg5acDrfjFk -CSs2A7sR92AARfCqBQAqtoYF6jAigkGlIg/qMA8vDGrxPwzqMAwsDGvB9tEP0Q8JKjYDqhH3QABF -cAX1ACWmhgPqMA3qMCKCQdMPoyINLQxq0Q5tCAgL6jALKwxqsQJj//DRDwAJKjYDqhH3QABFcAVV -ACWmhgPqMAzqMCKCQaMiDCwMasHabQgIC+owCysMarECY//w0Q8AAGwQBvSACgkSAJ0Ayj5oMST0 -YAmhEgCdAGgzIW83Am80E/RgCRuSAJ0AbzkCbzgNbzoZbjkW8AAYDaADFQDAMBXQqQ40EaVEJEJ/ -lBAWz4z1oRoFoAglAPxHQAHf9wUACCo2A6oRpKorooCJEAe7AQuZAimmgAXqMCNiQaUzCeowCTkM -apEObQgIC+owCzsMarECY//waCI4CCo2A6oRpKoroqApEgAHuwELmQIppqAF6jAM6jAjYkEFMwgM -PAxqwQ9tCAgL6jALOwxqsQNj//AAbyJsCCM2AzMRpDoprQSJkIgQB5kB6YkCBVATAACZoAXqMAzq -MCJiQaUiDCwMasEObQgIBeowBSUMalECY//wGdBeqTklkiAHVQEFhQIlliAE6jAJ6jAiYkGkIgkp -DGqRDm0ICArqMAoqDGqhAmP/8NEPAAAAAAD/+8wNoAMlAGwQBBfQVSVygubPSBKLaYAAKHJ9oogJ -iBGoWCeAI/zkwAlQCZUAZnAeI4AsaDImaDEjaDYgaDMd9GALKhIAnQDwAFANoAMlAHlx3Wt6B/jg -CbSSAJ0AwDADJQoGVQolUlv3oGgF4AklAPxJYAHf6AUACSs2CwtHA7sRB7sIKrKDBZ1R/7AAFrHF -2QANzAIIqgEMqgIqtoME6jAjYkEEMwgK6jAKOgxqoQ5tCAgM6jAMPAxqwQJj//BoIkUJKzYLC0cD -uxGnuyqyowWdUf+wABaxxdkADcwCCKoBDKoCKrajBOowDeowI2JBpDMNPQxq0Q9tCAgM6jAMPAxq -wQNj//AAbyJzGtANCSc2BwdHA3cRqneKc/Q7AAHxRckA6KoBCieCgAAEMwIKOgKacwTqMA3qMCJi -QaQiDS0MatEObQgICeowCSkMapECY//wJXIjCFUBBTUCJXYjBOowCuowImJBpCIKKgxqoQ5tCAgI -6jAIKAxqgQJj//DRDwwlEaVl9KtoFe/7egAAaDU8aDcxaDgHaDkrwIt4MSb/+uwNoAMFACOANvh/ -9XRiAJ0A+H/0hNIAnQD/+oANoAMVAAAAAAD/+lANoAMlAP/6MA2gAxUAbBAG2iDrNAAKYASAAFiM -gGaiivWeOgXgCRUAFs/nAycRpnYsYoAdz+gNzAIsZoAqYoYbz58LqgIqZoYYz+Sod4t0HM/jDLsC -K3YEKHIdKioACogC6HYdIQ5pgAD0QA7YkgCdAPRAD0kSAJ0A9EAQwZIAnQAsYoYdzwztzAENWgKA -AAy7AitmhihQffMABGfSAJ0A6iQACdgEgABb/2XaIOs0AApgBIAAW/8N2iDrNAAKYASAAFv+wPqk -CBXgCgUA9B9CHeACHgAAAGgxBW83Sm40R90g8iAoFeAKRQD9n3oFoAsFAFi6lR7PvBvOqq4z7M6p -GdAEgABYOx3iEgAp0ASAAPudSAXgDAUAWDsZ2iBb/iLxWLgN4AkVAChigBrPrwqIAShmgIJzCSIC -knMvbQSP8On/AgMQEwAA/kAGFeACBQDRDwCxqnWhHwnqMKuZDOowDJwMasHsbQgIDOowDJwMasHg -Y//wAAAA3EDqJAAJ2ASAAFv+IvZAB3lSAJ0ALiz+/ACiHeAEpQD/ogAKMA0FAPmfJgWgBSUABSU2 -5RYAKqzCgADlFgEqWASAAOhVCApgBIAA7RYCKtAEgABYOuwsEgIK6jAZzmUpkkEKmQkI6jAImAxq -gQ5tCAgK6jAKmgxqoQJj//DqVAAKWASAAFg638CRDeowDOowG85Y0w8rskENuwkMvAz3n/XokgCd -AG0IDQzqMAy8DPef9WCSAJ0AY//rKlJyCktD/2AAFbOqAQD7RgANf/j2ACpScgrLQ/9gABWzqkEA -+0YADX/4ngAqUnIKG1D/YAAVsKqBAPtGAA1/+EYAAAAAANowWDrQ/V4gFaD09QD8oGgd4AsFAP1i -AA6//D4AKlJyCjtQ/2AAFbCqkQD7RgANf/dqANKg0Q/SoNEPAABsEARj//wAAGwQBBPPTMCIKDZS -wCAiNlPRDwAAbBAEwKL9no4FoAsFAFi6GsCmW3ykwCDRDwAAAGwQBIkniZ4pnQYokj1kgATAINEP -ABXPPcCxK5Y9KlIi95yQBaADBQD/XkAN4AcFACxS0yhii4sgJFLcqDjsuwwMRkKAAKhEKkIdW3mP -yKgpQG/JkrGaKkRvK1IisTN7M85j/6sAAAAA+gBCHaALBQDszygZ6ASAAFi5+CdEcCtAX+xALSpQ -BIAAWDrbKUBvY//BAABsEASJJ4meKZ0GKJI9aIEFwCDRDwAAFM8YwDAjlj0qQiIWziL/XoAN7/f1 -ACxC0yhii4sgJULcqDjsuwwMRkKAAKhVKlIdW3lrya8pUG+wmQkJR+lUbyyArgAAJ1RwK1Bf7FAt -KtAEgABYOr4qQiKxM3ozt2P/mABsEATApP2eAAWgCwUAWLnQGM4j0w8ogH17h0EdzfwazdT8DwId -oAsFAP2wiBXgGQUAbZoMLqGQ7OEJdVAJAACxuyv6+wCwBPyfAA/wCiUA/gAAB/ALFQAPujlYjDfA -INEPAAAAbBAEwKT9ndAFoAsFAFi5t8Ag0Q9sEAQezb0dzuQu4pP9r+gV4O7pAA7uCg/uES7sc/3C -zg3gb0UAgieCLiItBv5OhB3gAgUA0Q8AwKH9nbAFoAsFAFi5pcClW3wvgieCLvJAwCWgb0UA/k6E -HeACBQDRDwBsEAQazs/qon8pWASAAPxgaB2gDRUAW3j/0qDRDwAAAGwQBBrOxyqif9sgW3ke0qDR -DwAAbBAGlBGSEOfOwhtgBIAA7VQACfAEgAAoGkAodhAnchHnFgMjj3GAAPgAAh3gCgUA+gACHeAD -BQDwAnANoAUVAPJj8A3gJwUA+u8AC/AEBQDnFgIjkqGAAIYSJwoA+uAEANACFQDmbP8qmAqAAG1p -D+AhBAEQBQAA40QCCpgKgAADQwIn4gADhgHj8wENgQqAAOLSACmYCoAAA3cC5hICKxgKgAAn5gAD -IgInEgDjEgErAgqAAPOgBhWhb50A9uAGFaEonQDyYAYVoAMFACus4PUgCfSSAJ0AhhMAkQQAVxr2 -wAQDcCgFAO5sTGTIBQAAdoN8L8Al6MAkJVAhAABkr871X/neUgCdAIbg4tIALYEKgAAA9xrnZgIM -GAqAAOMiAg1YBIAA98AGFaADFQDzoAYVr/56AAAAbmRW9MAEytIAnQAmwBzvwRclUEUAAPmCxBWg -dhEA+OAAE7BmIQDn/wILNAKAAPcGAAw//lIAAAD8x4BH0QcFAPbgBOOiDwUAiMj7QGAVovgBAP/9 -wA2iiBkAbmJi9N/5udIAnQCIyPtAYBWi+DEA//1MDaKISQAA9sAEJ1CPBQD3//ijogCdAIjI+0Eg -Faj4cQD//MANqIi5AAAAAAAAAPzOgEXQHwUA9//3Y6IAnQDvwCclUCEAAPmE0BWv/CoA+N/2oNIA -nQCIyPtAIBWg+GEA//vADaCIaQDAINEPAAD+3/WlYgCdACjAHPtAIBWg+DEA//s8DaCIOQAAAAAA -APjf9KbSAJ0A78ENJVBBAAD5gYQVr/rKAPjf8+RSAJ0AJsAc78EVJVBFAAD5goQVoHYZAPjgABOw -ZikA5/8CCzQCgAD3BgAMP/n+AIYQgmAAsQQA9xoHIgKSYIYRgmAAhxoHIgLywAYVr/i2AAAAAAD/ -91wNoAMFAGwQBPifoBXgtQUA9kBoHaCCBQDjUjgDAImAACgsQAmCONEPAAAAAADrTP0hEAcAAOos -0CESQQAAC6I40Q8AAABsEAQVzgjTDyRSHSVS4v6YABIxCAUA5UULAnhfgAD1DwAMMAoFAG2JBylR -AHKRA7hV0Q8qVQGaUSpVANEPAAAAbBAEH834KfIdLvLiwND/OAAUsQsFAO6aCwT5m4AACbsMbbkc -K6EA3KDisUN8wASAAPFjMA3nmQEA6swIJEgFAADu1gsGgdmAACJlACLy4gLSCyMlASzy4i1UAAzc -C/WAJhWgAhUA0Q/9IgAO//82ACOlAZSh+KAGHeACBQDRDwDHL9EPbBAEF83X0w8pch0ocuL/OAAU -sQoFAOiYCwT4Y4AACaoMbakNK4EAcrEL6ZwBJEAhAADHL9EPI4UBlIH4oAYd4AIFANEPAAAAbBAE -HczKjzQu0or9sggV6/8BAK/uCe4Rrt0o0AT9AMAF0AIFANEPwOAu1FgvMBQp0hUbzbrqzIEXgIGA -ACiRAAuIAQqIAiiVAI/SK9IUKtITLtYT/6KGFa/45QAI/gH/oEYVoAwFAFi24sAg0Q8AAGwQBCgh -BxnNqggISgyIEQmIApgwhyAWzV3pzRMbvgKAAAdHApcx5gAVAZAhAAACAIqVNek2BCGQYQAA0Q8A -AABsEAaGM+oiBypoBIAA+oBoHeAMBQD8ROYdq3YBAPtEABWvZoEAW3g9KiAmwJHtzPsTCZmAAOXM -+B0YBIAA9UAHGhIAnQAMqxEFuwgosp4qFgD3AAm50gCdACSynQ2oCiiCvwhEAWRBAy0hBx7NgQ0N -SgzdEQ7dAp1AjCAbzTT9gAAWMA01AA3MApxB6wAVAlAhAAAKAIofzOT+gIYV4B6FAO5GBSlQBIAA -WxdSG81yLSEYLCIR+EJIFeb3AQDhbhAP+wKAAA/uAplL+0YADf9/9QD+4AQH8Ic5AO5GByxFQoAA -+eYAD7LdHQD6gMYV4AgFAOhGCS7sAoAA7cwCD/wCgACfSJxKiSLAoQqZApkiDDgR9QAARHACNQDz -E6YVoAIFANEPH8yrjvjqFgAnDZ+AAAw7EaW7KLKeboNzJLKdDTgKKIK/CEQB5EBmZ1v9AACb+GVP -EvogBhWgAE4AAAAAAAAAAPhLBh3v+zYAixD6QGgdoAwFAPtjABXgDTUAW4GGLCAny8fAINEPAAD/ -+1QNoAQFAMCgWLSeH8yNjviKEO3MnxcONwAA//6YDaAEBQDAQMDaDe00/eEGFe/+XgCKJ8Cw+0QA -FaAMFQBbgHgczSsfzSmfoO0iACt0AoAADn4C/UBGFaALFQDupgMu7gKAAAvdAp2h+kTmHeACBQDR -D2wQBMAh0Q8AbBAEwCHRDwBsEATAIdEPAGwQCIggJyAHkxSJFPWY/AWgBaUA85j2BeAGJQD5ANIN -4XcBANZQ9OAJ0hIAnQAMeBGjiCmCnvcgE9OiAJ0AKIKdBHkKKZK/CYgB1IDoFgMkCqGAABrMEAoA -h21pAggCYSkhB4sgGsxp/CCIFaqZAQAMmRHqmQIN1gKAAP1gCUugBQUAH8z5mUD/mMQFoAyFAJxD -LkYC+ZnqBeANJQANqgIqRgEJuQIpRgQq8oAu8n/rIQkrxwKAAPMAAERwDAUA5oadJ3P9AAD/7+YV -oA0VAFt3E4onjiL/RAAV78gFAAj/AeWlFCf5AQAAn6nvpggnAumAAP2ZvgWgClUA/EAIFeA7JQBY -t5+IImSAkMCw+/4CHa8JBQBtCA14kA0IiBTkgB1l2CEAAGP/63igDLS78AAcDaSIHQAAsbsIGBRl -j/f6QGgdr/z1AFt/mYonx5+ZoJUnW3PaJSQE5SQFKhAEgADRDwAAGswViaj3IAp4kgCdAAx4EaOI -K4Ke92AKy6IAnQAogp0Eeworsr8LiAFkgUewnP1BBhWv+tIAAAD//nwNoAsFANKA0Q8fzLOOExTL -dJUR9CAGFeAMRQDsqwINx4KAAC0gBwSIAvnAhhWgLIUAnOP7wCYV4d0BAJ0SmeAP3QLpzAsY0ASA -AOnmAiFZhQAA/cCmFeAMNQBYsRa0GvpLoBXgDDUAWLETHMuKKSEHjhMazJmIEpXr9cFGFe//9QCf -6Z/on+eV5o0QixEKiAL7l+4Fp93BAA27Apvs+iAIFeqZAQDvy/MczwKAAAqZAunmEC3eAoAADLsC -m+2LICXmFiXmFyXmGCXmGSXmGiXmGyXmHCXmHfnCphWgKoUA+8JmFaANRQDv5hIt1gKAAO2tAg3/ -goAA5P8CB0AHAADv5hQkIgEAAP3CJhXv92YAAAAAAAAA//ZIDaAIBQDAoFizzhrLvYmo+T/1OJIA -nQD/9eANoAgFAMCABZs0+0EGFe/1rgAAbBAIKCAFw64PAgD7AAzkIgCdAIsnKiQFDwIA+WQAFe/E -BQD1IAQEsAMFAOO1FCTJAQAAmbnptggl0EEAAFt5DPpAaB2gi0UAWADWgylkMSf/mKQFoFdlAP4g -phWgRuUAijcvqRSFOyswBeSiCSeBUYAAd7Eq92AGPCIAnQDmNAUlUEEAAFt4+hnMRSiRf36HFs1G -YACpAAD//1gNoAQFANowW3PcYACXZECUjBWIMI5CjUOJQCpABy8xCJ8X+iCGFaeZwQCZFvggJhXg -OyUA+CAGFaAKVQBYtvEbzDArsX+KF/9qgAdT/PUAfKFijRZo1ihkQErqNAAKWASAAPwgiBWgj0UA -/oEEHeAOFQD+YqYdoA0FAFhwx2AAJI0UijcsCgAPAgDr1AAFUIEAAFt2vPVAaB2v/voAAAArOv97 -oQ/jVAAK+OYAAPJBKBXgALIAjTf9pAAVr84FAP+ABAYwDgUA7tUUJmEBAACc2f2hBhWv/0IAAAAA -AOsiCiGBeYAAyrwpsgsPAgAPAgDInm0ICemSCyzYBIAAyJFj/++Tu/phhhXgDwUAnynRD9EPAAAA -8kFGFeAIBQCYKdEPbBAGKiIHFsv2DwIAhakoqRQpYX/xEcAN4FtlAO6XB3qgBIAAZFEEKSAF0w8P -AgD7IAmsYgCdAMTP7CQFJVBBAABbeJzwrkAN4/z1ACshCCNVCC1hf45Q51AHJvTkgAD9YAcMIgCd -AA6OV/XACBsSAJ0AykbccOtEAAlQBIAA8oEEHeAPFQD+QqYd4A0FAFhwemAAB/1gBWQiAJ0A+kGo -FeBcBQAsJAUqYlwJuxELqggqogpkoBUrrGD6IGgdoAxFAFiwPPogCBWgACYAGsuLmhAYy4mOJx/L -CvsCAA0z7YUADa0sD90o7RYAJ1BBAABbeHGEEIMnDwIA6kQAAZhBAABbByLtynIaYASAAO7Lth1Y -BIAA7yIAKdAEgABbBteCJyIsENogWwa1aKFi0Q8AAAAAAAD/JuAPkAUFAP/7nA2gBAUAiSf5JAAV -r8sFAPsABARwCgUA6pUUJEEBAACYmfkhBhWv/OIA2iBbczvRD9EPiif64Ggd4AwFAOqsICvoBIAA -W3Y49UBoHa/7ogAA2iBbBrcSyq0LqBHoIggFAbGAAAzqMCsihYuwsKLsuwgJUASAAFi3xSpihPpA -BADQCxUAALsaC6oCKmaEWLf70Q8AAAAA+gDiHaALFQBbeQgsIn8sJoPRDwBsEAYkIAcYy36SEfOU -hgWhRAEABEQJDEQRokKoRCRCfyItICIssPKABvQiAJ0A95bqBe8bBQDyIAYV4A4FAI8Rj/BtCCSr -RShZiCZSPONSQyQA8YAAiTEJiRQHmQF58R7UYPZABRQiAJ0AY//UAACK4QqKFAeqAfv8vg2gAwUA -jTP+YEgVoApVAP2WvgWgOyUAWLYZLVI9L1I8n9AuUjyKEf3AJhXgDAUALFY87FY9KdgEgAD8IAgV -4AwVAFhxMxrLU8Aw+qdIFeAMBQD7T+gVoA0VAFt1b/qDABXvzAUADLsB41WIJdkBAAArVkPrVkIi -U+EAAFtyT/TAaB2vGwUA9l/51SAOBQDAINEPbBAGiifFsOskBSVQQQAAW3fsFcs8iy0PAgAqUmsJ -uxEPAgCrqoqqZKAVK6xg+iBoHaAMRQBYr6L6IAgVoAAmABrK8ZoQH8rvjSceynD74gANM+yFAAys -LA7MKOwWACbQQQAAW3fXhBCDJw8CAOpEAAGYQQAAWwaI7cnYGmAEgADuyxwdWASAAO8iACnQBIAA -WwY9giciLBDaIFsGG2ihAtEPANogWwY1EsorC6gR6CIIBQGxgAAM6jArIoWLsLCi7LsICVAEgABY -t0MqUpP6QAQA0AsVAAC7GguqAipWk1i3edEPAAAAAPoA4h2gCxUAW3iGLCJ/LCaD0Q8AAAAAAGwQ -OisgBcJk92A7pCAkdQDCpftlRg2gDQUAwob5YDikIgCdAPVgPkQgI4UA82A/RGAklQB0sQjaIFgS -bcAg0Q+JKoOaZDRA6RZWIPAHAADqypQQ4f0AAOoWaCDZEQAA6xZfJNFRAADqFmAmYBUAAOwWZSc4 -wQAAJxZZ68rjFzDpAADmFlonQKEAAOgWWCd49QAA7xZbIMFRAADoFmEk+OEAAC8WZOsWXiCxcQAA -5hZjJLkBAADnFmIncREAAO4WXCTxkQAA/izGFaA39QDmvLAl4MEAAOwWZyXbQQAA+irmFeAAPgAA -jjjj5AAHHOGAAIQ3LTAFhE73oCJ0YDhlAPmgIjQiAJ0AKUB++T/gFeD65QD4j8Yd55kBAHqZyC0w -BcOuetkH96A0LGIAnQAsEmctIAcuME4vME/4ahAVoApFAPggBhWgOyUAWLVoHMmVKDAMJTIWFMqt -Gcme+mMkFeAapQAqNFQrFl0qEmgpkockQokKAInpiAgKrkKAAOVFCAxGQoAA6EQIAMH9AAD5CCAV -oBkFAG2aAggAiitBKQ8CANMP/WATjCIAnQAtMgAuMAX8KugVoApVAP5qkBXgOyUAWLVKGMmeGcme -/mAIFaDvFQAvFNj4JgYV4A+FAOgWMi92AoAAD+4CLhYxKzAHKkEpCwtBALsRC6oCG8nQC6oCKhY0 -D+owLxY1LhY5+ZUCBaBJBQApFW8oFjgtMFQtFPEsMAUsFPL6ZhAV4A8VAC8U8CsU8+syFiDR/QAA -6xY9JVGFAACLpY2kjqOIoumiASDgBwAAmcGYwp7DncSbxYqgmsCKMCoWRioSWOgwVCDIBwAA6JQd -IdjRAADtMAUg8AcAAO3kICDwBwAA+GYQFeANBQDt5B4g4AcAAOnEISDABwAA/wOGHeAMhQBYrsUq -Eln6Z4AV4AyFAFiuwStccvorSBWgDGUAWK6+KhJb+mnAFeAMNQBYrroqElz6a4AV4AyFAFiutyQR -oi0SXSURoyUVkfQgICXgjVkA/AQABXDkaQD0DgAHsL0pAP94ABWxzR0A7NwCD/5CgAD90AAXMEQp -AO/uAgomwoAA/mGIFeDMAQD7RgANcL1BAOy7EQ5mQoAA9YYADjT/mQD/hgAOcE1RAPykhh2g/TkA -/AYABnDdSQDt/xEO7sKAAO27Ag5ngoAAD8wC7zBoLEZCgADtMGkqJoKAAAhEAvVmAA2w/xkA/+gA -F7BNOQD/xgAPcP0xAO5EEQ//woAABP8C/8YAD3DdKQD/pgAOsD4FAA7dAi1UJYk8DLsCC6oC+qTm -HaCJgQD4EQACcJmRAOpEEQzOQoAA6UQCDEbCgAD4hgAKMAiFAAhEAiRUJikyBy+ZFCqcIOiSCSeh -wYAAZIQwKxx/+2ggFeAMhQBbeToVyf0oMhYlUonpiBEJ0ASAAPigAEKwi3UAW/5wijcqrBBbdqGE -OctGJRZphUvtQgArYASAAP5gCBWgClUA/oCwFeA7JQBYtKP6gGgdoIt1AFv96ORUAAr+pgAAhDkl -EmmJO2RAU86d9GFmFaABEgAA+kBoHaALVQD8AAIdoA0lAFsUr2Wij/uTugXgLFUA/ECmHa/uNgCN -mw8CAA8CAMjZ7dILLsgEgABl3/SUm5lMwND8YSYV4AQFAI1a86JeDeAIBQCEOY44/qFGFaAAlgAA -AMnQjthz4Qzt5AAHAEmAAI7oc+nyyNckMgkvMggv1gj4YQYVoA4FAPxgsBXgSSUA7TQwIhTBgAAp -NAUrElaLt4u+KrBwLLBxCKoRDKoCsar7biYdqKodAPtuBh2v7pIAACwSXi0gBy4wTi8wT/hqEBWg -CkUA+CAGFaA7JQBYtGH4LQgV7gsFAPoqhhXgCgUA6hZVIMBBAAD4AAoV4BlVANMPbZoCCACKLRJW -Hsmh7hYEIdk5AAD9oAgV4A9FAP4lBh3gCAUA+CRmHaP+9QD+IgQdoAw1AP2gABawDrUA7t0CANAH -AADtFgUlUUEAAFit+us8USDQBwAA+0qAFaAMNQBYrfXAwCwUQewUQiDYBwAA/ChmHaANRQDtFEAl -qUEAAC1QAu9QASXZUQAAKLAC6rABIMilAAAqlAEolAIqEl8lUAArsAArlADrEmAg8LUAAC/kAS3k -AvXABh3gHAUAWK3eLhJiLRJhK+ABLOAALNQAK9QBKeADKuACKtQCKdQDL+AFKOAEKNQEL9QFLOAH -LuAGLtQGLNQHLRJkLBJjKtABK9AAK8QAKsQBKNADKdACKcQCKMQDLtAFL9AEL8QELsQFK9AHLdAG -LcQGK8QHLBJmKxJlKcABKsAAKrQAKbQBL8ADKMACKLQCL7QDGMgCLcAFLsAELrQELbQFKsAHLMAG -LLQG+2DmHaAPBQAvFGQvFHT+MoYd4CkFACkURC8SVikURSgVJI74+mBoHaANJQD/wyQVoAy1AO71 -KSDYQQAAWE+dY/nYwCDRDwAAjico+sDl4g4neIEAAAj/ASYkBe3lFCfpAQAAnent5ggnUEEAAFt1 -2SlRHoMqsZnpVR4hgLGAAMJdKjAF0w8PAgB1oSiDOWU/7yYkBfpAaB2gCxUA/AACHaANJQBbE/Fl -r5ckJAX6DIId7+JqANowWFQigzllP8Fj/86OOGP5NACLO2W9ZsTDfNEpGskTizAuonL7UYgVoAwF -AP9vAA2wDRUAW3MsHMhSi87uMggl2/0AAJvO9mCmHe/03gDqJAAO4ASAAPoAIh3gDSUAWxPVZa8n -IyQF+jICHe/gqgAAAAAAAAAA6iQADuAEgAD6AGId4A0lAFsTy2Wu/yQkBfoyAh3v4AoAAADaMPov -4BXgDIUA+2ggFeANJQBbb39j+8QAbBAG5iQAAgqpgADG7/pJABWgBQUA6hYBIVlBAADrFgAhYQEA -AOwWAiE44QAA85HMBa/81QD7kcoF4AEyAGiVbWmaMS0wBCgwBe8wBi7uAoAACN0C6DAHLu4CgAAP -3QLrIgEO7gKAAPmmAA6z74UAD90sLWQ2LzABA/MKpfX0oAWKogCdACkwAPUgBMCSAJ0AaJJraZSm -7iIBAcgRAADpJgALwASAAPiKaB2gAR4AKDAC+GBwFe/a9QAKIgHqEgAsRgKAAOmIAgHYFQAA+MME -HaAMNQBYrSWNEevIvBH4IQAA/hBoHe/81QD8gmgd7+71ACowAQOjCqWldFOIYAAyihL8AMIdr/u1 -AOsiAQHYCQAAWK0W+5FcBe/81QD//0QNr+71AAwiAS0wA/zGhh3v/R4AyiAayByLYC6icvtRiBWg -DAUA/28ADbANFQBbcrnCtCtkBdEP0Q8AAAAA85E2Ba//UgBsEAT6AcIdr/vVAPpAJh3gDAUAKiQA -LCQCKTAAKSQDKDABKCQEJDACJCQF0Q8AAABsEDorIAXCZPdgOyQgJHUAwqX7ZQYNoA0FAMKG+WA4 -LCIAnQD1YD3EICOFAPNgPsRgJJUAdLEG2iBYD/PRD4kqg5pkNDrpFlYg8AcAAOrIGhDh/QAA6hZo -INkRAADrFl8k0VEAAOoWYCZgFQAA7BZlJzjBAAAnFlnryGoXMOkAAOYWWidAoQAA6BZYJ3j1AADv -FlsgwVEAAOgWYST44QAALxZk6xZeILFxAADmFmMkuQEAAOcWYidxEQAA7hZcJPGRAAD+LMYVoDf1 -AOa8sCXgwQAA7BZnJdtBAAD6KuYV4AA6AI444+QABxy5gACENy0wBYRO96AiVGA4ZQD5oCIUIgCd -AClAfvk/4BXg+uUA+I/GHeeZAQB6mcgtMAXDrnrZB/egM8RiAJ0ALBJnLSAHLjBOLzBP+GoQFaAK -RQD4IAYVoDslAFiy7xzHGygwDCUyFhTINBnHJPpjJBXgGqUAKjRUKxZdKhJoKZKHJEKJCgCJ6YgI -Cq5CgADlRQgMRkKAAOhECADB/QAA+QggFaAZBQBtmgIIAIorQSn9YBOMIgCdAI0wLjAF/CroFaAK -VQD+apAV4DslAFiy0hjHJhnHJv5gCBWg7xUALxTY+CYGFeAPhQDoFjIvdgKAAA/uAi4WMSswBypB -KQsLQQC7EQuqAhvHWAuqAioWNA/qMC8WNS4WOfmQEgWgSQUAKRVvKBY4LTBULRTxLDAFLBTy+mYQ -FeAPFQAvFPArFPPrMhYg0f0AAOsWPSVRhQAAi6WNpI6jiKLpogEg4AcAAJnBmMKew53Em8WKoJrA -ijAqFkYqEljoMFQgyAcAAOiUHSHY0QAA7TAFIPAHAADt5CAg8AcAAPhmEBXgDQUA7eQeIOAHAADp -xCEgwAcAAP8Dhh3gDIUAWKxNKhJZ+meAFeAMhQBYrEorXHL6K0gVoAxlAFisRioSW/ppwBXgDDUA -WKxDKhJc+muAFeAMhQBYrD8kEaItEl0lEaMlFZH0ICAl4I1ZAPwEAAVw5GkA9A4AB7C9KQD/eAAV -sc0dAOzcAg/+QoAA/dAAFzBEKQDv7gIKJsKAAP5hiBXgzAEA+0YADXC9QQDsuxEOZkKAAPWGAA40 -/5kA/4YADnBNUQD8pIYdoP05APwGAAZw3UkA7f8RDu7CgADtuwIOZ4KAAA/MAu8waCxGQoAA7TBp -KiaCgAAIRAL1ZgANsP8ZAP/oABewTTkA/8YAD3D9MQDuRBEP/8KAAAT/Av/GAA9w3SkA/6YADrA+ -BQAO3QItVCUpMgwMuwILqgL6pOYdoImBAPgRAAJwmZEA6kQRDM5CgADpRAIMRsKAAPiGAAowCIUA -CEQCJFQmKTIHL5kUKpwg6JIJJ6GBgABkhCgrHH/7aCAV4AyFAFt2whXHhSgyFiVSiemIEQnQBIAA -+KAAQrCLdQBb+/iKNyqsEFt0KYQ5y0YlFmmFS+1CACtgBIAA/mAIFaAKVQD+gLAV4DslAFiyK/qA -aB2gi3UAW/tw5FQACv6mAACEOSUSaYk7ZEBTzp30YWYVoAESAAD6QGgdoAtVAPwAAh2gDSUAWxI3 -Zaul+47KBeAsVQD8QKYdr+5WAI2bDwIADwIAyNnt0gsuyASAAGXf9JSbmUzA0PxhJhXgBAUAjVpz -2QuEOY44/qFGFaAAqgDJ1y7SCA8CAA8CAHPhDO3kAAcASYAAjuhz6fLI1yQyCS8yCC/WCPxgsBXg -CAUA+GEGFaAOBQDtNDAiFIGAAMSSKTQFKxJWi7eLviqwcCywcQiqEQyqArGq+24mHaiqHQD7bgYd -r+6eACwSXi0gBy4wTi8wT/hqEBWgCkUA+CAGFaA7JQBYsen4LQgV7gsFAPoqhhXgCgUA6hZVIMBB -AAD4AAoV4BlVAG2aAggAii0SVh7HKe4WBCHZOQAA/aAIFeAPRQD+JQYd4AgFAPgkZh2j/vUA/iIE -HaAMNQD9oAAWsA61AO7dAgDQBwAA7RYFJVFBAABYq4LrPFEg0AcAAPtKgBWgDDUAWKt9wMAsFEHs -FEIg2AcAAPwoZh2gDUUA7RRAJalBAAAtUALvUAEl2VEAACiwAuqwASDIpQAAKpQBKJQCKhJfJVAA -K7AAK5QA6xJgIPC1AAAv5AEt5AL1wAYd4BwFAFirZi4SYi0SYSvgASzgACzUACvUASngAyrgAirU -AinUAy/gBSjgBCjUBC/UBSzgBy7gBi7UBizUBy0SZCwSYyrQASvQACvEACrEASjQAynQAinEAijE -Ay7QBS/QBC/EBC7EBSvQBy3QBi3EBivEBywSZisSZSnAASrAACq0ACm0AS/AAyjAAii0Ai+0AxjF -ii3ABS7ABC60BC20BSrAByzABiy0Bvtg5h2gDwUALxRkLxR0/jKGHeApBQApFEQvElYpFEUoFSSO -+PpgaB2gDSUA/8MkFaAMtQDu9Skg2EEAAFhNJmP53o4nKPrA5eIOJ3iBAAAI/wEmJAXt5RQn6QEA -AJ3p7eYIJ1BBAABbc2MpUR6DKg8CALGZ6VUeIYCRgAAlCi0qMAV1oSiDOWU/9CYkBfpAaB2gCxUA -/AACHaANJQBbEXtlqLUkJAX6DIId7+KqANowWFGsgzllP8Zj/86OOGP5QQCLO2W9bsTDfNEpGsad -izAuonL7UYgVoAwFAP9vAA2wDRUAW3C2HMXci87uMggl2/0AAJvO9mCmHe/1BgDqJAAO4ASAAPoA -Ih3gDSUAWxFfZahFIyQF+jICHe/g6gAAAAAAAAAA6iQADuAEgAD6AGId4A0lAFsRVWWoHSQkBfoy -Ah3v4EoAAADaMPov4BXgDIUA+2ggFeANJQBbbQlj+8wAbBAcKDAiFcZ8CIgJDIgRqFMlMn/qJAAC -ghGAABLFqi2gDC4ieyoihK7d6d0RCtgEgAD9QABFcAwVAFidk8Jt/DACHaAnZQDwgRAN4P3VAGhD -CfSABZqSAJ0A0Q+sOy6weX/n9Q3sASy0eYqn+0IAFaGCBQBbcweiPi3gefJwaBWv+PUA+c9GHaD/ -tQAP3QHt5HkpAU4AAGP/vwAA+mBoHaALFQBYUZkjMgnIOSkwBXaZ6YM5ZT/0gilkL50qIhV1qfQr -IAV3se6NJ/WhyBWvzgUA5yQFJuCBAAD/gAQGMA4FAO7VFCZhAQAAnNns1ggm0EEAAFty5y9BHYMq -sf/vRR0p/VYAAGP/rSw9AizMgCvAeQ27AfuPJh3g/eUADbsBK8R5KqIHKqwQW3LaIjKDZC8nGsXQ -6hYtIMhlAADpFi4gwHUAAOgWLCD44QAA/iXmFeAArgAAAAAAAAAA+mBoHaALFQBYUWkjMgnIOSsw -BXa56YM5ZT/0gilkLt0sIhV1yfQtIAXC5X7RQ4gn9QHIFa/JBQDnJAUkeIEAAPngBAfwCQUA6YUU -J/kBAACfie+GCCRQQQAAW3K3KkEdgyqxqupFHSn9RgAAY/+rAAAAKyAE8kFIFeAcxQD9YApEIgCd -APwlqBXuDwUA/iVGFeAOBQDuFisowASAAPwAChXgGVUAbZoCCACKHcX9nRD4YAgV4AtFAPojBh3g -DAUA/CJmHaP69QAqFQj9IAAUsAq1AAqZApkRKDAEDwIA9QAFUpIAnQAqEi76aiAV4Aw1AFiqUSoS -LPppwBXgDDUAWKpOFMUZKDIWJEKECYgRqESPR8OPKDQFj/4u8HAo8HEI7hEI7gKx7v/uJh2o7h0A -LvRw+iXoFaAJVQD4JgYd4AgFACgUMegUMiJZAQAA+CZmHaAMhQBYqjjrTEgg0f0AAPtFoBWgDDUA -WKozLhIr6jQACNgEgAD/DgAPMAy1AP4hphWgDSUAWEwkY/6hKhIu+mkAFeAMNQBYqigrHH8rvCkq -sAHosAIgyHUAACiUAiqUAeuwACmgBIAA+yAGHeAvtQD+YKYd7/22AIM6ZTCxY/5cAAAAACoSLvpq -IBXgDDUAWKoVKhIs+mnAFeAMNQBYqhIUxN0oMhYkQoQJiBGoRI1Hw+8uNAWN3izQcC7QcQjMEQ7M -AizMAf2uJh2ozB0ALNRw+iXoFaAJVQD4JgYd4AgFACgUMegUMiJZAQAA+CZmHaAMhQBYqfzrTEgg -0f0AAPtFoBWgDDUAWKn3LhIr6jQACNgEgADTD/8OAA8wDLUA/iGmFaANJQBYS+eDOGQwn/4lqBXg -CAUA+CVmFa4JBQDpFioowASAAP4AChXgGVUA0w9tmgIIAIofxX4vFgD6YAgV4A1FAPwjBh3gDgUA -/iJmHaP89QAsFQj9YAAVsAy1AAy7AisWASowBPlf97rSAJ0AKhIu+mkAFeAMNQBYqdIsHH8szCkr -wAHpwAIg0HUAACmkAiukAezAACmgBIAA/UAGHaAotQD4YKYdr/weAAAt6gD8JUYV7/QeAABsEAwb -xSAtsn8ssoKi3QndEa3MjMeSH+zCDiIRmYAA7BYFJngLAAAo8BXyIMYV4AoFAOoWDSf6AQAA7xYL -JA/BgAAfxU8XxU/txUwRU/0AAPwhRhXgCRUA/YqSBeAIBQD7IgAMMAYFAOh2OQl3goAAr+6eGOYW -BylkwoAA/YAARn8GBQD8ISYVoAOCAACxRAsbFGW/94wajR8SxPr5iEAFoa5BAPXgAAcwCTUA/iHG -FaAPBQAKnzkogoufHCIigv0AAERwK0UA5RYALEZCgAD4QABBMApVAFiv2IweJSUx/iGIFaAKFQDu -JGEuAQqAAOLMCA1oCoAALSRgHcUjLsBGLhQQJBQSjh8t0ID+IiYdoAglAP2J0BWgDjUA/CJmHaC9 -AQD7TQAL8J0JAPkNAAvwDEUA+4osBeD9EQD/zQAL8N0ZAA3HOXtReh/FEX9RVYkbiB0pkJWxiOgW -DSGYGQAA+QAGkuIAnQArMQL0YAQV57sBAO4xASWCKYAAwEBtCA17YA0LixTkvwtiICEAAGP/68fA -+5/3xmIAnQC0RP/7uA2kux0AaHIu2iD8IgAVoAtFAFh5umP/lgAAAAD/+1QNoAQFAIof/CIAFaAL -JQBYeUVj/3oAAACLHuwSDy3fgoAA6xIHLYEKgAD8IQgV4AgFAPgAAh3gChUA7Lk5DVAKgAD7IABE -sAsFAPm35hXgCoUAbaoX+wAEANGpEQDgqhoEQAkAAPtmAA20mR0AjBn7kWYV7/3aAI4VLu0BLeGN -8iDIFaAPRQAP3QIt5Y3RD8Ag0Q8AAGwQBBbDsSVijShiiyZikKJV4ogICq5CgAClZSVSBwmIEQhm -COVSDiIB2YAA3SD+YBAVoApVAP2JhAWgK0UAWK9p2mD8YBAVoAsVAFgwTSldASiRjcCiCogC6JWN -KZAEgADRD8Ag0Q8AbBAE94lsBeEYhQAIKCinhydynwR3KAd3Cv74ABOz6YUACXcsGsNeAikLA5kJ -6ogICbcCgACoZhrEqhjEqgyZEaqZCHgCmJD22gYV4JXFACVmz9EPbBAIFsRc2SDjYn8pkASAAChi -fSVigvhgAEHwCwUA6YgICZ5CgADyoABB8AcFAPJg6BXgChUA/QgAFDAP9QD4oABCsAiFAOMyDiIG -cYAAIhYE/EAIFa/09QBtiiP6rwAO8+wBAP/ABSxkzB0ALtRNJ9RdCy4MLuAL7tRVJdgFAAApIAz+ -oAgV4AiFAA8CAAmYOShUXiZifcBA9+8ACzDy9QDaYPqAaB3gDAUA/AACHeAJBQD4ICYV4A4FAPgg -RhXgaEUA+CAGFaAPBQBbaU9moCWlTCzATg8CAOLKDApYBIAA6nw4C1AEgABbaSTmoAdiIAUAAGlI -qS49AS3hjfIgiBWgDxUAD90CLeWN0Q8q1F31qaYdr/16AMAg0Q9sEARoQRn0gASREgCdAPSACEmS -AJ0A9IAIwhIAnQDRD/uGaAWgC1UA6zQIIXALAAAv4Bcroo0qopCvuwm7EauqKKA1/9MAFaAJBQDt -rDAlYREAAOSAFWVZYQAAKKBJ8QCgDeACFQAooF0IKTkJmREpNAkp4H7TD2SQRWiRemiSUyqwDC/Q -DC7ADAz/Ee+qAg92AoAADqoCKjUF0Q9kULP4v/vY0gCdANog+mEAFeAPFQD+YQYd4AyFAFguutEP -AAAZxC6p+SmQgGiTLGiXKWiSAmmXqx7C5CjADC/QDCqwDAiIEejuAg//AoAAD6oCDqoCKjUF0Q8A -AB7DLijADC/QDCqwDAiIEejuAg//AoAAD6oCDqoCKjUF0Q8A2iD6YQAV4Ak1APhhBh3gDIUAWC3T -0Q8lNAv6QGgdoAyFAPygaB3gC0UA6zQIIdghAABYLXnRDwDaIPphABXgDAUA/GEGHaAMhQBYLvTR -DwAAbBAMGsO6K6J/KaKCorsJuxGrmYmXiZ4pnQIokBUpnICZGvcADpiSAJ0AFMPwHsPu7MPsEVv9 -AAD8ISYVoAgVAP2H0gWgBwUA64c4CW+CgAD/oABGsA8FAAdPOe8WBiGoCQAA7RYHKVzCgAD9YABF -sAQFAPohBhXgAnIAAB3D3w8CAA8CAH1pZmlyVisSDQ67EesSBi2BCoAA/iDoFaAIBQD4AAId4AoV -AOK5OQ1QCoAA+yAARLALBQD51+YV4AqFAG2qF/sABADRqREA4KoaBEAJAAD7ZgANtJkdACwSCCvG -i4oe/CJAFaALRQBYeIXaIPTgAAYwC0UAWHjsjRot0JWzM+VcAyIgBQAA/IAHUeIAnQAqHBDuMAEq -2ASAAP4hZhWgDCUAWKgTjBmPGxjDbxnClSYRCPkQSBWgClUA+TFoFeLvKQD+IaYVoCtFAPYgBhWi -/wEA4pkIB/v9AADvFgwszkKAAOmICAloBIAA+CHGFaf/AQBYrkqKHowcLKRhjB37gAQA0AgVAOal -MSxYCoAAK6RgG8OWqsotoEYtFBIiFBMrsID8IoYdoA4lAPtJ0BWgDDUA+iKmHaCbAQD5DQAL8PsJ -AP/NAAvwCkUA+YcSBeDbEQD9jQAL8LsZAAunOfjf9L1iAJ0A2iD8IkAVoAslAFh31mP+9dEPAGwQ -BBTCYSVCjShCiyRCkKJV7MOAGq5CgAClRYVX/mAwFaAKVQDzAABEMCtFAOVSDixGQoAA6EQICWgE -gABYrhnqXQEh2AUAAPtJwBWgDBUAWKfJ2kD8YDAVoAsVAFgu+Nog+gBiHeAMBQBYeJHRDwBsEAoZ -wx8rMAAPAgAnkoL3L+gVoAiFAPkvqBXiuwEAC4s4AmYI4pkICzZCgADmdggA0EEAAPbA6BWgCIUA -67g5DM5CgAD44ABD8AxFAOZiDiGoBQAA6HReKtgEgABYp6rmFgkg0GEAAOUWCCHYNQAA8iFGFaAM -hQBYp6P2IIgVoAQFAOQ4DACoYQAA5HkMAtPhAAD6IWYVoAIFAPABCA2jpgEAaPIa/YaABaAKRQD8 -IUgV4A51APXPAA8wK0UAWK3d7xILIiAFAADkOAwCq/0AAPTvAAy0Zh0A/qUmDeOmAQAilF0qlE0o -gAwolFUvUAdl/6/Awf0rph2v+/UA+ymmHe//AgAAihnrEgglUAcAAPtB4BWgHDUAWKd7GMLZhHAo -gn30IUgV4AMFAPiPAAow9vUA2kD6YGgd4AwFAPwAAh3gDwUA/iAmFeAOBQD+IEYV4GlFAPggBhXg -DwUAW2ftZqAnpzwswE7TDw8CAObIDApQBIAA6Cw4CdgEgABbZ8LmoAdhmAUAAGk4p9pQ+gBCHeAM -BQBYeCraUPoAQh3gDBUAWHgn0Q8AbBAEiDAqMAgrMAnxAAlKUygBAPSABgrQDtUA/GIAFeO7AQD6 -IAAGMqohAOTBEWZD9QAA/gBCHeAEFQDo9DgFB8mAAPVAB4vSAJ0ABKYMBgZHprn5wAcLYgCdAGRg -XhjC6e/CHhl0woAADr4K6O4IC0gEgADv7wgO2ASAAPUgBtiSAJ0A6LQADdAEgAAPAIfrAAcHcEEA -AOoGAATL+QAA6iYAB/hBAADohx4F2EEAAOiDHgz+TgAADWsRDb0KBA5HZOBc+kBoHaALJQBYAClg -AE4AAAAAAAAA+YWYBeAfpQDvSVR52ASAAAMoEfkAAERwCdUA0w9tmhQpgrDqgrEkQCEAAOm2AyXY -IQAAmrD6QGgdoAslAPxuABXgDDUAWAAUwMDqVAAJ2ASAAFirwsAg0Q8AAAAAAAAA//+YDa/spQD/ -X0AN4AQFAOq/CAU7s4AA7+LkfTAEgABj/wIYwW2o6CmCgJmwKIKB+WAmFa/9LgBsEAQYwqbHnOiC -gCIAgYAAdJgIGsKWaDELaDIIxirRDwAAAAAAKqCAACAECgobf6fq9GAK6RIAnQAXwpocwpkIA0Fk -MVf0YAr4kAsVAA8pER7BxxrCle3BUhgECoAA8IAEP9IAnQDAJO54CA0YBIAA9EAIYJIAnQDvNAAJ -sASAAAgAh+MABwO4QQAA5gYAARP5AADmJgAEQEEAAO+HHgGYQQAA74MeCX5OAADptwkNl8KAAOeb -MHF4BQAACpgKI1IA8qAoFaH/HQBt+RqHgKNzk1CGgHY7A7EiklGPgaLy4lYBJEAhAAC4Ve5HfH0Y -BIAA/4AAQjACRQDoIXBpsASAANcwBCCH4yAHBmBBAADnRgABE/kAAOdmAAIgQQAA5o8eAZhBAADm -ix4Jfn4AAOm4CQ2XwoAA6JsvcVgFAAAKlAqDUPKgKBWhux0AbbkajUCj05NQjEB8OwOxIpJRjkGi -4uJWASIgIQAAwCDRD63PIvKAkjAv8oH+YCYV7/7OAACtdihigJgwJmKB9mAmFa/8dgAcwkb3hIwF -7/qaAMCQ//q0DaALRQAAHsJDAy0Rrt2N0PoAQh3gCgUA/bVgJeAJRQD9QgAM//oqAAAAbBAEiDAq -MAn2A0Idr+ylAPEAB4JTKAEA9IAHAtAfZQDoMAgh6EEAAPqgAAWwDiUA+gcABTAEFQD4IAAGMogh -AOTBQGZL9QAA6eQ4BAmBgAD1AAlD0gCdAASJDPrCAA+3aQEApr7/4AibogCdAGRgbB/BTBfBEPiA -Ah2lTgUACo457r4KCUTCgAAI7gjn7ggO2ASAAO/vCAtABIAA9QAIIJIAnQDptAAN0ASAAA8Ah+sA -BwdwQQAA6gYABEP5AADqJgAH+EEAAOmHHgXYQQAA6YMeDH5OAAANaxENvQoEDkfI6fpAaB2gCxUA -W/9S8ABMDaAMBQAAAAAAAAAA5kEVedgEgADqVAAJ2ASAAFiq+sAg0Q8AAB3B7QMsEa3M+YBoHaAZ -dQBtmhQpgoDqgoEkQCEAAOm2AyXYIQAAmrD6YGgd4Bm1AG2aFSnC0OrC0SZgIQAA6bYxJdghAAAq -ti4CKgL8YEAl4AsVAP2zABXgDDUAW/8w//4wDaAMBQD//hANr+ylAP8fQA3gBAUA6L4IBDuzgAAK -bzh+8+H3AGgdr/tKAAAAH8CMr+8o8oCYsC/ygf9gJhXv/IoAAAAAbBAEGsHLF8D3GcECGMGMpzfT -D9MPbQhM6FFOalgEgADcQC2CQC6S0grmAQYmAiaW0i+S0gcAhwQAYS6W0u2S0iIgQQAA7AYAAZhB -AADsJgADuEEAAOuHHgKr+QAA64MeAoA5gABj/6jRDyuCQC+S0gr3AQcnAieW0iaS0hXAZ6U1JlKA -lkElUoGVQC+W0i6S0tEPAGwQBIswxsrxYAYKUKs5APaABclQhgUAH8DO7sBaGAQKgAD0gAUK0gCd -ACQxBATNQvGqgA3kRAEA9aAFA9AcBQD1oABEsBgVAArIOfkABGvgDBUAwJD7ggAMswgFAOmGOQHg -QQAA9oACAjAKBQDzYAgGEAiFACkgDBrBigaZEalJqpmvmvWgCfCSAJ0A68QADngEgAAKAIfsAAcE -yEEAAO8GAAbr+QAA7yYABVBBAADrhx4GYEEAAOuDHg7+TgAA8AAkDaAMBQDAiXhBF+pUAAnYBIAA -WKp0wCDRDwD//7ANr+ylAOi3OXHgIQAAwKD5gtoF4AuFAG26Dy2SpQ0NUuTQomVQIQAAuJnAoKar -+kbwFaAdBQBb/5VgAE0AAAAAAAAqIAwbwWEGqhGrqv9AAEXwGQUA6JF8bmgEgADfwAsgh+wgBwVQ -QQAA70YABMv5AADvZgAF2EEAAO2PHgZgQQAA7YseDP5+AAD//XwNoAwFABnBTNMPbYoPK5KlCwtS -5LAUZVAhAAC4mcCgBKsIKiA3W/92Y/8nKpKkCgpJ/1AAFT//qgAqkqQKCkn/UAAVP/1yAACuqy2y -gJ3AK7KB+4AmFe/+ngCunyjygJjAL/KB/4AmFe/7rgBsEAQoIARohgTAINEPABTBMYwuK0J/CcwR -rLuLt4u+LrAdKbAcKrAYLbAZ7LAeLM4CgADumQINVgKAAA2qAi6wGu2wHyzOAoAADJkC7LAbLM4C -gADtmQINVgKAAA6qAi2wFe6wNS1WAoAA7KoCBOAFAAD9Y+YdqIwdAOnLBndwBQAAsaossBQotB4u -tDUqtBsusBb7YhAVqPodAP9jRh3oiB0A+WOmHaj/HQD/YyYd6IgdAPljhh2o/x0AL7QY77ARLmYC -gAANzALtsBIuZgKAAO7MAg1WAoAAD6oC77ATLVYCgAANqgItsBfuMQstVgKAAO+qAg5mAoAADcwC -/cAARjANBQD/gNINqPwdALGqKrQTLLQX/EGoFaiKHQAotBL/YsYd6IgdAPliJh2o/x0A/2KmHeiI -HQD5YgYdqP8dAC+0FCtCfwnMEay7i7eLvi+wGSqwGCmwHCiwHeywHi1WAoAA76oCDM4CgAAImQIv -sB/osBoszgKAAAyZAuywGy1WAoAA6KoCDM4CgADvmQINVgKAAOyqAgTgBQAALLQf+YDSDeiMHQCx -qiywWSq0G/ljxh2o+h0A+2IQFaiIHQAotB3/Y0Yd6IgdAPljhh2o/x0AL7QZ+WIwFaj/HQDvtBgm -YAUAACy0WSywFO+wFS1WAoAACKoC6LAWLmYCgAAPzALvsBIuZgKAAAjMAuiwEy1WAoAAD6oC77AX -LVYCgAAIqgLq2ggOZgKAAA/MAqzsfssBsaouITctITYqtBP9YuYdqJodAPliRh3ozB0A/WLGHaiZ -HQD5YiYd6MwdAP1iph2omR0A+WIGHejMHQD9YoYdoAolAP2BMAWgOyUAWKsqjTf+YSgVoAolAP2B -KAWgOyUAWKslLSAFxOX/v+tdIgCdAMX1/kCmHeACBQDRDwAAbBAGJiAHGMBe835GBeFmAQAGZAkM -RBGjQ6hEKkJ/Iz0gIzyw+mEeDaANBQDAINEPiaGMoJyQi6AoqRCZsZ2g7aYBJAGZgACMp8rJi8Ef -wHUewE3/7+gV6LsdAO67AQVz4QAA6xQADdZCgADq+ggK+ASAAFtVRCxCf3PBr40iZd+q62wYKVAE -gAD8ACIdoE0FAFt0ecAg0Q9sEAYTwGUCJAkMRBGkMysyICQwfyYwfuUwfCWJWYAAGr8pLTCELqKH -KqKQDt0ICd0R/UAARXAMFQBYl1stoAcmpBb0AgAHcP+1AO/dAQ93goAA/6YADrD8xQD9oAQGsZUB -AAndAi2kB+oyKS0QBIAAK6AHDLsBC5kCKaQHLTB9Bg9H7MBGGnAEgAD8AgAEcDslAPggBhWgCkUA -WKrRIzIkZDCiFcA/+JgAEjAAJgCDOWQwko0wKDEZLiAHLyAW7zQWKuAEgAD+YOYdq4gBAPiGAAww -CkUA+GMkHaA7JQBYqsCLOsy2Y//Fi7lkv8ApMAcsMBYtMRmKui21KSy0Fum0By0AdgAAY//eiqjT -D2Sv1y6wBy+wFiixKYmpKKUiL6QW7qQHJP8hgABtCBYtoBYsoAcuoSIulSItlBYslAeJm2SfxWP/ -4tEPbBAE8kgABXCJBQDpOQEFKAcAAPJgCa4SAJ0AJVyA9KAJl1IAnQDxNaAN4oNBAC8gDBbACikg -DfngCk0mowEALSBRLCBQG8AHHsAG7cwIBIFpgADkkSVmY/0AACjgfS1iICec/wh3KA/dCAfdCAvZ -CimSgAkJRnmhEi0gUf1ACCPiAJ0A/UAH4qIAnQApIA3sIAwkgYmAAOjgfSSAiYAAL2IgsJ0I3Sis -/A3MCAvJCimSgAkJRnmpCPAAVA2gCwUAACkgDSsgUcDBCck5C6sMq5sqIhNbafvovqkVBUmAACiC -ii1i36WFCVURpdUbv98Zvq/pAAUNyASAAAkCYQkCYQkCYQkCYRy/2Ri+rBK+8eFKEApIgoAA+yYA -DLAEFQAEmQIpJrH5F2gVoA1VAOw8AgrQBIAA7CawKmAEgAALgAAiIrECgkfJItEPAAD/+1gNplMB -APVAaB3v+zoAKlAmGb+8CqoJDKoRqpkokH0qCv0KiAEEiAIolH3RDwD94Ggd7/u2AP/9kA2gBQUA -bBAKJiAMFL+1GL6FJSANmBjoAAUKSASAAAkCYQkCYQkCYQkCYR2/rxi+gh6/rxe/r++/rxtOAoAA -CVkCL3Yx7nVkKecCgAD8+gYdoAsFAOt00SlQBIAA6IK4KlgEgAD9JgAM8AxFAPjmBhXgDVUAC4AA -KXIxCYlHyJXSkNEPAAAAGr+dGL4qK3FkAz4JGb9tH7+Z7xYJL3cCgAD5wABE+7sBAJsbmRcrln+q -iKju7hYKJMALAAAmhAAlhAEs8AEt8AAt5AAs5AEp8AMq8AIq5AIp5AMt8AUv8AT/wIYd4AwVAO3k -BSlQBIAAWJZ6ixocv3UpIQgoIQkmIQflIA0tGASAAC0wJi8gDCogFA3dCS80DCY1Byg1CSk1COo0 -FC7vAoAA/YAARvAeBQAuNAQlNA2OGIUbLdB/DgCH7hIJKkgEgAAJAmEJAmEJAmEJAmESv2z5ftQF -oAxFACx2MeJSAg61AoAACGYCJnVkInYwL7AAJrABJuQBL+QAFr4tKrACLbADLeQDKuQCKLAEKbAF -KeQFKOQEJmK52jD6gGgd4A1VAAtgAC1yMdMPDwIADY1H86VADeFfBQD1oBJlEgCdAOnUAA71tgAA -Gr9PjBcrEQDpoQIg8CEAAOnlAiZgCwAAK8UEiqCa4CgwJokYHb82CIgJ6QAFDEcCgACo3e3QfypI -BIAACQJhCQJhCQJhCQJhInYwLOEAKeEB6L87Ht0CgAD9fmwF4A9FAC92MSgWBi/hAu27AgnQBIAA -63VkJEMpAAD5ACQd4A1VAOyFACpYBIAA/wBEHeAMRQALYAAtcjHTDw2NR2TSWPWgEq0SAJ0A6dQA -DvA2AAAavyWPFh6/H+mhAiDgQQAAKcUCiqCawCgwJokYHb8MCIgJ6QAFDEcCgAAI3Qjt0H8qSASA -AAkCYQkCYQkCYQkCYSJ2MCrBAu3BAS7NAoAA/yYADLAIRQAodjEuwQDpdWQn2ykAAC21AftgRB2g -DEUA+mBoHaANVQDutQAqWASAAAtgAC1yMQ2NR2TSbPWgE00SAJ0AZdGtjhgWvcfuAAUKSASAAAkC -YQkCYQkCYQkCYShiuhm++ft98gXgDBUALHYx63YyKdAEgAD4pgAM8A1VAOl2MCpYBIAAC4AALXIx -DY1HZdFfjhjze2gFoApFAPwAIh2gCwUA7gAFCkgEgAAJAmEJAmEJAmEJAmEoIsQZvuQrdWUqdjEs -dWT9fcQFoA1VAOx2NCnQBIAA6VkCClgEgAD45gYV4AxFAAuAAC1yMQ2NR2XQ/o4Y7gAFCkgEgAAJ -AmEJAmEJAmEJAmEoIscZvtL7faQF4AwlACx2Met2MynQBIAA+KYADPANVQDpdjAqWASAAAuAACJy -MQKCR9EPKHFkCAhJKBUA+f/tWqIAnQCKGOoABQpIBIAACQJhCQJhCQJhCQJhjRct3QIt3IAs0IQv -0IUo0IYp0IMu0ILoiBEP/AKAAOj/AgzOAoAA7dCHL3QCgAAJ7gIOzAIP3QINzAPy5gYVrNwdAP2X -AA5wCxUA/31WBabcHQD9lwAOcAoFAP7mJhWlzAEAWKqFwMT/QGgdoA1VAOt2MynQBIAA7nYyKlgE -gAALYAAtcjH/9BwNp91BANLQ0Q8vcWT/IAAH8V4FAP/f7QLiAJ0AiBjoAAUKSASAAAkCYQkCYQkC -YQkCYSwQCi4QCCgQDCkQCS8QC+0QDSxGAoAA6JkRD3QCgADp7gIP/AKAAAj/Ag/dAg7MAg3MA/Lm -BhWs3B0A/ZcADnALFQD/fPwFptwdAP2XAA5wCgUA/uYmFaXMAQBYqljAxPlAaB3gDVUA63YzKdAE -gADpdjIqWASAAAtgAC1yMf/0EA2n3UEAAC9xZP8gAAfxXgUA/9/sYuIAnQCIGOgABQpIBIAACQJh -CQJhCQJhCQJhLBASLhAQKBAUKRARLxAT7RAVLEYCgADomREPdAKAAOnuAg/8AoAACP8CD90CDswC -DcwD8uYGFazcHQD9lwAOcAsVAP98pAWm3B0A/ZcADnAKBQD+5iYVpcwBAFiqLMDE/0BoHaANVQDr -djMp0ASAAO52MipYBIAAC2AALXIx//PADafdQQBsEAaNMiogDBy88hu9+IkwJ8KHKLF/K7Jc+uAA -Q7NpAQD86AATt93BAOt3CAQDGYAAepYcLsKLbmQp8ABUDaASZQAAANpw7BIBK1gEgABYAIzAINpQ -6zQACWAEgABYpw3AINEPpu4ave/mbwkPdkKAAO67CA/nAoAAqsrpof8mgMmAAPWgBniQEmUAY//E -AADzeYgFr/7+AJkRmxLqFgAkgaGAANuQ+uBoHaAMFQBYlQGJECqdASqg+fFAC3eSAJ0AKpKDZK98 -K6AFwsV8sQSNqWTfb9pw/CBIFaALVQBYcpyOIvHAC2/SAJ0AiXcvmRQSvgfk8Xlk0IEAACuSCWSx -biiwACkKKnmJBSyyAnLBQfoAAh3gDCUAW3D5Hr39GL2rmKCPcJKik6UkpBz1QMYV7/31AP9AhhWg -CCUA7aQdL/4CgAAI/wLvpgEq0ASAAFim98Ag0Q+cEysWAuoWACSHCYAAGb3dGLxpIhIDqYjoIggB -0IEAAPpAaB3gDGUAWKIQLzIJLTIIAEQE/6sADvTtHQD+YQYVoFQFAOQ0ICHQoQAA/GEmFeAOBQD+ -ZOYdp91BAObdAglYBIAA/GTGHeAMZQBYof+KO4g6AEQE+wsADDSYHQCZOuQ0KClYBIAA+GFmFa+J -BQD4ZeYd54hBAPcGAAwwDGUA6DQuIdBpAABYofCLENpw+3/kFeAMFQBb/ZEKAk9lLjzaYFv9PNpw -/CBIFaALZQBYckpj/ifacOwSAStYBIAAWAASY/4VAAAAAADqdAALWASAAFv95QoCT2UuAWP/Dtpw -7BIBK1gEgABYAAj/97gNoAIFAAAAAAAAAAD6AAId4AwlAFtsoWP+nAAAbBAGF72L/XsWBaCJBQAJ -RgHygBGeEgCdAASJQiqdASqsgPVAEVdSAJ0AmhLlvYMTBjGAAC0gDASOQv+gBcUmNAEAKyBRKSBQ -LiANq5nk4gdk+/0AAGTiRynAfStyILDoCYgorbuouwW9Ci3SgA0NRn0xCCsgUXszfH87eSkgDcqd -6yAMJICZgAAvwH0uciCwnQ/dKKvrrbsFuAoogoAICEZ4OQjwAFQNoAsFAAApIA0rIFHA0QnZOQs7 -DKubKiITW2d17L1cFQ9JgAAavCGIEi+iii5y36j/Cf8Rr+7uFgEjAPmAAAQDRm4+ICk8gPggBhXg -AHIAwLDrFgErf04AAASDQiM9ASM8gG8+3pMQ8NlgDeK0QQAqIAwtIA37QAxFZjQBACsgUSkgUOuZ -CAaJkYAA5NATZPP9AAAowH0vciCw2wi7KKr6q6oFqQopkoAJCUZ5MRIrIFH6YApj4gCdAP5gCiKi -AJ0AKSANyp7qIAwkgJmAAC7AfS1yILCbDrsoqtqrqgWvCi/ygA8PRn85CfAAWA2gCwUAAAApIA0r -IFHAwQnJOQs7DKubKiITW2c66LvoFQehgACJECiCiiJy36mICYgRqCIbvR0Zu+3pAAUNyASAAAkC -YQkCYQkCYQkCYRO8MBi76/l6LAXgBRUAJTax6IK7KVAEgAD4hgAM8A1VAOk2sCrgBIAAC4AAKTKx -/XoKBaD71QD8ICgV55lBAPEkQA3g/uUALdAmDd0JDN0Rrc0q0H0LqgEOqgEq1H3RDwAAAAAAAAD/ -93gNpqQBAPggRhXv92IA/yBoHe/4agD/IGgdr/vSACogJgqqCQyqEarKKaB9iBELmQEFmQIppH0o -gCYIiAkMiBGoyC+AffvgBAfw+eUACf8BL4R90Q8A+6BoHe/3DgD//GwNoAIFAMCw+iAmFe/4ygAA -AGwQCIowF7yiiCL5eW4F46oBAAqqCeu87h1XAoAA+yAARLAspQDmkf8kAzmAAIknLZkU5NNmZNCB -AAAtkglk01su0AAo0gJ86QJ7gT/6AAId4AwlAFtv2x283hu83pegjCCTpSSkHJWm+0CGFe/59QAp -pB0tpgL9gAAWMA0lAA3MAuymASrQBIAAWKXZwCDRD5kQ/3lwBaCLBQALbQHywBdeEgCdAAaKQimt -ASmcgPUgFxdSAJ0AmRXsvLEWh7GAACggDCsgDfggRhWilkEA+QAHBWZ2AQAqIFEpIFDqmQgFlyGA -ALCa6hYBJZiRgAAZvKIpkH3q4iAlw/0AAAmIKIkSqaqoqgyrCiuygAsLRntxFCogUfrgBNOiAJ0A -iBH44ASCogCdACkgDcuS6iAMJICxgAAYvJEogH2wmwi7KCjiIKqKq6oMqQopkoAJCUZ5eQrAsPwg -xhXgAG4AACkgDSsgUfwgxhXgCBUACYk5C3sMq5sqIhNbZpkcvIGNFu68fRUV2YAAGrtDixUqooop -4t+rqgmqEaqZ6RYEJoEJgAAGB0ZufiIrfID6IGYV4AB6AAAAwPDvFgQu/z4AAAaHQid9ASd8gG9+ -3JcT86ZgDeKmQQAtIAwrIA37oBLFJnYBACogUSkgUOqZCAWPEYAA5LISZPv9AAAZvGApkH0q4iCw -uAmIKK2qqKoMqworsoALC0Z7cRIqIFH64BDLogCdAP7gEIriAJ0AKSANy5PqIAwkgLGAAB+8US/w -fS3iILCbD7soqtqrqgyoCiiCgAgIRnh5C/AAYA2gCwUAAAAAACkgDSsgUcDBCck5C3sMq5sqIhNb -ZlpkobwYuweJExe8PiiCiidy36mICYgRqHcbvD0Zuw3pAAUNyASAAAkCYQkCYQkCYQkCYR67UBi7 -Cvl4bAXgDBUALOaxKIK76WkCC9AEgAD51gYV4A1VAAuAABu7RiuysRq8JfroAAXw+dUA5hIEJYeR -gAAmYCYGZgkMZhGmpi9gffngBAfw9+UAB/8BL2R9iSeOEC2ZFPd4ZgWgBwUA7CICJNiBAADn5oMm -hHmAAOqSCSYHkYAAZKCCKKAAwpp5iRQdvCeMov2ABnxiAJ0A95/qPCIAnQDasPwAQh2gCwUAW28c -HrwhGLvNmKCPIJaik6UkpByVpvdDph3gCCUA7qYEL/4CgAAI/wLvpgEq0ASAAFilGsAg0Q8A//SY -DaaWAQD6IKYVr/SCAPoAAh3gDCUAW2sHY/ysAABkwGfasPwAQh2gCwUAW2sCY/+WAAAAAAD4ICYV -7/UyAP8gaB3v+R4ALXAmDd0JDN0Rra0s0H35gAQGcA4VAA7MAv2vph2v+9YAAAAAAAAAAPsAaB2v -9BYA+6BoHa/4AgD3n/o1IgCdAGP8dNpQ+mBoHeAMBQBYpM3aIFierMAg0Q8AAAAAAAAA//lQDaAH -BQDA8P4ghhXv9YIAAABsEAYmMAgnMAn2IAAEsmYhAOgyACMGUYAA9MAGE9A6hQDxAAZiUHuFAPSA -BZLSAJ0A8OAJ/hIAnQAaupAYu7+YEOoABQxQBIAACgJhCgJhCgJhCgJhCZoJGbvQDKoRqpkikh9k -IHgaunktkIAuoocqopCu3endEQlYBIAA/UAARXAMFQBYkqqLEB+7xBi6gP93iAWllwEA6Zz/K2UC -gAAMmQIk5j8p5YAogr7+RgAP8A1VAO/mPipgBIAAC4AAGLu4KII/+GIAFeeIQQDshAAEA3mAAAgM -BvAAHA2vzAEAHLux6lQACdgEgABYpInAINEPe4bqjTFx1uXpuwgSK4uAACggBGiBSPUABcoSAJ0A -aYXMBwhFCG8IDf8R//8AFeBOBQB/47gDiAvpABUDAFGAAG1pAggAiv/+nA2gDAUAGLuabWkFCACI -CQCKY/+TAAAHCEWoaw27ESu8+HujgAOIC+kAFQN+kYAAbWkCCACKY//EAAAoIARogXP1AAUaEgCd -APkf+srSAJ0ABwhFqG0N3RH9vwAV4EwFAP2f+gviAJ0AiieKruqICwHIQQAA5G+FZEPhAABtaQUI -AIgJAIpj/3QAAAcIRahsDcwRLMz4/X/4Y6IAnQADiAvpABUDesmAAG1pAggAimP/SwAAAAcIRaht -Dd0RLdz4/V/3E+IAnQCKJ4qu6ogLAchBAADkbyZkQ+EAAG1pBQgAiAkAimP/FQcIRahsDcwRLMz4 -/X/1e6IAnQCKJyqiDuqICwHIQQAA5G7yZEPhAABtaQUIAIgJAIpj/uEAAABsEAobugjyRFAVoAYF -ACY0HyY0HiY0HSY0HCY0GyY0GiY0GSY0GCY0FyY0FiY0FSY0FCY0EyY0EiY0ESY0EOsEBQjQBIAA -CgJj6wAFAMhRAAAJAmGIMOoWBCHQQQAA6RYJJCRegAAFWgL6YGgd4AwFAFikEcAg0Q8AFLmtAigJ -DIgRqET0hAAloAxlAPSTQBWgKOUA6DQPKlgEgABYn1GLNYk0AEQE+ysADPSpHQD6YIYVoFcFACc0 -EPhgphXgDGUA9mLmHaeZQQDimQIKWASAAOk0FiHQYQAAWJ9CG7sfjDYfuYeON/l2NgWk3B0A/GDG -FeIAPQAOzBicN/ZjBh3nzEEA84YADj+NBQAtNB/sNB4g6FEAAJbQltGW0pbTlhCWEfYgRhWn3AUA -nBP4IGQdoB71AC4VBf4gRB3gCaUAKRUB+iFEHeAKFQD6JCYdoPn1ACkVBCkVDugVDSj4BIAA7w8e -AfCBAAAOAmftCx4B4MEAAPwIqB2v+74AAGwQBIgw8QAFYtIAnQCJMWeQohS6qCpCjCuhAmSxaVtg -+R256izSDizMASzWDvVACrgSAJ0AGLmQKIJ5HbmbJEKJCogICYgRCEQI7QAFAkiBAAAJAmEJAmEJ -AmEJAmEJAmEJAmEvIAwuIA0tIQcsIAcrIBYpIHz6QUgVoAhFAChEBC9EDC5EDS1FByxEBylEVfqC -xh3gO/UA60QFJQehgAApogjJn20ICemSCCzQBIAAyZJj/+/BxupUAAnYBIAAWKOfwCDRD5So+oYG -HeAGBQCWSeZGCCHYQQAA60YAAlDRAADqix4ByGEAAOkmAAJA8QAA6IceAfiBAADvBgACcXEAAA4A -io077UYZIeDBAADsAx4CWaEAAOsMAAJROQAA+EAIFeAMNQDpRhYh2DUAAFiezipMUfpJABXgDDUA -WJ7KGbpYj0CNRywhKSsiEyogUCpETCtGEvyERB2vyAUA6f8BBvCBAAAI7gHvNgoncQEAAJ7Z/6EG -FaAMBQD3ooQdr/ziAAAAAAAA//ysDaAMxQD0QUYVr/zSAGwQDBe5jBu6kIkw9XR+BaAqtQD8YCgV -oB3FAOubAQSsHIAAZsGT7LqJFKk4gACIMi/C6QSEAe0iAComQoAApPTuQh4h2IEAAOk8KCH44QAA -6kxAIkFRAAD/oAlkIgCdAMHG6lQACdgEgABYo07AINEPAAAAAAAAAO7C0ySl1IAAizItwhYEuwF+ -uwSu3XvTzyTC6Qm4EehECAHQSQAA+o2AFeAMZQBYnor4hwAV4AwFAOlGAAHAYQAA6IseAnkBAADv -JgAB8IEAAO6HHgJpUQAA7QMeAdihAADrDAACUZEAAOoGAAHI4QAA+IJoHe/91gBkv2uLMe7C0ygE -CoAA8X/68FIAnQCLMi/CFgS7Ae67Dn3OQoAArv/7//oj4gCdACTC6YgqqUT1H/msIgCdACtABftg -IVQiAJ0ALCAE/YATlWIAnQCGSsht2mBYS1GGaNMPDwIAZW/w+oBoHaALBQBYRTnaQFhE9epEAAlY -BIAAWETVLXIQ/b/gFeAMBQD84gYV7/uCAAAALjwY7qYAAmjhAAANFIoLgIgKEIoJIIb4BKgdoAwF -AO9mAAJxkQAA/ppoHa/6vgAAAAAvIAQbuc/94BAkYgCdACqyYCihAmSB7ltgJilyELGZKXYQ9UAP -ABIAnQAbuL8ducUosnocuMgm0lyqiAmIEahm7AAFA0iBAAAJAmEJAmEJAmEJAmEJAmEJAmEvIBYu -IAcqIQgpIQkoIQcnIA0sIAwsZAwsIAwnZA0oZQcpZQkqZQguZAf+wsYd4A5VAP7Ahh2gL7UAL2QF -K7KHKtJcC8sICbsRq6r6QqgV4AwVAFiQ1ysiCuekAAWXOYAAKbIJ6rQABIB5gADpkgks0ASAAGWf -9JapkmiIIC8hGf5G0BWgCQUAmWmZaplrLmRLKGYe72UpI1HJAADtIFMhWQEAAPzPhh3gDGUAWJ4D -HLngH7ne+iIAFeAIBQCYsJixmLKYs5gQmBH4IEYVoBn1APggpB3n2gUA+iBmFaD+9QAuFQT+IGQd -4A0VAC0UHS4VDC8VC/whBB2gD6UALxUBGLg26BUCKOAEgADsCx4DcVEAAA4CZevGAANpkQAADRiK -iiqcGJsZdqESG7lvimArskYLqgz6IaYVoAAiAMDAnB0duWkt0X/ruDAW9eyAAGhVc44yKjwY6hYM -IZCBAADzwAmyUgCdAMWx6gYAA0DhAAD4gmgdoAwFAOLmAAN5AQAA758eC1AEgABYPneJYPUgBASw -DAUA+GBGFe/y0gAA2kBYSrZj/ZwAAAAAAP/yeA2gDMUAijL6n+/WIgCdAP/yMA2gHGUAInAmG7gP -Ai0JDN0R/WAARfAMZQDqPBgl2IMAAOoWDCXaaQAAWJ20jzeONgBEBP/LAA90jh0A+GDGFaBfBQAv -NBieN/4hqBXn7kEAAu4CLjQeLzQfInAmG7f6Ai0JDN0R/WAARfAMZQDqPCAl2IMAAOoWCyXaaQAA -WJ2fiRuNHYw4+iGIFeCOBQAO3QL+YSgVpIwdAPhhBhWgXwUA/mQGHeIAPQAOzBicOfxk5h3nzEEA -AswCLDQm60YAA1DhAAAKCIrpJgADQQEAAPiKaB2v++IAACxwJpwaDMwJDMwRDLsIK70g+3NAFeAM -ZQBYnYKON402AEQE/6sADrT9HQD+YMYV4F4FAC40GI8djhqdN/5j5h3n3UEADt0CLTQeJ3AmG7fI -B3wJDMwRrLvrvSApUASAAPtzQBXgDGUAWJ1uiB2POPohiBWgiQUACYgC+GEoFeTPHQD8YQYVoFsF -APpkBh3iAD0ACf8Ynzn4ZOYdp/9BAAf/Av5kxh3v+KIAAPZBRhWv9MYA6kQACVgEgABYQ9f/68wN -oAwFAAAAAAAAbBAEiDDTDw8CAP8CQAJQHGUAKiAE/UMAANAZxQB5oRDqVAAJ2ASAAFiiAMAg0Q8A -ACghFig1ES8hGC81EC4hGe40JCHQKQAA7SA2IVkBAAD8YUYV4AxlAFidPyk8GOosSCFg4QAA7CYA -AdhBAAD6imgd4Aw1AOoGAAFZQQAA6YMeAdCVAABYnTQpIFMpNDEqIRf/QUAEEE0FAA2ZAik0Mf9B -QARQLgUADpkCKTQx/0GAB1AMBQDB8A+fAi80MSggNPhghB2v/W4AAAAAbBAEHLemH7kBiDAswocp -8j7qVAAJ2ASAAP2IABY33gUA7JkIBCWogAAtkscYuGkoNQYoNQeN3ig1BS41BB649I3UnTQs4hOc -NSnyQWSQTCmRAgnJDCzyypm38YRgDeAJBQApwQIJ2QyZti3iFZ24LOIUnLkp4uzLkSmRAgnZDJm6 -KeLrypwpkQIJyQwptgvAwFihs8Ag0Q8AAAAA//7UDaAJBQBj/8AAAAAAAP//PA2gCQUA//9QDaAJ -BQBsEASKJ9MPDwIAKqwQW2Uw9EDoFaPohQAIMyjTD+o0AAIgQQAAWvPg7bcwGeAEgADuuModWASA -AO8iACpQBIAAWvOVgiciLBDaIFrzc2ihAtEPANogWvONEreDC6gR6CIIBQGxgAAM6jArIoWLsLCi -7LsICVAEgABYpJsct5gqwn/6QAQA0AsVAAC7GguqAirGf1ik0NEPAPoA4h2gCxUAW2XeLCJ/LCaD -0Q8AbBAEKiBT6yAWKeAEgABbbAPRDwBsEATit64ZMASAAPdvWgXnVQEA7FgRAqVVAACiiCmCntMP -c5N6IoKdB1kKKZK/CSIBZCBjGrdHHrekG7ek6gAFCUAEgABtOQIIAmEtYQcNDUoM3REO3QKdIIxg -lCPrJgIuZgKAAAw8Apwh0Q8ct4KJyGqRMwxYEaKILoKeB1oKKqK/c+M4IoKdCiIB5CAxZNv9AACb -yGUvm8Ag0Q8AAAAA//40DaACBQDAoFifghy3cYnIa5G+//9wDaACBQAAwCDA2g2dNP2BBhXv/zIA -AAAAbBAEKiBT6yAWKeAEgABba/XSoNEPAAAAbBAEjjL5cNYF4Ai1AHjrGgnpComQCpAA6iQAD1gE -gADtVAAB4DEAAFtAgcAg0Q/qJAAJ2ASAAOxEAAroBIAAWDwS0qDRD+okAAnYBIAA7EQACugEgABY -OazSoNEP6iQACdgEgADsRAAK6ASAAFgB2dKg0Q8A6iQACdgEgADsRAAK6ASAAFgEcNKg0Q8A6iQA -D1gEgAD8oGgd4AwFAFtAZMAg0Q9sEC4XtyyGLSpyhAlmEaamKWIHKZIOLCIOKJAw65AxLmZCgAAM -ogjqIgcsRgKAAAuIAiiMAfkmJh2oiB0A6JQwJVBBAABbZI+DKcszFbgyhDv8YAgV4ApVAP5ACBWg -OyUA7zAFKuAEgABYopH6YGgdoIulAFvr1uNEAAp+pgAAgynAQOsiCyGAuYAAZbPL8kFmFeAAKgAA -AJO7mzyUKRq2vfhCyBXgG6UAKyRUJXKEKCAM6qKHLM5CgACpWSkWT6qI6SEZLEZCgACoVRi3ZikW -TvgqBhWgGQUA6AAVCMAEgABtmgIIAIodtp8sUSkjLE79gBIEYAcVABy4CI0g/kCwFaAKVQD+SpAV -4DslAFiiaBy2vR22u/pACBXg7hUALhQYnRKcEP1gABWwDIUADLsCmxEvIActUSn/beQFof8BAAD/ -EQ/dAg7dAp0UCuowmhWbGflvQAXgSAUAKBUPmRgvIFQvFDEuIAUuFDItIDAnFDAtFDMrIhbrFg0g -2IEAAIq1ibSIs4+y7rIBIOkBAACe0Z/SmNOZ1JrVi7Cb0IogKhYWKSBUKRRdKCAFKBRgLyAwLxRh -5xRcIVjRAADkFF4g0aEAAFib7yoccPpHgBXgDIUAWJvsKxJPKhx6+25AFeAMZQBYm+gqHH36YGgd -4Aw1AFib5OssXCDR/QAA+0CgFaAMhQBYm98uEk4oEUIpEUP4JiQd4L4hAPgNAAewWHEA/i4ADrDO -KQDt7QIOZ8KAAOlVEQ/+goAA+AUABDCeWQDl/wIMRsKAAPRBiBXg3QEA/WYADbDOQQDszBEO7kKA -APmmAA60VZkA9aYADvCOUQD8LIYd4F45AP4GAAaw7kkA7VURD3bCgADuzAIO74KAAAXdAuUgaCzO -QoAA7iBpLEaCgAAJiAL5hgAOMFUZAP6oABKwjjkA9eYAD/BeMQDuiBEKr8KAAAhVAvXmAA/w7ikA -/8YAD3A/BQAP7gIuFGWKLA3MAgy7Avos5h3gmoEA+hEABDCqkQDqiBENVkKAAOqIAgzOwoAA+QYA -DHAJhQAJiAIoFGYsIgclyRTkUVVmUIEAACvCCWSxSvogaB3gDIUAW2ZkG7d6KiITJCUZLCAFC6oB -+kJmFaA1lQB1wQULrAEsJhMpElAoHH8ojBH4AAoV4BlVAG2aAggAihi3HOgWJCFZRQAA/EAIFeAM -NQD0NGYdoA61APY1Bh3j//UA7xVQLu4CgADu3QIA0f0AAO0WJSVQqQAAWJt36hx/KdgEgAD7RcAV -oAw1AFibciQUwSQUwiQUw/wCAh2gCzUA6xTAINH9AADqrEUjWVEAAFibaetsQCDR/QAA+0qgFaAM -hQBYm2TrbDgg0f0AAPtLoBWgDIUAWJtg62xkINAHAAD7QIAVoAyFAFibWycViCQU5CQU9PpAaB2g -LgUA/jiGHaD89QDuFMUg6AcAAOTUFCDZ/QAA7BWGJdhFAAD8AWIdoA0lAFg9RCUkBdEPibvTD2Sc -Nm0ICumSCyzYBIAAZJwoY//uAADqJAAI2ASAAPwBAh2gDSUAW11iY/6pAABsEDYTtvMXtnSLLS0y -fx63IeogBS3eQoAAq9uLt+y1xBVS7QAA/0ABBzAFFQD7YcgV4BglAPlBUg2gBAUAjuAK4AAA2iBb -XlXAINEPHLcTjSD+RsQVoApVAP5G5BXgOyUAWKFwhi4jMn8JZhGmM+Y8TiDQFQAA+sBoHeAMNQBY -mx7nABUAwGEAAPtuCAXgGVUAbZoCCACKmxb4QAgV4Aw1ACwUK/QmBh3j+vUAKhUU/SAAFLAKtQAK -mQKZFygwBCocMfUADdKSAJ0AKzxRWJsK22D6JqAVoAw1AFibByUUSCQUSSQUSiQUSyQUTuscGClQ -BIAA/ABCHeAMlQD8KaYdoAy1AFg89NogW14kwCDRD9ogW14iwCDRD4onKqwQW2M2iC4jMn/nABUM -RkKAAOgzCADB/QAA+QggFaAZVQBtmgIIAIocttcsFjDpIg4hsUUAAOUU2CDR/QAA9DpmHaP79QD6 -LQQd4Aw1AP0gABSwC7UA65kCBVFpAADpFjErWASAAFia3es8TiDR/QAA+0vAFaAMNQBYmtgkFPEk -FPIkFPP6wGgd4BwlAOwU8CDR/QAA+07AFaAMNQBYms/aMP5ACBWgDLUA/i+EHaANJQDuITcg2f0A -AO4VfSXZBQAAWDy+wCDRD4kuCZkRqdmJl4meKJA7sYgolDsvsF+x/++0XylQBIAAW/5bwCDRD4kn -KpkUyKCEmSjCRPpAaB2gDQUA7EAHKlgEgAALgADAINEPLx0B6LacF9mhAACUsJSxlLKUs5S0lLWU -tpS3KBZaiSDowkQpUASAAPXvhh3gDiUA5PR7LM4CgADumQIKaASAAOkWWy9gBIAAC4AAwCDRDwAA -KzxIWJqdtRkrkAHskAAg0NUAACykACukASmQAvlARh3v+PYAbBAKLSEpKyAWJCAHHLYrKiB8hieH -KAquCYZulhfmcgcvdwKAAA7MCCzCfywWCfbByBWg+PUA+WSGDaFEAQD8IQYV58UBAP2fwBWgCRUA -DJw5W2npjBntEgglF5GAABW1aOO1aBpPAoAA9IARohIAnQClmS6SnvfAFlNSAJ0AKpKdA0MKIzK/ -A6MBZDJJGLUBDwIADwIA6AAFCcgEgAAJAmEJAmEJAmEJAmEJAmEJAmEoIQf5aqwF4vxBAP3gABe2 -7AEA/8YAD3qIAQD/AAAUMPw5AOmIAg/9QoAA+GAGFa2NHQDptUscRQKAAAj/AvhACBWgSoUAmjP4 -YEYV4AxlAOm2QRxGAoAADIgCmDEoIHzv7gIO/QKAAAn/Au82BixsAoAADt0C/2oKBaALBQD6YUQd -4DpFACo1C+7dAgHQgQAA7TYEIVnJAABYmkLqPCYhWbEAAPogxhXgDGUAWJo9HLSEH7Yp/mWmHeCO -lQD+ZYYdoB0FAPxlxh3gCCUA+GcGHaAKNQD4ZyYdoAsVAPpmZh3gCXUA7DQ3I9lhAAD4ZqYd4Axl -APpmJh2gCQUA+GaGHeAKBQD6ZgYdoIkFAOk0NiHQ6QAAWJojKxIG+mhAFaAMVQD8aCYdoA21APxo -Bh3gDGUAWJocKjxJ+kkAFeAMNQBYmhj6aYAVoAdlAPpIABXgDIUAWJoTjRcs0CAu0CHv0CIuZgKA -AA7MAu7QIy5mAoAAD8wCCMwRDswCscz9pGYdqMwdAP2kRh2ozB0A/aQmHajMHQAs1CCLYIphK7w4 -62YAJVAFAACaYYgnDEkR9SAARP/PBQDnlp0kQIEAAP8ABAfwCQUA6YUEJ/kBAACfgC+GASsgFi4K -//9gBMQiAJ0A+k+QFaA8RQBbaSzAINEPABu0xYq490AGAJIAnQAMSRGlmS6SngNDCiMyv/fABoNS -AJ0ALpKdA+MB5DDEZUP9AACYuGU9tYkiZJBPH7XM/kAIFaAIlQCYEp8Q/cAAFzAPFQAP7gKeEY4n -+8QAFa/NBQD9QAQG8A8FAO/lFCbpAQAA7eYJKNgEgAD9wQYV4AwVAFtknMAg0Q8AAADqJAACWGEA -APwAIh2gDWUAW2mQY/+ZAAD/9QgNoAMFAIkiZZ+KKyB8+kBoHaAMFQD7YkAV4A0FAFtphmP/cZ0Y -/CEmFaAKBQBYnKAbtJCKuIwZjRj5X/lQkgCdAP/9IA2gAwUAwDDA6g6uNP9hBhWv/OYAAGwQOigg -BftpxgWgKeUA+QAmVWwLBQAqFmPrFgAgwCEAAPoAChWgGVUAbZoCCACKGrU36hYCIOCFAADsFloh -USEAAPoshhWgCLUA7yIAINDxAAD6K2YVoAQFAPQjZh2gBRUA9CQGHeP59QDpFQwv/gKAAAj/Ap8T -LSBJLiBILsQALcQBKyBKK8QCJBQ5JBQ69CdmHaAJNQDpFDghWVEAACsWXCgQAC0QAu8QASDwlQAA -L+QBLeQC+cAGHaAcBQBYmX0TtFUWtUAXtWoYtA4vIEEpIEAbtTfrFmUg8TEAAC4WXSnkAC/kASwg -Qy0gQi3kAizkAyogRCkgRSnkBerkBCDJUQAAKRZfLSBHLyBGL+QGLeQHKiA5LCA4LJQAKpQBLiA7 -LyA6L5QCLpQDLSA8LCA9LJQF7ZQEIOHxAAAsFmEvID8qID4qlAYvlActIGUuIGQuxAAtxAEpIGcq -IGYqxAIpxAMuIGkvIGgvxAQuxAUtIGoqIGsqxAftxAYhUZEAAOoWYiFpAQAA7RZeIWDhAAAsFmD5 -GwgVpB8FAC8VQf4kJB3gLgUALhQ8LhQ9JBRcJBRsJRVE9DGGHaD59QApFUKJII0nKBZmB5kCjd7m -NrEpUASAAPws5hXgDEUA+HYGFeANVQALgAAqMrEpEmcKikfttMIVGCGAAC6QOi+QOwjuEQ/uArHu -/ydmHajuHQAulDovEmP4L+AVrQkFAOkWLCRA5QAA/gAKFeAZVQDTD22aAggAiisSZB+1CC8WLo0g -JBTL9DoGHeP+9QD+LIQdoAw1AP2gABawDrUA7t0CANH9AADtFi8lUUkAAFiZEigSZiQU6SQU6iQU -6/ov4BXgDTUA/D3mHeBsJQDsFOgl6MUAACrQAuzQASXZWQAALLQBKrQCLdAALbQAiSCMJysSZQeZ -AozO5jaxKVAEgAD8LQYVoA1VAPh2BhXgDEUAC4AALjKxKRJoDo5HZOKdL5A6KJA7CP8RCP8Csf// -J2Yd6P8dAC+UOikSYyiqAOgWACDAIQAA+AAKFeAZVQBtmgIIAIorEloqEmQYtH2YEo4gJBQb9CQG -HeP/9QAvFQz9wAAXMA+1AA/uAp4TLKABLaAALbQALLQBKqAC+2BGHaAJNQApFDgkFDkkFDokFDsq -ElsrElwoEAAtEALvEAEg8JUAAC/kAS3kAvnABh2gHAUAWJjNKRJeKBJdLpABL5AAL4QALoQBLJAD -LZACLYQCLIQDKpAFK5AEK4QEKoQFL5AHKZAGKYQGL4QHKBJgLxJfLYABLoAALvQALfQBK4ADLIAC -LPQCK/QDKYAFKoAEKvQEKfQFLoAHKIAGKPQGLvQHLxJiLhJhLPABLfAALeQALOQBKvADK/ACK+QC -KuQDKfAEKPAFKOQFKeQEKBJmLfAHL/AGL+QGLeQH9CiEHeQcBQAsFUH8JCQdoCsFACsUPCsUPSQU -XCQUbPQxhh2g+vUAKhVCKSIAJCIHKxJlB5kC9IHIFaANVQDmNrEpUASAAPh2BhXgDEUAC4AAKDKx -CIhHZIF5KUA6KkA7CJkRCpkCsZn4h2Yd6JkdAClEOoonwrzrJAUlUEEAAFtgw4Mn6rRyEZhBAABa -73YctHAdssYetG+PIOukAAnQBIAAWu8tgycjPBDaMFrvCvVADNCSAJ0A+kBoHaALBQD8AAIdoA0F -AFv93MAg0Q8AAAAAABuz/SoyuijSawuqAe20Xx1WQoAAqoiLjBy0Ww27AQy7ApuMKpBuK5BvCKoR -C6oC6BZYJVAFAAD7LeYdqKodAOqUbiRmsYAA2xD7CcAVoAw1AFiYWuoSWCDYIQAA/AFiHaANJQBY -Ok0sElgtwAX9hgYd4DsVAPuAph3v8oIAAAAAABiz3B6z3y8yui7iawj/Aeu0Ph/+QoAAr+6I7Bq0 -PAuIAQqIApjsL5BuKJBvCP8RCP8C7hZZJ/gFAAD/LeYd6P8dAO+UbidpqYAA6uxOINn9AAD7ZiAV -4Aw1AFiYOCoSWfov4BXgDLUA+2cgFeANJQBYOiotElnDyP2gph2v8/4AKDK6E7O/GbO7IzJrCYgB -6bQeHEZCgACoM488GLQdCf8BCP8CnzwuQG4vQG8I7hEP7gIu7AH+jeYdqO4dAO5EbiHy8YAAKjxO -+iBoHeAMNQBYmBrrHAgp0ASAAPwBYh2gDSUAWDoNKTAF+GYGHeBIFQD4YKYdr/iqANowWu6+E7K0 -C6gRDwIA6DMIBQHBgAAM6jArMoWLsLCj0w/suwgJ0ASAAFifyxyzlirCkwAxBABbGguqAirGk1ig -AWP+JAAAAAAA+gDiHaALFQBbYQ4sMn/8cGYVr/g6AAAAbBAKKyAWIyAHhycqIFMsIRnks4waaASA -AJwbnRoKqAnncg4sRwKAAKhE9I/oFaD49QD5Y6YNoTMBAAUMR/2fwBWgDRUADNw5W2dO7BILJRpJ -gAAWss7lss4ZzwKAAPRgEBoSAJ0Appktkp4PAgD3oBjyUgCdACqSnQU1CiVSvwWlAWRSFRiyZugA -BQrIBIAACQJhCQJhCQJhCQJhLSEHHrK+DQ1K6bK9Hu8CgAD/pgAOsvRBAO1WAC/+AoAA+kAIFebk -AQD/xgAPcDoFAPqgZhWgDUUA6VYCLd4CgAANuwKbUfxKcBXgCAUAKFUKmFiYWZhamFuYXJhd+KHG -FaD0OQD4oeYVrYwdAOX/EQxFAoAACP8C7+4CDuwCgAAO3QL/ZzgF4CsFAOyyaB51AoAAK1ULD+4C -7NwCAtCBAADsVgQhWQEAAP6gxhWgDGUAWJek6lwmISFhAAD6gGgd4AxlAFiXn/1nGAWgDSUALVQ1 -LVQ4/KcmHeAZBQD4pcYd4AgFAChUNPylph2gi5UA+qWGHeAPFQD+pmYd4A41AO5UMSLQ6QAA/2Os -BeAOBQDuVDAqWASAAP6m5h3gjgUA/qbGHaAMZQBYl4aIc4xysY75wPINoApFACzMAY9wjXGec+x2 -AifwkQAA7nYAJuAFAACccY0a6SIHKd8CgAAGuwj7c6YVoAwFAOqcIC7YBIAAW12giif5RAAV78sF -APsgBATwCwUA66UUJMkBAACZqZmoKyAWKAr/eLEK+kpwFaAsBQBbZqYsIDf9n+AVoP3lAPxG5h2n -zAEA/YAEpGIAnQDAINEPAAAAAB6yN4ro90AKUJIAnQAMORGmmS2SngU1CiVSv/egCrJSAJ0AK5Kd -BbUB5FFKZUP9AACY6GVd6YkiZJDXH7M+/kAIFaAIhQCYFp8U/cAAFzAPFQAP7gKeFY4n+8QAFa/N -BQD9QAQG8A8FAO/lFCbpAQAA7eYJINhBAAD9wQYV4AwVAFtiDsAg0Q8cszIuIAf8QLAV4ApVAPhA -CBXgOyUA6RYAKXgEgABYnYCMJy36wPOByBXgLmUA7iQFJliBAAD9YAQF8A0FAO3FFCXZAQAAm8nr -xggmUEEAAFtfaC4xHYIqse7uNR0heJGAAPAAVA2gI9UAAPpAaB2gCxUAWD39gilkLvQvIAVz+emC -KWUv9GP+5gAA6iQAAdhhAAD8ACIdoA1FAFtm4GP/EQAA//O4DaAFBQCIImWPAisgU/pAaB2gDBUA -+2JAFeANBQBbZtZj/ukAAPwhZhWgCgUAWJnwHrHgiuiMG/lf9SCSAJ0A//sIDaAFBQDAUMDaDa00 -/cEGFe/6zgAAAABsEAooIAUpCiX5ABMVYgCdAC0hGSsgFiMgByogUyYiBxeyjgqoCeZiDixHAoAA -CHcI9u/oFeD89QD9YuYNoTMBAPwhRhXgDBUAW2ZT7RIKJRqhgAAVsdPksdMZzwKAAPRgEIoSAJ0A -BZkIK5Ke0w8PAgD3YBkyUgCdACqSnQQ0CiRCvwSkAWRCHRixaugABQpIBIAACQJhCQJhCQJhCQJh -LCEHHrHCDAxK6bHBHmcCgAD/hgAOMvdBAOxGAC/+AoAA+kAIFebnAQD/xgAPcDoFAPqAZhWgDEUA -6UYCLd4CgAAMuwKbQfxKcBWgCAUAKEUKKEYIKEYJKEYKKEYL+IGGFaD3OQDoRg0v/UKAAPiBxhWg -KwUA+IHmFa2NHQDrRQssRQKAAAj/Ag/uAu+ynh5kAoAADswC7bFpHvUCgAAP7gLuRgYiUIEAAO3M -AgFZAQAA/ICGFaAMZQBYlqbqTCYhOWEAAPrgaB3gDGUAWJah+2UcBeCJlQD4hYYd4A8FAP6Ghh3g -GAUA+IXGHaAMJQAsRDUsRDgsRDn6haYd4A4VAP6GZh2gDTUA7UQxIlDpAAD/YbAFoA0FAO1EMCvY -BIAA/obmHaCNBQD8hsYd4AxlAFiWiI9jK2ICLPwB/4DSDeAKRQCxu45hjWAsZgPrZgInYAUAAOxm -ASbYkQAAK2YA+EDoFaALBQD+YAAUsAwFAPUgAETwDQUA6padJFCBAABbXKGJJ/kkABWvygUA+wAE -BDAKBQDqlRQkQQEAAJiZmJgrIBYvCv9/sQr6SnAVoCwFAFtlpisgN/t/4BXg/OUA+kbmHee7AQD9 -YAR0IgCdAMAg0Q8AG7E5irj3QAo4kgCdAAw5EaWZLJKe94AKylIAnQAskp0ENAokQr8ExAFkQUew -qJi4ZU3hiSJkkNcfskD+QAgVoAiFAJgWnxT9wAAXMA8VAA/uAp4Vjif7xAAVr80FAP1ABAbwDwUA -7+UUJukBAADt5gkg2EEAAP3BBhXgDBUAW2EQwCDRDxyyNC4gB/xAsBXgClUA+EAIFeA7JQDpFgAp -eASAAFicgownLfrA84HIFeAuZQDuJAUmWIEAAP1gBAXwDQUA7cUUJdkBAACbyevGCCZQQQAAW15q -LjEdgiqx7u41HSF4wYAA8ABUDaAj1QAA+kBoHaALFQBYPP+CKWQu+i8gBXP56YIpZS/0Y/7sAADq -JAAB2GEAAPwAIh2gDUUAW2XiY/8RAAD/85gNoAQFAIgiZY8CKyBT+kBoHaAMFQD7YkAV4A0FAFtl -2GP+6QAA/CFGFeAKBQBYmPIbsOKKuI0a+V/1OJIAnQD/+wgNoAQFAMBAwMoMrDT9YQYVr/rOAAAA -AGwQBiggBSsgB/gCgh3nZQEA+QAHfWG7AQAcsOT4wAehUgCdAJMQJzIG5bDfHZgEgAD1YASiEgCd -AAy6EQWqCC2invegCDTSAJ0AKqKdDL0KLdK/DaoBZKDC+kAIFeAMFQBbAOQesR4pIQkYsNMvIAcr -ISIsIST9Y7AF4P8RAOi7Ag/6goAAD8wCDcwCnKCPIJui90CmFeAIJQDppgMv/gKAAAj/Ap+h7gAV -BUhhAAAJAIoMORH1IABE8AilAOiWnSsVsAAAwCDRDx2wpYnY9yAEEJIAnQAMOhGlqi6invfABOTS -AJ0AKqKdDD4KLuK/DqoB5KCKZPv9AAD/oQYV7/1iAAAA6iQACtgEgABbY77SoNEPAPZgaBXv/EYA -iCLrFgEkBRGAAGhiY8Ag0Q+KJ/qAaB3gDAUA6qwgKmgEgABbW9vSoNEPAAAAAAAA//wYDaAKBQD6 -ICYV4AoFAFiYkB2wgInYixHssJEYBAqAAPk/+yCSAJ0A//twDaAKBQDAoMDKDJw0/aEGFa/7NgCK -J8Cw+0QAFaAMFQBbYGgesRueoI0gG7GOjBD9oAAWsA4VAA7dAp2hjMaco/tARhXgAgUA0Q8A6iQA -BdhhAAD8ACIdoA2lAFtlVGP/SwAAbBAEFLC/+2AIBaAJFQDqJgApxgKAAAmIAugmASEYIQAA5AAV -ARBBAAADAIrRDwAAbBAE/WLoBeALJQArNAAqIBUesXEYsAfoSRENUQKAAPsmAA0wC1UAC6oCmjHo -AAUBoEEAAAQCYQQCYQQCYQQCYSggB/9izAXhiAEA4FURDESCgAAIVQKVNoUgnj+fPvxiBhXgBAUA -9GEGFaALNQDkPFAq5sKAAOw2ByMB2YAA/EKwFeAOFQAuNFguNFDnNFku6QKAAA2dAg7dAi02FQzq -MOxFBSrWAoAAC6oC6kYDIZGBAADRD9JA0Q8AAABsEATyQEgV7/QVAAQzAZMi0Q8AAGwQBNog/GBo -HaALFQBYmWnSoNEPbBAIh0aIRy9BCylBCvSBCBXsgD0A/GBoHaT/HQD4AgAG8GlxAPgDAAdwNfEA -9B8AAvm4HQDoeBgN3AKAAO9VEAmcAoAA5TMCD3fCgADu3QILNEKAAPSAiBXh6QEA5jMCD3eCgAD6 -IMYV4WlhAPrYABMwCxUA+CCmFaCJeQDr/zcMRUKAAOhmAg//AoAA/8YAD3CJWQDmMwIMRoKAAPiG -AANxmSEA7t0CDM4CgADtFgcrN8KAAPjGAAswhXkA5jMCDEPCgAD5BgAMcWVhAPVgAATwVXEA5TMC -CzCCgADlr2wcy4KAAAlmAvjGAAs2d8kAB2YCF7ECBTMC4xYDKVAEgAD2xgALcA4FAOYWBCjoBIAA -WJgo0qDRD2wQBNog/GBoHaALBQBYmR7SoNEPbBAIi0eHRuNCBCngBIAA5iEHKVAEgAD4gKgVq4A9 -APcXAATxU6EA90AAAzDjkQDxyAAXMvi5AOT/EAs0QoAA63cYCqzCgAD2pgAKubsdAOkWBS3bgoAA -+eAABLBomQDnYhELNkKAAOcWBizPgoAA9EYACXR40QDrmQILvgKAAPIZAAXyWKEA92AAFbCI+QDn -ZgIMRMKAAPK4ABKxc4EA5f8CC7yCgAD45gALsIPBAPcIABQwU7EA+wYADHCzuQDvVRAN24KAAPqm -AArws9EA+KYACrAzmQDpMwIN2IKAAAvuApMXB1UCE7C7BlUCD+4C9CBGFeAfhQAP7gLuFgMo6ASA -APJGAAlwCwUA8iCGFaAOBQBYl93SoNEPAABsEAQUsK4PAgAPAgAqQoDbIFtaguihHG0YBIAA6kJ/ -KVgEgAD8AAIdoA0VAFtaV9Iw0Q8A6kJ/IVgFAAD8AAIdoA0FAFtaUepCgClYBIAA/AACHaANFQBb -Wk1j/74AAABsEAT1YS4FoAgFAB6wlijm1B2wlSjW1BywlSjG1BuwlCi21BmwlCoKAPk6hhWgCcUA -bZoZiSsEqwqNOym2wOM8/CET8QAA7bbUJVAFAAAYsGMoRr3A9S9GvFiJVOKkAA0AzgAAKELlxy// -AeAH05hBAGiTByJC6NEP0Q8A0Q8AAGwQBBKwdiIifyIhAtEPAABsEAQVsHklUIAWrwYIVREFBEcG -RAonQuQFhhQGagILcAAKCUFokQf5IARZ0gCdAChC6tpQ0w8LgAAZrrFkoHb4RgAM8AslAJuh6aYA -IQN5gABoIRxvJBnuIhZlSCEAAANAiAkIigMgiAkEigMAiAkAitpgC3AAxyzzQAQBMAilAAgiAhyu -z9MPLMKu/4DgAxAIRQAIIgIoQuj6oGgdoAsVAAuAAChC5upkAAlYBIAAC4AAwCDRD8ck0Q8AAA7o -MJ6iDe4wnaMMwDCcpAuxMPtAphXgCQUAmab5QOYV7/5WAGwQCCQVBPlgggXgDSUAnRGZECwgDCsg -DQjMEQy7AusVBSlQBIAA6CEJKZRCgAACUgKZEJ0RGa6/JBUEKBYD6QAFAMBBAAAIAmHyIIYVoAwF -AOYWBSjYBIAAWFf30Q8AAGwQCPtgVgXgDSUAnRGbEI8yDw9fLxUELiAMLCANCO4RDswCLBUFKSEJ -mxDtFgEpUASAAOkWAyDAQQAA8ghoHeAMBQDoDAAI2ASAAFhX49EPAAAAAGwQCBywF40gLsLWKTIA -LMLf7t0MCbAEgADlFgAu7oKAAP2AAEZwBRUA6swgJKlsgAAtMAnpPBAtWASAAPwHAAdw3TEACSCG -CwJjCQCGCwJhKMABKQrgCYgBKMQBLzAI+eYAD7C59QDp/wEPRoKAAPnmAA+w2fUA6f8BDsbCgAAI -/wIvxAEpMgDnrjkUpVCAAC5iASjAAQfuAfgHAAe0mAEA+MEGHeDYMQDp3REP+EKAAP/GAA9wiCkA -7mYBLEaCgADo3QIDWEEAAO1kCS1IBIAACWCGCwJnCUCGCwJlKWIAe5ZkLsABiGErCoD+BgAGsJ4p -APMADEgQ+PkAHq5h7gAFDXAEgAAOAmEOAmHAgCjEAS4gUPHDAA3gBAUAnBIert/mFgMum8KAAO2v -zxzEAoAACDMCkxHzX5YF4ANSAIYT/CBIFaAFFQApwADTDw8CAP8hgA4QAhUAKsABeK8BwCDjEgAu -UASAAFsSjh6uCApfFA7/EQ/uDP/DgCWkqgEA7eKPLQEKgAD8oAEEX/n1AOmIAwtYBIAA6N0BCXgK -gAD/pgAO8AwFAO3mjynQBIAAWJhZwCDRD6tmCqkCB2wCLNazKNK0JRIBDwIAA4gB+KYACrAMBQD1 -toYV4BgFAG2KHfHlgA3gBQUAJZEADlUC7cgKBMgJAADlhrUmYAUAACbWsykgULFE+J/5ouIAnQAp -IA3KSywgUcCBCYk5rEwJyQwsIAwIzBEMmQILmQL/MMAGEmlBAP/+AA2maQEAY/+uAGSfzywgDMqe -GK87Fq+FKIB9JmIf4hYEJJP9AAAIIiisaaKZghQcrzQMmQopkoD//rgNppkBAAAA+YBoHe//pgAO -CEYLiAL5gCYdr/oeAAAAbBAEGq92iyAsonuJMCqihAy7DP1wABWwBBUA66oIBKjYgAAtoADsoAEh -yEEAAOkHHg1YBIAACwJjCQCGCwJhKzAI/UAmHaDuBQAO3gEOuwIrpACJMHmWQSigABmvYY8xCAtE -+mEGHeCIOQDp/wEMQEKAAOj/Ag1IBIAA7zYBIdhBAAAJYIYLAmcJQIYLAmX4YAgV4AsFACs1CP8l -gALQAhUAjDEdrdPmwH1tSASAACugAQ0AhwkCYQkCYSukAflAEBXgAC4AAAAAACmgAHifBy6gAXjv -AcAgWxIOGK2IClkUDpkRCYgM+QOAJaTaAQDvgo8ugQqAAPyAAQVf/PUA7KoDCdgEgADq/wEJSAqA -APnmAA/wDAUA74aPKtAEgABYl9nAINEPAAApoAD4wAAE8IsFAAuZAvlABh3v/k4AAABsEATpIgAp -IASAAJORiCGTgAQAiAMAipIgkiHRDwAAAGwQBCkgDSogIvlbSgWgCxUA6bk5DVYCgAAKkgICA0cI -MwooMuQCihQLgAAKCUFokQJpkxsoMuraIAuAANug+kBoHaAMBQBYl7fRDwAAAAAA//+oDaALBQBs -EAbIM8Ag0Q8ALCETpMzsFgAp/5YAABOtcOSvBBuD1gAAc2EyjCz6QGgdoAsFAPzAAEYwDQUAWJaT -ZqD+jhAsQX/6QGgdoAslAP+AAEYwDQUAWJaMZqA38qAGFGIAnQCMLPpAaB2gCwUA/KAARjANBQBY -loRmoMeDEPpAaB2gCyUA/GBoHaANBQBYln5noI/SoNEPAAAAAOTEAAlQBIAA+gAiHeANFQBYlnZm -r+FzUS76QGgdoAslAPyAaB2gDRUAWJZwZq/ILCIM+kBoHaALBQD8oABGMA0VAFiWamagV3NhrByu -0izBf/pAaB2gCyUA9YAARjANFQBYlmJmr5CMLPpAaB2gCwUA/MAARjANFQBYllzSoNEPAAAAAAAA -gxD6QGgdoAsVAPxgaB2gDQUAWJZU0qDRDwDSoNEP0qDRD9Kg0Q9sECCIIhetI+QyBCowBIAAJxYE -JxYF+wBQwJJE6QACKgL2JYYVoAt1AFiVs/dAaB2v/kUA/0BTtCIAnQDtrnwdA+IAACgyAC8xBiYx -B+oyASQsHIAAZqGrLiAN6jEFJwRpgAAqFjR38QJk4TGfFC8WNndhAmThPJYVJhY1ZECFwGAG+lD4 -ACId4AgFAAqYOMqJKjIAeqYJZEHUwGBmYByKMA2nAX2gCisyAfNgCUhSAJ0AyHaJMQncUmTBB9og -/B+iHaALBQBYltYt+o19oQIGpjjaIOwSLCnYBIAA7VQAC3AEgABYg+TSoNEPAPqARWgSAJ0AGKzo -KBY09//7XWIAnQBj/2YmIRIpEjQPAgAPAgD3IE26ogCdAOoiCizYBIAAW1g67a5CGAQKgAD7QE4g -EgCdACoSNnehIYYr90BOwqIAnQCKLSsSNltYMO2uOBgECoAA+0BPIBIAnQAsEjX3n/jkYgCdAIYr -94BPmqIAnQDqIg0uWASAAFtYJB2uLPtAUAgSAJ0ALxI29+BQvGIAnQAYrlYogH1ljuNgCjSJLAn5 -DCkWNpkU9t/2RWIAnQBj/sMAiiwKagwqFjX6IKYVr/ryAPMgPaDSAJ0A8T/3YRIAnQBgCiMsEjTt -EjYpUASAAO4SNSpYBIAAWHjO5q7LbTAEgAAYrg2HMPjgBAO/+tIAAAAvMRP6Y2QV4AoFAPYiABWg -DAUA78Y4AMhRAADrqTgCPFmAABismygWNMBgZm6JZEfGLiANZOfOKhI0iRQpFjZ3kQJk58UmEjaL -FSsWNXexAmTnwSkSNSw1BCo1BSk1ByY1Bv/4nA2gBgUAAC4SNCwhE+7MCApxDgAAJjEKjzT2DwAE -NOYxAP4sAATxtiEA/WAAFbuvAQDuqhAMyIKAAOqZAg93woAA/g8ABXD/cQDv7gINU8KAAPtGAA1x -9mEA7xYrLEVCgADqmQIP/cKAAAj/Aoo4iDEK7VD4HAAEMLrRAOK7EQxEwoAA+wYADHC6+QDg3REN -28KAAPumAA7wtnEAAbsRC90CCN0CD90CLyAN+hsABTCGGQD/GAAUMAsVAP9tAA1w9hEA+eYAD7CG -WQDpqhEMRoKAAAqIAgjdAigxC/YgAAM0iB0A64g3CzeCgADu3QIMRwKAAAhmAog3Bv8Chjb8IWYV -6agdAO8WDy1UAoAA+iHGFaamyQAKmQL7W5AFrIA9APjLAAswDgUA5hYNIOiBAADqmQIOMASAAOkW -DClQBIAAWJTs7a2gGAQKgAD7QEXgUgCdAIs05hYzJYzYgAAYrGsuEissIAeo6CiAgCYWM/ZFJB2g -/7UA78wBDEeCgAD5hgAOMP/FAA/MAQ7MAiwkBykSNtag9yAVfGIAnQAvMQoPD0H34EN5UgCdAIo4 -iCzAs+wSMyDpAQAA+QAARHOqoQD6IuYVoA4FAOgWLylQBIAAWJTI5qx8bTAEgAAsEjOGOIgx+GFE -FeANBQAtFh/4HAAEMOZhAPiGAAfwmVkA6ZkRD/4CgAD55gAP8JZpAOLuEQzMQoAA6e4CDETCgAD5 -xgAPMIZxAP/GAA9w9nkA4IgRD/vCgAD55gAPsKYJAPgl6BWglhEA7qoQDMtCgAD7JgAMsWbhAOxm -EAxSgoAA+sYACzqIHQAI7gL+I6YVoAslAOlmAgDpgQAA5v8CCVAEgAD+I8YV4A4FAFiUmuarxG0w -BIAAhjsrMRKIOoo4+icAB3APRQAP7gIfrXcqFi0oFjAv8HwmFjH2JmgVoJpZAO2ZEA/FQoAACYgC -KSAN+iXGFeDKUQD6RAAF8KoBAOhmAgSAeYAAGawG+MYAC3AKFQApMRMtIQckFjfoEjAvIQKAAOwy -AS5wQoAA5O4CDaHCgAAE7gL6YUQV6Ei5ACQWJSQSMQF0BPULAAw5RB0A7pkRCiOCgAAEmQIUrBUo -Fibk7gILJsKAAPXGAA81jx0A6qzAHScCgAD4hgAKMMzhAO8SLS/BQoAA5O4CDmQCgAD+JGYVobth -AOqIAg3cgoAA/iXIFaqtAQD5SAAVMN8xAPsGAAwwr0kA790QDVMCgAD9hgAOcE8ZAPiGAApwn0EA -9ygAFLDeeQDqmQIO7MKAAP4HAAVx/yEA/WYADfTeUQDo3REP/MKAAOj/Ag1TgoAA+yYADLAIJQD4 -hgAKMK4ZAP0mAAyy7gEA7BIvLVZCgADtqgIPdcKAAA/uAiQWJwuZAiQSN/9WyAXgCwUA6pkCAOn9 -AADpFiIm6AUAAO/uAglQBIAA/iSGFaAOBQBYlC4drOHmqhBtMASAACgSNQ8CAA8CAPcfzvxiAJ0A -iTwcrQonIgzuEjMpUASAAP2H5BWgCzUA+OAAQ7OZoQDpFhcg6QEAAP+AAEYwDgUAWJQa5qnEbTAE -gAAoMQqMPC0SM/iGAAMwiFkA/AIABTC8CQD8DAAHsJxxAOCZEQ/8goAA7rsQDVNCgADpiBELNgKA -APjGAAswjHkA+0YADXDMaQDrMgEuZEKAAOz/AgxDwoAACYgCHKzl+GEIFeAOBQD+I+YVoLvhAOzB -Py3cwoAAC/8C9PAAFbGZ4QDm/wIMywKAAPsmAAz6Zx0ABv8CLxYd/YAARnAOBQD7JgAMsAslAOmI -AglQBIAA6BYeIOmBAABYk+rmqQJtMASAACsxGoo8FqzKiT+IPigWMCkWMSgSMy9gfCZhP/olJhWg -mlkA6xYqLMtCgADoZggPxUKAAPkGAAxwylEA+EGwFeHrOQD6RAAF8KoBAPjGAAswCEUA6O4CBIB5 -gAAZq1P4xgALcAoVACkxGy0hByQWN+gSMC8hAoAA7DIBLnBCgADk7gINocKAAATuAvphRBXoSLkA -JBYlJBIxAXQE9QsADDlEHQDumREKI4KAAASZAhSrYigWJuTuAgsmwoAA9cYADzWPHQDqrA0dJwKA -APiGAAowzOEA7xIpL8FCgADk7gIOZAKAAP4kZhWhu2EA6ogCDdyCgAD+JUgVqq0BAPlIABUw3zEA -+wYADDCvSQDv3RANUwKAAP2GAA5wTxkA+IYACnCfQQD3KAAUsN55AOqZAg7swoAADbsC/ooABrCv -OQD3UAAVMf8hAOqZAg/8woAA6P8CDu4CgAD+AwAFMAglAPiGAAoy7gEA7JkCDVZCgADtqgIPdcKA -AA/uAguZAiQWJ+QSNyvgBIAA/1ViBeALBQDqmQIA6f0AAOkWIiboBQAA7+4CCVAEgAD+JIYVoA4F -AFiTex2sLvdAaB2v3JIALBI0LRI27hI1KlgEgAD6QGgdoA8VAFv9SvdAaB2v3I4AKCETCKgM+CaG -Fa/dTgAAAACKKiuhAukWKCWTKYAAW1IlHawbKhYy6hY0IwChgACKLSyhAmTCV1tSHh2sFZpgLhIo -ZOhKii0voQJk8lxbUhkoEigdrA/7AAYVr+DaAAAuEjQsIRP/gABGP+C+AMlGY/guhiz4wABDf+De -AIks+yAARP/g7gAAKxI0KiET+0AARX/gQgCJJyyZFBSr8uTAXmTQgQAAi5lksFQtsADC6n7ZBI+y -dPFB+gACHeAMJQBbXuUZrCQcq5ecoIsglKKTpSakHPVAxhXv+PUA+UCGFeAMJQDopB0t3gKAAAy7 -AuumASrQBIAAWJTjwCDRDwAA+gACHeAMJQBbWtNj/7QAAAAAJhIs+kBoHaAbxQD8AAIdoC0FAFtf -x2P/agAAAAAA/VgUBaAKJQD8QZAV4BslAOYWACz4BIAAWJY+HavR/9ZMDa/mpQAAABysAS0gDP5B -sBWgCiUA/iaIFeAbJQBYljX9V44F7/9mAAAAAByr+S8SNi4gDfxBkBXgCiUA9iAGFaAbJQBYliv9 -V3wF7/7KAByr8S0gDP5BsBWgCiUA/ibIFeAbJQBYliP9V2oF7/5GAAAAAByr6S8SNS4gDfxBkBXg -CiUA9iAGFaAbJQBYlhn9V1gF7/2qAByr4S0gDP5BsBWgCiUA/iaoFeAbJQBYlhH9V0YF7/0mAByr -2S8SNS4gDS0gDPgmyBWgCiUA+CAGFaAbJQBYlgf9VzQF7/yKAAAAAAAAAAAcq88tIAz+QbAVoAol -AP4mqBXgGyUAWJX9/VcgBe/76gAAAAAAAAAALBI0LRI27hI1KlgEgAD6QGgdoA8FAFv8qvdAaB2v -0pIA6aoeHzAEgAD4JoYV79geACsSMooq/AACHaANFQBbVVAdq3z/17ANr/ZFAMhri2D8AAIdoA0V -AFtVSisSMooq/AACHaANFQBbVUb9VuIF7/9WAAAAAAAAAPdAaB2v0JIAAAAcq6X8QZAV4AolAP5B -sBWgGyUAWJXTHatm/9AEDa/mpQAAbBAiiCKHMCQWG/sASQiXd8EA+kBoHaALRQBYko/3QGgdr/xF -AP1AR5wiAJ0A5KsHHRiyAACIMA8CAOkyAiQsJIAAjjFm5B0uIA2KKycWE+SZAQccyYAA15D64Eo6 -ogCdAOoiDSvYBIAAW1VA+0BK4BIAnQDAoOakAA0WbgAAijDxQBUqkgCdAC8hE400jDImIA2JNykW -JCYWH/WABAW7zKEA/48ADH/tAQAP5AwGTjgGjDjkqwoeYwKAAAy7Aow2KzYCBN0BDt0CLjIFLTYE -JiIM/CRmFa9NAQD14ABCN6rBAPbAAEN/zgEA/CPGFaAYJQB4oQ4oCiF4oQgLSVsJ+QgpFgX0I4YV -oP3JAP4kphXgnbkA+CTmFeCNsQD4JQYVoL3BAPokxhXgjZEA+CWmFaS+0QD6JWYV4J6ZACkWLBmq -wPpgKBXg/vkA/iVGFeAYhQD5L+QV4P3RAP4lxhXgu+EA+iUmFeL+oQD5BQAd4r65ACsWFS8WFOIW -NiVLuQAA+KQCHaVCBQAJgjgiFi/yJsgVoABKACsWFf4ihhXlSAUAKBYvDUtR+AIiHeL+gQD5QBiM -YO2ZAPomZhXgGCUA+UAmnCIAnQDBg/lAGrwiAJ0AwpH5QECsYgCdACoWIi0WISQWICgSIwh5WJkd -KRIkAXQE+QsADHmZHQDoFg4uR4KAAOwSFSzLgoAACYgC7RIULs7CgADo7gIOYQKAAOgSLS7pwoAA -DcwCLRIu4YgQDuiCgAANiAIMiAIsEi8dqw8MTBQNzAEtEiL+IeYVp+oBAOmIAg93AoAA+cYADzfd -AQD/qAAWsowpAAjdAg7dAp0bLRIhDAxH5cwQDumCgAANzAItEhwpEir5qAAWt6QBAO3MAg1UgoAA -7RIgLMzCgAAKmQLqEigt3MKAAP1mAA233QEA5/wRDu0CgAANzAIMuwIrFgwrEikPqhDoEiUt3AKA -AAuqAisSJuyIEA3bQoAAC4gCKxInDrsQC4gCCogCCYgCKhIsKRIr/CQAFeAOBQDpqhEMzgKAAOqZ -AgtgBIAA+QYADHALBQDoFgopUASAAFiR59agZmAfijAcqpgMqwF8oAotMgHzoAcYUgCdAMi2iTEJ -3lJk4LTaIPwfoh2gCwUAWJML/EDoFa+P1QB/oQIGpjgoyRSJIueqgBZYgQAA6sIJJAMxgABkkNTx -ReAN4CylACmgAA8CAHyZCx2qdSyiAn3BenfBPdqw/ABCHaALBQBbXWwoEhseqnEZqh2ZoI8gl6KT -pZWmJqQdnqQopBz94AAXsAglAAj/Au+mASrQBIAAWJNrwCDRD2SQctqw/ABCHaALBQBbWVtj/7eH -LPcvAAv/8Z4AAAAAAAAA8yAxkNIAnQDxP/n5EgCdAGAGP3fJkWP/vwAAAAAAAADsEhMpUASAAPrg -aB3gDRUAWHVJ90BoHa/4RQD5QCekIgCdAGavBByqUosw/WAEBb/7ygDaUOs0AAtgBIAAWJMj2iBY -jQLSoNEPii0toQJk1f5bUFCGL5oU+sAAQzAbJQD64As0YgCdAMGD+OAK5CIAnQDAYOcWEyt1ngAA -Gqp5hxSLLIkyLCANp7sKmQHrNgMr0ASAAAy6OPsmAAywBgUA+GBGFe/u+gAoIFwNBFEAQAQICBvx -ACIH0gCdACYlCRmo/vpBsBWgCAUAKCQU+EKmHaANFQAtFiEK2jmpSY0VKhYi+TAQFeAKFQAqFiAt -JQjtIgAsz4KAAPiGAAzwChUA+EDmHe/zEgAYqceJLyiCQCogXPcgAETxTYEA6JkKCgIKgAD5IAgV -4KqdAPFAHk/SAJ0AKRYQKxYzLxY0LhY1GKjeLSEHKiAMJpUJKpQM/SDkHeAKFQAqFiD4gABEMA0V -AC0WIfkQEBWv/fUA/SWGHeAKhQAqlASNFSoSHyqUDf0hBB3gCgUAKhYiKpQFKpQGKpQU6pQVLEeC -gAAISAIolAeIl42QLRYxKIkUKBYd+yBGFaAKFQDqFjIsJPYAAMCI+SCmHa/wEgAAACsiEimxAmSU -7NqwW0/vHKiMH6mSLiIRK8KFL/Jc6uoIDWgEgACquu4gDS1WQoAAqvr9QUYV4BslAPrgD0xiAJ0A -6hYwJxAhgAAeqYSNIC7iVA7dDB6oSQ1fFA7/EQ/uDC7tB//GqBWkjQEA+wAEANAPFQDsqgkf+AqA -AP/ABAdwCBUA/w0ADzAKVQD+IuYVoAsFAFiUMSkSMC8SFy+UeRipbiiCQAhoCvkABhXv9/IALxY0 -izgYqWmELy4WNSiCQPaAAEJw2/kA/CMmFeCb8QD4I0YV67uBACsWGOhECglQBIAA9IAIFaAMFQBY -gI0uEjUvEjQrEjPkFhAlEZmAAIQ0KSBcBARRAEAE/UTQFeCZnQDxIBDH0gCdACwhBygSECogDSkg -DCmEDCyFB/sBph2gDAUAnIIshBUshBQqEhgshAYshAX6SAAEsMo5AOiZEQ5lQoAA+YYADnaqAQAM -qgIpEhwcqGIphQj7AuQdoAmFACmEBBqpyikSHqxMKqCALMCACmoU6pkMDmeCgAAMTAIshAeMN4o2 -5oUJLM6CgACsnHnLAbGqKRIQmpj9ISYVoAoVACoWISggDf0lhh3gDQUALRYgjZAIqDkoFiKIlyog -diqUFiiJFPwmJhXgChUAKhYy6BYWLBleAAAskAcoEhoolHUoEhkolHTxCBAN4cwBACiSGmSAxhio -AfkjhhWgDIUALJQFjDX/54wNr8wBAAAAAAAAAADqFjAnAPmAACjCiB2pCo8gLdJdCP8MCv8Rr939 -QYYV7/fyAB6pli0gDC7ggADQBP5fAA8wClUA/VMkBaDuAQD+IkYVoAsFAFiTuykSMC8SEv8vJh3v -+JYAKBIaZY98KJIa6BYRJHvhgAAokhsiFjYjFjgMwgoTqYMsEhGcgAMiCiOSGiwh/vhgJhWgAwUA -I5YaI5Yb4xI4JmP9AAAsJf7yJsgVr/z6AAAjFjgTqXYiFjYMwgoDIwooMf/0JyYVoAQVAASIAxSn -o/RAAQE/iAEAAogLKI0YKIzggoEknGiUICiWGiKWG5SBIjH+5BI5IRAFAAAiNf4iEjbyJwgV7/uS -AAAAAAAAAAD/6DANr+alAPpAaB2gG8UA/AACHaAtBQBbXQSMJyjJFOSA7GZQgQAAK8IJZLDhKbAA -LAoqfJkIHqkFLbICftFE+gACHeAMJQBbW/0fqU0rEhscqP4dqK6doIggnKKTpSukHJWm/0CGFe/5 -9QAppB39AAAUMAklAAmIAuimASrQBIAAWJH6wCDRDwAAAAAAAAD9UnoFoBslAO0gDCv4BIAA+iAG -FaAKJQBYk2D/2swNr+qlAAAAAAAA/VJoBaAKJQD8QZAV4BslAO4gDSv4BIAAWJNWY//UAAAoIFwN -BFEAQAQICBvxH/jH0gCdAMCg/AACHeAIBQD4JCYVoAkFAPgkRhXgCRUA+CQGFe/fGgAAAPoAAh3g -DCUAW1fJY/8sjCz6QGgdoAsFAPeAAEZwDRUAWJCW90BoHa/j8gCMLPpAaB2gCwUA94AARnANBQBY -kI/3QGgdr+N+APeAaB2v6IIAHKkLKRId7iANK/gEgAD8QZAV4AgFAPggJhWgClUA+CAGFeAbJQBY -kykpEhArEjOOl/omSBWvyAUA/GCoFaANBQDt5RQneIEAAAj/Ae0SMSf5AQAAn+mf6P4mqBWvzAEA -/iaIFe/sCgD7QGgd4AwFAPpBqBWgDRUAW1J7/+Z0Da/2RQAcqOvuIA0r+ASAAPxBkBXgCQUA+CAm -FeAKVQD4IAYVoBslAFiTCSkSECsSM46XKhIy/AACHe/IBQDt5RQneIEAAAj/Ae0SMSf5AQAAn+mf -6C4SNf4miBXv8goAAABsEAYUqLkOLRGk1CVChAUFSglVEfSgCgeSAJ0AH6ggLPIiZMEqG6hFwJD7 -cBAV4AoFAG3JEQCQBAsMG+/HBnTIBQAAsarTD/dACCiSAJ0AFqjB/gACHaALBQD80+gVoAiFAG2K -EACwBAwJGQkJQ+nRFHXYEQAA5mwEJ3AFAAD72x4NoAsFAGbgvhqnESqiiyny3KrqCaoRqpkpkTQp -nSMpnCgcqK0O6wmsuy+wgAP6HPdwUBXgDaUADaotCao3CloM5qDLbTAEgAAp+pwJeR2pqWaQuy+w -gQ8/HB6ooA3/LRyooA+fNv/v4BXvhwUA9+AEB/GIBQD54EAHs3qNAPjgABOz/40AB/8CL0ajLMCA -9YAFKVIAnQAsQogMDEoJzBFkwEQtPH8O3QH9jwAO9A8FAO/SVXbT8wAACn4S/pLmFaACBQDRDwAA -+VEOBe/9WgD//NQNoA4FAB+nB/6UZhXgAgUA0Q8AAAD6AIIdoBuFAOyofhloBIAAWJKcBnIS8pLm -FaACBQDRDwAAL7CAJ7CC7Kh3GWgEgADosIEp8ASAAPYgJhXgCiUA+CAGFaAbhQBYko7HJNEPACk8 -fw6ZAQlZDGafxeymihTQBwAAKqyACnoSDKoC+pJGFa/8+gAAAABsEAYWprsPAgAoYo0mYpCiiAmI -EQhmCCpiByqiDvoAIh3gDAUA5mwwJVALAADqoBclGgEAAFhc+OhsKCsoBIAA6BYAIzhRAADnFgEj -OPEAAClQBcqbdlFNihGLEHpRNXtZHywwlf2DICDQBAUA2iD04AAGMAtFAFhc6C0wlbFEfULqJVwU -d1nHKTCWy5RokQJokknRD9og+gBiHeAMBQBYXN5j/9wA2iD6AEId4AwFAFhc2dog+gBCHeAMFQBY -XNZj/74Zp60qMJepqSmQgGiTwWiXvmiSCGmXuGAAAiowl/oAIh3gDAUAWFzL0Q8AAABsEAjAgftQ -CAXv9/UAE6ZDAyMC47azKXAwAAD8UAAVoAGeACyytB+nnfKuAA80MgEA4xYEKYEKgADu7hEMaAqA -AO/uCAmBCoAA590DCvgKgADwqGAN4szhACPihA8CAAPTAQPzAiPmhPgAIh2v9/UAJeKIiRQAkQTl -1QEKSAqAAAlVAiXmiCqytB2oBR6oBsDwBP05DqoBDaoCKra0AMEE4razLCAKgADnQgMDASGAACmy -rwkpAQlJAim2rxin+wbFEfigAEKwAzUAk1DRDwAAKrKvCioBKrav0Q8Zp/Qjkn/0AAId7woFAO8W -BSGB2YAA76dbGMAEgABtCCYj8oQn8oQKdwEn9oQnkn/0oCAV5zMBAOOGACRAEQAA51sHd/iDAABj -/9KPFSPihNMPA9MBA/MCI+aEKJJ//xKwDeAFBQDvp0cYwASAAG0IJSPyhCfyhIOACncBBzMCI/aE -J5J/sVXv/SAkQBEAAPa/99LiAJ0AY//TAAAAbBAGgyAVp8wsIF74QQgV4AsVAPq6SBWgCAUA9LsI -FaDMOQAMuDjqMwwMzkKAAOlECAQJiYAAL0BeLkBf/8AIguIAnQAtUuIt0QJk0QKx7i5EXypS4ltN -b/9AaB3gigUACvgCKCReLFIdZMD7Kcz/CcsBecAVbQgMsL3tuwEN4ASAAH3AAmP/7A/MERilwwyI -LCglGgj4HCglGS5SHH47FRul+iuyiilS2KO7CbsR+yAARPAAGgDAkBym7sDgw9otxhAuxhHDuyvG -EBunnAr6AgoKRgiqEQuqAirGEYzAHaeYGKbj7cwBCd4CgAD7hgAOcC0FAA3NAp2AG6eSGKeTHabc -C8wCCMwB7NYAJIBBgACamp6bjSArIRmbECohGquq7KeLFVP9AACaESlAX+kWAinwBIAA+IvQFaAb -pQD4IGYVoApVAFiRl8Ag0Q8AAAAA/GBoHeAKVQD9TvwFoBulAFiRkMAg0Q8A//x8DaAMFQBsEAgU -px8pMgDkmgEErDyAACgyAfMAFxBSAJ0AwGDnp3EVAEmAAI0xZtGWwEDzIASakgCdAHmWeisxBNog -+2AABfAMFQBYfe3ipAAFGOGAACugJi0xDA8CAOfdAQ3/AoAALzQQLTUMLKEawOEM7DgNzAIsNQzs -oFwh6CkAAFiQW+agL20gBIAAKjAR0w/xQfAN4AsFAG0IEi4gQOTgEWEQBQAAsbt6uwdvtARj/+YA -ACs0EdpQ6zQACmAEgABYj8fAINEPJjEE+kBoHatmAQD6wGgd4AwVAFh9yWSiiiugNvNgFCdSAJ0A -LTAQKCBdDU1DANAECAgb8QATb9IAnQAfpbItpCav3//wEBXgjgUA/sAEBjAIJQAIuALopDYv/4KA -AA/fAi+kB35oDQaLQiu9AftwABXgAB4ABgtGLyITKPEDL/ECePFM49kRDfBQAAAYpyYtvID5IABE -sABeAAAAHacjC14UCekK/SAARPTbAQAWpVGmlihigPugBADQDxUA/eABB9/+9QAO/gMOiAEI/wIv -ZoDKxBim5iygDB2lJQ29Ai2GsymCtB2nEe2ZAQ5hAoAADJkCKYa0K4aziTBj/nIkMBAvIF34YIQV -40QhAABABA8OG/HADLfSmWEAKiITKKEC6RYELACuAADHxPQgphWgAQIAAAAAAAAAAFtMqekgDSUJ -SYAALCBRLQoBCdk5CswICckMJiAM5BYFKzYCgAD3JgALMIgFAPjGAAswDAUA5MQADgr2AAACKgL6 -wGgd4AwFAFh9beekAAULIYAAW/8PKHA2JnUt+ubwFaAJFQAJiAIodDZYbKsrEgXsEgQr0ASAAOx0 -XCHoKQAAWI/b5qFUbSAEgAAdptcfpXAuMQQsMQzTDw/uAQ3MASw1DAbuAi41BCtxGvpiMBWgDRUA -0w8L2zj9ZgANsAYFAOs1DCUBQYAAGqbLKqLiLqECyedbTHSnbCrEQCswEeqmxhMwBQAAe2sCbmTe -F6a+iTD2YiYdr/TuAAAAAAAmMQT6QGgdq2YBAPrAaB3gDBUAWH077KQABQKhgADqJAALWASAAFhx -14kw9SAEBT/z1gBkntfqIAwkgWGAAB2mMRymri3QfSzCHLCbDbsoqsmrmRqmqg6ZEaqZiZD/+vAN -ppkBAAD5QGgd7/+mAP/1HA2v5KUAHKajLiAN/EGQFeAKNQD0IAYVr+ilAPggJhWgG6UAWJCpY//T -LSAMLiAN+gBiHaAbpQDsppcaeASAAFiQomP9AuymlRt4BIAA/EGQFeAKNQD+QbAVr+mlAPggBhXg -G6UAWJCYY/+RAAAcpoyPFC4gDfxBkBXgCjUA9CAGFaAbpQBYkJBj/LwAAGwQBm4+CfpwABWgACYA -AAADCkT5SRQF4AYVAPVMjgXgBwUAAKEE6SsCC0AKgADrVsUtAQqAAPzgAQXf+vUA6ooDCfBcAAAs -UsYMrAEMvAL8uMYVoABiAAAAA14UBe4KLeLHDa0BDb0CLebHIlbFlxAjQCaXEeokAAjYBIAA7TQA -AOARAABYBSgdpmOMEYsQ/EACBvFI9QByg00u0oEp0oAAMQQAbxrushdsmASAAO7+Ag4QqAAAB/kQ -+GYADPAAfgCSEvP4ABQ/8vUAAogDCJkBAvIDAuIBDC44ghIu1oH5sAYV4AFaAAD4eAAXMlk1APMg -CaKiAJ0AH6ZIGaRM/8AAR3AAhgDEkB+kSe6eCgmBCoAA6KZCG0gKgAAAmREPmQKo7h+kZ6/uL+KA -92AIqVIAnQAJ+QIp5oAepjDgqhEOTgKAAAqZAgm5AgkKR+vSgCUH+YAA+UAEaNIAnQAapjAJD1/q -ugEH8K+AABikNAj4AihWsyVStAXFUghVEQX1AvVGAArwiAUA+KYACrAAPgAAACX8gAhVEQWlAiji -IfEDsA3gDAUAG6V246V1F8IBAAD+gAAD+qmpAO6qEQuBCoAA4GcaDAEKgADjqggLGAqAAG0ITm7+ -MiiywngwMinSgSnWgSXWgOpCDilYBIAAW0+eyaHqQg4pWASAAPwAAh2gDRUAW091wCDRDyiiw3h4 -zCniIezMASVQgwAA6cu+ddiDAABj/6oAAC8qT/P/9ruiAJ0ACjkRCSkMKZ3/+TYAFe/7IgBosTz/ -+6wNoAkFAAAcpCgfpfQMKxGvuyy2g8evKraCLLaBKraAJ9aB2yD3sAYV4AwFAPvbqBWgDRUAW09W -Y/9hGaXp+eAEBP/6rgAAbBAEAQQEBDkY8SFwDeAKBQBtCAywmAiZAeSQB2VQBQAAY//sBAtP8WFw -DeAJBQBtCAywvAy7AeSwB2TIBQAAY//sH6QH/f/iHeALFQAepdEMXBGuzC/Ggy3Ggi/GgS3GgA0+ -Ay7Ggw1NAy3GgiPGgSTGgO6lxBDAgQAAiID6AAAGMNkBAOjdEQ5lwoAA7cwCDAEKgADuXgsN2AqA -AAy7Aivmgf9HmgXm1wEA6IgJC2TCgAD7AAQA0rdBAOi7EQ5gCoAA/WYADbCHOQDr3QIMRkKAAAjd -Ag/dAu3mgClwUAAA8//iHeAEFQD2UAAVoAA6AMc/8oAAAzAEFQAXpWvvo6sbAQqAAABEGgNDAw9f -Au92xSlwVAAAKHLGCDgBCEgCKHbGJXbF0Q8CWhQHqgoposcJOQEJSQIppscldsXRDwBsEAQco9PA -kPlKsAWgCgUA+4cAFeCHBQAIkgoiIsfLIS3CiiaygqrdCd0RrWZtCB9/JxEvMAwuYAwlMA0kYA1/ -6QJ1QRsCEhTnZggBADmAAGP/2bGZ6qwgLKb8AADAINEPwCHRDwAAAGwQCByldhmle+uksxHgToAA -A4ZCJm0B9tAAFaAAIgAAAwZGDCgLKIKA8QAJXNAKFQDnpTMbcEwAACxsgADBBP1AAQZQADoAAAYN -RADRBACsGh2jbA0uAu52xStwYAAAKHLG+YAEBjAPFQD97QAOMABmAAAGXRQH3Qot0sf9gAQGcAoV -AAysOWTAzepSDilYBIAAW07nK0EBjkEcpVT+KgId4A0FAG36FyjCgdMP0w94uQUpwoB54QfszBAm -6AUAAOvUAA7gBIAA86AFbCIAnQCaFJwV/CDGFeFN9QD7oAVC4gCdABelRS5yfy7hAhmlQeukehcD -IYAA2iDrZAAK4ASAAFv+sSpyf1tK2oxBK0EB7kEAKfgEgADoUCYtEASAAOpkAAloBIAA+CAGFaLu -YQBb/0mPFMj86lIOKVgEgABbTrxkoTIZpSsoQQAJiAECiAIoRQDRDwAAKkEA+0AEBXQMBQAMqgIK -Ck/5QAQFc/z1AAyqAipFANEPHKRXK0EADLsBK0UA0Q8A4xIGKVAEgADrZAAK4ASAAFv+i+ISBStw -ZAAALWyA+6AEANAMFQD9gAEGUABSAAAABg1E+6AEANAMFQAAzBoZow8JOQLpdsUrcGAAACtyxvuA -BAZwChUA/U0ADjAAZgAABl4UB+4KLuLH/4AEBjANFQAM3DlkwFtubgf60AAV4AAeAAYLRCl2xftg -BADQCxUA/WABBd//9QDvuQMLcFwAACxyxgycAQy8Avz4xhWgAGIAAAAGXhQH7got4scNnQENvQIt -5sfaMCN2xStQJlgEBWP+1xik4Ag4CyuCgSuGgS+CgBqi4wr/Av8QBhXv/i4A6lIOKVgEgAD8ACId -oA0VAFtORRmk2ihBAAmIAQKIAihFANEPbBAEHqLZ7aSXEeBSgAADi0IrvQH7cAAV4AAmAAAAAwtG -80gMBeP81QD8TV4NoA8VACpBAYdBHKTG+CoCHeACBQBtmhcowoHTD9MPeKkFKcKAeXEH7MwQIRAF -AAD3YA2nUgCdACq8gAChBP3gAQTQBr4AAAAAC1gUDYgKKILH+SAEBLAMFQAJyTlkka8pGlD4QA1M -YgCdABqkqgoqCyyigPGADMTSAJ0A6LyALfBAAAAAgQT94AEE0AA6AAALCUQAkQQA+RoOLgLu1sUt -8GAAACjSxvkgBASwCBUA+Q0ADPAAZgAAC1gUDYgKKILH+SAEBLAIFQAJiTlkkUDzgArtEgCdAG6+ -DSm8gACRBP3gAQTQADYACwxEAMEEAPka7tbFLfBcAAAs0sb9IAQEsAgVAPkNAAzwAGIAC1wUDcwK -LMLH/SAEBLAIFQAJiTlkkMJuvgn5cAAV4AAmAAAACwlEwDD7IAQA3/j1AO7WxS/gCoAA6MkDDIEK -gADgPBoN8GwAACvSxgubAQvLAvu4xhXgAHIAAAAAAAAAC18UDf8KLvLHDp4BDs4CLvbH/UU4Ba/5 -9QAi1sUdpGYMKxGtuyy2gym2giy2gSm2gCOmgRikZNsg81AGFeAMBQD7D+gVoA0VAFtNyOokAArY -BIAAW/7DZKBc6mIOKVgEgABbTebJoepiDilYBIAA/AACHaANFQBbTb3RDwsIRACBBAD5Gg4qAirW -xfd/8ndSAJ0AKNLG+SAEBLAMFQAJyTllnk8pQQDzIAQE9AoFAAqZAilFANEPKlErsKr6pWQdr/5q -AOokAAtgBIAAW/2xY/95AABsEAgbo1EqQQGIKCeygv6AKBXgDhUA7LJ6LEZCgAD44ABDslNBAOly -ACKoBwAA6HANIeBKgAD0sAAV4AA2AAAAAAAAAAMFRuyZDAQAuYAALbKDCpgRqN0s0ADzgBNWEgCd -ABykIPgqAh3gDQUAbZoXKMKB0w/TD3ipBSnCgHnxB+zMECboBQAA2tD3oGgdoUz1AP2ABNviAJ0A -7KPXGvBIAAAtXIAA0QT9wAEE0AA2AAUPRADxBADpGpoUH6IQD68C78bFKvBcAAAqwsb7IAQEsAgV -APkNAAzwAGIABV0UDN0KLdLH/SAEBPALFQAJuTmfFfMgaB3gCBUA6YM5BIVRgAD6ACIdoAkFAAOp -OGSQfSpBAB2igPsgAAWz/OUAfLFeDa0BBt0C/IAEHeACBQDRDypxKy5xKrGo/0AOAqIAnQAodSsq -soQvoQJk/8JbSYeMQStBAe5BACn4BIAA6SAmLTAEgADqVAALaASAAPggBhXi7mEAW/32Y/+UAAAA -AADqIg4rWASAAFtNZ2Sg6RKiYS9BAAL/AQb/Av6ABB3gAgUA0Q8AAAAA6hIEK9gEgABb/jeMFe6j -kBUHQYAAbl4SKlyA+0AEANAJFQD9IAEE0ABKAAUKRPtABADQCRUAAJka7ObFKvBcAAAs4sb9IAQE -sAsVAPltAAzwAGIABV8UDv8KL/LH/yAEBPANFQAJ2TkYo7GHFOh3CwSEuYAA21D6IIgVoAwVAFgD -KIoUKyAmWALNKkEA/ETQFaKqYQAtcoEpcoAtdoEbo6sMzAnjqhEOAQqAAOCqGg4BCoAA/WABBd/8 -9QAMuwMLmQEKmQL48AYV7/pGAAAAAOoiDitYBIAA/AAiHaANFQBbTQMSoiIvQQAC/wEG/wL+gAQd -4AIFANEPK3Ersbv65WQd7/xOAAAALnKBLnaBLHKAHaGNDcwC/PAGFa/9agAADSGHCg4/Dw0/DQCH -+AUIHaScAQD5H+wT4gCdAByiuypBABujgwyqAQuqAvqABB2gAgUA0Q/HJNEPAAAAbBAKlBf3RnYF -pbMdAPIhBhXkkwEA+CCGFeAKFQD8IQgV4AUFAPxgwEdQCRUAwKDkEggm8BuAAMCQ92ABBzAMBQD+ -IMYVoIgFAAnIOegWAy5oBIAA+o0ADrAJFQDtFgIiIgEAAPQgphWgRAUAihfoXOAqgQqAAOuiAyy4 -CoAA6qICIuBTgAD3YAQD8AwVAPeNAAvwAFYAAIEEAJca90AEA/AIFQAHhzkjICbqIg8pnoKAAONT -CArYBIAA4z0BK+AEgADyagAV4A0VAFtMsiwgJhujQgPMEfygAQYwCRUA68wICveCgAAaoWWqzC3C -gPGgB1LSAJ0AjhidEZwQ6xIFL3AcAABgAAGLFI8Y6qE7HYEKgAD9IAEEX/31AO2LAw2BCoAACjwC -LGbF4HwaD/BUAAAuYsYOvgEOzgL+2MYVoABKAIgWL4LHC/8BD88CL4bHAzoCI2bFKyAmWAJBCgtH -/WMgANAJFQBksF4aoSCIEQqIAooQ+VAGFaAEHgAcoxj6ICgVr7qBAC+8gAj/EeyqAQXwr4AAHqEY -Dr4CLmazLWK0Dc1SCN0RDb0C/UYADXCMBQD9RgANMAAmAAAAD6oCiBD7EAYVoALqAIsQwKD7cAYV -oAK6AAAAAAAAAADsEggjhPmAAOoSBS5wHAAAYAABihTtEggtAQqAAOug/xz4CoAA+0AEAN/69QAK -+gMLOwIrZsXgexoO8GAAACxixgrMAQy8AvzYxhWgAFYAAAAAjxYt8scK3QENvQIt9scoICYjZsUs -IAzqoj0cAQqAAO8SAyxEwoAAqOiqiOoSAizYCoAA6MwRDdwCgAAMuwILqgIK/wIaonTq/wIEQBMA -AJ+AsETlTediqAUAACogJBuhFIwXLSAmLrKLjMErspCu3QndEe27CAYkXIAAZKBKKbAq6qLSFI1l -AADKkdEPAGSv7CmwKvk/4BXgCgUA+kSGHaeZAQD5ZUYd7/9mAAAALCAmA8wRqswdoOatzCvCgB2i -hA27ASvGgNEPLbAqsd34RIYd550BAPllRh3v/p4ALyAmA/8Rqv8YoNqo/y7ygBigtwjuAi72gNEP -AGwQDJIVkxqUHBihdR+isikwJiqCfJUUKIKB+yAARLXUHQDpmREKKASAAOmICA7vgoAA6BYBInA7 -gAD/oABE8AAmAAAAGaKlFqJhF6Ch/0GCBaFYBQD0gAAB8AoVAPpgBADQAwUA7p4IDSAKgABtig0H -OQIpZsUo4oB4SASxMyMaUCsaT/NgCmPiAJ0A7l4GasgEgADAkJ0bmRCv2PggZhWgAR4AsTP2oAiv -UVIFABmiih+gqAMqDP8gAEfwDQUAbaoSo94H6QIpZsUo8oDoSAZ26AUAAKPe88BoHeFK9QD/QAdL -ogCdAIoaEqJviq4CMguSEuIigCnYBIAAW0wAiBTIgWihnsmjihr6YGgd4AwFAPtByBWgDRUAW0vU -GKJnDD8RqP+fFi7ygY0aLdIR7/KAJoCxgAB+2Q6NGi3SEsjW/eAOjGIAnQB0L00aoSX6YGgd4AwF -AA8CAPtQaBWgDRUAW0vC4hICKdAEgAD6oGgd4AwFAFgByY0WHqCCLtaDx88s1oIu1oEs1oDAsCsm -gfpQBhXgAE4AAADaMOwSCirYBIAAW/u8IhpQ6xIFKdAEgABb/KxkoR30v/efUgCdAPggaBXv+7oA -AAAAAAD8IWgV4AoVAI8aL/AmKFyA5tIIDAEKgADgqRoP/oKAAOkWByf4BwAA4hYIJ/lBAAD+ISYV -4AIFAOkSByrwHAAAYAAB2UCDGaMjBzgC6GbFKvBgAAAqYsb7IAQEsAgVAPkNAAzwAFYAAIwYLMLH -/SAEBLALFQAJuTlkkEGKGvpAaB3gDAUA+0HoFaANFQBbS4TaMPqgaB3gDAUAWAGNjRot0CYbohAD -3RENLQqr3RmgNqndLNKAcM8iwOAu1oCxIvhf+67SAJ0Ajhos4CTA0O3kXSYFSYAAYAARAACLGuuw -JinQBIAAWAEhY//RiBEvgCqw/w8PR++EKieA+YAAwCDzxIYdoAIFANEPihUpoSuwmflFZB3v+14A -LeAm7qH6H1gEgAAD3RGu3R6gGK7dLNKAHqG2DswB/bAGFaAKBQD7ZIYdoAIFANEPAIwVihqLEP2B -kBWgDQUALaYRLaYS7aRZLmYCgAD9ZgANsI0FAP1mAA3wDBUAWAKdY/4AwCDRDwBsEAiJMOOhShnw -BIAA6iIIKrAEgADyb+gV4llBAPSgICXriQEA6aoRAqoBAADqMwgEYCaAAGAABAAACQVGKCA2/wOg -D5/kpQApIFhkkG4mJhPuJhQrUASAAFiKWsAg0Q8AieDAQOriASSsHIAAZqHCepbUieGL4PMgDOof -+vUA/iAmFaeZAQD7IACEu7sBAOsWAyzA9AAA9iCGFa/rpQCbEooShhTuEgEtIASAACwgNsDUDcwC -/EbGHa/+PgDaYOvkAApgBIAAWIoZwCDRDwAA8TjADeP/5QCTEOYWBCc4IQAA/yACArANBQDtFgIv -IASAAP5/oh2gAQoAAAAAAAAA/sxGDaFI9QB2gxrrEgMrUASAAOx0AAloBIAAW/wZ/n+iHaP/5QC4 -RO8CAAO4IQAA9J/7bGIAnQApQQTTD/E/+wQROVEA/GfgAVlpAQBoMzf+wATQYgCdAClBBepCAyz9 -DgAAZa+Z2mDrEgMr4ASAAO0SAClwBIAAW/yoLjr9/n/CHe/+kgAAAH5hUixBBYlD8YRwDeALFQAs -JhEpJhIrJFnnJhUpUASAAPogaBXgDAUAWAIuLjr9/HKggVP/5QCIERmgpS2BBAndAS2FBCxBBAwM -SfyAhB2v/T4AZZ+5wKAqJhEqJhL6SyYdr/7eANog6xIDK+AEgABb/SP+f6Ido//lAOoWAiV4/YAA -hhT/+lANoAoFAAAAAOtUAA9gBIAA7BYBKVAEgABb/dj+ICgVr/n6AJ4R6jQACVgEgAD8oGgdoA0V -AFv+m4kR6ZIALSAEgAD+ICgVr/hqAAAAAAAAAPYghhWgCgUA+iBGFa/41gBsEAYfoJWUEZMQFKEB -Fp9BBiYCJkbFA14R+BACHaAFBQD8AAId4fL1APqYyBXgCgUA/iBGFaAMFQD/wABHcAaFAG1qIwBR -BADJGnmwEuKEAAVQBQAAL+LCDwIAefABsd3lXAEkQAUAAP4AAh3gAwUABPsKK7LHjhLoNAAPr4KA -APFjwA3gJgUArl4VoHP1wABHcAUFAG1qJwBRBADJGnmwFuKEAAVQBQAAJuLDDwIADwIAeWACLdwB -5VwBJEAFAACx/+M8IC+mqAAAiRCIEZqQnYDRD2wQBBugzhqgXxefDQcnAie2xfi4ABYwAgUA93jI -FeAFBQD7gABEMAOFAG06DsGfApkMeXUEsVUtgsKxIikKAAuXCidyx/8wABMwAgUA/MAARDAtBQDq -iAgDgLGAAG3aDsHfAt0MfXUEsVUugsOxIrGZaZTKDEIK6iIICpBkAAAjLQSDMBWe6+UzAgEgEwAA -k0DRDwAkLQSEQBig5OhEAQEoEwAAlFDRD2wQBtog+iBoHeAIBQDoFgAg4BEAAOgWASnoBIAAW/+Z -jBH6IAgV4Un1APMp1g2gDhUAH6DPDy8LKfKB+mAEAN/49QDt8oAvcAqAAOjiAw2RIAAA6ekCDhGc -AAAH4hAC0gIp9oEIyBHi9oAtFAKAAAgiAgKyAtEPAAAAAAD5QXwF4lg1AOKLTHnswoAAHp6/+aAA -RPAB6gACkgHsKTgPGcKAAAgzAwPdASn2gQjIEe32gC0UAoAACCICArIC0Q8p9oEIyBHt9oAtFAKA -AAgiAgKyAtEP+UFSBaJJ9QBykxAKOREJKQwpnf/5NgAV4AAaAMSQ756lGYEKgADtmQoPcAqAAOiZ -CA90AoAAD+4CHZ7DrZntkoAtkGgAAA7fAgjIEe+WgC0UAoAACCICArIC0Q9osRTA0AjIEe2WgC0U -AoAACCICArIC0Q8eoI8O3QEIyBHtloAtFAKAAAgiAgKyAtEPAAAAbBAE56BLGfAwAAD2cAAVoAAe -AAMGRABhBPU9DAXgCBUA/QABBF/59QDphgMLAQqAAOUlAgogCoAA5XbFKfBUAAApcsYJaQEJSQIp -dsYidsXRDwNbFAe7CiqyxwpqAQpKAiq2xyJ2xdEPAAAAbBAIFp6SF6Bo5aAuEfA3gAD8QbAVoAAe -AADAwCggJh2gbf5BkBXgiQUA/GDAR1ALBQDZsOogXS/+AoAA+wAEANAOFQDvzwIPcAqAAOn/Ag90 -AoAAD+4CDe4C7hYAIgHBgABkoTkoICTsnpEUAUGAACskJCsgJi3CiyzCkKvdCd0RrcwqwCoqrP8K -CUfqxCokh6GAANEPZK/L+kumHeBNBQDtFgUh8gEAAP4gRhWk8wEA/iAmFeVDHQAFRAr0IGYVoAQF -AOoiDypYBIAAW0nJ9UAEKJALBQAuICbsEgIvVoKAAOpKCA90woAADk4K5+4IBVAHAADqrFAp8BwA -AGAAAYwR+4AEANAPFQDpni8fwAqAAPuABADf/PUADIwDCa0CLVbF4L0aCfBUAAAoUsYMiAEI2AL4 -uMYVoABKAI8TKfLHDJkBCdkCKfbHKlbFpukskoBwzxkrloCNFeRMASbr/QAA7RYFLvr2AABj/vcA -ANsw7SAmKmAEgABb/wP//2ANoAsFABigEQO/Eaj/pv8u8oAYn84I7gEu9oDRDwAAAMDh/kumHaTD -AQDsFgEh0gEAAPogRhWgSQUA+CCGFeXTHQAF3Qr8IGYV4AQFAOoiDypYBIAAW0mH9UAHCJIAnQAq -ICbjrBENVoKAAKpK7EwKBVAHAADnzAgFUUEAABmd86bML8KA6akCB62QgADsEgIp8BwAAGAAAYwR -KVbF+4AEANAMFQD9gAEGX/v1AOvJAwnwVAAALVLGDZ0BDc0C/LjGFeAASgCPEy7yxwnuAQ7OAi72 -x+pWxSnYBIAA7SAmKmAEgABb/slgAFIAAADtEgIp8BwAAGAAAY0RKVbF+6AEANANFQD9oAEG3/v1 -AOvZAwnwWAAALlLGCe4BDt4C/rjGFaAATgAAiBMvgscJ/wEP3wIvhscqVsWIECjGgIkU5EwBJMv9 -AADpFgQs+BYAAP/2TA2gCwUAAABsEAYfn78enbcsIAwtICb3P14F4AsFAPqAaB2gCRUA5Jo5BqgL -AADj3RECqVEAAOfdCAHwG4AA2bDq/jkOZgKAAP+GAA4wjgUA6b45AfBfgAAoIA39BgAMNKMBAPnG -AA8wADIA7O4CAdIBAAD5PsAF4AYVAO+dnx0BCoAA/MABBF/89QDsigMNAQqAAO9fAgpgCoAA75bF -KfBUAAAvksYPrwEPzwL/OMYV4ABaAANfFAn/CijyxwioAQjIAij2xxOdrSWWxaPZKJKAZoAILpaA -0Q8AAAAAIiAm6xYAKtAEgADrFgEg4BEAAOsUAAloBIAAW/46+iAIFaFJ9QD1J5YN4l81AByfcQxc -CynCgS3CgO4SASkBCoAA4GsaDRDIAAAJuQL3wATpUgCdAAe+EA7eAinGgS7GgNEPAAAA5fsseVzC -gACnuf06zAWgAW4Ax6/qvwMNwcKAAAqIAwjYAQ+fAQ75OCnGgSjGgNEP/z6yBaJI9QB1gxAKKREJ -WQwpnf/5NgAV4AAaAMSQ7Z1VGQEKgADrmQoLYAqAAO6ZCA5kAoAADcwCo5nrkoAlEEeAAP1DIADQ -DwUAL5aA0Q8MuAIoloDRDynGgS3GgNEPGp9ECroBKpaA0Q8AbBAGKiAmwJHsneUSCUGAAOed4h0o -BIAA9UAG2hIAnQAMqxGnuyiynpoQ9wAJcdIAnQAmsp0MqAoogr8IZgFkYPotIQcenmsNDUoM3REO -3QKdYIwgG54e/YAAFjANNQANzAKcYesAFQNQIQAACgCKH53O/sCGFeAehQDuZgUpUASAAFroPC4i -ES8hGB2eWvCIABQ2kwEA9yAAFLCzOQDpiAIN3UKAAP1GAA7/efUA+GAEBPL/HQDrmQIP/AKAAA/u -AisiEutmCyzMAoAAmWiYZ/zAxhXgDAUAnGmeaooiwLELqgKaIgxZEfcgAETwCDUAKJad0Q8AAB6d -lo3o6hYAJo2TgAAMWxGnuy+yngxYCuiCvy+ZtAAAJrKdCGYB5GBhZtv9AACb6GVvFvogBhWgADoA -AAD4SwYd7/tiAIsQ+kBoHaAMBQD7YwAV4A01AFtSciwgJ8vH0Q8AAAAA//t4DaAGBQDAoFiFih6d -eY3oihDsnYsWjkMAAP/+rA2gBgUAwGDA+g/fNP/BBhXv/nIAiifAsPtEABWgDBUAW1FkHJ4XH54V -n6DtIgAqdAKAAA4+Av1ARhWgCxUA7qYDLu4CgAAL3QKdoSskJ9EPAGwQBB2e1xadChuc8Red+ihi -ixyejSZikOSICAnQBIAA457RHEZCgACoZhWcyA8CAAUlAiXGsy7CtB+ey+/uAQUoSQAADl4CLsa0 -IsazGZ4QA0gRqYIqIsH1QAZi4gCdACpgNGShuiYiwQNLCCuwgPk8EgWgDBUA8WAN799mAQAISAoo -gr/4IgAEsAIFAPsgBADUiB0A/YABBVAJNQBtmif4IgAEtLgdAPsgBADRmDEA4MkaDIEKgADpqQIO -UAqAAPsmAA00ix0A80BoHeAZ9QACmQx5PTmxIvxegIJQGfUAGpzuKqB980AIP1IAnQDwgAgP0gCd -AABbEQQcFAfMCi3C9A0NTw27AivG9NEPAAAA6iQAC1gEgABb9ZFnr7dj/74AAAAAAAAA+qAGKqIA -nQDaQFgJ1OWkAAUFwYAALWA0DwIAZNEBJiLBA04ILuCA+TuiBaAMFQDxwAhv32YBAAhICiiCv/gi -AASwAgUA+yAEANSIHQD9gAEB0Ak1AG2aJ/giAAS0uB0A+yAEANGYMQDgyRoMgQqAAOk5Ag4YCoAA -8yYACfSLHQDBnwKZDHk9L7Ei/F6AglAZ9QAanLcqoH19ry3gWxECfQyAAAQcFAfMCi3C9A0NTw27 -AivG9NEPAOtkAAlQBIAAW/VdZ6/BY//I0Q8EHhQH7got4vQfnbsP3QENXQIt5vTRDwQYFAeICi+C -9BmdtQn/AQ9fAi+G9NEPKWAiA5kRrZmrmSWWgPTDJB3v+NIAAADqRAALWASAAFv1R2P+mQAAKmAi -G55FA6oRq6obnF+rqiWmgPTDJB3v+54AAAAAAADrZAAKUASAAFv1O2P/QwAAbBAKijKPMPpG0BXg -jgUA+/AAAjHKcQD6KgADu58BAPghBhXh2mEA/yJADhGqQQAPhkImbQH20AAVoAAeAA8GRhieLX63 -CnSDLhmeK9MPdJsm/f1CHaAEBQAqIFlkoHBkQG0lJhPjJhQq0ASAAFiGqcAg0Q8AAAAA+TiIBeAI -RQAIuALoJDYnqRyAAJ4XnBadFSoWBHlBSAZqAuwgJipYBIAAW/85KiBZK0wS6yUYJQCJgACLGPpA -aB2gDAUAW/6/8AB4DaAEFQAAAAAAAADAwOpUAAnYBIAAWIZowCDRDwDAQIwW94ANAVIAnQD04Adx -UAsFAP88BAXgChUA6SAmK+gEgAAHrTmZE+OcEQTICwAA78wIBMlBAADvIAwjcBuAAMCgjhMYm+ju -ne4fAQqAAA3oOf4g6BWgDRUAAN0aAN0R6r45D9YCgADoqgIDcG+AACggDQ7fAvsGAAw01gEA+eYA -D7AAQgAACu8C798CA2oBAAAanZf7oAQA0A4VAOib1R9wCoAA+6AEAN/99QAN7QPomAIL8AqAAOim -xStwVAAAKKLGCNgBCOgC+VjGFaAAWgAGWBQKiAongscH1wEH5wInhscem+WZGimmxa7KLaKAmxLz -oAai0gCdAC+mgI8VmxL34AWBUgCdAIgU9QAEgVIAnQCKFBmdwhicJIwgLZLdL5LX6os4DnZCgACu -3R6cQYraKZIhD8wMDqoBC6oCi9t5ywf5oGgd4AAaAMCQHZzcw/oPAgAv1hAr1hHD6y7WECrWES3S -AB6dih+c1e7dAQ5GAoAA+aYADrAuBQAO3gIu9gAYnYQfnYQenM0I3QIP3QHt5gAkgFGAACqWCiuW -C//3VA2gDAUA6iQAC1gEgABb/dRj/lXc8OtkAAlQBIAAW/0a+iBIFe/9DgCHEpcQlxGHGtsQ7SAm -IOARAADtFgkr0ASAAFv8PosSihn8IAgV4Uj1AHeDWB+ddQ9/Cy7ygSnygPtABADQChUA5xIBLVAK -gADu0hds4ASAAO6uAguQlAAAB6kQ+YYADPAAagDHj+isAw1pwoAACN0DDZkBDOwBB844LvaB+fAG -Fe/6zgAAKSpT55sSfXTCgAAanV0Zm2H7wABFMAD2ACwqT3fDEAqpEQl5DCmd//k2ABXgABoAxJDs -m1gdAQqAAP8gAQUwCRUA751QHMgKgAAAmREMmQKvqhybdayq7qKALpA8AAAJ6QL5UAYV7/kiAGjR -B///xA2gCQUAGZ1G+cAEBP//mgBsEA7qm8kZSASAAOSQJioQBIAAhpgrooQuonvnon8rNkKAAKtm -LGAM5HcICugEgADuzAgLvkKAAOe3CA5mQoAA7LsIAeBSgAADg0IjPQHycAAV4AAmAAAAAwNG/GKA -R1AOFQAYnTwGNRGoVfSgCBXgAJIAHJyMA1oUDKoKKKKEKqKIAwxEAMEE6ogCDygKgAAIVQEscRik -au+geCKAaYAAZSFiZdFfYAACZCJrGJsmLmIWKILLmBnxwAptEgCdAPwghhWgDgUA+8AEANAIFQDt -TBEMQAqAAOwWBS4BCoAA/QABBF/89QD9FwAMMAwVAJwXjBkIzAEYmxMA4QTshsshCQGAAJIfiBWC -F+AiGgwBCoAAACIaAswCEpsLiBksJsviEg8kCHmAAGTBzhibBiiCzJgWnxqbG5ocnR34IcYV4AgV -AOgWCCEJKYAAjxicEYoZiRb4IEYV4AuFAOoWACpoBIAA/Tn6BaAKVQBYhu6JHo0dKhIMKxILLxIK -LGAMwIH9nyAVoA4FAP0CAA8wDAUADow4ysnscRgih6GAALDIKHUYJaB4sFUlpHgosHQlkDawiPlu -hh2g+HUACFUBJZQ2/AAiHaAJBQAOyTjuEgQkgQmAAOxxGCcG8YAAZME/6aB4J4cJgACbG+0WDSSK -YYAAL2AMaPchLrB02jDrRAAJYASAAFv0gdEPDthBZIEZwOH8IIYVr/rOAP//dA2gDhUAkh+CF8CA -DYI4khfyIegVr/tWAGTO9JIfIxYQEpvkwDgjJlIsCuIsJlMC6jAcmr4jwkHDgggzKKMiIxIQIsbM -khYswsvyIegVr/suAJ0dnxqbG5ockh+ZHvMAaB2gCAUADYI4khjyIegVr/sKALHIKHUYJaB4sVUl -pHgosHQlkDaxiPluhh2gCIUACFUC9SbGHe/8PgBkzyXAk/jtph3v/IIAAJsb7RYNJPk5gAAemxaL -YC7ie/rjqBWgDBUA/28ADbANFQBbRfaNHfohaBXgDxUA/uXGHe/76gAcm7TAiCjGUsCAKMZTHJqP -mBYoxsz9mWgVr/iCAABl3ZBj/ufAkfjtph3v+uoA7BYEJ3J9gAD/9kwNoA4lAB6a/ItgLuJ7+uOo -FaAMBQD/bwANsA0VAFtF240d+iFoFe/6XgAAAABsEAYpIDb9/UIdoApFAOqYAgT4HoAAYACjKCQ2 -iTAfmur+YCgVoA0FAPEgBHLbSQEAJ/J/KyAmJvKEp7ftJCcrvkKAAPbAAENw3uEA9iAmFaB++QD/ -zoAIkG7xACwgXynyfyjye33BP6m5iygq8oQJuxGrr4vwCZkRqarouwwHDDiAAJoQ7RYCJgn5gAAu -8HUPAgCw7vwgRhXnzgEA7vR1JgiJgAAtJF/qJAAKWASAAOx0AAtoBIAAW/75wMDqVAAJ2ASAAFiE -qcAg0Q8iMQSOEf04lAWgG4UA7uAoKWgEgABYhjgfmmUWmlgUmlZ/IT/wRiAN4SjFAHKDLIkRKZAl -6hIBJIfhgAAqoRN6IweMEQ8rESvFE40RLdIfjdxk0PP//mQNoAwFAP/+RA2v7KUAixErsChksPKM -EbG9DQ1H/YUGHeAKRQD9OFwFoBuFAFiGHWP/ygCLESuwKGS/yYwRLbz/DQ1H/YUGHeAKRQD9OEoF -oBuFAFiGE44RLuAoZe+eiBHApP04QAWgDwUA/wSmHeAbhQBYhguJESmSH4qcZKC/i51ksLqKEQuw -AGP/cAAAACqiHvwAAh2gDRUAW0Vo/CBIFe/7hgAu8HXAweqiHidwBQAA/+6mHaANFQBbRWCKEBub -UyqgIqurK7CA7RICJfWhgABb83D8IEgV7/qmAIwRwNHtxCUpX8KAAPuCZB3v/BoAjxEu8CID7hGk -7qbuLuKA/+zmHa/75gCPES/yH4/8yfWLEcDQ/WJkHeAMFQAstCX7ZRAV7/vGAI8RLvAiA+4RpO6m -7i7igP/s5h2v/1oAjxEo8CLv8GcsRMKAAKSIpoj/EAYV7/qmAABsEATqJAAKYASAAPpiABXgDTUA -WENT0qDRDwAAbBAGKCAh9TOOBaAFpQD9GAAUMBnFAAmIAihGwRuZxPwAAh2gPSUA+zOABaAOFQD0 -mGYV4A8FAFtILtag45m/HQWCAAAcm8wpQsIqIC+MwPwgBhWhuWEAobsrsAAsCuf9QAQFMA0lAOok -Ly3fQoAA+0YADXAOFQDqJC8k7DSAAPxEBB3gACIAAC4lIC8gIQ8CAA8CAP34ABewCFUACP8CL0bB -G5mi/AACHaA9JQD7MzwFoA4VAPSYZhXgDwUAW0gM5qA9bSgEgADAgNmAK0LCHJmTGpmRC71A/Y0A -DPC7UQALqDkJiALoJR4qkASAANEPwKT8YGgdoBtFAFiFjNJg0Q/cMPoAgh2gG0UAWIWI0lDRDwAA -bBAEwLDy4AABMBz1APpAaB2gDRUAWG/DykjtMQApUASAAPoAAh3gHDUAWG+++kBoHaALBQD8A+Id -oA0FAFhvucAg0Q8UmXP8WAAUsBo1AAqZAilGwRqZbxuZcPwAAh2gPSUA/gAiHaAIpQD4mGYVoA8F -AFtH2magCitCwvpgBB3v/rYAwKT9Ms4FoBtFAFiFYmP/mGwQBiogIfogaB3gDAUAW//X6ZtvHQEi -AADoEQApjIwAAAmIASgVACogIfogaB3gDBUAW//O0qDRD9Kg0Q8AAADqEQAhlB0AAGkz2+mqAQnf -goAAC6oC+iAEHa//NgAAAGwQBNQg/AUCHaArZQD8YuAGUCpVAHoxL3sxX/xgBIwiAJ0AxirRDwAt -IEP9oATcIgCdAPugBWxiAJ0A+6AHDCIAnQDAINEPACogIf0yZgXgCwUA/AACHaAOBQBYA1DAsPpE -MBWgHHUA/AECHeAOhQBYA0rSoNEPAAAAAMCw+kQwFaAchQD8ACId4A4VAFgDQ+agiG0QBIAAKkAh -+gACHeAcJQD8BAId4C4FAFgDPNEPKiAh/TIuBeALBQD/MioFoAwFAFgDNsAg0Q8qICH9MiAF4AsF -APwAAh2gDgUAWAMvwCDRDyogIfoAAh3gHIUA/AAiHeAOBQBYAynmoB5tEASAACpAIfoAAh3gHCUA -/AQCHeAOBQBYAyHSoNEP0Q8AACghGM2EKiAh/TH4BeALBQD/MfQFoAwFAFgDGCpAIfoAAh3gHHUA -/AECHeAOBQBYAxPSoNEPAAAAbBAEwKX9NgoFoBuFAO0gIinwBIAAWITtGZjo+zHSBaQIBQAPAgD4 -YAQE8AQFAOmEOQHAHIAACkQCIyAhFZji/HgAEbAIRQAIMwIjVsH7Mb4F4AwFAPwGQh3gDhUA+zG0 -BaAIpQD4uGYVoA8FAFtHSOegFG0QBIAAwKT9Ma4FoBtFAFiE0tEPAClSwiNWwRqY0wqZAQlJAgkJ -TylWwvsxlgXgDAUA/AZCHeAOFQD7MYwFoAiVAPi4ZhWgDwUAW0c056AUbRAEgADAof0xigWgG0UA -WIS+0Q8A0Q8AAGwQBMCl/TWeBaAbhQDtICIp8ASAAFiEtvpEMBWgCwUA9AACHaCDAQD+gGgdoQ0F -APmtAA8wDEUAWALLZqApKgoC+mAEBTIJBQD7LQAKMAsFAPpEMBWgDJUA/oBoHaINBQBYAsHSoNEP -0qDRD2wQBCsgIRmYndMPC7sRK5bBGpiYKpbCGpiaG5ia/AACHaA9JQD+ACIdoAiVAPk4ZhWgDwUA -W0cE5qAqbRAEgAAK6jAZmJgpkkEKmQoM6jAMnAxqwQ5tCAgK6jAKmgxqoQJj//DRD8Ch/TEYBaAb -RQBYhITRDwAAAGwQCNogW//gZ6AE0qDRDwD6RDAVoAsFAPwD4h2gDRUAWG69Fph9F5h2E5h2/124 -DeAEpQAoICHTDw8CAP0YABQwGTUACYgCKDbBG5hw/AACHaA9JQD7MNgFoA4VAPR4ZhWgDwUAW0ba -56LXbSgEgADApPzAaB2gG0UAWIRk2lBmr4j6RDAVoAsFAP007AXgHPUAWG6h/1coDeAFRQApICHB -qAuZEQqZAik2wRqYV/swrgXgDAUA/AZCHeAOFQD0eGYVoA8FAFtGwuoWBiUVhYAAwKT8wGgdoBtF -AFiETIoWZq8n+kQwFaALBQD9NLwF4Bz1AFhuiWavESogIQuqESo2wRqYQfswggXgDAUA/AZCHeAO -FQD0eGYVoA8FAFtGrOoWASUXTYAA3GD6AIIdoBtFAFiENooRZq7QKiAh+gACHeAc5QD8AGId4A4F -AFgCTWautyogIfoAAh3gHCUA/gACHaCNBQBYAkdmrp4cmj/8RFAV4ApVAP5DpBWgG4UAWIQjKyAh -C7sRKzbBGpgf+zA+BeAMBQD8BkId4A4VAPR4ZhWgDwUAW0aKmhPzXkgN4AYFACkaAC4ywiwhHQnu -Av+BoAXf7gEAH5gcD+4CDg5P+kQwFaALBQD8AAIdoA0FAFgCKGauJCogIfoAAh3gDEUA/gACHaPt -BQBYAiJmrgsqICH6AAId4AyVAP4AAh2hDQUAWAIcZq3yHJoSLSAi/kOkFaAKVQD+IIYVoBuFAFiD -94kU+kQwFaALBQD4IAIdoAxFAP7AaB2gmQEA+Q0AD3ENBQBYAgxmoNyLFC0qAPwAQh2iCgUA7LsB -C3AEgAD7TQAPcAyVAPpEMBWgCwUAWAIBZqCxHJn2LSAi/kOkFaAKVQD+IEYVoBuFAFiD3I0SLkoA -+MBoHeD9cQAP6TnpFgUmwCSAAAeZApkVJyAh0w/TDwt3EQV3Aic2wRqXz/svngXgDAUA/AZCHeAO -FQD0eGYVoA8FAFtGOuaiv20gBIAAKjLCJzbBG5fJiRULqgEKmQIJCU8pNsIal8Abl8D8AAIdoD0l -AP4AIh2gCJUA+HhmFaAPBQBbRirmopdtGASAAGagAiYkQmas0eYkIC0QBIAA0Q8tMsLAsPpEMBWv -3QEA96YADvAcNQBYbe9mrKz6RDAVoAsFAPwD4h2gDQUAWG3qZqyW2iBb/wRj/QIAH5eyLTLCwLAP -AgD/oAQG8A41AP+mAA6wHIUA+kQwFa/dAQBYbd1mrGT6RDAVoAsFAPwD4h2gDQUAWG3YZqxOKCAh -0w8PAgD9GAAUMBmFAAmIAig2wRqXjfsvGgXgDAUA/AZCHeAOFQD0eGYVoA8FAFtF+OoWACULvYAA -wKT8wGgdoBtFAFiDgvogCBWv814AH5mXLTLCKwoADwIA/6AEBvBuBQD/pgAOsAwFAPpEMBWv3QEA -WG25ZqvT+kQwFaALBQD9MxgF4Bz1AFhttGarvfpEMBWgCwUA/AJCHaAdJQBYba5mq6f6RDAVoAsF -AP0zBAXgHBUAWG2pZquR+kQwFaALBQD9MvoF4BwFAFhto2are/pEMBWgCwUA/AJCHaANBQBYbZ5m -q2X6RDAVoAsFAPyEQh3gHBUAWG2YZqtP+kQwFaALBQD9MtwF4BwFAFhtk2arOfpEMBWgCwUA/AJC -HaA9xQBYbY1mqyP6RDAVoAsFAP0yyAXgHBUAWG2IZqsN+kQwFaALBQD9Mr4F4BwFAFhtgmaq9/pE -MBWgCwUA/AJCHaANhQBYbX1mquH6RDAVoAsFAP0yqgXgHBUAWG13ZqrL+kQwFaALBQD9MqIF4BwF -AFhtcmaqtfpEMBWgCwUA/APiHaANBQBYbWxj+8semUktMsL/oAQGsAsFAPWmAA7wHIUA+kQwFa/d -AQBYbWNj+1AAAAAAwKT9LkAFoBtFAFiDG/ogaBWv9koAAAAAwKT9LjQFoBtFAFiDFfqAaB2v9dIA -AAAAwKH9LiwFoBtFAFiDD/pgaB2v9XIAbBAEKSAhE5cJ/TgAFLAa1QAKkgIiNsEalwYblwb8AAId -oD0lAP4AIh2gCKUA+HhmFaAPBQBbRXBnoBbApP0uAAWgG0UAWIL78UVIDeACBQDRDykywiI2wSv6 -APsgBATwKhUACpkCCQlPKTbCGpbxG5bx/AACHaA9JQD+ACIdoAiVAPh4ZhWgDwUAW0VbZ6+3wKH9 -LdoFoBtFAFiC5mP/p9EPAABsEAQpICETluD9OAAUsBrVAAqZAik2wRqW3RuW3fwAAh2gPSUA/gAi -HaAIpQD4eGYVoA8FAFtFR2agQCkywuogIST8iIAA+gACHeAc1QD8H+Id4O7lAFgA7NKg0Q8AAAAA -AAAA+gACHeAc1QD8H+Id4O71AFgA5NKg0Q8AwKT9LY4FoBtFAFiCwmP/sWwQBCJ6w9EPbBAEKgoF -/TG+BaAbhQDtICIp8ASAAFiCuSQgIRWWtgtEESRWwfstagXgDAUA/AZCHeAOFQD7LWAFoAilAPi4 -ZhWgDwUAW0Ue56AWbRAEgADApP0tWgWgG0UAWIKo0Q8AAAApUsIkVsEblrsdmMcamMf9IAQE8MMB -AAy6OQqZAgkJTylWwvstOgXgDAUA/AZCHeAOFQD7LTAFoAiVAPi4ZhWgDwUAW0UG56AUbRAEgADA -of0tLgWgG0UAWIKQ0Q8A0Q8AAGwQBCkgIfUtFAWgBRUA0w8LmREFmQIpRsH7LQ4F4AwFAP6gaB2g -PSUA+y0EBaAIpQD4mGYVoA8FAFtE8OahAG0wBIAAK0LC+SzwBaAERQDktAEF9QSAACkgQvxEUBXj -mQEAHpeUA9wRDswIKMa3/gBCHaAKBQDrwrckgVGAAGiRNWiST/UgBOGSAJ0A90AFYJIAnQDAsQS0 -OeQ2AC0QBIAA0Q8A8WAEJBAKFQAlJEL//2QNoAoFAHC3Dv5IRh2gADoAAAAAAAAAACokQv/+8A2g -CgUA/2uABBD/BQArwoHEgAi7AivGgSnCgSr6vwqZASnGgSggQg+IASiMECgkQg6IAg+PAegkQi/v -DAAAwKH9MN4FoBtFAFiCSMCT+EhGHe/+sgD//ZANr/r1AGP/XAAAAAAA+khGHa/+UgDSoNEPwKT9 -LIAFoBtFAFiCO9Jg0Q8AAABsEAQoICHzLGoF4AWlAP0YABQwGaUACYgCKDbBGpYx+yxiBeAMBQD8 -BkId4A4VAPR4ZhXgDwUAW0Sc5JYtHQJCAAApMsJ0lwPAItEPKiAh/VgAFTALVQALqgIqNsEaliD7 -LEIF4AwFAPwGQh3gDhUA9HhmFeAPBQBbRItmoBkiMsIC0kDRD8Ck/IBoHaAbRQBYghTAJdEPANxA -+gCCHaAbRQBYghDAJdEPAAAAbBAEHJgz/ERQFeAKVQD+Q6QVoBuFAFiCCCMgIRSWBQszESNGwfss -CAXgDAUA/AZCHeAOFQD7K/4FoAilAPiYZhWgDwUAW0Rt5qBDbRAEgAApQsIjRsEamB8ImTIKmQIp -RsL7K+oF4AwFAPwGQh3gDhUA+yvgBaAIlQD4mGYVoA8FAFtEXuagFm0QBIAA0Q/ApP0r2AWgG0UA -WIHn0Q8AwKH9K9QFoBtFAFiB49EPAABsEAYXld/pJAAKEASAAOQwTGymwoAAkhEDSQIpdsEidsIa -ldgbldn8BkId4A4VAP4AAh3gCAUA6HbDLGAEgABbREPnoMBtEASAAMCk/SugBaAbRQBYgcxgAEsA -AAAAAksCK3bBwKoqdsMblcf8AAIdoD0lAPsriAWgDhUA8iAmFaAPBQBbRDHnoBVtEASAAMCk/SuA -BaAbRQBYgbtgAAYALHLCLBUA6BEAKQLSAADHnwlVAwWFAfBlgA3vVQEAA0oCKnbBiREpdsL7K14F -4AwFAPwGQh3gDhUA+ytUBaAIBQD4+GYVoA8FAFtEGOegbG0QBIAAwKH9K0wFoBtFAFiBotEPANEP -ghHAs/r4ZhXv/W4AjhEPAgAOTgIudsEFbQItdsIsCgksdsP7Ky4F4AwFAPsrKAWgPSUA/gAiHaAP -BQBbRALnoBFtEASAAMCh/SsmBaAbRQBYgYzRDwAFaAIodsLA8f74ZhXv/wYAbBAEwKX9L1oFoBuF -AO0gIinwBIAAWIGB6iAhIYDhgAD9KvgF4AsFAP8q9AWgDAUAW/+Y0qDRDwD9KuwF4AsFAPwAAh2g -DgUAW/+S5qBEbRgEgAAmICEUlW/AWueVcxs2woAAJkbBGpVs+yrYBeAMBQD8BkId4A4VAPSYZhXg -DwUAW0PXZqCGKELCdI/VLiEdd+8E0jDRDwD9Lw4FoApVAPxEUBXgG4UAWIFcIiAhCyIRIkbBGpVZ -+yqyBeAMBQD8BkId4A4VAPSYZhXgDwUAW0PEZqBKKULCIkbBGpd3CJkyCpkCKUbCGpVMG5VM/AAC -HaA9JQD+ACIdoAiVAPiYZhWgDwUAW0O2Z6+HYAAiwKT84GgdoBtFAFiBQCL6udEPwKT84GgdoBtF -AFiBPNIw0Q/Aof0qfgWgG0UAWIE40jDRDwAAbBAGGpVuKS0CK5AXLKKNKqKQq8wJzBGsqiqiGv8t -FAXgAgUA6ZAWJQH5gACNoSyiAuqiACSAuYAAaJEa8SKQDe/ipQBokQJokjHRD6++LuCAaOMCaefk -aEY3aEc2/IhgBF/ipQDRD9EPr74u4IDTD2jj1mjn02jiAmnnzWhGLPSABbOSAJ0A/IrABF/ipQDR -DwDdwPGlzA3gAgUA0Q8AAAAAAAD9QGgd7/+yAMvMBVkJDJkJy5QtkQAskQH/IEQVoAs1AP5ghh2g -CgUA/GBkHeHMQQAMujn6YKYdoAIFANEPyKcFWQkKmQlln8nAINEPAAAAAOVbCQjQBIAA+6AARfAM -JQDisAEl2AkAAFh6p8DR8kAABzLyKQDu7P8vgQqAAO40BS7oCoAALTQELBEA/GBkHaACBQDRD2Tf -rwVZCf0gAIT//cYAAGwQBBaVHCItAicgFyhijSZikKeICYgRqGYmYhX7LHAF4A6FAPwAgh3gDCUA -4iAWIwIxgACJYShiAuZiACEAyYAAaCEc63oIAQGpgABoIQJoIjnGKtEPq3oqoIBoowJpp+JoRkH0 -gAVrkgCdAPSACIQf4qUA0Q/AINEPAAAAKqCAaKPOaKfLaKICaafF9IAK4xIAnQD0gA4DkgCdAPSA -EQQf4qUA0Q9kgGcngAENdAH84AQFMCcBAP7gBASyV40A/KAEArFnjQD8wAQDcPeNAO7/AQzPwoAA -66oRCRZCgADqIgIKJ0KAAAlEAvfmAA+wdzkAB1UCBf8CBP8CAv8CLzQBK4AACwtD+mDmHeACBQDR -D8Ag0Q9kn/gkkAENSwH8gAQDMKQBAP6ABAKy9I0A/eAEB7EkjQD8QAQBcISNAO6IAQqvwoAA62YR -DVZCgADmqgIN30KAAAW7AvMGAAwwRDkABP8CD4gCC4gCCogCKDQBJ5AABwdD9mDmHeACBQDRD2Rv -jStgAQ24Af1gBAEwewEA/2AEB7KbjQD9IAQEsauNAP1ABAVwW40A7lUBD//CgADrIhELvkKAAOJ3 -AgxHQoAAD4gC+qYACrC7OQALmQIJVQIIVQIHVQIlNAEkYAAEBEP0YOYdoAIFANEPAGSAZCeAAA10 -AfzgBAUwJwEA/uAEBLJXjQD8oAQCsWeNAPzABANw940A7v8BDM/CgADrqhEJFkKAAOoiAgonQoAA -CUQC9+YAD7B3OQAHVQIF/wIE/wIC/wIvNAErgAH6YOYd4AIFANEPwCDRD2Sf+CSQAA1LAfyABAMw -pAEA/oAEArL0jQD94AQHsSSNAPxABAFwhI0A7ogBCq/CgADrZhENVkKAAOaqAg3fQoAABbsC8wYA -DDBEOQAE/wIPiAILiAIKiAIoNAEnkAH2YOYd4AIFANEPZG+QK2AADbgB/WAEATB7AQD/YAQHspuN -AP0gBASxq40A/UAEBXBbjQDuVQEP/8KAAOsiEQu+QoAA4ncCDEdCgAAPiAL6pgAKsLs5AAuZAglV -AghVAgdVAiU0ASRgAfRg5h2gAgUA0Q9sEAQalFIpLQIrkBcsoo0qopCrzAnMEayqKqIQwNj5ItAV -4AIFAOyVaxUCOYAAhaGCouaiACSA2YAAaJEe7LoIBIGhgABokQJokjjGKtEPAACsuiqggGijAmmn -4GhGPvSABROSAJ0A/IzABF/ipQDRD9EPAAAqoIBoo89op8xoogJpp8b0gAWDEgCdAPSAByOSAJ0A -9IAF9B/ipQDRD2QgXSwgAPphABWizAEA7M05AVgVAAD8YOYd4AyFAFh5nyo8EPpBoBXgDIUAWHmc -wCDRD8pqLmAA+mEAFaLuAQDu7TkDWBUAAPxg5h3gDIUAWHmTKjwQ+sGgFeAMhQBYeY/AINEPAABk -X/YvUAD6YQAVov8BAO/9OQLYFQAA/GDmHeAMhQBYeYYqPBD6oaAV4AyFAFh5gsAg0Q/LJCggDLg6 -6I05AVgRAAD8YOYd4AyFAFh5e8Ag0Q/JZilgDLg66Z05A1gRAAD8YOYd4AyFAFh5c8Ag0Q9kX/gs -UAy4OuzNOQLYEQAA/GDmHeAMhQBYeWvAINEPAGwQBBqT7SktAiuQFyyijSqikA8CAKvMCcwRrKoq -ohD/KhAF4AIFAOmQFiUCAYAALaIBLKIC7qIAJIC5gABokRrxIpAN7+KlAGiRAmiSMdEPr7oqoIBo -owJpp+RoRjFoRzb8iOAEX+KlANEP0Q+vuiqggNMPaKPWaKfTaKICaafNaEY8aEdZ/IiABF/ipQDR -D2XAXMAg0Q8AZN/3tDr7oCAV4AxFAFh5QMAg0Q9k7+O0OvvAIBXgDEUAWHk7wCDRD8nIi8D6YCYV -4AIFANEPyOqM4PxgJhWgAgUA0Q/AINEPZN/4jtD+YCYVoAIFANEPALHL+mCAFaAMRQBYeSvAINEP -AAAAAAAAAGwQBBiTk6goJ4KAx58JOQMJdwEHRwInhoAH6jAWk3QmYkGnYgXqMAUlDGpRDm0ICAnq -MAkpDGqRAmP/8NEPAABsEATKJ2ghKW8kAm8iH/xA4BPQA6UAbyQY8mD2DaAEtQBvJwl0IQpoKgPA -INEPwCHRD8Av0Q8AAGwQBso89GAG+JIAnQBvNAJvMh9vNwf0YAZyUgCdAMBac1MCbzcMwGv2YAXk -IgCdAGk6B/AAGA2gCRUAwJAfk00elW4bk2UalW38AAIdoAgVAPUNAAowByUA9kEAC/BIBQD1DQAO -P731AON0EQjABIAA8AA4DaAHBQCxd+h0YmRAEQAAwV8HVQx1le2F4JUQheGVEYXilRKF4+UWAyEY -Q4AAg4CkM/pgAEGwACoAg4GkM6ozqzUjUoANMwEDwwIjVoAG6jAF6jAj8kGmMwU1DGpRpm0ICAXq -MAU1DGpRmmP/8NEPAAAAAAD//UQNoAn1AGwQCByTExuTEyUgIhmTTyMkXyQkLSqSixiVPimSkAWq -CO+UCB1WQoAACpMILjEdJzEgKjEeD+0B+OAEA7BGBQD2ZAQd4AQFAPugCORgxwUAcecMcacJ98AE -AXAAOgAAAP+gCSRgAgUA5+0BCngEgADtvzkHZByAAAz/ApIRHJUl+iAGFaALhQD8oGgd4ApVAFh+ -9P5DYAZQigUAKTEgKDBwJzAtBpkCKTUg+OAEA7AAGgDAcP5EAAYQDwUAKTEgKDBwLzAtCpkCKTUg -+eAEB7AAJgAAAAAAAP4ghhXgCkUA8mvwFaAbhQDslQwa6ASAAOZ0AA+YBIAA5xYAKXAEgABYfthk -IGwr+gAalCcDWRGqmSiSgguIAQg4AiiWgi+SgHH+HCuSgB2TPRyTXgLUOQy7AQS7AvswBhXgAgUA -0Q8AKpKEC6oBCmoCKpaELpKAGJMzH5NUAoQ5D+4BBO4C/zAGFaACBQDRD/9f9yTgggUAY/70gxT2 -4GgdoAsVAAe2OfNtAAn//goAD60B+7/2zWIAnQD/+0QNoEIFAABsEAQelALslG8ZfMKAAPslXAXg -CQUA+AACHa/99QDwACQNoAoVALGZaJRFD5UKrlUlUsP+vvAN4AIFAAuWEW0ILAAhBACnGndQFgtj -AiPGsyPCtA10A/SgBAK9MwEAA4g3sSLvLL9jMAUAAGRft2P/zK71JVLC8KPgDeACBQD2EAIdoIl1 -AG0IKwAhBACnGndQFgtjAiPGsy7CtA1/A/6gBAL97gEADog3sWbmkwlxEAUAAMhRY//NIlruCII5 -0Q9sEBCNMIQx/ycmBaAPFQD2RFAVoAcFAP+j4ALfRIEA/SU2BaAolQD4gAfaoAo1ABmUpAlJComQ -CpAA3HDxoAViUgCdAIQxDwIABARf9IAHuZIAnQDAyPWN9g2gKnUAGZN9bkZkKiAiK5J/KZKCC6oI -7TAILVZCgAAKmggqogfqog4muZGAAPWgRJCSAJ0A9aBFERIAnQD1oEWRkgCdAPWgReoSAJ0A9aAk -kpIAnQAqCgT9KQgFoBuFAFh+U/AAsA2v7KUAAAAAAAB6QS8clH/uICMraASAAP5G0BXgCiUA9CAG -FaAbhQBYfkjGyupUAAnYBIAAWHyuwCDRDwAsIh8PAgAPAgCMycnB6iQACdgEgAALwAD9QGgdr/9S -AP37Qh2v/zIAAAAclGnuICMraASAAP5G0BXgCiUA9CAGFaAbhQBYfjFj/6ItICv/o8AH0O0BAH7X -Fn3XE3zXECshIAsKRfVAABUwAD4AAAAA+kQEFeAKBQDxwPAN4AkFAH7XB33XBHzXAcCRJRYSFZRR -/uBoHaBrOQAGXjklIR4Ykhf+4Ggd4EU5AASPORSSEvzgaB3gZTEABk057t0CDLBCgAAGpgIPZgIN -ZgIektotICT44GgdoPsxAO/oOQ7qwoAADYgCCGYCKCAhLSAj6N0RDEQCgAANiAIIZgIoICAkICwt -IRztNQYsRsKAAAhEAgZEAighHSg1ByYhGSY1CJQyFpQA/kaQFeAOBQCeNp83LSA1LTQSKCAvKDQT -JCAipkQkQID0YWQd4I8FAPQiSBXgRAkABH85/mKGHe/6VgAoIENljojrRAAJUASAAFhTR40w/UBo -Ha/3KgApIh+EMiohHOoWCiS8kYAA+iFGFa4LBQALqwL1YDv8ogCdAByUDogaLyA2KSEdLiAjlBKY -EPggJhXliQEA+CGmFaGJMQCYG/gIAARxmUkAmRj4IYYVoApVAPhD5BWgG4UA6BYDK2gEgABYfcqK -HQQLRZsee6kfjBsEbUF9yReOHASPQH/pDwSbQWS3QYgY+wA55GIAnQDAkfhFxh3g1FkA8oAR1RDE -YQDygBFU0KRpAB6Sgttw+80ADbAItgAsIh+MyWTB+OokAAnYBIAAC8AAjTD9QGgdr/PCAC8kbfzg -aB2v85YAKiRt/OBoHa/zagDrPAgpUASAAFhKf40w/UBoHa/zFgD84Ggdr/L2ACogISjifSkwCCTi -gqqI65LuHEZCgADoRAgE/QyAAC4xCC0wCZ4ULjEJ/UYAElDdIQCJFACZEenpAg10woAAq+4p5sgp -4sD97QAO//jlAAiZAQnZAinmwCkwCC4SE36XRS4xCi0wCZ4VLjEL/UbgElDdKQCIFQCIEejoAg10 -woAAq+4o5sko4sDAkf0tAA7/+dUA6YgBDs/CgAAImQIp5sApMAguEhR9l0guMQwtMAmeFi4xDf1H -QBJQ3TEAKBIGAIgR6OgCDXTCgAAL7ggo5soo4sApCgH9LQAO//m1AOmIAQ7PgoAACJkCKebAKTAI -LhIVfJdFLjEOLTAJnhcuMQ/9RuASUN05AIgXAIgR6OgCDXTCgACr7ijmyyjiwMCR/S0ADv/5dQDp -iAEOz0KAAAiZAinmwCkwCC4SFnuXMikwCcCA/GCkFeCZGQADpxGrdy12xy5ywMHQ+a0ADH/p9QAJ -7gEI7gIudsD4YRAV4AcFAHmXMSkxB+uTSBSnQYAALUAi6pwSLuzCgAD7oABG/6oBAKzdKtaAKkUZ -L0Q0KUAuZJRfKUQujTD/7DQNoAwFAAD9+0Idr+wSAPSAGuMSAJ0A/+6QDa/spQD7JsIF4AAyAPsi -WgXgpGkALiAiH5NeA+4Rr+4fkUmv7inigB+TWw+ZAQm5AinmgC8gLygK/gj/AS8kLw3/Av5F5h3g -+NUACP8B7yQvLk/CgAAJ+QIpJC/+IUgV4P61AA6ZAekkLy1HgoAACJgC+EXmHaYOBQB/4CkEm0HK -s48Ye/EfLCIfLMIU6xYQJg1xgADaIAvAANyg+iIIFeAGigAAAADAoC0aAA1PAZ8ZfUBALCEfd8c6 -jR5k0oCOHX3pFIgc+eBoHeALFQAPuTn5ABxUYgCdACwiHyzCEmTBn+okAApYBIAAC8AA/UBoHaAG -UgCNHGTQWiwiHyzCEsnD+kBoHaALBQALwAD9QGgdoAAmAAAALPra5sEXblAEgAAsIh8swhHJwvpA -aB2gCwUAC8AA/UBoHaAAIgAALPra5sDwblAEgAAekxQtIR0O3QEtJR2IHo8dePkEiRxkkuiKGdMP -0w9kosIsIh8swhPJw+okAApYBIAAC8AA/UBoHaAAJgAAACz62ubAp25QBIAAHpMCLSEdDt0Bjh4O -3QKOG/xDpB3hxDEAfOkDjxzL+hmS79MP6dkBDl6CgAALmQL5IXgFrZkBAPhDpB3gzgUA7p4BC9gE -gADuizkE5CiAAByQswy7AgudAi0lHSkgKw8CAA8CAH+XO36XOH2XNeoWESTwyIAAKiAiWE3K+iIo -FaAAkgAALPra5sAYblAEgAAfkt4uIR3/wAQHcQ8FAA/uAi4lHY0w/UBoHa/i6gAs+trsFhEuUASA -AO0hHS4XEgAAGJLT6NgBDc3CgAAJiAL4Q6Qdr/kWAAAAAAAA6zwIKmAEgABb/N39QGgdr+SmAAAA -LPra5s+sblAEgAAbksQqIR0LqgGLHokbC6oC+kOkHaHUMQB9mRCOGYwcwPEO/jn/gARcIgCdABiQ -fikKwOlJAQvYBIAA6Ys5AmQogAAakHcKuwIsIh8swhHJyZ0f60sCCVAEgAALwADcoPwh6BXgAC4A -AAAAACz62ubPNm5QBIAAG5KaKSEd65kBDtaCgAAKmQL/IM4FrZkBAPhDpB3gzwUA758BC9gEgADv -6zkE5CiAAByQXgy7AgudAi0lHSsiH4u/ZL7N2iALsAD9QGgdr/siAC6tAi/gF6v6CaoRqpoooDX/ -0AAVoAkFAO2sMCVhEQAA5IARZVlhAAAooEnIhiigXcAhCCk5CZkRKTQJKeCWZJDY9SAH2JIAnQD1 -IAdZEgCdAMCQL8AMLNAMKrAM5J4RD/4CgADv7gIOZwKAAAyqAv9GAA0wDAUA+mCkHa/fVgAA6zwI -KmAEgABb/B79QGgdr98GAAAAAAAA6yxWIdBBAABYdef/3qQNoAwFAAAAAAAA6zwIKmAEgABb+0j9 -QGgdr95GANxA7TALIdghAABb+vH9QGgdr93yAIgeZIDSsIn5P+mWIgCdAGAAxSkxBv/uZA2gmQEA -ixsEbEH9f+8UIgCdAPxDpBXv9VoAjBsEbUH9n/KNYgCdAGP9xRmRb9MPDwIAqfkpkIBokxVolxJo -kgf5P/jr0gCdAP/8XA2gCSUA//w8DaAJNQAAACdENFv9VStANGW7LS1AIh6SCQPdEa7dHpAj/6AA -Rr/KAQAs1oD6gyQdr+xGAAAckjYvIDYuICOJGpkQ+EOkFaAKJQD0IEYVoBuFAOgWAStoBIAAWHvz -//TwDa/qpQD/9NANoAoFABySKS8gNi4gI4gamBD8Q6QV4AolAPwgJhXgG4UA5BYCK2gEgABYe+Vj -/8Qckh+JGi8gNi4gI/QgRhWgCiUA/CAmFeAbhQDpFgAraASAAFh72/oiKBWv824AAAAAbBAGLjEL -7SIAJ0T1AABo6xLAo/0kHgWgC4UAWHvRwCDRDwAAHJIMjjaPN4k4mRD4YSgVoAo1APggJhWgC4UA -WHvIwCDRDwCONv5g6BXgCjUA/SQCBaALhQBYe8HAINEPbBAEwCDRDwBsEAiIICcgB5MUiRT1ILgF -oAWlAPMgsgXgBiUA+QDSDeF3AQDWUPTgCdISAJ0ADHgRo4gpgp73IBPTogCdACiCnQR5CimSvwmI -AdSA6BYDJAqhgAAaj+4KAIdtaQIIAmEpIQeLIBqQR/wgiBWqmQEADJkR6pkCDdYCgAD9YAlLoAUF -AB+Q15lA/yCABaAMhQCcQy5GAvkhpgXgDSUADaoCKkYBCbkCKUYEKvKALvJ/6yEJK8cCgADzAABE -cAwFAOaGnSdz/QAA/+/mFaANFQBbOvGKJ44i/0QAFe/IBQAI/wHlpRQn+QEAAJ+p76YIJwLpgAD9 -I4AFoApVAPxACBXgOyUAWHt9iCJkgJDAsPv+Ah2vCQUAbQgNeJANCIgU5IAdZdghAABj/+t4oAy0 -u/AAHA2kiB0AALG7CBgUZY/3+kBoHa/89QBbQ3eKJ8efmaCVJ1s3uCUkBOUkBSoQBIAA0Q8AABqP -84mo9yAKeJIAnQAMeBGjiCuCnvdgCsuiAJ0AKIKdBHsKK7K/C4gBZIFHsJz9QQYVr/rSAAAA//58 -DaALBQDSgNEPH5CRjhMUj1KVEfQgBhXgDEUA7KsCDceCgAAtIAcEiAL5wIYVoCyFAJzj+8AmFeHd -AQCdEpngD90C6Y/pGNAEgADp5gIhWYUAAP3AphXgDDUAWHT0tBr6S6AV4Aw1AFh08RyPaCkhB44T -GpB3iBKV6/XBRhXv//UAn+mf6J/nleaNEIsRCogC+x+qBafdwQANuwKb7PogCBXqmQEA74/RHM8C -gAAKmQLp5hAt3gKAAAy7ApvtiyAl5hYl5hcl5hgl5hkl5hol5hsl5hwl5h35wqYVoCqFAPvCZhWg -DUUA7+YSLdYCgADtrQIN/4KAAOT/AgdABwAA7+YUJCIBAAD9wiYV7/dmAAAAAAAAAP/2SA2gCAUA -wKBYd6waj5uJqPk/9TiSAJ0A//XgDaAIBQDAgAWbNPtBBhXv9a4AAGwQRCggBPkAGQJSAJ0AF4+V -FZBIiTEUj+zmkEcSq5EAAPMgGGiSAJ0AGZBCG48nIyAMJZKABACJKZKJBTMIJSIW6Bx/KZ5CgADj -kwgEQMUAAOUhGSqmQoAA9SAAQjAZBQBtmgIIAIoqMSnTD/tAFdRiAJ0AHJCCjSD+QLAVoApVAP5K -kBXgOyUAWHriGI82GY82/kAIFaDvFQAvFMj4JYYV4A+FAOgWLi92AoAAD+4CLhYtKiAHKTEpCgpB -AKoRCpkCB5kCKRYwCOowKBYxJhY0/iamFaBPBQAvFWctIFQtFOEsIAUsFOL6RhAV4A0VAC0U4CsU -4+4iFiDR/QAA7hY5JVFFAACLpY6kj6PoogIg4f0AAOmiASZhxQAAmcGYwp/DnsQrxgUqogAqxgDq -IgAhWNEAAOoWQiDwBwAA6CBUIMgHAADolA0g+AcAAPpAsBWgDIUA6vQQIMgHAADoIDAg+AcAAO30 -DCDQBwAA+SImHaANBQDt5A4lUGEAAFh0WussPCDQBwAA+0QAFaAMhQBYdFXrTHIg0AcAAPtFQBWg -DGUAWHRR6yxOINAHAAD7RaAVoAw1AFh0TOssXCDQBwAA+0aAFaAMhQBYdEcFjED8M0QV4IUhAPQH -AAVwlSkA/jNkFeHlHQD+pgAPMLVZAO8ViS3eQoAA7yIMLM/CgADpiAINV0KAAPQGAATw7gEA6e4R -DM+CgAD7JgAMsD0pAPx4ABGwpVEA4+4CDVaCgAD7RgANdP+ZAP+AABKwtUkA7+4CAPgHAADu9BQt -3sKAAPqmAArwvWkA/E0QFaDdcQAJ3RHqVQIN3oKAAPpNMBWgzBkA7bsCDmdCgAAMuwL6BgAGMNo5 -AO/MEQ7vgoAADcwC/WYADbCqKQD7RgANcDsFAAuqAir0FYQsCVUCBYUC9eLmHeA0gQD0EQAHMESR -AOruEQomQoAA5O4CCZ7CgADzxgAPcAOFAAPuAi70FoUnLVkUKlwg61IJJqUBgABktJgrHH/7ZiAV -4AyFAFs+y8Ag0Q8AAAAAAAAA/WA0zCIAnQCFKfChQA3gW2UAKlAF+0ApzGIAnQCFW2Vf78Ag0Q8A -AAAAKyAF+gKiHaBNNQD9eP4N4Dz1APQAChWgDAUA/CAmFa4LBQDrFgAgwCEAAG2qAggAihqPe5oS -/kAIFaAJBQD4I2Yd4AhFAPgkBh2j//UALxUM/cAAFzAPtQAP7gIuFgMtIAQqHCH1oC3qkgCdAPpK -IBXgDDUAWHPQKhwl+knAFeAMNQBYc8wTj18oIhYjMokJiBGoM4w3w98tJAWMzivAcC3AcQi7EQ27 -ArG7+44mHei7HQArxHDAkOkUOSDRAQAA6RQ6IdkBAAD4J2Yd4AhVAPgnBh2gDIUAWHO3KzxI+iCA -FaAMNQBYc7OOEescCClQBIAA/w4ADzAMtQD+IeYVoA0lAFgVpPJBkBXgGJUAKCRUBACJFI87KFKH -JSIWJEKJCDMI/GgAEbAZBQDjQwgKrkKAAOVECADB/QAA5SEZJEDFAABtmgIIAIoajhMpMSkPAgD7 -IBRsIgCdAByPfC0iAP5AsBWgClUA/kqQFeA7JQBYedwZjjAYji/+QAgVoO8VAC8UyPglxhWgD4UA -6RYsL3YCgAAP7gIuFi0qIAcpMSkKCkEAqhEKmQIHmQIpFjAI6jAuFjUmFjT4JiYVoE8FAC8VZy0g -VC0U4SwgBSwU4isgMPo8Zh3gDRUALRTg7iIWINH9AADuFjklUUUAAIuliaGIou+iAyDh/QAA7qIE -JmHFAACexJ/DmMKZwZvFKqIAKsYA6iIAIVjRAADqFkIg8AcAAOggVCDIBwAA6JQNIPgHAAD6QLAV -oAyFAOr0ECDIBwAA6CAwIPgHAADt9Awg0AcAAPkiJh2gDQUA7eQOJVBhAABYc1TrLDwg0AcAAPtE -ABWgDIUAWHNP60xyINAHAAD7RUAVoAxlAFhzS+ssTiDQBwAA+0WgFaAMNQBYc0brLFwg0AcAAPtG -gBWgDIUAWHNBKRGa9AYAB3BlUQD0BAAB8EVBAPQFAAbwhUkA9AsABnGlHQD+M2QV4LU5AO8ViS3f -QoAA6loCDmZCgADriBEO78KAAO0zAgonAoAA6EQCCzaCgADsZgIPL4KAAAtVAvpBiBXgqgEA/UgA -FTDJKQDmRAIOZsKAAP1GAA00u5kA66oCAOgHAAAq1BT6TRAVoIlpAPZNMBWgmXEA6ogRDM5CgAD5 -BgAMcKoZAPYGAASwtjkA7rsRDM/CgADrmQINV0KAAAqIAvkGAAxwZikA+MYACzA4BQAIZgIm1BWP -LAVEAgQzAvOi5h3g74EA/hEABnD/kQDqzBEP/kKAAO/MAg92woAA/4YADjAOhQAOzAIs1BaFJytZ -FOSyj2LQgQAAK1IJZLKEKxx/+2YgFeAMhQBbPcUWjocoIhYPAgAmYonpiBEJUASAAPjAAEMwi3UA -W8L6iicqrBBbOyuDKcszFY7OhDv8YAgV4ApVAP5ACBWgOyUA7zAFKuAEgABYeS36YGgdoIt1AFvC -cuNEAAp+pgAAgynrIgshgkGAAM6z8kFmFeAA0gAA2iD6L+AV4AyFAPtmIBXgDSUAWzT2wCDRDwAA -AIm7yJnpkgss2ASAAGWf9JO7mzzAwPxBJhWgAwUAi2pyuQuDKY0o/MFGFeAAqgDJtyqyCA8CAA8C -AHKhDOukAAUASYAAiqhyqfLItyMiCS4iCC62CPpAsBXgDwUAnyjrJDApgjYAAIgrz4zEk/lgDYRi -AJ0AGo5HiyAuonL7UYgVoAwFAP9vAA2wDRUAWzhfHI2Gi87D3+0kBSXb/QAA+4HGFeACBQDRD8Ti -/kCmHaACBQDRDwAAZFrM+1/WTWIAnQCKVyqsEFs63ywxHI4zLiYfjTIsJQgtJh4rMB9ksXnAw+Ys -TiDQBwAA62QABVEVAABYcpQjMB8EAIn4ICAloBlVAPkLABWmMwEAbZoCCACKH4527xZWINAHAAD6 -oAgV4A01AP1NZh3gDhUA/04GHaP89QAsFbT9YAAVsAy1AAy7AisWVyggBCqscfUABvKSAJ0A+kog -FeAMNQBYcnrqHQErWASAAPtOoBWgDDUAWHJ1+iAgJeAPFQAvtIjzcaYd4A4FAO60iSrQBIAA/3FG -HaAMtQD/cWYdoA0lAO60jiXZYQAAWBRgw4n4QKYdoAIFANEPAAD6SQAV4Aw1AFhyYS0QACwQAeoQ -AiDYlQAAKrQC7LQBKRgEgAD9YAYd4Cm1APhAph3v6YIA2iD6L+AV4AyFAPtmIBXgDSUAWzR1Y/1w -ABqN44sgLKJy+1GIFaANFQD9bwANsAwFAFs3/MAg0Q/D3/xAph3gAgUA0Q/6SQAV4Aw1AFhyQy8d -AS78RSjgAengACf51QAAKfQAKPQBLuAC/+BGHa/8bgDaUPpAaB3gDAUAWBo6wCDRDwAAbBAEiScq -IAcomRSJmdMg8Q1QDeGqAQAdjRtkkMoMqxGtuy6ynhyNGPfABgJSAJ0AK7KdDK4KLuK/FY0WH41O -DrsB6IzGFYUxgAAuIQcikAeSkSwwB/phBBWq7gEA/8AAFzBMEQD0kAASMcwBAOTuAg4UAoAAAqoC -CKoCD+4CnrCPMPlgxhWgNAUA9WBmFaACBQCStZK3mrSVsv3gABewBUUABf8C77YBJdCBAAAJIIYK -AmMJAIYKAmGKNwzLEf1gAEX/yQUA5badJVCBAAAJqQHipQQkyQEAAJmg+UAmFeBYJQAoNAXRD8Ag -0Q+MMsjOxNn8YKYd4AIFANEPAAAAAPtDABXgDBUA7TQACdAEgABbQbzE2fxgph3gAgUA0Q8AbBAG -F454GIzbHo53HI2EG4zYFo52KiEHJSBB/EREFeAEBQCUEAVfCfugABS6qgEA5pkCDVcCgADrqgIP -/wKAAK/MLMJ/mjD6QAgVoD/FAP5hZB3t3R0A5DUKLu0CgAD+YOYVoEbFAPhgRhWgC2UA9mBmFaaM -AQD4YMYV4Jw5APsoABSyzEEA7ZkCDmYCgADsiAINVgKAAAuqAuo2ASqsAoAA+QYADHAGFQDoVQIB -WSEAAPamAArwDMUA5TYEIdCBAABYcb0uISrTD9MP/mWmHajuHQAuNCwtIFfqPDwhWXEAAPxnZh3o -3R0A/GdGHejdHQD8ZyYd6N0dAPxnBh3gHIUAWHGtLyB0xIL54AYkIgCdACQ0RCQ1JeQ2FCHRFQAA -+RxoBe+MFQDsNDwo2ASAAPggBhXgDDUAWHGfKyB0KzRYiicqrBBbOdwVjSyLLSpSawm7EauqiqrJ -pCusYPoggBWgDEUAWHGU+iAoFaAAJgAajOOaER+M4Y0nHoxi++IADTPshQAMrCwOzCjsFgEm0EEA -AFs5yYQRgycPAgDqRAABmEEAAFrIeu2LyhpgBIAA7o0OHVgEgADvIgAp0ASAAFrIL4InIiwQ2iBa -yA1ooQ/RDygwSLGI+GkGHa/83gAA2iBayCQSjBkLqBHoIggFAYmAAAzqMCsihYuwsKLsuwgJUASA -AFh5MipSkwAhBABrGguqAipWk1h5adEPAAAAAPoA4h2gCxUAWzp2LCJ/LCaD0Q8AbBAGJyILKiAE -KyIJKKz7CHs48WYwDeAHBQBtCDKMtynJFP4AIh3gDQUA8SJgDeAOBQCJyYiSiZMEiAwI/TgFmQwJ -/jj/oAZwogCdAIu7yrdj/8YAj3KIc/XvAA+wDhUA9Q8ADHANBQD5wgAOsAwFAA/sOH3A1WAAnCYg -BxiMz/8XKAXhZgEABmYJDGYRr2+oZpYRJmJ/7xYCJ/iDAAAv/LD+wAqcYgCdAPogBhWvHgUAbQg0 -rmMoOYjAwfoAAh3gCQUA6jJDJAEpgACNooijBN0MBYgMCMs4Dck4e5g/JjI89+AEpCIAnQBj/8SL -coxz9W8ADbAKFQD1jwAOcAkFAP1CAAywCAUAC6g4+RngHeAKBQBgAAfSsNEPAAAAAC0yPS8yPJ/Q -LjI8neEnNjwnNj0sIASaE/WABhISAJ0AGoyh+mdIFeAMBQD7T+gVoA0VAFs2vvrDABXvzAUADLsB -5zWIJdkBAAArNkPrNkIjU+EAAFsznsAg0Q+GEY0SJmJ9Ld0gLdyofWkIYABrJjI8dtFlrmMoOYjA -wfoAAh3gCQUA6jJDJAFJgACPooijBP8MBYgMCMs4D8k4e5DPiBDqFgMkJUEAAMAgkqOSotEPj3KI -c/XvAA+wDBUA9Q8ADHALBQD5ggANsAkFAA/JOPszYB3gCgUAY//DwCDRD+sSAylQBIAA/BBCHeAM -FQBYMk1j/yuEE/pAaB2gDBUA+oBoHeCNJQBYMkfAIJJDkkLRDwBsEBItIAQbjWLlIAcq8ASAABeN -YPtv6BXgOeUA/aegAlFVAQD1oAbykgCdAGjWBMAg0Q8Aii4scjqILQmqEayq76IHLEZCgACozCwW -FIzHj/6fFIzO/CJmFaAAngAoIhYscjrvIgcsRkKAAKjMLBYUjMeP/p8UjM7sFhMpUASAACYgBSww -E8SC+MAk9GX8EQD4wCS0ICnVAPjAJHRgSOUA+MAkNCIAnQD6ISYV4IwBACgWFfWgBWsQBgUAmhjr -FgUmfYCAAPWgBzISAJ0AZPCr9eAH0JIAnQDXYPW/+osSAJ0A6BIVI/pJgABkj0GKJ9sw6qwgKmAE -gABbO2b3QsYd4AIFANEPjCciFhT9gcgVoAoFAPwiZhWv/aYAAAAAAAAAjTeMNp8amxnuFgcpUASA -AFv/J+akAAUeOYAAixX6IQgVoAgVAJgWKTATCSlFy5qHFpsV9SAgCJIAnQD8QJAV7/3qAJ4X9kBo -HaAMBQD8IMYVr/9aAAAAAAAAAP4g5hWgDQUA/CDGFeAGBQAtYAX9t2AVoB8VAP+ADLLiAJ0AGIz+ -CMgKiIDrFgUsACKAAGT/yZsV+f/5ANIAnQCNZ5sZ7hYHLoDGAABj/mMAAACNZ5sVmxnuFgcm8rmA -APYAAh3gBgUAKdkU5JUpZtCBAACL2YwXDAxH6MInbfAEgACbHC2wB/wgqBWgCwUAWzZ+jWcu2RRk -5bWL2f9gaB2gAB4AAJMZwNj0oCeiEApFABiLNAxfEaj/KPKe2aAO2Tn5ADeb4gCdAByLLyvynQxc -CizCvwy7AWS1DSsWF+oWDScEcYAAL2AHKGEIKWEHDwxBAMwR/QYADDqZAQD9FroFoP8RAOr/EAzP -AoAAD5kCDJkCmbAcix8ZitGFYP1gRhWgPwUA/2BmFeADBQCTtZm2CYgCGYy76LYEKq4CgAAKVQKV -sSjgB+mIAgWYgQAA6OYBL0gEgAAJIIYDAmMJAIYDAmGaHe4WDCWpAQAAJRYXnhyKZyUSF4sZ6qwg -KmAEgABbOuWMHI0dwLjkpgEtGASAAOy9OQtQBIAA6zQACuAEgABYKjmKZyqsEFs4S9pgWzMzY/4q -yKyMFJsVK8A4sbsrxDiLFSwSFJ0e6xYFJgB5gAAuEhMt4Fyx3S3kXByMkS9hN45gjSD4IcgVoAol -APggBhWgOyUAWHZDjWcPAgAp2RTkk0Jm0IEAACvSCSyyAAyMV/wiRhWgPkUA/4Ah3CIAnQAvCgD+ -IiYV4A2lACphCPrC0BXj/PUA/U8ADTAJZQD7IgAOsPj1AHixIywSBypgQfwhZhXnzAEA/Z/AFaAN -FQAM3DlbP0XtEgslKqGAAN5Q9KAW0hIAnQAYisIMXxGo/yjynv0AKTviAJ0AHIq+K/KdDFwKLMK/ -DLsB2bBkkYcfil3vAAUM2ASAACkWEJ0bLhYYCwJhCwJhCwJhCwJhCwJhCwJh1eDqZAAM2ASAAFv9 -0yhhCCk6//kACIRiAJ0AKhISw7T7QAfcYgCdAIlnLJkUZMMZi5kYjEwfif6NsCmwEiwSEC6wB/kN -AA/33cEALRYSD+4C7rYBK1AEgADtEgsmYYEAAFgp2CoSEvYh5hWgOyUA+0ALbGIAnQD2IeYVoD1V -AP1ACuxiAJ0Ajx8v8gcnEgb75AAVr84FAP9ABAcwCAUA6PUUJ3EBAADu9gkp2ASAAO72CCpgBIAA -WzpmY/xciBpkg2r1AB0gkgCdAOoSCCnYBIAA/IBoHaAdZQBYMP0tIAT/7tANoAcFANxA+mBoHeCN -RQBYMPccjByOMo8ziCCYEPxAsBXgCiUA/CAmFeA7JQBYdcvAINEPjWdl3IVj+s0pEhHTD2WfAIcW -Hopl7BILKu8CgACu3SzWnSogFvYh5hWg+/UA+1/5/GIAnQAqYEH6wtAV4DzFAFs+rPYh5hWv/KYA -AI9ihxbtFgsnmkmAAChhCCk6/9MP+QATLGIAnQAqEhLDtPtAEpRiAJ0AiWcsmRTkwn1k0IEAAIuZ -JbAHjBX8oGgd4AsFAFs1hopn2zDqrCAqYASAAFs6Joxgi2cIzBEMTALspgEl0IEAAOsSBSrgBIAA -WzofY/s/AAAAAAAAhR8tURQlURLAYO0WFib0yYAA6nLDKtgEgAD8AAIdoA0VAFs09ShyxLFVCFUu -KBIWsWZ4adxj/m7IqIsUKbBAsZkptEArEhTIuS0SEyzQZLHMLNRkjWLqFggmgMGAANrQWHR626D6 -wGgdr/z1AFs9h4oYxNrtZAUlAHGAAI8ULvBBse4u9EEvEhRk/McpEhMokGWxiPksph2v8vIAAAAA -AAAA//MEDaALBQAcifuMyPeAFFCSAJ0AGIoJDO8RqP8o8p79ABTz4gCdABiKBivynQjoCiiCvwi7 -AWSyiRqJ7rDJmaj5YGgd7/RGAAAAAAAAAAD/62QNoAsFAAAcieaMyJod94AToJIAnQAYifUMXxGo -/yjyntmgDtk5+QAUE+IAnQAYifAr8p0IWAoogr8IuwFksm0fidiwyfnhBhXv69YAAACIYuoWDSQM -4YAAjWfk4H9m0IEAAOsSCSpgBIAAWz3EY/nUAAAAAAD/85ANoAsFAMioihQpoDqxmSmkOioSFGSr -zywSEyvAXrG7+4vGHe/vEgAAAMTL/MCmHa/u4gDE2vzAph3v7roA/+kgDaALBQAAAC2wB/wgqBWg -CwUAWzUI6hYRLQOmAAD/7ugNoA1lAPohKBXvzgUA/0AEBzAPBQDv1RQncQEAAJ7Z7tYIKmAEgABb -OZ9j+T8vEhFl/auJZ/skABWvyAUA+UAEBDALBQDrlRQkQQEAAOiWCSpgBIAA6JYIKdgEgABbOZFj -+QkAAP/2FA2gCwUA/AFCHeBJpQD4QKYd7+0KAAAAAI8zjjL9FpwFoApVAPxACBXgOyUAWHT/ihjI -qIwUK8A5sbsrxDksEhRkzHEuEhMt4F2x3f3Lph3v8ZoAAAAci0GOMo8ziiCaEIk3mRP4YMgVoDsl -APggRhWgClUAWHTtixjIuI0ULNBDscws1EMtEhRk3CkvEhMu8Gex7v/s5h2v8HoAAAAAACtcGPrA -aB2gDBUAWz5fY/ypnhz6owAV4AwVAP1AaB3gD4UA7v05C1AEgABbPlf+IYgVr/keAP/roA2gCwUA -/+RwDaALBQCJYucSBizjfgAAK2BB+sBoHaAMFQD7YkAV4A0FAFs+SWP8UZ0b9CMGFeAKBQBYcWMc -iVOMyC4SGI0b+Z/q+JIAnQD/9gwNoAsFAMCwGIlMwPoPzzT/AQYV7/XGAAAAAAD+IYYVoAoFAFhx -VByJRIzIjhyKHfmf68CQDYUA/+JgDaALBQAAAMCwGIk9wPoPzzT/AQYV7+ISAGwQCCogBOMWBCpI -BIAA4zIAKcAEgADlIAcqoASAACgWBfggZhXnMwEA9m1gFeFVAQD1QBY6FHcdACsgFigK/3ixGPpI -MBWnxAEA/Z/AFaAJFQAMnDlbPbNko0TpiTUSpRkAABqJMgxYEaqILoKeCVoKKqK/98AZA+IAnQAk -gp0KRAFlQFyLImWybetcGClQBIAA/OBoHeAMFQBbPgTAINEPAAAAABSJD9MPikj3QBrwkgCdABuJ -HgxYEauILIKe94AbK+IAnQAogp0JWworsr8LiAFkg1OwrJxI5IQABH05gAAdiLftAAUKQASAAG15 -AggCYdog+iCoFeAMJQBa/4QvIQcWiQ0PD0oM/xEG/wKfQIwg7okKEekxAACdQ+5GAi5mAoAADHwC -nEEpIEEqISIYia0JmwntIAUt3wKAAKuI+Q/oFaBeBQD/ooYNoEblAPehhg2gW2UA+6AVRWIAnQD7 -rgAPNsgBAPZngBWi2EEA5kULLu4CgAD9hgAOcNg5AOTuEQ7tQoAADt0CDcwC7oqUHNwCgADqioYd -bQKAAAy7AppHHIqCDt0C/IDGFeANBQAtRQoMuwLrRgQhSSEAAOkHHgJAgQAACAJj/EVEFaAPBQAv -RDEvRDL+hmYd4A4FAC5ENS5ENi5ENy1ELvyF5h3gCwUAK0Qw/IWmHaAKBQD6hoYdqMwdACxELCkg -V+ssXCJQ8QAA+IdmHeiZHQD4h0Yd6JkdAPiHJh3omR0A+IcGHeAMRQBYbdLmFgIhQYEAAOgmAAJJ -AQAACQSKCACICQCKLCIcixXsRFMiUVEAAPtmABXozB0A/IpGHajMHQD8iiYdqMwdAOxEUCngBIAA -WG3Ao0gmIHQmhFgfiKgMXhGv7ifmnSsgFi0K/32xByogQYwSWzz1iBXEmCkkBSiAEg8CAGSAQhSI -VIMnBIQo6kQAAZhBAABaxKTth/QaYASAAO6JOB1YBIAA7yIAKdAEgABaxFmCJw8CACIsENogWsQ2 -9UAGYJIAnQDAINEPjRTqJAAI2ASAAP6AaB3gDgUA7dEILuAEgABbHigtCoh9oWCKEOYSAyV+mYAA -iqeLFOqsICtgBIAAWzhijBCNwJoR6hYFLu4CgADtbQINWASAAO2mASlQBIAAWx1S3qDqEgAnAMmA -AIsR7GQACmgEgABYLbXAINEPAAAAAPNAaB2v8vYAixTsEgMpUASAAFgu7cAg0Q8AAAAAAAAA//OY -DaAEBQCPImX/UisgQfpAaB2gDBUA+2JAFeANBQBbPTzAINEPANogWsQeE4gTC6gR6DMIBQNJgADA -IQzqMCsyhYuwI6z/7LsICdAEgABYdSsciCgqwn8AMQQAKxoLqgIqxn9YdWHAINEPxcL8QKYdr/Va -AMCgWHBEikgZiEb5X+TAkgCdAP/y2A2gCAUAwIDA2g2tNPyBBhXv8p4AAPoA4h2gCxUAWzZiLjJ/ -/nBmFaACBQDRD2wQBB2HzyktAi6QFy/SjS3SkK/uCe4Rrt2N1/oAAh2gBRUA6TANJJJhAAD9ocgV -4AhlAP5h0BXhjgUAbYoUrayuzCvA3SzA13vDJ+yzVXVQBQAAKTANZJB6aZFDyvCKNIuhybzLaJug -KiB/C0AAwCDRDwDKkmmRJ8j0LTAPadHdijSMopygizQlNA0qIH+LsAtAAMAg0Q8AAMjzLTAPydvA -INEPKTANyJdpkfNl/65j/81k/+opMA/IkWmR4o00jNGc0Is0JTQNKiB/i7ALQADAINEPZP/IKTAP -ZJ/faZG/Y//ZAGwQBIUkwoD0oIgV4AMlACRQAghEAiRUAiMkDNEPAAAAbBAEhSQoCt/0oIgV4AMF -ACRQAghEASRUAiMkDNEPAABsEBKKRJIfhyooQAX4ImYVoD8FAP4gRhXgFgUAlhDnFhAhsAsAAPLC -8BXgAiUAkhEnQAEnFhYnFhGCpIylj6KLp42mLRYUKxYVjaCLoftAaBWgCQUA9oAGHeAI5QDoextz -MmEAABiJgpoeCH4KjuCbHZ0b7BYKLwAigAAAKRYSZHDR9OAE29IAnQBkcJP+gLAVoAh1ACgWFikS -FohEKUQBKRIUnIWdgJuBmYafgikSFSmGBykSE5qDIoYEfpkFKhISZKBMLyACHIlr+gCCHaArRQD8 -oGgd4P8pAFhzFyggAnqPLWRWePSgNPiSAJ0AaVIfKWB9/SMgINACBQDaMPLgAAYwC0UAWD2PKmB9 -sSJ6IuoiEhbyXyAVoAsVAAKyOdEPaHdb/O4ABFAIxQD3AVYN4A7VACkKCXl7KZoe/v/6bCIAnQCK -Hv6AsBWv/ToAAAAAAAAA/oCwFaAIFQD4IsYVr/ziAC5ABSIWF/gBoh2gAnUADoI4IhYW8iLoFa/8 -cgApQAfuQAUkqOmAAGTlFcCR+CLGFe/8EgAuIAIPAgAOeEDoFgknYDyAACnAAvMgKP4SAJ0A+iHG -FaAJxQD4IsYV7/4SAP4hhhXgClUA/RJWBaArRQDtNAAK8ASAAFhy1owajRuPHIsdih7A4P4iRhWv -+kIA/iGGFeAKVQD9EkAFoCtFAO00AArwBIAAWHLLjBooEhCNG48ciIGLHfohyBWgCQUA6RYSJEAF -AAD4gIYdr/lCAP4hhhXgClUA/RIiBaArRQDtNAAK8ASAAFhyuxiHAwhYCiiC+Now+iGIFeAMBQAL -gAD8IUgVoAkFAClEAyggAo8c+iGoFeAOFQD4BQAEMAkFAOjpOA1oBIAA6UQFJKmhgAD6IcgVoA4V -AP6Bhh2gAWoA/iGGFeAKVQD9EewFoCtFAO00AArwBIAAWHKeGIbnCFgKKIL42jD6IYgV4AwFAAuA -AMCwK0QDKSAC+iFmFaCZKQDpRAUqUASAAFv/NIwajRuPHIsdih7A4f4iRhWv9f4A/iGGFeAKVQD9 -Eb4FoCtFAO00AArwBIAAWHKGixoYhs4rsALAkfigAQQwDAUA+R8IFaC7KQALnDjrEg0p0ASAAAuA -AIwaLsACwIH+BQAHMA0FAA6NOC1EAykgAo8cix34BQAE8A4FAOmOOA1oBIAA7kQFJyNpgAD6IcgV -oAgVAPiBhh2v/c4A/iGGFeAKVQD9EXwFoCtFAO00AArwBIAAWHJlixoYhq0rsALAkfigAQQwDAUA -+R8IFaC7KQALnDjrEgwp0ASAAAuAAIwaLsACwIH+BQAHMA0FAA6NOC1EAykgAo8cix34BQAE8A4F -AOmOOA1oBIAA7kQFJyAxgAD6IcgVoAgVAPiBhh2v+7IA/iGGFeAKVQD9EToFoCtFAO00AArwBIAA -WHJDjBqNG48cKcACih76IqgV4A4FAP4iRhWgmTEA+IDGHe/wzgAA/iGGFeAKVQD9ERwFoCtFAO00 -AArwBIAAWHIzjBqNG48cix36IcgVoAkVAClEBfiBhh3gCAUA+CJGFa/v0gD+IYYV4ApVAP0RAAWg -K0UA7TQACvAEgABYciSNG4wajxwpIAEowAGLHS4SEAmINPhABh2gCAUAKEQFjuH6IcgVoAkFACkW -EuhEDCdwBQAA/oCGHa/udgD+IYYV4ApVAP0Q1gWgK0UA7TQACvAEgABYcg4YhlbTDw8CAAhYCiiC -+Now+iGIFeAMBQALgAD6IWYVoAsFAPqAZh3gCRUA6UQFKlAEgABb/qKMGo0bjxyLHfohyBWv8poA -AAAAAP4hhhXgClUA/RCmBaArRQDtNAAK8ASAAFhx9RiGPQhYCiiC+Now+iGIFeAMBQALgAD4IggV -4AsFAPqAZh3gDBUALEQFiZHqFgskyAUAAOlEBCpQBIAAW/6HjBqNG48cix36IcgVr/DmAP4hhhXg -ClUA/RB0BaArRQDtNAAK8ASAAFhx2hiGIw8CAA8CAAhYCiiC+AM6AvohiBXgDBUAC4AA+iFmFaAJ -FQDpRAMqUASAAFv+eIwajRuPHIsd+iHIFa/vegAAAP4hhhXgClUA/RBGBaArRQDtNAAK8ASAAFhx -wypAAvFXMA3gCQUACQtH6UQFJYBJgADAwSxEDCoSFIseLkAKL0AJAVwKLUAIjMAvRAL8AAAG8O4B -AOruEQ7uQoAADt0CLSQCWGtmjBqNGy4SEI8cix2O4fohyBWgCQUA+CJGFeAIBQDoRAcncAUAAP6A -hh2v54IAAChAAmSBCciRZenoiB8ogCPoFgYkC0mAAIgfKIAiZIFcwJP4IsYV7+c6AAB45y14lyr4 -gNAVoJ4xAOgWCCdkHIAAZIHD6RYHJI2RgACIGIkXmh6bHfkgC5QiAJ0AiRnqFg4k0fGAACjAApoe -8R/RjhIAnQApQAaaHvohphXgjjEA+T/Q5SIAnQAYhcydGwhYCiiC/OwWCi/QBIAAmhwLgACMGo0b -jxyLHfjgaB3gDpUACuk4+CLGFe/npgDaMPoAQh3gDAUAWDv22jD6AEId4AwVAFg78yISFvJfIBWg -DxUAAvI50Q/aMPoAYh3gDAUAWDvsIhIW8l8gFaAIFQACgjnRDypACcCB+wIADL/6IgAAwIL4IsYV -r+N6AAAAAAAAAOoWCypQBIAAW/4EjBqNG48cix36IcgVr+x+AAAAAAAA6hYLKlAEgABb/fyMGo0b -jxyLHfohyBWv6/4AAAAAAADqFgsqUASAAFv99IwajRuPHIsd+iHIFa/rfgAAAMiRZehxiBbIhogf -KIAiZIDryJFl6GCIFukWBSQFGYAAKEAL6RYFJATBgADpFgUnBAGAACkgASjAAQmINCkgAHiRfMCF -+CLGFa/gvgAAGIV7nRsIWAoogvzsFgov0ASAAJocC4AAjBqNG48c6xINJQFhgADAmvgixhXv4qoA -iBjqFg4sf4YAAGP+RAD6IcYVoAm1APgixhXv4jIALiAC+iHIFaCOOQD4ISYVr/jWAACIHylABCiB -Evk/+8QiAJ0AiRXIlvvfvYCSAJ0AiBbpFgUkAjmAAClAC8ucyu8oIAEpwAEImTQoIACYFHmJKinA -AHiRJMCW+CLGFe/d+gAoQAtljw3AlPgixhXv3boAiR8oQAQpkRJ5gcOIFciG+9+6uJIAnQCJFvs/ -umgSAJ0AKEAL+x+6EBIAnQDK7ykgASjAAQmINCkgAJkU+T+5VSIAnQDpwAAswASAAPkvAAywCIUA -CYc49iLGFe/cPgCIHylABCiBEvk/t/0iAJ0AY/+7bBAK5zIBKSgEgAAmURIoUDXkUCEpkASAAPxA -QCWgAwUA7cAXJAC5gAApUEnTD/EgoA3gChUAK1BdC6M5GoWbLqJ+K1AiKaKBre7oIAwvdkKAAK6Z -iZcvIACfGYmemBgvIAHuIA0kyAsAAOmQVSZiYQAA5FQgIgUJgACUE58XnhabFOkWAiIMuQAAnBX0 -gAjhEgCdAPSACtGSAJ0A9IAL4hIAnQD0gA4ikgCdAPSADyMSAJ0A4hIDIgzlAABvRQJvQhloRXNo -RhMiVCHyX+AVoAkVAAKSOdEPAAAAAPgAIh2gCRUA+KQmHeACBQACgjnRD3Z5AmQyJIoSixRkodXx -ZaAN4AwVAMDT/KQmHeACJQACwjnRDwAAwKX9DfgFoCtFAFhwnf4AIh2gDxUA/qQmHeACBQAC4jnR -D4oQiRHAgfsvAAywAmUA+QIACXAIFQDiVCEhE/0AAAKCOdEPdnkCZD9lixJksXyMFGTBgnZ5AmQ/ -VY0SZN9QjhRl70uJF4gWjxkJiDT5//n9IgCdAI0Y/e8ADnALVQD9YgAKMAoVAORUISIT/QAAAqI5 -0Q/Apf0NsAWgK0UAWHB4iiEfhTsZhK/oEgUlUAUAAJohKZKNKIB/L/KBqYgJiBGo/4/3j/4v/QL/ -8AAV4A4VAP/6xh2v+xoAwKX9DZAFoCtFAFhwZsDQnSGdIi1VEiwgAfxABh2gCxUA+qRmHe/6dgAA -wKX9DXwFoCtFAFhwXB+FH4gVKyABKiANGYSSmhYLqjQqJAApko0ogH8v8oGpiAmIEaj/j/eP/v/g -QCXgDhUA6xYHJ/oBAAD/+sYdr/k2AADApf0NVgWgK0UAWHBIjyX+okQd4A4VAC5UI4wkjSKdEPwg -JhWv+JIAwKX9DUQFoCtFAFhwPhmFARuEdowkihWcIiuyjSqgfymSgauqCaoRqpmJl4meKZ0C+TAA -FeAIFQD5OsYdr/eSAPb/9DwiAJ0AY/3oAAAAAAD2//Q8IgCdAGP92I8XjhaNGQ/uNP+/84QiAJ0A -+AAiHeAIRQD4pCYdoAI1AAKSOZgT0Q8AAAAAAPoAIh2gCSUA6VQhLRAEgAACojnRDwAAAGwQCich -EiggNRWE2vhgKBXgBgUA6RYJJADBgAAqIEkPAgDxQKAN4AsVACwgXQy2OexSfiHYCwAALbAXKiAi -KVKBrczuMAEuZkKAAKyZiZcvMAAkICGJnigwDOgWCCXaYQAA7DANJMgLAADpkFUqKASAAOQkICID -sYAAnxeeFpwVmhPpFgIiDNEAAOsWBCgECoAA9IAE6RIAnQD0gAbZkgCdAPSAB+oSAJ0A9IAKKpIA -nQD0gAsrEgCdAIkZaEFCb0UCb0IzaEVUaEYt5SQhIoxlAAAkCgDaIORMASnYBIAAW/76yKFqRu3A -INEPwKX9DHQFoCtFAFhv2///OA2gBRUAAHeZAmRhr4oS6xIDJQppgABksVL//sgNoAU1AI4QjRHA -wf+vAA6wBWUA/YIACv/+cgDApf0MUgWgK0UAWG/JizEYhIwahADpEgQl2AUAAJsxKqKNKZB/KIKB -qpkJmRGpiIiHiI4ojQL5EAAVoA8VAP8axh3v/RYAwKX9DDIFoCtFAFhvuMDgnjGeMi4lEi0wAfxg -Bh3gDBUA/ERmHa/8cgAAwKX9DB4FoCtFAFhvrRiEcYkULDABKzANGoPjmxUMuzQrNAAqoo0pkH8o -goGqmQmZEamIiIeIjvkAQCWgDxUA7BYGJEIBAAD/GsYd7/syAADApf0L+AWgK0UAWG+ZiDX4QkQd -oA8VAC8kI400jjKeEPwgJhXv+o4AwKX9C+YFoCtFAFhvjxqEUxyDx400ixSdMizCjSuwfyqigay7 -CbsRq6qKp4quKq0C+1AAFaAJFQD5WsYd7/mOAI4Z99/zVWIAnQBgAASPGXf5AmRuWogSZIBKiRNk -kFKKGXepAmRuSIsSZL5DjBNlzj6PFo4VjRcP7jT/v/GVIgCdAIoYwIXq2QwKKASAAPkCAAr/+HoA -AAAAAAAAAP/4PA2gBSUAixn3f/AtYgCdAGP/sY4WjRWMFw7dNH3BoP/3uA2gBUUAAAAAbBAGH4OY -LC0CJ8AX4vKNKTAEgAAv8pD2QABBcA0VAOrAFSkWQoAA8+AAR7AIlQDszJAn8MEAAOf8RCeRYQAA -+KAKnCALBQD8o8AE0Am1AHlRZvSgBfUQHqUAKmKBsarqZoEtkASAANEPFIWo6m0BKZAEgAD7WYAV -oBhVANMPbYoVJyAAJaBX6qwBIRAFAAD04A2NYgCdACnwPSrwPujyECSJWYAA9SAHiJIAnQD9wKYd -4AIFANEPAADbMOIwACNQBwAA+1mAFaAMJQDTD23KFS+wACygg+qsASXYBQAA/eAOVSIAnQD0ACId -oA4FAOyFiBtQBIAA/KBoHeCyOQDrdA8r2ASAAFv7h/Tgph2gAgUA0Q8AsEv7wZYN4Ak1AAm5LGAA -AwAAwJgJDkeeEO7EhSNYBwAA7jQABdsxAABtSRUn4AAvsKDrvAEncAUAAP7gBVViAJ0A+iAIFeAP -BQDA4PogZhWgBxUALBIDLyQPDwIA7LwMC1AEgADsfjkK6ASAAOyFZBlYBIAAW/tm9kCmHeACBQDR -D8Ag0Q/Io4iBZY8LnhKP5J4SiPKY8PvAiBXgDQUALeQNKsCHi7ALQACOEsDR/cCmHeACBQDRD2Su -3Y/kivFkrtaeEprw+8CIFeANFQAt5A0qwIeLsAtAAI4SwNH9wKYd4AIFANEPAPfg1g3v/vUA3tCL -EPwgJhWgDwUA6hYDJ3pRgADAceptASnYBIAA6qxsKmAEgABYaIqLEf4AAh3gDhUA+3CwFe/8tgAA -AAAAAPag1g3v+vUA2tDsFgElcimAAAM7Au4WAiNQBwAA+0RgFaAcVQBYaHqOEingDYwRKuAO/zUg -DeANFQD5P/FY0gCdAO/iBCV42YAAi/FkvxEr9gAqwIcLQACOEsDR/cCmHeACBQDRDwAA/4DWDe/+ -9QDe0GTuLMBB6m0BKdgEgAD7SeAVoAwlAFhoYv/4cA2gDhUAAABsEAr7BtoFoAsFAOsWByFhmQAA -7BYCIWjhAADtFgMhcEEAAO4WBCFoCwAALtAX7KJ+JuphAACdGOeigSFYBwAA7swIBdqZAADrFgEu -ZkKAAPzgAEOwCQUAKXQ7+OnmHeAIFQDpdGMssASAAPjkRh2gAhYAAMHE9YCABnALBQD/n+AV4AgV -AOh0OyeEbQAAbcoTo76ivS3QOC7gArG7/cASXWIAnQDAkMqfGoK4iRgYg0Iqoo0pkH8ogoGqmQmZ -EamIiIeIjsDx+QBAJaAOFQDuFgckQgEAAC+E1aZW6FwCIzAJAAD4YABBv2YBAPTACOKiAJ0A2hD6 -YGgd4AwlAFhoHyURANMPBZlG9SAFgJhVAQD1P/r5EgCdAGiTZ2mUuvwBAh3gPEUA9YCABnAJFQD4 -7GYd4AhlAOkSCCL78QAACP8s/eEAD/ALBQDqkH0mQ/0AAO+UfSQEbQAAbcoTo76ivS3Qpi7gArG7 -/cAN/WIAnQAPCUf5TwAM8A4VAPnNAAz//J4AwMb1gIAGcAsVAOt0TyZT/QAA/UNgAFALBQBtyhOj -vqK9LdBmLuACsbv9wArdYgCdAP/7uA2gCQUAihQvMAKIJYkkmRaYFS8kDO4wAyHYEQAA/kGmHaAM -hQBYZ+eLFookjRWMJftDHg3gDwUA/YIeDeAOBQD+5EYdr/t6AAAAAAD+5EYd7/qGAChwNfEBEA3g -BgUAKXBJyJYrcF3AoQumOep0AAlYBIAAW/4F9OYAFaAFBQDqdAAJWASAAOxEAAroBIAAW/rK8UHg -DeADBQCxM+p0AAlYBIAA7EQACugEgABb+sLIoWo25bFV5EwUKp8UAAAscDXA0O10IyYCMYAALnBJ -ZOBUL3BdZPBUy2WLGBqCPhmCyCuwfyqijSmSgauqCaoRqpmJl4meKZ0CKZyAKJDVyoDyIOgVoAwF -ACyU1tEPZG/IihjAsftP8BWgDAUAWDh3Y/+2ghfRD2Vv5mP/rGVv4GP/pgAA/6DWDa/79QDAsWS9 -q+oSAyHYCQAAWGee//Z8DaAJFQD/oNYNr/v1AMCxZL6b6hICIdgJAABYZ5b/9fwNoAkVAP+g1g2v -+/UAwLFkvjfqEgEh2AkAAFhnjv/1fA2gCRUAbBAIGIQ8GYQ8+IYmDaGaBQD4hH4N4AulAPVh1g3g -DbUAwMn8oAXKoBlVAPygBxxiAJ0AwCDRDwDAINEPAADAQPRAQCXgBxUA9LIAFeACBQAqHBD6YGgd -4AwlAFhndSoRCAqZRuCQBASNYQAAa5Uo4JAEBJSXgAAECBvgkQQIBAqAAPMABJfSAJ0AAHgaCEQC -8AAwDadEAQApUh+xmSlWHwoISKKC6IwCIRAJAAD4YABBvyIBAHYjl8Ag0Q8AAAAA+yAEANC0nQDg -eBoF/WaAAAhEAv//JA2nRAEAAAAAAAD43/p8YgCdAN1A7IQEGvAEgADqKAgLeASAAPkQ8BWgK0UA -+CAGFaAKVQBYbZQi+rnRD2li0mP/GilSHrGZ+KPGFeAAMgAqUh2xqipWHfyw8BXgClUA/QfkBaAr -RQBYbYci+rnRDwAAAGwQCIgrJCAH+EcwFeAKRQD/AYABEUQBAPsmAA0wABoA2pD9B8wFoDsFAO8i -AiloBIAA/kAIFad6AQD2IAYV4ApVAFhtdB6D3/0HvAXnVQEA6iIAIpQZAACDM4vQFoIOHIIO7qoC -BdgFAADr1gAqTwKAAPSABfoSAJ0Appkvkp7TD/fgCplSAJ0ALZKdDE4KLuK/Dt0BZNDaH4Gk7wAF -DsgEgAAJAmEJAmEbgVofgf4eg8YsMREpMRAYgfqY0A6qAugiACvyAoAADpkC/6BGFeAeBQCe0yzV -DPughhWgByUA65kCBtBpAADp1gUsRgKAAOeIAgHY8QAA+aAmFaAMZQBYZvkMSBGmiPcTphXvjwUA -7zQnIpR1AACKJ/oAIh3gDAUA+0QAFaANFQBbLRvSoNEPwCDRDx6BxYvomhT3YAXAkgCdAAxJEaaZ -LZKeDE8KL/K/96AGEVIAnQAtkp0P3QHk0LZlw/0AAPnBBhWv/KYAZd8kiSJlkGfqJAACWGEAAPwA -Ah2gDSUAWzaiaVKiiifAsPtEABWgDBUAWzGjHYJVnaCMIBuDivNAZhXgDRUA66YCLmYCgAD9hgAO -cDsFAOymASnoBIAA/QcEBaAKVQBYbRHAINEPAAD/+uQNoA0FAPxACBXgClUA/Qb2BaA7BQBYbQjA -INEPAMCgWGmkHoGTi+iKFByBpfl/+ciSAJ0A//10DaANBQAAwNDA+g+/NP/BBhXv/TYAAGwQBBiD -a/QAAh3gBgUA+Q/oFaQDBQAPAgDTD9MPbToT5CANYzAFAACHg6V3KXAAyJUlXCjAINEPiXJymfPS -cNEPAAAAbBAGZDBYAzoCW//sF4GrZaEBJnKHimEooQJkgE5bKH6LYxyBNAqpCguZC+qVASSB6YAA -G4EWLMB9K7KHKnKBJnKH6RYALd5CgADrqggGfICAACeiJ4d+9uHoFeAAagDAov0GhgWgOwUAWGzP -0Q8noqeHfod/imIooQJkj+5bKGaIZAepCAqmCwhmC/jCBB3gCwUAK2YA62YBI36RgAApEgArlAD6 -xMYd4AxlAOOWAiTQcQAA42YEKlgEgABYZm+SbOVlESpYBIAA7SA5I1DxAAD8xQYd4AxlAFhmaOok -AAtYBIAA//BCHaAMRQD+xOYdoA0lAFv/J+5hECtoBIAA/sIkFeAKVQD9BjYFoDsFAFhsptEPwKX9 -BjAFoDsFAFhsotEPAGwQBtog8iAGFeA7pQDsgRsbGASAAFrDNOakAAUBoYAAZDANAzsC+0WAFaAM -ZQBYZkrIe9tw+sZAFaAMZQBYZkaUaZVqiRCZaIgiyIrAINEPwCzRDwAAAADqJAALWASAAPwBQh2g -DTUAWsLhwCDRDwBsEAQiIqrAQeMlDAEAYYAAwCAFQjjRDwDAINEPbBAKLkAQL0AR6UAsKdAEgADo -QCovdgKAAO/uAgpYBIAA9cAAQrAGFQD5AA/pX//1AMCE0w9tihUtsC4soFzqrAEl2AUAAP2gD5Ui -AJ0AKyIA67w6KlAEgABtmRUtsAAsoEbqrAEl2AUAAP2gDfUiAJ0AjSD9BawFoApVAP/f4CWgOwUA -7dIAJ3OZAABYbFoqPGj6h0AV4AxFAFhmDOc8cCIgBwAA5jxgIiBpAAD0gAvK4gCdAOYWBSH5kQAA -5xYGIcHRAADoFgchybEAAPghBhXgNzUA6IK+EcgHAADvFgMky0kAAPggJhXgFhUA6BYCJEDBAAD4 -IIYVoADqAAAAAAAAAAD3YAU8YDpVAPtp5g2gPGUAfLkMihP6gEAV4AxFAFhl6i1AAbLdrUT0gAfa -4gCdACtAAPVgBwCSAJ0A9WAGQZIAnQD1YAWDEgCdAHa5siowF/Ff/mYSAJ0AYABfjBQvMhWNIC5A -Av4hJhWgClUA/aAIFeA7BQBYbB+JGWiSF2mVny4yFfnABPnSAJ0AwPX+YqYV7/4+ACgyFfkABFFS -AJ0AwJT4YqYV7/3qAACKFfqAQBXgDEUAWGXEY/9kKzTQLkABLjTRLEABLRoADcw2+iAoFafMAQDs -FgAiWAkAAFhluvwgSBWgClUA/CAIFeA7BQBYbABj/ymKFvqAQBXgDEUAWGWxY/8Zihf6gEAV4AxF -AFhlrWP/CYoY+oBAFeAMRQBYZalj/vnRD33DAd9gZf/1Y/46AAAAAADs0wZ/0ASAANpgZa/gY/4B -bBAEijTzAEQFo5qBAP8g4Adf+LUACJkBKCJ9IiKQqYgJiBHoIggFJeaAAIQnhE4oQhn3AAeQkgCd -AP0EpgWgClUA/IMoFeA7BQBYa9goMEYpMEcbgk7qPQEsRgKAAPkGAAxw+UUA7wIABVLhAAD5AAQD -YgCdACkKBG2aDyygfi2wgLG77Nl4dVAFAADaQOtMECHggQAAW/9HwCDRDwAAAP0EeAWgClUA/GFE -FeA7BQDuIhApeASAAFhrvCoiEMDh/gACHeANBQDxQsAN4AkFACsxCiyhG/1gAAY7uwEADLsMC6k4 -Ce84D+046ZI5DvnuAADAINEPAAD//6ANoAkFAP2A1g3v+vUAwKFkr378QAgV4ApVAP0EPgWgOwUA -WGujwCDRDwAAAAD8QAgV4ApVAP0ENAWgOwUAWGucwCDRDwBsEAQiIhDwQPAN61MBACQhGwQES3VJ -AdEPwCDRDwAAAGwQBIQqgkpkIEX//+IdoA8VAPwIQh3gCgUA8ABADaAINQCCKMCg8EJgDeAINQBt -ihOjrKKrK7BOLMAgsar7gAb9YgCdACwgBdMPfcHUZSBZG4DzGYD3EoALHoEgGH/FhUeNQCiC2IVe -7t0CClAEgAD8VgYV4AxFAPhWJhXgDVUAC4AAKSKxCYlHZJBNKlA6K1A7CKoRC6oCsar6p2YdqKod -APqnRh2gAgUAKixO+mQAFeAMNQBYZRorTEj6SiAVoAw1AFhlF4oniq4rPAT7TwAVoAxlAFhlEtEP -KCK6EoDGGYCeIiJ/CYgB7oEAHEZCgACoIowsHYAyDswBDcwCnCwrUG4sUG8IuxEMuwKxu/qt5h3o -ux0A+q3GHe/+QgAAAOyzBn9QBIAA2vBlrvRj/xRsEAQoIGr6QGgdoAsVAPwAAh2gKUUA6SQFJEAF -AAD4TUYdoA0lAFsI9NEPAGwQBNMPbUoPJyAAJDAAsTPkeQhxEAUAAMAg0Q/04RYNr/L1AMAh0Q/R -DwAAbBAELQoB/IogAt/89QAbgakqIFP/YMAVoAkFAHqRKNKw9AACHaAFZQBtWhEnIH6jSCiABrFE -54l2cRAFAADAIdEPAAAAAAAA6ZwBJdjBAADu7DAspyAAAGAASyogUxeBlvgAAh3gHsUAepEwJHJ/ -ykorQATAIP9j3g2gD2UAbfoRoyukKCiAQCuwBui5OXEQBQAAwCHRDwCESWVP07GZ53wwLKcYAADA -INEPAAAAAADocwZ+EASAANLQZS+KwCHRDwAAAAAAAADrgwZ+EASAANLQZS/CY//kbBAGJCAm4oF2 -GSgEgADTDwRECQxEEQQiCCIif9MP8EJwDeAUxQADCkttCAkoIAR0gQaCKckhY//vKSEZCQlLeanv -yCNgAqkAABZ/qypijCuhAmSxEFsmgSJihxt/HR5/cRx/Jyeye5wTje+qd+wABQu+QoAApyLnLCAm -6AUAAO3mDyvIBIAACQJhCQJhCQJhCQJhCQJhCQJhKlEHLVAHLlAWKVANLFAmKFAMH4A+DMYJ673m -KzcCgACmu6b/LCRTKCQMKSQN+kDkHaAGFQDmJAQhUWEAAJoSFoBAL/IgLyYVLiQWLSQHlSjsJFMl -2IMAAOyBPBXaaQAA/ELEHaAMZQBYZG8af0gPAgCKqyuhAmSwElsmUht/Qw8CAIu8AqwRrLsrJhgq -UCYZgB8KqgkMqhGqmSySJGTCHonJyJ5tCAnpkgks4ASAAMiRY//vksnAwJwpLCUX/EFGFaArRQDr -JAUpAEYAAMAg0Q8bfqgpIFsoIFwvIF0vJGooJGkpJGguUCYdgAieEQ7uCeQkBC93AoAArt3t0H8h -UOEAAJoQrrvmuwgO7MKAAA09AvxDJB3gDGUAWGRDjy+OLgBEBP/LAA90Ph0A8kHGFeBfBQAvJDgv -EgH+QeYVoAMFAPJH5h3n7kEAD+4CLiQ+I1AmG36IAz0J/6AAFrAMZQDtuwgBISEAAOa7CApQBIAA -WGQtKCITLyIS+k0QFeAOBQD+SEYdoA3lAPxIBh3v/NUALCRB+khmHeIAPQD56wAPtK8dAComEvpN -MBWgWQUAKSRI/kJmFe+IBQAoJE8pIGr6SIYdp/9BAAP/Ai8kTukkRSlQBIAAWAIn46QADQPmAADA -ov0BtAWgOyUAWGpZiicqrBBbLEyPJ4sT/+QAFa/IBQD5wAQHMA0FAO31FCdxAQAAnvj/4SYVoCxF -ACwkBZ0qnSkLAIcHAmEHAmEHAmEHAmEHAmEHAmEafwmLIC6icvtRiBWgDAUA/28ADbANFQBbKafA -INEP0Q8qrEj6TQAV4Aw1AFhj8OUSACpYBIAA+mgAFaAMhQBYY+vbUPpnABWgDIUAWGPo5BICIdGx -AAD6SAAV4AxlAFhj49tA+m5AFaAMZQBYY+DCy/xgph2gK0UA6yQFKVAEgABbtuXRD/MkhhWv984A -bBAEEoCfAzUJDFURpSIiIn/TD8oh8gOCHetEAQBtCAkmIARzYQaCKcgsY//vKCEZCAhLeEnv0Q/A -INEPbBAIJSA0KCA1LSAzCFUR6FUCARDhAAD5oAdxkgCdAPegBzCSAJ0A8LAgDeAEBQAoPCDoFgIh -yGEAAOkWASHQKQAA6hYAIbhBAADnFgMhsBEAAPYghhWgB/UA8ABcDaAGtQAAAAArIAECsgqktPSA -BeLiAJ0ALSAAaNIcaNQpaNY2dtFId9HdwKL9AOIFoDslAFhp78Yq0Q+KFPpAQBXgDGUAWGOgYABL -ihP6QIAV4AxlAFhjnGAAOywgAi0gAwjMEQ3MAvxgBB2v/nYAAIoQ+kBAFeAMZQBYY5OKEfpBgBXg -DIUAWGOPihL6QSAV4Aw1AFhjjC0gAQLSCqTU9J/7q+IAnQBgACUAAGvVB/m/+LmSAJ0A9b/4epIA -nQDAov0AmgWgOyUAWGnKxirRD8Ag0Q8AAABsEBoYfrMIORH4IAYV4BlVAOgAFQDAIQAAbZoCCACK -5H8KENCFAAD0IEYVoA61APxACBXj//UA/iGEHeAEBQD0I2YdoAYVAOYUIC7uAoAA7t0CAVkhAAD8 -IGYV4Aw1AFhjZCQUOSQUOiQUOygQAiwQAfwgEBXgDjUA7hQ4IMiVAADtlAAg0PEAAOyUASFZUQAA -+SBGHaAcBQBYY1UqHEz6SAAV4AyFAFhjUiocVPpHABXgDIUAWGNOKhx8+kyAFeAMhQBYY0sbfwkV -fiIdfw0afzcYfdskFFwkFGwkFIz2KIQdoP71AP4oRB2kHAUALBVB/CQkHaApBQApFDwpFD2JII4n -KILYCpkC4uIOKVAEgAD8tiYV4AxFAPi2BhXgDVUAC4AAL1KxD49HyfooIDopIDsIiBEJiAKxiPhH -Zh2oiB0AKCQ60Q8AHH8dHX8bG38dGX61FH7dKFK6H3/yJEJ/CYgB7z8MDB5CgADygABB8A4VAPph -iBWgBAUAD+Q4BNs5DKoBC6oCmjwpIG4qIG8ImREKmQKxmfhN5h3omR0A6SRuKYA+AADRDwAqPE76 -IGgd4Aw1AFhjDuscCCnQBIAA/AFiHaANJQBYBQH6YLAVoDkVAPpmBh2gSBUABJg5KDQF0Q8AbBAM -GH16G32F/EGQFeAOBQCeEJ4RnhKeE54UnhWeFp4XnhieGZ4anhsssoeeHCuykK3M7hYNLmZCgACs -uyqxKZ4enh94oRQtICYffqkN3AkMzBEPzwgv8H1//wfRDwAAAAAAAO99iR2QBIAABVI5iCCfEv77 -DgXgCUUA7xYGLEYCgAAJiAKYERl9gZkQKLAHCAhBAIgRCKoCD6oC+iCGFaAPNQAJ6jCZFRt/pxh/ -pp4Xq8sI2AKYGI4wnxkmFC2eGu1CACDQ6QAA/CGmFeAMZQBYYs7qJAAI2ASAAPwAgh2gDSUAWyTs -0Q8AAABsEAoqICYWfl/TDwqoCQyIEahm4yAHI0AHAAAogPn6QtAV4Pn1APbP6BWgBwUA8QASd1Ez -AQB5sRMFDEf9n8AVoAgVAAyMOVsyH2Si2hV9n+R9oBnPAoAA9GARShIAnQClmS2SnvegFbrSAJ0A -KpKdBDQKJEK/BKQBZEI7GH056AAFCkgEgAAJAmEJAmEJAmEJAmEJAmEcfZEYfY/+QOQV5pYBAPYH -AAUytkEA6LsRDVVCgAD7RgANev8BAOqZAg//AoAACP8Cn0COIPj6ngWgPcUA/IBmFeAPVQDsRgIv -dgKAAA/uAp5BHn9eLyAmJ0YSJ0YRJ0YQl0+XTpdNl0yXS5dKl0mXSCdFCu3hAi/8AoAACf8CjuAI -/wIYfeKfRPiAxhWgL8UA70ULINhhAADutgAiUIEAAP1gRB3gDGUAWGJ4LCAmG3zJDMwJ5n47HmcC -gACsu+pMJiXYgwAA+3NAFeAMZQBYYm76/LYFoAsVACtEM/qFph2giZUA+IWGHeAYBQD4hcYdoA0l -AC1EOPyHJh3gD0UA/oYmHeAOVQD+hqYdoA8FAP6GBh3gDgUALkQ0LCAmG3yuDMwJDMwR7LsIAlDp -AAD3YABFsAxlAFhiVMDk/ogGHaANNQAtREEsICYbfKOcGgzMCQzMEey7CADQgQAA92AARbAMZQBY -YkmIGY8YAEQE+esAD7SfHQD4IQYV4FgFACgUIPghSBWgBlUA7xYJIlERAAD2JOYd5/9BAOj/AgDY -gQAA/iTGHeAMhQBYYjeLJww8EfWAAEZ/ygUA5sadJdiBAAAKugHntQQlUQEAAJqwmrErIBYpCv95 -sQ/6RNAVoCzFAFsxZ8Ag0Q8AwCDRDwAbfP+KuPdABcCSAJ0ADDkRpZktkp73oAYS0gCdACySnQQ0 -CiRCvwTEAWRAsLComLhlTcOJImSQSh9+Bv5ACBWgCKUAmBKfEP3AABcwDxUAD+4CnhGOJ/vEABWv -zQUADa0B5+UUJukBAADt5gko2ASAAP3BBhXgDBUAWyzYwCDRDwAAAOokAAHYYQAA/AAiHaANVQBb -Mcxj/54AAP/1VA2gBAUAiSJln48rICb6QGgdoAwVAPtiQBXgDQUAWzHCY/92wKBYZN4bfM6KuPlf -+fCSAJ0A//1kDaAEBQDAQMDKDKw0/WEGFa/9KgAAbBAk4iIKKRgEgAAkCgDwU2AN4CnVACggBfkA -FBxiAJ0AKjAE7H0bEMFBAAD6A4Id7g0FAPtAEORgGVUAJBYTDACJLRYSbZoCCACK7n1vEOExAAAv -wALrwAEgwSEAAC2AAiOAAS4WFI4gJBRjJBSBJBSCJBSDKIAA/YAQFaAJRQD4MAYd4/r1ACoVMOkU -aCDRpQAAK6QB/0BGHeAJtQDspAAvdgKAAOnuAgD5tQAA4/QBIVlRAAD94EYd4BwFAO4WFSDR/QAA -6PQAJVAVAABYYbjrLEAg0f0AAPtCoBWgDIUAWGGz6yw4INH9AAD7Q6AVoAyFAFhhrussZCDR/QAA -+0igFaAMhQBYYaokFKQkFLQkFNQYe+74KIQdoC8FAC8UhC8UhY4o6xxQKVAEgAD/wyQVoAy1AP5F -JB2gDSUAWAOUwpspJAXRDxt9WRp8KeoABQ3IBIAACQJhCQJhCQJhCQJhHX5kiTAYfCYSfGoefVQo -gtcuJrEkJrLkJrMp0ASAAP0mAAzwDEUA+FYGFeANVQALgAApIrEJiUfJkos3i74qsE+xqvtp5h2g -AgUA0Q8AJSKyEn01Fn0MIiJ/BlUB530VGq5CgAClIiggfCYhKfpH5BWgCRUAmRSZHJQYlBmUGpQd -mBWaGwiICep7zhxHAoAAqHflcn8juAsAACdxBHphBRp8ggpqApoX9MAABnLlQQD9yAAXMNU5AO7M -Ag7sAoAA7cwCANkBAADsFgYg0EEAAFsi08mvwKX8/F4FoDslAFhnpsCl/PxaBaA7JQBYZ6Nj/czR -DwAAHH4q/CIIFeAKVQD+IigVoDslAFhnnN1g7H4lGvAEgAD+T5AV4ApVAPYgBhXgOyUAWGeUKBIR -KCYTLxIQ/koGHe/2JgAAAAAA/EAIFeAKVQD8/DAFoDslAFhnisAg0Q8AbBAI5RYCK8gEgADiFgEi -BHGAAPr5oAXgCgUA+CAGFe/n9QDyIIYVr/W1APL5lgWv/9UA0w9tCB8pMAAoMAHqiggEjTkAAGiS -OWiUK2iVGGiaCAODCnSrQmP/1wK7AfIghhXv/8IAAAAm+t8GuwH2YGgdr/+CAAAAB7sB/GBoHa// -TgAFuwH8YGgd7/8iAAAAD7sB/mBoHa/+7gDIssAh0Q+IESiAJhJ93AiICQyIEagiIiJ/ZCFu88Bw -FeALZQDwADANoA4FAAAAAIIpZCFWjyf2AAId4AUFAP/hyBXgBAUA/iBmFeAPBQBtuhOt66LqKqBA -K7ACse77YA7lIgCdAP4AAh2gC4UA0w9tuhOs66LqKqA4K7AEse77YA1dIgCdAP4AAh2gC4UAbboT -puui6iqgSCuwCLHu+2AL5SIAnQD6AAIdoAs1ANMPbboTpq6iqyuwUC7gBbGq+8AMXWIAnQAuIDQP -AgAPAgBz4QIjJDT+4AAEcA4FAP8U7A3gC2UABAlHZZ9DBQpHyKwqLEj6wQAV4AyFAFhg2AcLR8m1 -tWv6SgAVoAw1AFhg040TLNElscws1SWNFC3QA3/fdosUKrAELbAF7LAGLVYCgAANqgLrsActVgKA -AAyqAu4gNi1WAoAA+0YADXPrhQALqix64SaLEuokNiX5mIAAjRMs0STuEgAmYAUAACzVJI0g/cAG -FeACBQDRDwCOEI0g/cAGFeACBQDRD4IQx//+QAYV4AIFANEPiicqrBBbKO/AwCwkNowTK8BO7hIA -JdgFAAArxE6NIP3ABhXgAgUA0Q+KJyqsEFso5SggNhR7RoMn0w8IRCgPAgDqRAABmEEAAFq3lO16 -5RpgBIAA7n1zHVgEgADvIgAp0ASAAFq3SYMnDwIAIzwQ2jBatyb5X/p40gCdAGAARAAAAAAA+1/0 -I+/19QD/+fANoAUVAPtf8qvv9PUA//k0DaAEFQD7X/Ej7//1AP/4cA2gDxUA/3/zq6/39QD/+bQN -oAcVANowWrcuE3sjC6gR6DMIBQHJgAAM6jArMoWLsLCj7LsICdAEgABYaDwcezkqwn/6YAQA0AsV -AAC7GguqAirGf1hocWP+vQAAAPoA4h2gCxUAWyl+LDJ//HBmFa/6pgAAAGwQCiwgBSggBCogUyYW -Cvz3+gXgK4UA6q8JCvAEgADlIAcv/wKAAO/dCAkgBIAA/a/oFeAGBQD1ABqokVUBAPuAGrxgKFUA -+YAafCD59QArIBb5ZIYN4AwFAPwhZhXnzgEA/Z/AFaAJFQAMnDlbL7XAwO0SCyUb+YAAF3s043s0 -Gs8CgAD0oBRSEgCdAKeZLpKe98AaktIAnQAqkp0DUwojMr8DowFkMpwYes0eeysfeyvoAAUJyASA -AAkCYQkCYQkCYQkCYQkCYSshBwsLSuohGS3fAoAADrsCmzD4QAgV4u1BAP3AABcwSAUA/mBGFeAL -VQDoNgMszgKAAPsmAAz2vQEADrsCmTEoQCYsNQqcOJw5nDqcO5w8LDYNLDYOLDYP/GIGFaA/BQD8 -YiYVrZodAPxiRhWg7TkA7DYTL3VCgADvNQsszQKAAA6ZAguZAut8ABxEAoAACYgC6XrLHVUCgAAL -qgIqNgbpiAIB0IEAAOg2BCMRWYAA+sgAFeAMZQBYYAcsQCYbelkMzAnme8oeZwKAAKy76jwmJdiD -AAD7c0AV4AxlAFhf/h576ht6Q/pm5h3gCgUA+maGHaAYBQD4ZcYdoI+VAC80LP5lph2gDSUALTQ5 -/GcGHeAJFQApNDP4ZiYd4IwFAPxmxh2gDGUA/GamHaAJBQApNDAtQCYbejsN3QkM3RGtu+a7CAHQ -6QAAWF/jwOP+aCYdoA1FAC00QCRAJht6MQRMCQzMEey7CADQgQAA92AARbAMZQBYX9iIGY8Y8lho -HaAGZQD56wAPtJ8dAPghBhXgWAUA6BQgIdERAAD+ISYV4AgFAPgk5h2n/0EA5P8CANiBAAD+JMYd -4AyFAFhfxvZphh2gDxUALzRNjScYfIuN3vhp5h2gDoUALjROj9CO0SzRGS/8NO/WACdwBQAA7tYB -JmAFAAD9oyQdoAQFAAxbEfdgAEXwClUAKradKyAWKQr/ebEK+kpwFaA8BQBbLuyIJ/oFAh2vyQUA -6iQFJBCBAAAJIgHkhRQhEQEAAJKJ8wEGFaACBQDRDwAbenyKuPdAB+CSAJ0ADFkRp5kukp73wAhy -0gCdAC6SnQNTCiMyvwPjAWQw/LComLhlPWKJImSQfB97g44giBqYEp8Q/cAAFzAPFQAP7gKeEY4n -+8QAFa/NBQANrQHs5RQm6QEAAO3mCSjYBIAA/cEGFeAMFQBbKlXAINEPANYg9EEIFa/ypgDAINEP -AAAefFjp4QIg6GEAACnVAv/ACBWgDGUA7tYALtgEgABYX3hj/b8AAAAA6iQAAthhAAD8ACIdoA1V -AFsvPP/9sA2gDAUAAAAAAAD/8ugNoAMFAAAAAAAAjyJl/1ArIFP6QGgdoAwVAPtiQBXgDQUAWy8v -//zcDaAMBQD8IWYV4AoFAFhiSBt6OIq4jRv5X/eQkAwFAP/8NA2gAwUAwDDA6g6uNP9hBhWv+/oA -AAAAbBAa/vXUBaALtQD49RQFrgkFAPggBhXgBQUA9CAmFeAZVQDoABUAwCEAANMPbZoCCACKLhYC -KiIA9CNmHeANRQD8JAYd4/z1AOwVDC1WAoAAC6oC6hYDKgc+AAAjFDglFDklFDolFDsvEAIrEADp -EAEgwBEAACyAAu6AASDohQAALtQBLNQCKIAADwIA6NQAIMCVAADphAEg0PEAAPsABh3gHAUA74QC -IVlRAABYXykqHEz6SAAV4AyFAFhfJiocVPpHABXgDIUAWF8iKhx8+kyAFeAMhQBYXx8lFFwlFIz0 -LYYd4CoFACoUPPonph2gWRUAeTFlG3leKxUgjCgswRnsJSkiAPmAAOscCCpQBIAA/AFiHaANJQBY -AQbRDwAAAAAAAOscCClQBIAA/AFiHaANJQBYAP/C2y0kBdEPANoQ+onAFeAMNQBYXwK0GvqKIBXg -DDUAWF7/Y/7/LhAgwPIP7gL+JAYdr/5SAGwQBNMPbUoPJyAAJDAAsTPkeQxxEAUAAMAg0Q8AAAAA -9OEWDa/y9QDAIdEP0Q8AAGwQBIQ0Gnlw8vUKBaNEgQAERAkMRBEEIgjuoochaAsAAC3QACqikCQx -Cg7dCOsify7uQoAA/UAARXAMFQBYUZvqIoMqWASAAOw8ICHpBQAAWBwEzK3Aovz3YgWgOyUAWGUh -0Q8poAX5RgYd4AtFAPtKhh3gOPUA+UCmHaCLdQBYDCPRD2wQBhh7pnhRAtEPAOokAAnYBIAA7EQA -CugEgADuZAAI+ASAAFv9hWWv3o4QF3p7/POeBeAqRQD1wAZIEAwVACPShwnoEagzjzeP/on17fIE -JPAFAACe9XnrAbHdnfQpICYJmQnsNDcszwKAAKl5KZB9/zKAB9ArZQAsMAV7wQJ6yYaNNy76wA8C -APWhyBXgL0UA7zQFJuCBAAD/gAQGMA4FAO7VFCZhAQAALNYJ7NYIJtBBAABbJt8vUR6EOrH/71Ue -IgCJgADCXShABXWBJYRJZU/0+kBoHaALBQD8AAIdoA0FAFv7y4o3iq4poRqxmSmlGtEP2kBYBSqE -SWVPyWP/0QAA+kBoHaALBQD8AAIdoA0FAFv7vxp5lSqijCuhAmS+5Vsgax15Ex55Bhx5kBl5Wi7i -eyzCh4ufqurtAAUNVkKAAOrKCAXYBQAA65YPJWiBAAANAmENAmENAmENAmENAmENAmGaESggFiwh -By0gDf5BkBWgDxUAL6QELqQMLyAHLaQNLiAmHXs0LKUHDusJ7qRTLd8CgACreyuyICumFSikFi+k -By6kU5Ko7aUWIYCJgADbMOoWASpgBIAAW7ECLCAmgxEbeK4MzAnkeTMeZwKAAAy7COo8WCXYgwAA -+3NAFeAMZQBYXlOKSy2hAmTQDFsgN45MAq8Rr+4uNhgpICYJmQkMmRGpeSqSJGSga4mpyJnpkgks -0ASAAGWf9JOp9GLkHeALBQCbOfphRhXgKkUA6jQFIe6RgACMN4zOjcWKxOY1GSbYBQAAfbsXLcEa -68YFJXAFAADuxgQm6AUAAC3FGtEPL8Eam8XqxgQn+AUAAC/FGtEPAAAAAPMkhhXv/oYAbBAGGHsG -+KEmDaAKBQDAIdEPANsw7EQACugEgADqFgAr8ASAAO8UAAlQBIAAW/ziZa/aihAbean9WkAAUCxl -AC+yXAmqEar6jqeO7i3hGLHdLeUYKaAF/SOmDaAtVQAtpAUssX//gOAPUAIFANEPAFv8I8Ag0Q8A -/UAIFeA7JQD89dAFoApVAFhkVMAh0Q8AbBASkxYvMBgtIAwrMgAceKHkMBMqUASAAOUgByrIBIAA -+iEGFaAONQAuFg8uwmcpFgf9jggVoVUBAP+gAEa3uwEA6xYULu5CgAD9gABGcG8RAOwWEyWb0YAA -8+AbP1IAnQD0gBr6EgCdACi8TCgWACeMHwdHFCggBGuGB/kAHVoSAJ0AKyAWKAr/eLEijBcqIEH8 -4AAGMAkVAOwWCyZj+QAADJw5Wy1H+0BhIBIAnQD48WoFoAylAPjxjAXgCnUA6hYQIxihgADqeMES -pUkAAAxYEQqICC6CnglaCiqiv/fAXyviAJ0AJoKdCmYBZWBpiyL7YFlQEgCdAC0SE4zS+iDIFeAO -FQAOzAKc0owY7RIHKVAEgABbKjbSoNEPAI2I0w/TD/egXqiSAJ0AGnioDFYRqmYvYp734F774gCd -ACZinQlaCiqivwpmAfrAXmgSAJ0AsNubiGRvlRx4QewABQtABIAAbXkCCAJhKCEHGXiaCAhK7RIA -LEcCgAAJiAKYYI4gH3iW72YCKVAEgADtZgMvdgKAAO5+AgnYBIAA7mYBKmAEgABa7wIuMBiPLSwg -QR14HyshIhp4NysWFxt5MSqicC3Sh+zMCQ/+QoAAqv+fGv/hCBXg7gkAnh4vFhLv8AwuZwKAAKy7 -K7J/r93rFhgu7kKAAK2q+iGmFaAMFQBYUEQtEhIoEhiN1ysSFykgBY3e/CImFeBcBQB8kQnE7n6R -BMXyLyQFLgqw+EgABTAPBQD9QAAVNpgBAPsmAAy9yx0A+EgwFaCoOQDvZQouZQKAAO5lCy1VQoAA -DKoCHHlc6pkCDEQCgAAJiALpeCcd1QKAAAyqAupmBiNQgQAAmhnpiAIBWSEAAPjAhhWgDGUAWF1j -LCBBG3e1DMwJDMwRrLvqbCYl2IMAAPtzQBXgDGUAWF1bLRISHnlH+u9ABaAMFQD8xmYdoIsFACtk -NvrG5h2gGAUA+MXGHaCPlQAvZCz+xaYdoAklAPjGJh3gCQUAKWQwLdAELgoc/6BEVCIAnQAqbDz6 -S4AV4ByFAFhdRCpsVOwSFCHYwQAAWF1BwNL8xqYd4A0FAC1kNCgwMA8CAGiBLygWFvoEwh3gKUUA -9QBD8RDNpQD1ACBSEgCdACgWFvUARzKSAJ0AxeH/ACAsIgCdACsSEYyxirDszAElUAcAAOy2ASVS -0QAAmrAZeBMMXxGp/yf2nfUAHHqSAJ0A9IAdYhIAnQArIBYsCv/TD3yxDSwSFOogQSZg8QAAWyxa -LhITjeLH/g/dAf3ARhXgAgUA0Q8oCsD4IAYVr/KSAP/yiA2gB4UA9J/nYhIAnQD0oAXiEgCdABh3 -+QxWEQhmCChinvcASsPiAJ0AJmKdCVgKKIK/CGYB5hYMKwaOAACKImWs5OokAALYYQAA/OBoHeAM -FQBbLMlj/M6NFuwSCClQBIAA7dEILtgEgABbBZnopAAIBAqAAPtARuASAJ0AiacumRRk51+DmYqA -iRgIqhEKmQKZMS8gBJgV9eBBqhIAnQDaIOwSBSnYBIAAWwyw6BIFJRphgADsEggp2ASAAO0SByxQ -BIAAWB0SwCDRDwCNiPegSXCSAJ0AGnfIDFYRqmYrYp73YEnD4gCdACZinQlaCiqivwpmAfrASTAS -AJ0AsNubiOYWDCN5uYAAHXdgiBwNAIdteQIIAmHaIOs0AApgBIAAWu4uJiEHGHe3+iGIFapmAQAM -ZhEIZgKWoIwgHnhd7SEiLmYCgAAMfAKcoeUgQSq3AoAA7RYDIWkhAACdFAVdCel3pR7vAoAA7t0I -AVlxAADuEhQhwMEAAOlmCAVggQAA6SAFJVDxAADk4ndqrAKAAC4SDB93nS/mAi8SAC/mA/2v6BXg -XgUAfpEQLgpOfpEKLwpW/yBAjWIAnQD+IGgVop1BAP0gABS2/QEACf8C/AcABP3eHQDlmREO7QKA -AA2ZAgn/Ahl5MY0c718CD3UCgAAJ7gIZeSCe1h55IAn/AikSFJ7Xn9TvEgQkyPEAAPmhZB3gCQUA -KdUKDwCGDAJhLyEq/6WmHeAOBQAu1DEu1DIu1DP5peYd4AkFAPmmhh3gDgUALtQ1LtQ2/6bmHaj/ -HQD/pYYd4A4FAP+lxh2gDgUALtQwLyBX/6dmHej/HQD/p0Yd6P8dAP+nJh3o/x0AL9Q4LrABKbAA -KaQALqQBLrADL7AC76QCJukBAADupAMhSYEAAAkgiA0EigkAiA0AiioSDCsiHCwSFPtKZh3oux0A -+0pGHei7HQD7SiYd6LsdACukUOuEAAVRUQAAWFxbLhIUjRwsIHSu3SzUWCdmnfSAJUGSAJ0AKDAS -DwIAZIW7FHb0gycEhCjqRAABmEEAAFqzRO12lBpgBIAA7nfYHVgEgADvIgAp0ASAAFqy+YMnDwIA -IzwQ2jBastb5X+Qg0gCdAGAGPIgdDwIADwIAiILxH+M/0gCdAPohqBWgCxUAWq+5+J/i4lIAnQAp -IAXFpvs/4nwiAJ0A2iBbH11j/EIuEhEs4SCxzP3EBB2gAFoAaITsLxIRDwIALvEiLuwBLvUiK2Q1 -KWQ5LBIO+iIIFaAOJQAuZMj+2SYdoA+FAAz6OS8SEvrHBh2oyx0ALGQ0L/AE+CLGFaAaxQD7/92N -IgCdACsSCg1qCPttgBXgDGUAWFwW+CLIFa/uYgDzAGgdr+LCAI4cxPSf4x947Z/iHnasjy0u4nDo -FgEv/kKAAK/ujucv0n+fEv3ByBXgXwUAf5EV+CAmFaBO5QB+kQr4ICYVoF8lAC8kBY4SiBP+SAAH -tp4BAP3gABew7jkA/yYADP34HQDl7hEP/QKAAA/uAg6ZAh94gY4cCVkCD5kCmeQZeIvvMg0sRQKA -APkGAAxwCQUAKeUK6OYGJ/jxAAAv5QuIFB94dp/nKYAAL4ABKcQAL8QBL4ADKYACKcQCL8QDL4AF -KYAEKcQEL8QFL4AHKYAGKcQGL8QHL4AJKYAIKcQIL8QJL4AKKIALKMQLL8QKLCEq/cWmHajMHQAs -5CwpIFctFhX5x2Yd6JkdAPnHRh3omR0A+ccmHeiZHQD5xwYd4ByFAFhbyYocJRIVixH7SwAVoBwF -AFhbxYkcG3az+yNGFeAMhQAslhsqIHQqlHQbeJ0nZp0pkheKLimcQPtACSRgCAUAHXZWLdJwCa4R -rt2N143eLNAFJtAEKtAAK9AB59AGKzYCgADsZgINVgKAAOuqAgs2AoAAB2YCLNACJ9AH69ADLVYC -gADsqgILNgKAAOdmAg1WAoAAC6oCqooGlgh5awIqrAEs0Aor0Aku0Awq1AP3oOYdqPodAPuhEBWo -Zh0AJtQG/6BGHehmHQD3oKYdqP8dAP+gJh3oZh0AJtQE96GwFaj/HQAv1ADv0A4vdgKAAObuAg1W -AoAA66oCD3YCgAAP7gIv0A/r0AstVgKAAOyqAg92AoAA7+4CDVYCgADrqgIHMAUAAH5rAbGqJtQP -+6FmHajGHQD9ocYdqLodAPuhRh3ozB0A/aGmHai7HQD7oSYd6MwdAP2hhh2oux0AK9QILVAFKlAE -LFAALlAB61AGLVYCgADtqgIOZgKAAO7MAg1WAoAAC6oCLlACK1AH7VADLmYCgADuzAINVgKAAOuq -Ag5mAoAADcwCrIiqmnmrAbGIKlQHK1AKKVAJLVAM+KBmHajoHQD4oRAVqPodAC9UBv6gRh2o/x0A -/qCmHejuHQD+oCYdqP8dAC9UBP6hsBXo7h0ALlQA7lAOLu4CgADv3QIMRgKAAOmIAg7uAoAADt0C -LlAP6VALLEYCgADriAIO7gKAAO7dAgxGAoAA6YgCBsgFAAB9mwGxiClUD/ihZh2ouR0A+qHGHeio -HQD6oUYdqLsdAPqhph3oqh0A+qEmHai7HQD6oYYd6KodACpUCPif2wHSAJ0ALCAFxdb9gApUYgCd -ANogWx5RwCDRDwAAG3XDjC4rsnAJzBGsu4u3i76KGftvABXgDGUAWFsfwMD8xuYdoAwFAPzGxh2v -3ToA/+J4DaADBQAALhIQK2Q1+McmHeANBQAtZDT4IcgV4AwlACxkM/zZBh2gCIUA/NkmHaAPBQDv -ZDcjUAcAAPohSBXgDwUA72Q2JVMpAAD5DQAPcAxlAO5kOCXZsQAAWFsC+CLIFa/dIgDqJAAC2GEA -APzgaB3gDBUAWyrGY/TDAAAAAAAALRIRLNEfKmxm6xIKJmAFAAD9o+QdoAklAPjMhh3gCJUA+Mym -HeAPtQD+xyYd4A7VAP7Gph2gDQUA7WQ0JdmxAAD4xwYdoAxlAFha5vgiyBWv22IAAIsfwNLk2zgJ -UASAAFgVbmP29AAqPBn6SiAV4Aw1AFha3Co8HfpJwBXgDDUAWFrYY/evwCDRDwD/0IQNoAYFAI4b -aeIOiifbMOwSCCVQgQAAWyWfiyJksMAtEhOM0sDhDswC/aBGFaACBQDRD8CgWF2xGHWgjYgZdbL5 -v6DwkAylAP/RBA2gBgUAwGAM3zT/AQYV79DSAACIFiiBCCk6/3mBj+sSBilQBIAA/CEIFaCNhQBY -HCfAINEPAAAAAAAA/9rQDaAGBQDaMFqxYBN1VQuoEegzCAUEyYAADOowKzKFi7Cwo+y7CAnQBIAA -WGJuHHVFKsKY+mAEANALFQAAuxoLqgIqxphYYqNj9frF4v5Aph2v37YAAAAAKyBB+kBoHaAMFQD7 -YkAV4A0FAFsqYy0SE4zSwOEOzAL9oEYVoAIFANEPwKBYXXsYdWqNiBl1fPm/tiiQDKUA/9ugDaAG -BQDAYAzeNP8BBhWv224AAAD6AOIdoAsVAFsjmC8yf/5wZhXv1hoAAABsEDKGNB51BPTsMgXjZoEA -BmYJ6nYIGzcCgACmVe7ihyLoCwAALdAAKqJcJjEKDt0I61J/Lu5CgAD9QABFcAwVAFhNLigwRRp0 -6CkxJ/EAEqaQBwUA+yASZSAsJQArMDz9YA+kIgCdAO0hNyGg8QAA5AMeAXlxAADvDAAI0ASAAP5k -xBWgDDUA7iU2ISF1AADtJTcqWASAAFhaWykgYiogYSpEAOlEASjYBIAA+ExwFaAMNQDoRAIhUYUA -AFhaUipcNPpuABXgDIUAWFpPKlw8+m0AFeAMhQBYWksqXFz6a4AV4AyFAFhaSOwyGSLRoQAA7FYZ -IdgHAAD7cwAV4BwFAFhaQR11ee0WWiDAIQAA0w/8AAoV4BlVAG2aAggAiiocIfrrngXgDDUA+iBG -FeAPtQD+oAgVoAlFAPgjZh3gCBUA+CQGHaP09QDkFQwvdgKAAO/uAgKhRQAA7hYDKlgEgABYWikq -HCXyqcAV4Aw1AOQWWSnYBIAAWFojJxQ5JxQ65xQ7INDxAADyKwYV4AwlAOwUOCMhUQAA+oBoHeAc -BQBYWhkqHEzyyAAV4AyFAOQWVynYBIAAWFoUKhxU9McAFaAMhQDjFlYqWASAAFhaDuocfCMZkQAA -+mBoHeAMhQBYWgnCkCkUPCkUPShSGecUXClQBIAA9i2GHeAPFQDvFUQg2CEAAPYxhh3g/vUA/ihE -HaAMtQD4IkYVoA0lAFv78i1QBfymBh3gOmUA+68eDaALhQDaUG26Fy6gPCxgQLFm7wIABVAFAAD9 -wAQdIgCdAMTELFQF0Q/qUoMrWASAAOw8ICHpBQAAWBcS5aQABQJ5gAAWdXAoohYmYlwtMDwJiBH4 -wABDMC4lAH7RHy3RCNog7EQACdgEgABbAnzipAANbj4AANEPACpUBdEPHnVhLuF/fefW/H/iHe// -WgDAovztYgWgOyUAWGAa0Q8AAAD9wNYNr/r1AMChZ69zIgo5ctENGHWvL1IT0w8I/wEvVhMqElop -HH8pnDH6AAoVoBpVAG2qAgkAiisSWRh1VSgWLPygCBXj//UALxVg9jhmHeAOFQD+OQYdoAw1AP2g -ABawDrUA7t0CANH9AADtFi0lUSkAAFhZsOsSWCDR/QAA+0nAFaAMNQBYWawnFOEnFOInFOP6KugV -4Aw1AOwU4CDR/QAA+0ygFaAcBQBYWaPrElYg0f0AAPtOoBWgDIUAWFme6hx/KlgEgAD7T6AVoAyF -AFhZmfpgaB3g9PUA+iAgJaAmBQD7RIAVoAyFAFhZkiYU5CYU5eQVlirQBIAA/AFiHaAPFQDvFZgg -8AcAAPfAhh3gDSUA5+QUINn9AADn5DQl2MUAAFv7fCJUBdEPAAAAbBAEijqMKYgseikH/GFGFaAA -fgDJpYup0w8PAgBysQzqtAAFgEmAAIu5crnynKn6QagVoAwFAJwq/EFmFaA5BQDsJgkkAFmAACkk -BdEPAABlr/Uadk36QAgV4C21AC0kBS6iciqijP9vAA2wDRUAWx8Y0Q9sEAQqIgcrCivrJAUlUEEA -AFshoIMsyDraMFuproM7ZT/1gyzAcOsiDSGAqYAAZbBU8kGmFeAAIgCTu5s8lyyDKskww24oMAWE -OHaBC+NEAAp/pgAAlyrRD4o3KqwQWyGNhTrIXdpQW6mbhVsPAgBlX/KFOusyCyKBgYAAz7z0YWYV -4ACSAIm7DwIADwIAZJ+nbQgK6ZILLNgEgABkn5lj/+6Vu5tclzqLOec2CCWBIYAAxML8YKYdr/5W -AIm7ZJ/gbQgK6ZILLNgEgABkn9Jj/+6NO9MPZd/YGnTB+mAIFeA89QAsNAUuonL7UYgVoA0VAP9v -AA2wDAUAWx7YHnP+je6w3f3BxhXv/RYAbBAQhCgoIAUPAgD0gQgV4CrVAPsAE7QiAJ0AiycqJAUP -AgD5ZAAV78oFAPsgBASwBgUA5rUUJMkBAACZuem2CCXQQQAAWyFQ53SbEYMJgAAdc4YYc5EpUAzj -clwg8EEAAJbgluGW4pbjluSW5ZbmlueW6JbpluoogoeW65bsqYjm5g0sRkKAAKgzKjEplu6W732h -GC1QJhl0tQ3cCQzMEanJKZB98yAPf9IAnQATdLUdc4YsQhX0gQgVoAVFAO0ABQnIBIAACQJhCQJh -CQJhCQJhH3TGHnS0GHXNJfY/6PWAIdApAADuzgIBWbEAAP/nxhWgDGUAWFjkGHN3KIK56kQACdgE -gAD8AIIdoA1VAAuAAIMqyjDDTvAAOA2gNfUAANowW6f9gzjIPCowBXSp8HWp7YM4ZT/xgytkMSL6 -6LgF4FZlAPoiphXgReUAijcsqRQrMAXkogkmATmAAHaxJ/VgBiRiAJ0A5TQFJVBBAABbIQUtcX9+ -1xjNSGAAqQAA//9kDaAEBQDaMFsb6GAAlwAAZECSLBIVjkKNQ4hAKUAHLzEILxYXKRYU+GAIFeeI -wQAoFhb4IAYV4ApVAPggJhWgOyUAWF77K3F/KhIX/2oAB1P89QB8oV4tEhZo1ihkQEXsEhQqWASA -APpgaB2gj0UA/oEEHeAOFQD+YqYdoA0FAFgY0mAAHy0SFIo3wMDr1AAFUIEAAFseyPVAaB2v/wYA -Kzr/e6EPgztlPx/AYPJBaBXgALYAAI03/aQAFa/OBQD/gAQGMA4FAO7VFCZhAQAAnNn9oQYVr/9C -AAAAAADrIgwhgUmAAMq0KbILDwIADwIAyJ5tCAnpkgss2ASAAMiRY//vk7ubPJYr0Q/RDwCTLJYr -0Q8AABhzHR5zG4kwG3MZmxb+IIYVoAVFAOgWCizOAoAABZkCmRUvMAcPD0EA/xEPrwII/wL+IQYV -4Ag1AA7qMC4WCRt1Oxp1OSYWCwvLCAraAioWDClCACgWDSkWDiYUPf5ACBXgDGUA7xYRINEpAABY -WGDrHBAp0ASAAPwAgh2gDSUAWxp+Y/2OAABsEASJJyr6wPMhyBXgK2UA6yQFJMCBAAD7AAQEMAoF -AOqVFCRBAQAAmJnolggk0EEAAFsgjSsxHYIqsbvrNR0hAWmAAPAAYA2gI9UAAAAAAPpAaB2gCxUA -W/8hIiIJyCssIAVzyemCKWUv9NEP0Q8AbBAaGHN1KQoV6AAVCMAEgABtmgIIAIoddRocdRoPAgAE -3DksFgArIgD4f+Id4AoVAPghBB3gDLUA6hQYLd4CgADsuwIA0GUAAPogJhXgDDUA7BQTIdlFAABY -WCcrPE76I6AVoAw1AFhYJMCgKhQxKhQy+iZmHaALJQDrFDAiA1GAAB9z0ygiDSoWKioUM//v6BXg -DDUA6hQyIPDhAADrFDAsRkKAAOj/CADZAQAA6hQxJ+jhAADtJgAA0f0AAOuHHgfBAQAA6AYABVCl -AADugx4H2SEAAFhYCCgSKg8CAA8CAAiIFCgWEuokAAjYBIAA/AFiHaANJQBb+ffRDwAAAGwQGhhz -NSkKFegAFQjABIAAbZoCCACKG3Tc+iAGFeAFFQD6QAgVoAw1APwiZh2j+fUA+CEEHeALtQDlFBgt -VgKAAOuqAgHZRQAA6hYBINBlAABYV+kqHB36acAV4Aw1AFhX5foBAh3gHwUA/iYmHeAOBQD+JkYd -oB1FAPwmZh3gDCUA7BQwIgDRgAAoQAApQAL1AAmcEgCdAPomxh3gAEoAiTwJClALqhEFqgIqFDYW -c10tYX/6JoYd4B8lAP2gBAIwLiUABP45LhYQ/aAHDiBUZQAoIAX1AAq0IgCdACQkBSowBfrP5BXg -OZUA+UAFRWIAnQDxYAVHkAl1ACwgBfWABO0iAJ0Aiy0qYlwJuxGrqoqqyarrrGAg0f0AAPtFIBWg -DEUAWFe0+iVIFaAALgAAGnMCKhYqHnMBjCcdcoL7wgANM+uFAAurLA27KOsWKiZQQQAAWx/oJxIq -hCfqdAACIEEAAFqumu1x6hvgBIAA7nMuHVgEgADvIgAqUASAAFquT4InDwIAIiwQ2iBariz1QAXQ -kgCdAMCHKDRU0Q8AKjQw+GqGHeA71QArNAXRD+okAAjYBIAA/AFiHaANJQBb+YVj/xoAAPM/9m4Q -qTkA8z/2LlDZMQCOQ3vnE/Hf9caSAJ0AGHRpLzEZCP8BLzUZLjUZFnOuhDwGRAEWcp0OqBAIRAIG -RAEWdGIP2BD4hgAKMPkpAOZJAQ/8AoAAD5kC+GGGFe/5+gAAAAAAAAAA6iQACNgEgAD8AWIdoEj1 -APhAph2gDSUAW/ljY/6S2iBarhgScg4LqBHoIggFAdmAAAzqMCsihSuyACKs/+y7CAlQBIAAWF8m -KmKEACEEAFsaC6oCKmaEWF9dwMcsNFTRDwAAAAAAAAD6AOIdoAsVAFsgaC4if/5QZhWgDXUALTRU -0Q8AbBAaGHKIKQoV6AAVCMAEgABtmgIIAIobcuH6IAYV4AYVAPpACBWgDDUA/CJmHaP59QD4IQQd -4Au1AOYUGC1WAoAA66oCAdlFAADqFgEg0GUAAFhXPCocHfppwBXgDDUAWFc4JQoA5RQxINDRAAD0 -JkYd4AwlAOwUMCJZUQAA9CZmHeAcBQBYVy8qHET6iAAV4AyFAFhXKyocTPqHABXgDIUAWFcoK0xk -+i6AFaAMhQBYVyTCgCgUNCgUNS8yGS8WEOYVQClQBIAA5RRUKNgEgAD0LIYd4Ay1APQwhh3g/vUA -/ifEHaANJQBb+Q4qMAX6ZgYdoDmVACk0BdEPbBAaGHJJ/uXyBeALtQD4AqId4/31AOgAFQjABIAA -bZoCCACKnxCKIPwhBB3gDhUA/iMGHaAMNQDsFBMtVgKAAAuqApoRKTAEJxwd0w/qHBkkrTEAACs8 -UVhW+9pw+mnAFeAMNQBYVvjlFDUpUASAAPYmxh2gCRUA+CYGHeAIBQDoFDEo2ASAAPgmRh2gDLUA -+CZmHaANJQBb+OPRDys8SFhW6dpw+oCgFeAMNQBYVuZj/7QAbBAeHnNzLuJ/73PAGugEgADlcmsZ -wASAAOrsWC9IBIAAbUkFCACGCQJh/EDoFawIBQCYEIctJVJc6yIOJ0lRAADpFjErvkKAAOV3CAdA -8QAA7wIABkiBAAD/YATMYgCdAAm2EaZVhlcv+sAPnwGJbuZyCCf5AQAA/4EmFeA7lQD/gQYV4A8F -AO/FFCKECYAALOBUJhYw9YAYaZIAnQD1gDYSEgCdAMNN9YAdUpAztQDB0v2AKARiAJ0A9YAg1hAN -FQDC8f+AJDRgViUA94AI9CBqFQD7gATMIgCdANog+qBoHeANtQD9AGgdoA4FAFv/l9EPAAAAK/rA -+yAEBfANBQDtxRQl2QEAAJvJm8hkcMMp4FQqFi8oFi71IC25kgCdAPUgBZKSAJ0A9SAulhBsFQD9 -IAUVIC3FAChwBf0Bhg3gLvUA/wAEZSIAnQCDetMP0w/JNCo8TvogaB3gDDUAWAURZKVegzhlP+na -IFsZstEPL5BkI5BlDwIA6JBmL/4CgAAD/wLjkGcv/gKAAAj/Agj/EQP/AuNyCif4BQAA/yzmHej/ -HQD/LMYd6P8dAP8sph3o/x0A75RkIYDRgAAqPE76IGgd4Aw1AFgE9mSmyYM4ZT/p0Q8A2iD64Ggd -4A2VAP0AaB2gDgUAW/9Y0Q8mkGAqkGHskGIrNgKAAApmAuqQYys2AoAADGYCCGYRCmYCsWb3LGYd -qGYdAPcsRh2oZh0A9ywmHahmHQAmlGApUAX4YBsDYgCdAPsgGsNiAJ0AI+Ib2OD4oGgd7/T1APxg -ABGwCoUAbaoVK5A0KoBk6IwBJMgFAAD7YEMVIgCdAPigaB2gCYUAbZoVKoA8KeBc7uwBJEAFAAD5 -QEKNYgCdAOMWASrABIAA+CCAFeAKNQDTD22qFyuQACqATuiMASTIBQAA0w/7YEHNIgCdABtxbrgY -+gAKFeAZVQBtmgIIAIoacxPqFgIi2UUAAP5ACBXgCTUA+CNmHeAOtQD8JAYd4/j1AOgVDC/uAoAA -/6YADrAMNQDtFgMg0IUAAFhWIitcTvokoBWgDDUAWFYe+uNSBeAPBQAvFDsvFDr+JyYd4AklACkU -OCuyXI4tKRQ4/iWGFeAMNQDvFDsg6QEAAO8UOi92QoAA7rsIANH9AADvFDklwOEAAOgmAAD5IQAA -74ceBfEBAADuBgAFUMUAAO2DHgXZIQAAWFYDLhIs6xwIKVAEgAD/DgAPMAy1AP4ihhWgDSUAW/f0 -0Q8vkFAmkFEPAgDskFIv/gKAAAb/AuaQUy/+AoAADP8CCP8RBv8CL/wB/ypmHej/HQD/KkYd6P8d -AP8qJh3o/x0AL5RQKVAFKhYvKBYu+yATrGIAnQAqFi/4JcYVoDb1APcgMJQiAJ0AKhYv+CXGFaBL -RQD7IBJ8YgCdAOsSLyrQBIAAWAMX6KQABRohgADaIOwSLirYBIAA+OAABzANdQBb/sH6oGgdoIul -AFgCdNEPLpBYL5BZDwIACO4RD+4CLuwB/ysmHajuHQAulFgpUAUsCjb9ICr8IgCdAC8KN/8gK0Ri -AJ0A+GGODeBHNQD7IAz54gCdAPUgDLwiAJ0Aw2/3IA/UIgCdAMSi+yAPhCIAnQD3IAvcYgCdANog -+qBoHeANlQD9AGgdoB7lAFv+ntEPACyQVC2QVe6QVi5mAoAADcwC7ZBXLmYCgAAOzAIIzBENzAKx -zP0q5h2ozB0A/SrGHajMHQD9KqYdqMwdACyUVClQBSoWL/sgHMRiAJ0Aw9r9IB3sYgCdAPUgFHwi -AJ0A2iD6oGgd4A2VAP0AaB2gHuUAW/6A0Q8ukFovkFsI7hEP7gKx7v8rZh2o7h0ALpRaKVAF8yAR -dGIAnQD1IBE0IgCdANog+qBoHeANlQD9AGgdoA4FAFv+b9EPAAAA9T/lRCIAnQDaIPqgaB3gDZUA -/QBoHaAe5QBb/mfRDwAAACaQaCqQaeuQais2AoAACmYC6pBrKzYCgAALZgLvb+gbNgKAAApmAurh -LyMwBQAA9y1mHahmHQD3LUYdqGYdAPctJh2oZh0AJpRo/0AkBGIAnQCDW8g+KTE3+yAIHCIAnQCD -O2U/79og+qBoHeANdQD9AGgdoB51AFv+R9EPAAAAANog+qBoHeAMBQBb/Rb4oLAVoAlFAClUVChU -MHeBBMOvKlQF+qBoHaCLdQBYAqHRDwAAAAAA6xIvKtAEgABYAoTopAAFDZmAANog7BIuKtgEgAD4 -4AAHMA11AFv+LvqgaB2gCwUAWAHh0Q8AAAD1oBJ5kgCdANog+qBoHeANlQD9AGgdoB7lAFv+I9EP -APpgaB2heyUAWAiN0Q8AAAD64GgdoAtFAFgDh+OkAA0I3gAA2iDsEi4r2ASAAPwBIh3gLpUAW/4U -0Q8A2iD64Ggd4A2VAP0AaB2gHuUAW/4O0Q9kPwL7IAY9IgCdACwxNivhLigWLv1gBbUiAJ0AK+xZ -+mugFaAMNQBYA5noEi4tBQYAAC0wBcXmftEE2jBbGDnaIPqgaB3gDAUAW/zP0Q/aIOs0AApgBIAA -W/qH0Q8A+iYoFeAPNQDvVFQq0ASAAFgBldog61QAC+AEgABb/a/aUFgLB9EPAPpAaB2gM5UA+qBo -HeAMBQBb/LspUAUpVDDyoKYd4AiFAChUVNEP2iDsEi8q2ASAAFv88yoSLyqgAvFf656SAJ0A2lBY -CvXRD9og+qBoHeANdQD9AGgdoB51AFv91dEPAAAAKxIvWAIh6KQABQghgADaIOwSLinYBIAA+OAA -BzANdQBb/cv6YGgdoAsFAFgBftEPKhIvK3xA+0IAFaAMhQBYA1nmolFtQASAAPdAEHCSAJ0A6xIx -KtAEgABYAWHaIOtUAAvgBIAAW/16wLPrVFQq0ASAAFgK0CwSMCzABMHc/Z/KpWIAnQAdcE8t0X/z -v8o3kgCdANpQWAEk0Q/aIPqgaB3gDAUAW/x+LnB9Zekn+mBoHaF7JQBYCBPRD9og7BIvKtgEgABb -/LYvEi8v8ALz4A1mkgCdACgSL4iD8R/jftIAnQDaUFgBEdEP2iDsEi8q2ASAAFv8qtEP2iD6oGgd -4AwFAFv8ZtEPAAAAAAAA6xIxKdAEgABYATGNNw8CAA8CAC3SDinQUCvQUSQSLurQUizOAoAAC5kC -69BTLM4CgAAKmQL9IAAUsAw1AOuZAgIgFQAA6ZwBIdE5AAD5qmYd6JkdAPmqRh3omR0A+aomHeiZ -HQDp1FAqWASAAFhUhuocfypYBIAA+0agFaAMNQBYVIErEi344OYF6LsdACsWLYg8HHFkCYgBfLwO -HHFjDIwC/GGGFaAANgAAHW+fDY0CnTzaIPpgaB3gDjUA7jRUK+AEgABb/R/aMFgKd9EPANog+qBo -HeAMBQBb/C2KeFv8ENEP2iD6oGgd4AwFAFv8KPrgaB2gCxUAW/tB2nBb+v3rcggr0ASAAFv63dEP -AAD6JegV4A81AO9UVCrQBIAAWAGW6KQABQPJgADaIOwSLirYBIAA+OAABzANdQBb/UD6oGgdoAsF -AFgA89EP2iDsEi4q2ASAAPjgAAcwDXUAW/04+qBoHaALBQBYAOtj/ffaUFgKTWP+T9og+qBoHeAM -BQBb/APRDwDaIOwSLirYBIAA/AHCHeAelQBb/Slj/cfrEjEq0ASAAFgAydog7HQACtgEgABb/OPa -UFgKO9EPAOqzBnpABIAA2NBlitdj95HpowZ6QASAANjQ+x+9KBIAnQBj+r97owHU0PqfvhASAJ0A -Y/qvAAAAbBAwFXAJGm9aG2/X/kCwFaAPtQDyACId4AYFAPYGQh3j/fUA98RmDeA4lQD5wAfcIgCd -AO0gVSvYBIAA/OH8BaAKVQBYWl3AINEPKCIWKbJ/CYgRqJmJmCaWGSSyf/oAChWsCQUAKRYq6EQI -AMH9AAD5BiAVoBlVAG2aAggAiokg7RVgIVlFAADyOGYd4Aw1AOMUyCzOAoAA75kCANH9AADpFi0l -USkAAFhT+SMU4Pwv4BWg/8UA/jyGHeAOJQD+PKYdoX0lAO0VdCZwpQAAK+AC7eABJmE5AAAtxAEr -xAIu4AAuxAAqIAV3oQgoIhMFiAEoJhPaIPjhlAXgDYUA/D5mHeAMtQDnJAUg2f0AAPKPph3gDSUA -6RYsJdjFAABb9dTAINEPAAAAAAAoIhYksn/pIhMsRkKAAPiAAEIwOJUAeOEFBZkBKSYTCgCJ+CBo -HaAZVQBtmgIIAIoYb2OYEI4gJhQT7RUIINBlAADjFBgvbgKAAO/dAgFZRQAA/CAmFeAMNQBYU8Iq -HB36ScAV4Aw1AFhTvyYUMSYUMuYUMyDQ0QAA+oqAFeAJNQD4JgYd4BwFAFhTtiocRPqIABXgDIUA -WFOzKhxM+ocAFeAMhQBYU68rTGT6LoAVoAyFAFhTrCMVQCYUVCYUZOYUhClQBIAA+iBoHeAvBQD+ -JoYd4Ay1AP4mph3g/vUA/ifEHaANJQBb9ZfDifhAph2gAgUA0Q8AAABsEBoYbtIpChXoABUIwASA -AG2aAggAiu5weRDQZQAA/iAGFaAMtQD4QAgV4A0VAPwjBh3gAwUA8iJmHeP79QDrFQgszgKAAOyZ -AgFZRQAA+CAmFeAMNQBYU4UqHB36ScAV4Aw1AFhTgeMUMilQBIAA+iBoHeAuBQD+JgYdoAy1AP4m -xh2gGUUA+CZmHeAvJQD+IgYV4B0FAPwmJh3gCIUA+CaGHaANJQBb9WjD+i8kBdEPAABsEAQqLDT6 -Y4AV4AyFAFhTaiosPPpigBXgDIUAWFNmKixc+mEAFeAMhQBYU2MqLGjoMgQh2REAAPhDJhWgHAUA -WFNd0Q8AAABsEAQUbu4oIhbTDydCiemIEQlQBIAADwIA6HcICdgEgABbo2CKJyqsEFsbkYQpy0MW -bzSFS/yACBXgClUA/kAIFaA7JQDvQAUrYASAAFhZk+pEAAnYBIAAW6LY5FQACv6mAACEKcDA6yIL -IgDZgABlsJj0QWYVoAAiAJS7m0z8QSYVoAQFAIt6crkShCmLKPrhRhXgALYAAAAAAAAAAMm2irhy -oRJtCAzrpAAFAGGAAIqocqECY//syLSEKY0onbicKCogBeokMCoB3gAAjivP4cTzf6FPGm65iyAu -onL7UYgVoAwFAP9vAA2wDRUAWxjSHW34jN7Dv+skBSZj/QAAnN7RD8TSLSQF0Q+Ju9MPDwIAZJ9k -bQgK6ZILLNgEgABkn1Zj/+7D7y4kBdEPAAAAbBAa+NyOBa4JBQD4IAYV4AQFAPQgJhWgGVUA6AAV -AMAhAADTD22aAggAihhunygWAvxACBWj/vUA/iGEHaAPRQD+JAYd4A21AOQUGy5mAoAADcwCLBYD -KyAEKhwhDwIA9WAE4pIAnQD6SiAV4Aw1AFhS8yocJfpJwBXgDDUAWFLwE26lKCIWIzJ/CYgRqDOK -N8O/KyQFiq4poHAroHEImRELmQKxmflOJh3omR0AKaRwJBQ5JBQ65BQ7INEBAAD6aAAV4AhVAPgn -Bh2gDIUAWFLcKzxI+iCAFaAMNQBYUtiJEescCClQBIAA+Q4ADPAMtQD4IeYV4A0lAFv0ydEPAPpJ -ABXgDDUAWFLNKxACLRAB7hAAIOCVAAAuxADtxAEpGASAAPuARh3gKrUA+kCmHa/+BgBsEAQiMQMq -MQIUb6r8ReBH0AgVAPKE9g2gBRUAJzECJjBA9gwABHACBQD4rQAJMHcpAPatAAlwZjkABlI40Q8p -MED6DAAFsAJ1APsNAAlwqikA+w0ACTCZOQAJgjjRDwBsEBYoCob4YB6EIgCdACkKh/hgHnxiAJ0A -JiAMFG43JSIWGm3aKEKAJEKJCgCJ6GYICq5CgADlRQgLNkKAAPaAAEIwGQUA5iEZKMAEgABtmgII -AIoZbQ4oQSkPAgAPAgD5ABJsYgCdABxudi0iAP5AsBWgClUA/kqQFeA7JQBYWNYabSkZbSr4QAgV -oOsVACsUGPggBhXgB4UA6hYCLEYCgAAHiAKYESsgBylBKfravAWhuwEAALsRC5kCCpkCmRQP6jCf -FZgZ/twaBaBNBQAtFQ+eGCwgVCwUMSsgBSsUMvpGEBWgDBUALBQwKhQzKSIW6RYNIMiBAACIlY+U -jpONkuuSASDRAQAAm6Gdop6jn6SYpYmQmaCIICgWFi8gVC8UXS4gBS4UYC0gMPwsJh3gCwUAKxRe -7BRcINGhAADrLDQr4ASAAFhSWSoccPpHgBXgDIUAWFJVK1xy+i9AFaAMZQBYUlIqHH36ScAV4Aw1 -AFhSTussXCDR/QAA+0CgFaAMhQBYUkoqEUL2BAAHsFYxAPYFAAawRkEA9goAA7CWWQD+KGQVoIZJ -AP4mJB2hth0A+sYADfDGOQDtzBEMRsKAAOmZEQu+goAA6XcCCicCgADoRAIO78KAAO3/AgqvgoAA -DFUC/EGIFaC7AQD9aAAVsNopAOdEAg7uwoAA/WYADfTMmQAMuwIrFGT6TRAV4JppAPZNMBXgqnEA -6pkRDVZCgAD7JgAMsLsZAPYGAAVwxzkA7swRDVfCgADsqgIN30KAAAuZAvsmAAywdykA+OYAC/A4 -BQAIdwInFGWOLAVEAgT/Av4s5h3g3oEA/hEABjDukQDqzBEPdkKAAO7MAg7uwoAA/YYADnANhQAN -zAIsFGaMJw8CACvJFOSxV2ZQgQAAjclk0U36IGgd4AyFAFsczxdtkSgiFtMPJ3KJ6YgRCVAEgADT -D+h3CAnYBIAAW6IEiicqrBBbGjWEKdMPy0MWbdeFS/yACBXgClUA/kAIFaA7JQDvQAUrYASAAFhY -NupEAAnYBIAAW6F85FQACv6mAACEKesiCyIA6YAAZbCh9EFmFaAAIgCUu5tMwLD6QSYV4AQFAIt6 -crkPhCmMKPzhRhWgAKoAAAAAAMm2irhyoRJtCAzrpAAFAGGAAIqocqECY//syLSEKY0onbj6QLAV -oA4FAJ4o6iQwKgIGAACPK8/2xIP5QAQUIgCdABptW4sgLqJy+1GIFaAMBQD/bwANsA0VAFsXcx1s -mozew7/rJAUmY/0AAJze0Q/E0i0kBdEPibsPAgBkn11tCArpkgss2ASAAGSfT2P/7sHm/kqGHa/w -5gDA9P5Khh3v8L4AAAAAAAAA6iQACNgEgAD8AQIdoA0lAFsTzGP+psOPKCQF0Q8AAABsEAQbbWQZ -bWgebZIYbDfibHsZUASAAI2gJKIHKILYDt0CJEIO+FYmFeAMRQD8VgYV4A1VAAuAACkisQmJR8me -KkA6K0A7CKoRC6oCsar6h2YdqKodAPqHRh2gAgUA0Q8oIroSbUMZbRoiIn8JiAHubX0cRkKAAKgi -jCwDDUTuzAEO60KAAA3MApwsK0BuLEBvCLsRDLsCsbv6jeYd6LsdACtEbtEPAABsEATTD21KDycg -ACQwALEz5HkMcRAFAADAINEPAAAAAPThFg2v8vUAwCHRD9EPAABsEECENBVsC/LaDgWjRIEABEQJ -DEQRBCII7lJnIWgLAAAt0AAqUnAkIn8O3Qj9qAAWsAwVAO2qCApYBIAAWEQdLTBMLzBN7jEuLTAE -gADnIoMu7gKAAP+mAA7wCxUA/8TABND+YQBkdJv0LcYVoAIFAIR6ZUDyZSBqh3llf/JgAF8AAAAA -AAAA5BZuI6PJgAAiCgCEemRAQYxKzcfPKIRJZU/07BYEKQHuAABgAC3OJozIZM/mislkr/NtCAoo -oTZ9gQyKq2Sv5WP/7gAAAAAA4qQABX7hgACcFMwmh3llf7FkJCAUa9SFLSRCcAlVEaVEhUiHR4xX -KTBUh36MzvUgBbCQCgUAaZJcLTEvbt8KGG4W/QAIkuIAnQDAl2TxKi0wmA5YQPltAAyw/TkA/2IA -DPDdGQANuThkkSGJWnSZSitwMi1wMwi7EQ27ArG7+uZmHei7HQArdDIqwFGxqvuKJh2gASoA0Q8A -ikvJoW0ICSyhNn3BB4qryKRj/+8A0qBlL2CESWVP4GP+6i5wNC9wNQjuEQ/uArHu/uamHajuHQAu -dDQtwFKx3S3EUtpAW/302iBbFCzRD49adPkdKHA2KXA3CIgRCYgCsYj45uYdqIgdAPjmxh2gAHYA -KXA4K3A5CJkRC5kCsZn45yYd6JkdACl0OCswWSoWbfVgL8KSAJ0AwMl8sSjaYOtUAApgBIAA/AAC -HeAOBQBb7ebaIFsUEdEPAAAAAAD/+8ANoAkFAC0wWvotphWgLpUA/6AslCIAnQDaIFsUB9EPLVAE -/byAFeAIFQD9DQAM//saAChQBB9slyoWbfUANTCSAJ0AihQqFnMuQAXC3A8CAP3ADYxiAJ0ALxZy -HmyHKGAmGWtdKRZwCIgJ6QAFDEcCgACo7u7gfy/IBIAACQJhCQJhCQJhCQJhGmyIG2yKHWyaH2yI -+C3IFeAMRQDs1j8vdQKAAA/uAi7VgAuZAvgt5hXgDGUA6dY+IlmxAABYULUYa0nogrkrUASAAPou -SBXgDEUA+C4mFaANVQALgAAfbIYu8j8Ojkdk4/T1wB+NEgCdAGXhpy0RCig6//mgDPQiAJ0AHmsB -F2w8KRJtKEB8LUU/+oUkFaALFQD6IQYV780BAJwfKxYQmBmZHJkdmR4IiAnpFhEsRwKAAKh3J3J/ -/0AqFCIAnQAea6oqFmwOrgKeG/bAAAfyl0EA/SgAFLCHOQDp/wIMRAKAAOj/AgDQgQAA7xYKINlB -AABbEfpkpQ7ApfzarAWgOyUAWFbNwKX82qgFoDslAFhWytpg61QACmAEgAD8LmgV4A4VAFvtdypQ -NtMPZKBNilcqrBBbGLYoUDYXaxaGVwh3KOp0AAMwQQAAWqdm7Wq3G+AEgADubUUdWASAAO9SACtQ -BIAAWqcbhlcPAgAmbBDaYFqm+PVAO0iSAJ0AKhJzKzxw+0aAFaAMhQBYUGAqEnMrPGj7R4AVoAyF -AFhQXCoScys8XPtLgBWgDIUAWFBXKhJz7DIZIdgHAADsphkl2mEAAPtNABWgHAUAWFBQ4xJzIdkF -AADTDyM8TvpgaB2gDDUAWFBKKhJziFr5QLAV4D51APlGBh3gNmUA9QAEBSAH5QD3QKYdoAvFAPtK -hh3gAdoAAAAtcDwucD0I3REO3QKx3fznph3o3R0A7XQ8KlAEgABb/SbaYOtUAApgBIAA/C5oFeAO -BQBb7S7aIFsTWNEPHG0ZLzBCLjBBKzBDmxAqMD2aESkwPpkS+GfwFaA7JQD4IGYVoApVAFhWb9EP -J6RULqQFKhJzWAYp2iBbE0gtUAT21qwF4BjFAHjZTilSCvUgIsUiAJ0AKhJzKqAw90Ac5SIAnQAE -SwL+LmgVoAyFAG3KFS+wQCLgPP5AJLViAJ0A67wBJ3AFAAAvEnPE5P/gph2gLcUALUQF0Q+CR+pr -9xEQQQAAWqb7HGv0HWpLHmv0j0DrpAAJUASAAFqmsYJHDwIAIiwQ2iBapo71QCvgkgCdAIhadIka -gknJJdog+goiHeAMBQBb8KCCKdMPDwIAZS/o62y/GlAEgABb7HPnABUAwAcAAPkBABWtCQUA+CgG -FeAZVQDTD22aAggAii4SbRlrz+kWQiDQBwAA/IAIFeP49QD4MYQdoA8VAC+kIO6kGyJZIQAA/aAA -FrAOtQD/pgAOsAw1AO0WQyVQhQAAWE/WGGpqLhJt/CAgJaANNQAtxD8uxDkuxDouxDspwAL7gDAV -4G8lAC/EOO9rkBZQlQAAK6QBKaQCG2uHKILYHmugLMAALKQAIkIHGmuzKUIAIiIO/8fmFeANVQD7 -JgAMsAxFAOnmPipQBIAAC4AAGGuUKII/CIhHZIFVKSA6KiA7CJkRCpkCsZn4R2Yd6JkdACkkOuts -nhpQBIAAW+wzwqwqRAXRDyzxgNMPDwIADAxJ/CFEHaFbBQD9f9/iogCdAC0ScC4SbykScg0AhwkC -YQkCYQkCYQkCYRpreRlrcimmPy6mPixAbi5AbChAcClAbS9Ab+1AcSxGAoAA6JkRD3QCgADp7gIP -/AKAAAj/Ag/dAg7MAg3MAwzNFA3MAwxtFP2XAA5wCxUA/KAABjAKBQBYVzz+1sYFoAxFAOgScS34 -BIAA+i5IFeANVQDv5kEtSASAAOnmQCtQBIAAC4AAH2tYLvI//+1ADafuQQDaIFsSpIgUKVAELBJt -j1opnOQJjDh0+T76gGgdoAtFAFvwHtEP2iBbEpqKWvVf0QUiAJ0AixQtUAQsEm3t3OQqUASAAP1i -AA5wC0UAW/AT0Q8AAAAAAAD6gGgdoFsVAFvwDtEPGGo7GWrwE2n+KIK6IzJwCYgB6WtRHEZCgACo -M488GGtPCf8BCP8CnzwuIG4vIG8I7hEP7gIu7AH+TeYdqO4dAO4kbiHz+YAAKjxO+iAgJeAMNQBY -T03aMPogICXgDLUA+2EAFeANJQBb8UDDyPxgph2v+UoAAAAAAAAA+i2GFa/rHgAAABxsFfwiiBXg -ClUA/iKoFaA7JQBYVYctEmwcbBDvQHwr8ASAAPghRBWgClUA+CAGFaA7JQBYVX8qEhUqRhMpEhT4 -igYd7+seAMK8K0QF0Q8AAAAAAAAA6kxsIdgHAAD7eUAV4AxlAFhPJypMSPpnoBXgDDUAWE8kHmr8 -H2rnG2sRGGm1jEcpQgAogtiMziwWdAuZAutq2xpQBIAA/8fmFeANVQD5x8YV4AxFAAuAABpq7yqi -P/7VpgXnqkEA6RJ0JQKBgAAskDotkDsIzBENzAKxzP0nZh2ozB0A/SdGHaANBQDtFnMuxp4AANpA -W/v22iBbEi7RDykSc8CO+SqGHaA6dQD7IKYdoC/FAC9EBdEPHmnWGGqKHWmZLuK6LdJwCO4B6mrs -H3ZCgACu3Y7cGGmjCu4BCO4CntwskG4ukG8IzBEOzAKxzP0t5h2ozB0A/S3GHa/+PgAAAAAAAAAA -/kD2De/79QArCgFnu2cvEnPTDy/wBcMpcvEOKRJzGmrIKJITCogBKJYTBwCJ+CsAFeAbVQBtugIJ -AIovEm0rEnMZam8pFhaNsP4tZh3j+PUA+CaEHaAOFQDuFHAg0cUAAP2gABawDrUA/6YADrAMNQDt -Fhcl2UUAAFhOy9sw+i6gFaAMNQBYTsgrEm0rFIn6MUYd4Aw1AOsUiyDR/QAA7BSIJVA1AAD6ioAV -4BwFAFhOvutMQCDR/QAA+0OgFaAMhQBYTrnrTDgg0f0AAPtEoBWgDIUAWE6060xkINH9AAD7SaAV -oAyFAFhOryoSc/gtqBWgCRUA+C2EHeAvBQAvFIz+MaYd4P71AC4VaugUrCDZYQAA+DeGHaAMtQD4 -O4YdoA0lAFvwmCsSc/Ngph2gKsUAKkQF0Q8A2iBapUoSaT8LqBHoIggFBAmAAAzqMCsihYuwsKLs -uwgJUASAAFhWWBxpLyrCmPpABADQCxUAALsaC6oCKsaYWFaNY/pC2mBapTkWaS4LqBHoZggFAqGA -AAzqMCtihYuwsKbsuwgLUASAAFhWRxxpHdMPKsKY+sAEANALFQAAuxoLqgIqxphYVntj+FMAAAAA -+gDiHaALFQBbF4gsIn/8UGYVr+eaAAAA+gDiHaALFQBbF4ItYn/80GYV7+CaAAAAbBBIKTBU+mBo -HaACJQD20+YF4AQFAPUgCgiQD+UA+SAJsVIAnQArMS8uoS4qFoXiaZgd+DwAABhrSPsAE5LiAJ0A -wJf9UxAV4/X1AP4MAAewDBUA/40ADPDuKQD/jQAMsN05AP2CAAzwA7UA8ygADeAbVQCUFfIAChWu -DwUA7xYEIMBhAABtugIIAIobaeObFolg9CVmHaAKRQAqFDDlFRQszgKAAAOZApkXKGAEKhwx9QAQ -GpIAnQD6yiAV4Aw1AFhOOyocNfrJwBXgDDUAWE44KGIWInJcCYgRqCKNJ8PvLmQFjd4s0HAu0HEI -zBEOzAKxzP2uJh2ozB0ALNRwJBRJJBRK5BRLINFBAAD6SAAV4AlVAPgpBh3gDIUAWE4kKyxI+iKA -FaAMNQBYTiGOFescGCtQBIAA/w4ADzAMtQD+ImYVoA0lAFvwEfrAaB2gi6UAW/q70Q/RDwAjMFkP -AgB/MfMcawAtYE4uYE/+yhAV4ApVAPIgBhXgOyUAWFRX+sBoHafbBQBYCFdkr8koYhYiZFQpYAUp -ZDAiclzpiBELUASAAPhAAEEwi6UAW54NimfiFoQlUEEAAFsWPYJp0w/LIxVp34Mr/EAIFeAKVQD+ -wAgVoDslAO8gBSrgBIAAWFQ++kBoHaCLpQBbnYTiNAAJ/qYAAIJp62ILIQFpgADMuPLBZhWgAG4A -AACJu8ib6ZILLNgEgADTD2Wf8pK7myz0wSYVoAIFACsShIu6drkPLRKEgmmMaP2hRhWgAIYAAMmw -irh2oQzrpAAFAEmAAIqodqnyyLSCaY5onriUaCpgBepkMCkB7gAAj2vP88SD+UAkPCIAnQAuckWL -YPrr6BWgDAUA/28ADbANFQBbE3oYaKGPjsOf6WQFJ/v9AACfjtEPxKIqZAXRDwAAAAAAAPiAaB3v -9kIA+skAFeAMNQBYTbsvHBAu8AHs8AIg6NUAACzUAi7UAe/wACsQBIAA/6AGHeArtQD6wKYd7/hS -AADrrHAjUNEAAPovxhWgDIUAWE2rKxKF+seAFaAMhQAPAgDqFn8l2aEAAFhNpSsShfrLgBWgDIUA -6haAJdlxAABYTaAsEoXswhkmWAcAAOxmGSNRoQAA+3MAFeAcBQBYTZgqYAwrYhYcaBotYAX8xgYd -4A4VAC5kVC1hGS0WgyzChylyXAIAieyqCA3eQoAA65sIAMH9AADrFoItVkKAAOqZCARBBQAA+DAm -FeAZBQBtmgIIAIovEoEYZ/ov8Sn54BSMIgCdABxpY41g/sCwFaAKVQD+ypAV4DslAFhTxBloGBho -F/7ACBXg6hUAKhTYKBYy+CYGFeAIhQDpEoEv/gKAAAj/Ai8WMStgBymRKfrQlgWhuwEAALsRC5kC -CpkCKRY0COowLxY5KBY1HWj5/CcGFeBOBQAuFW8sYFQsFPErYAUrFPL6xhAVoA0VAC0U8CoU8+pi -FiDJ/QAA6hY9JMmFAACKlYyUjpOPkuiSASDYBwAAmLGfsp6zLLYEKrYFKZIAKbYAKxJ+KWIAKRZG -72BUIMAHAAD/A6Yd4AyFAOpgBSDwBwAA6uQgIMgHAADvYDAgwAcAAO+UISDwBwAA7eQcINAHAADk -hB4lUKEAAFhNPOsSfyDQBwAA+0YAFaAMhQBYTTcrEoL6ICAloAxlAOqsOiXZyQAAWE0y62xOINAH -AAD7R6AVoAw1AFhNLesSgCDQBwAA+0iAFaAMhQBYTSgoEoMrEaIsEaP8MiQdoNghAPguAA+wm2kA -+g4ABXDoKQDv7hENVkKAAP0wABSwuykA748CDd7CgAD7JgAMsP8BAP+mAA6wyFEA+sGIFaDoWQDp -7hEOZoKAAO7MAg/+QoAA++YAD/DoQQD/wAAXMLg5AP9oABW0qpkA6v8CANAHAAD/RIYd4PgxAP/w -ABewiEkA6/8CDEbCgAAI7gIrYGgoYGn9xgAPMLsZAP9oABWwyDkA+yYADPC4MQDuzBEN38KAAAy7 -AvsmAAzwiCkA+QYADHA5BQAJiAIopCWMbA/uAg7dAv1E5h3gvIEA/BEABLDMkQDqmREOZkKAAOyZ -Ag3ewoAA+yYADPALhQALmQIppCaNZyjZFOSA2mbQgQAAjtnTD2Tgziscf/toIBXgDIUAWxesLHF/ -85/Yf5IAnQDiABUAwAcAAPkKABWgGVUAbZoCCACKHWm3LRZU6WIAI1lFAADlFbAg0AcAAPVMZh2g -DBUA7KRoLM4CgADzJgAM8Aw1AOkWVSVRpQAAWEzF62xOINAHAAD7TaAVoAw1AFhMwNpg+iAgJeAM -tQD1cEYdoCkFAPlwBh3gLiUA+XDGHeAYBQD5cCYdoA2FAP1whh3gH0UA/3BmHeANJQDuFmQl2UEA -AFvup8PqLmQF0Q/D/y9kBdEPANpg+i/gFeAMhQD7aCAV4A0lAFsOyWP/JgAAAAAAbBAc9tBcBeAK -BQCaESgiFvTriBWsCQUAmRD9CAAUMBlVAOhECAPACwAA6AAVAMAhAABtmgIIAIr6JCAVoAw1APxA -CBXgBhUA9iNmHaAOtQD2JAYdo//1AO8VDC7uAoAA7t0CASlFAADtFgMq2ASAAFhMhyYUOCMVICwQ -ASkQAvwgEBXg/sUA/ieGHaAKJQDqFD0g2JUAAC20APlgRh3iHzUA/WAmHaF4JQD4Z0YNoAyFAP5g -BRRiGHUA+GAG1CIZ9QAqFix5MUoeaWDrHAgpUASAAP+mAA8wDLUA/iBGFaANJQBb7mPRDy8gBfjQ -ngXgOiUA++GmDaAdRQAoIhMJiAEoJhMsFEsqJAX2j6Ydr/7qAADbUPVAaB2gA4UA+iCAFaAMNQBY -TFqMEStxf/Ip5h3ozB0AnBH8IkYVoLsJAPrNAApwHYUA9CnGHaA6RQD6QKYdr/3WAADbUPoggBWg -DDUAWExLjREPAgAPAgD6hwAV6N0dAO0WASDRMQAA/CJGFeAMhQBYTEL8A4Id4D5VAP5Aph2v/NIA -21D6IIAVoAw1AFhMO4gR9inGHaiIHQD4IkYVoD1FAPggJhWgPzUA/kCmHe/8FgAAbBAi+M94BaAJ -BQCZEIktKIJcCZkRqYKJKIwqJZIZ5MAuYqvBAAAqCkBtCAuLzAs7VGi0BozIycZj/+0twAUtxQn7 -gKYdr/++AAAAAAAAAAD2oAVV0gCdAI8qKRYsG2dS7GfZEWlRAADtFjEg8PEAAO4WMCDAlQAA6BYv -INCFAAAqFi4sFjjrFi0g4TEAAOwWMiFZAQAA6xYzINFRAADqFjQhQOEAAOgWNSDx8QAA7hY2IWmR -AADtFjchoGEAAPxhABWgDgUA/GBoHeAIhQBtihEm0Bii5ydwQLHu5nlZdugFAACNNGbQF+M8ECKr -wQAA5EwQItsrgABgAAQAAACPKuP0AA+ATgAAYAAgyT0oMAXjMggp0ASAAGmN74msCTlUaZTnKwqG -W/k9ZT/gwKAqJH3RDwAAAAAA9uDWDa/99QDA0WTfnSYSLIZqZWBy7PQAB4VJgACIzN0w+JMABDAO -BQD5AASCUAmFAG2aESbQGKznJ3A8se7meW526AUAAI00wPDo2REI8ASAAPggBhXgCDUAbYoXJ+AA -rPYmYE7v/AEncAUAAPbgFz0iAJ0AKMEJKMQF/kFIFe/8ugAAAAAAAPxgaB3gDgUAJ9AYpuoqoEDt -3AEncAUAAPdABKViAJ0AfNnkjTRj/vz24NYNr/31AMDRZN+IjMhlz1obZz4rsX9+twWNNGP+3AAe -ZogfZ3MbZ50YZkGGJ4kgKILYhm4LmQLrEjgpUASAAP/WJhXgDEUA+dYGFeANVQALgAAZZnwpkrEJ -iUdkkEQqYDorYDsIqhELqgKxqvrHZh2oqh0AKmQ6jTT+QUgV7/n6AAAAAAAA90DWDe/99QDA0WTf -ZYZp3TD+1DwN4A4FAGP+wAAYZmcZZxwXZxgogronclwJiAHtZ30cRkKAAKh3LHIMDcwBHWauDcwC -LHYMK2BuLGBvCLsRDLsCK7wB+s3mHei7HQDrZG4j/HGAACkyBCp8POiZEQpYBIAA+CAGFeAMhQBY -S3bmfE4o2ASAAPrAaB2gDDUAWEtxKnAFKwo5e6ELHWdVLHITDcwBLHYTLhItuBj+AAoVoBlVAG2a -AggAihtm/ZsS/uAIFeAJFQD4JAYd4/j1APghhB2gCgUA+iNmHaAItQDqEi4v/gKAAPnmAA+wDDUA -7xYDI9lFAABYS1crEjEoEi8pYAEqYAAqhAAphAEqEjAvYAL/AEYd4A41AP4nBh2gDQUALRQ5LRQ6 -/CdmHeAcBQBYS0kuEjMtEjIs4AAr4AEr1AEs1AAq4AIp4AMp1AMq1AIo4AQv4AUv1AUo1AQs4Acu -4AYu1AYs1ActEjUsEjQr0AAq0AEqxAErxAAp0AIo0AMoxAMpxAIv0AQu0AUuxAUvxAQr0Act0AYt -xAYrxAcsEjcrEjYqwAApwAEptAEqtAAowAIvwAMvtAMotAIuwAQtwAUttAUutAQqwAcswAYqtAf9 -YMYdoCkFAPgnhh3g+PUA+CemHeAOBQD+K4YdoA8VAP4thh2gDSUA7xVEK9AEgAD+MYYdoAy1AOgV -QiDYIQAAW+0Jw9n84KYd7/dWAPbA1g3v/vUAwOFk7Q8qzE76IGgd4Aw1AFhLB/xgiBXv9BIAAGwQ -LigwVGiCAtEPAOs8cCMo0QAA+qBoHaAMhQBYSv3rPGgjIPEAAPqAaB2gDIUAWEr56zxcIxFxAAD6 -QGgdoAyFAFhK9Cs8eOkyGSNRoQAA+MMmFeAcBQBYSu4cZuGKbCtgBR9luP1ABAUwPBUA/WAcvCAD -FQAdZtwNrQKdbCdiFihgDCNkVCnyey5hGS/yhKmI7hZSLEZCgADo+AgLvkKAAKf/F2YUKBZQ/iom -FeAZBQDnABUIwASAAG2aAggAiigSUBllTCiBKfkAEmRiAJ0AHGa1jWD+wLAVoApVAP7KkBXgOyUA -WFEWGGVqGWVp/sAIFeDqFQAqFBiZEvggBhWgDIUA6BJQL/4CgAAM/wKfESpgByiBKfjLPAXhqgEA -AKoRCogCCYgCmBQO6jCfGZ4VHWZM/CEGFeBLBQArFQ8qYFQqFDEpYAUpFDIoYDAoFDMjFDAvYhbv -Fg0g+IEAAI71ifGK8ovz7fIEIMEBAACdhJuDmoKZgZ6Fj/CfgI5gLhYWLWBULRRdK2AFKxRgKmAw -8iuGHeAJBQDqFGEq2ASAAOkUXiDRoQAAWEqa20D6LgAVoAyFAFhKlysSUSocetMP+25AFeAMZQBY -SpIqHH36ycAV4Aw1AFhKj+ocfylYBIAA+0CgFaAMhQBYSoopElIsEUItEUP8JiQd4EkhAPwNAAUw -vHEA+C4ADHBZKQDomAIKr8KAAOm7EQ1WgoAA/AUABjDZWQDrqgIOZsKAAPrBiBXgiAEA9IYACnBZ -QQDsVREMRkKAAP0GAAw0u5kA+wYADHDJUQD4LIYdoLk5APgGAARwmUkA7bsRDM7CgADpVQIMR4KA -AAuIAutgaC7uQoAA6WBpLmaCgAANzAL8pgAKsLsZAP9oABWwyTkA+0YADXC5MQDuzBEN38KAAAy7 -AvtGAA1wmSkA+yYADLA6BQAKmQIpFGWCbAhVAgVEAvQs5h2g8oEA8hEABzAikQDq7hEJFkKAAOLu -Ag/+woAA/8YAD3APhQAP7gIuFGaMZy3JFCrMIO7CCSaIqYAAZOEN+iBoHeAMhQBbFQ8vYAX4BiId -rAkFAPn/5w0gCgUABwCJKhYl6RYkIMH9AAD5AyAVoBlVAG2aAggAivLKIBWgDDUA/MAIFeAOtQDj -FKsg0f0AAPI2Bh3j//UA7xVULu4CgADu3QIFUMkAAO0WJylYBIAAWEokIxTI+i/gFaIfdQD+LQQd -4PnFAPg5hh3gCCUA6BTNJXBFAAAo4ALt4AElSNkAAC2UAeiUAilYBIAA/8AQFaAMNQDulAAlUFUA -AFhKESgSJdpg/s3+BaAMtQDyO8Yd6IgdAPgkphWgDSUA+CbGFaA/NQDvZAUg2f0AAO4WJiXYZQAA -W+v70Q8ZZfQJqQL4wYYV7/GuAAAAAAAA6mQACNgEgAD8AQIdoA0lAFsMHGP+5gAAbBAWFGTDIyAM -JUJ7KCIWJEKEpTPlZSsZnkKAAONDCAxGQoAAqET0AAoV4BkFAOUhGSjABIAAbZoCCACKGWRhKDEp -0w/5ABLcYgCdABxlyo0g/kCwFaAKVQD+SpAV4DslAFhQKhZkfxhkff5ACBXg6RUAKRQYmBKWEP3g -ABewBoUABv8CnxEqIAcoMSn4yWgF4aoBAACqEQqIAgmIApgUDuownxmeFR1lYvwhBhXgTAUALBUP -KyBUKxQxKiAFKhQyKSAw+CZmHeALFQArFDAoIhboFg0gwIEAAI+FioGMgo2D7oIEIMkBAACelJ2T -nJKakZ+VKIIAKJYALyIALxYWLiBULhRdLSAFLRRgLCAw/CwmHaAKBQAqFF7rFFwg0aEAAOxkAAFY -0QAAWEmtKhxw+keAFeAMhQBYSaorTHL6L0AVoAxlAFhJpiocffpJwBXgDDUAWEmj6yxcINH9AAD7 -QKAVoAyFAFhJnioRQvQEAAfwRTEA9AUABvA1QQD0CgAD8JVZAP4oZBWghUkA/iYkHaG1HQD6pgAN -8MU5AO3MEQxGwoAA6ZkRC76CgADpdwIJnwKAAOgzAg7vwoAA7f8CCieCgAAMRAL8QYgVoLsBAP1o -ABWw2ikA5zMCDu7CgAD9ZgAN9MyZAAy7AisUZPpNEBXgmmkA9k0wFeCqcQDqmRENVkKAAPsmAAyw -uxkA9gYABXDHOQDuzBENV8KAAOyqAg3fQoAAC5kC+yYADLB3KQD45gAL8DgFAAh3AicUZY4sBDMC -A/8C/izmHeDegQD+EQAGMO6RAOrMEQ92QoAA7swCDu7CgAANzAIGzAIsFGaJJyuZFCqcIOySCSWA -qYAAyM36IGgd4AyFAFsUJdEPAADqJAAI2ASAAPwBAh2gDSUAWwty0Q8AAABsEByJJycxCyiZFIaZ -5ICvY7sRAAAqbBn6Z6AV4Aw1AFhJRSpsHfpoIBXgDDUAWElCLjA8ii4YZh7/26AVoAsVAO6+OQ02 -QoAA+UAuDCIAnQAZZAWILSmShOxmJxxGQoAAqJipZi1gBYpnj4eLiPtByBWgGBUA7/IOJus9AAB4 -2zEYZh4I2AqIgAqAACsWLSoWLPXAMVCSAJ0AKfA+KvA/CJkRCpkCsZn55+Yd6JkdACn0PtogWwxJ -0Q8AAAAAAAD//UQNoAYFAAAAZeRCKTBU9SA6eJIAnQBpktfBp+pkVCtQBIAAW/8cY//HZeRBKTBU -9SApAJIAnQBpkrZgBSFl5E0pMFT1IDb4kgCdAGmSosDFLGRUKzBY9WBBtBAJFQD+ACIdoA0FAAnt -OGTQYSsyGStlGRtlLohsKjBaDwIA+wAEBHCqOQDrZBodU4KAAAqIAihmDCowWvsABARwqjEA62Xc -HVPCgAAKiAIoZgwqMFovYAULiAH6BQAFMDvVAOtkBS1UAoAACogCKGYML2Qw/AAiHeAMBQAJ3Dhk -zxQlYAwkYhYYY2kTY7AeZBsogocjMoQOAInoVQgKJkKAAOQ0CAquQoAA9GAAQfAZBQDlYRkgwEEA -AG2aAggAihpjTykxKdMP+z/2XCIAnQAcZLiNYP7AsBWgClUA/sqQFeA7JQBYTxgaY2wZY2z4wAgV -oOsVACsUKPgghhXgB4UA6hYGLEYCgAAHiAKYFStgBykxKfrHQgWhuwEAALsRC5kCCpkCmRgO6jCe -GZgdHWRP/CGGFeBPBQAvFRcsYFQsFEErYAUrFEL6xhAVoAwVACwUQCoUQyliFukWESDIwQAAiJWP -lI6TjZLrkgEg0UEAAJuhnaKeo5+kmKUpkgAppgAoYgAoFhovYFQvFG0uYAUuFHAtYDAtFHH8LYYd -oAsFAOsUbiDR4QAA7HQAA1jRAABYSJrrbDwg0f0AAPtAIBWgDIUAWEiV60xyINH9AAD7QWAVoAxl -AFhIketsTiDR/QAA+0HAFaAMNQBYSIzrbFwg0f0AAPtCoBWgDIUAWEiHLhFK9AsABnCFIQD0BgAF -cJUpAPIpZBXh9R0A/qYAD/C1OQDjFTkt30KAAONiDCzPwoAA6YgCDVeCgADrqgIOZkKAAPQKAAXw -lUEA/yAAFLD/AQDp/xEN3oKAAP1mAA2wTikA/JgAEjDFSQD15gAPtDOZAOP/Ag5mwoAADJkCC5kC -LxR0/M0QFeDOaQD6zTAV4O5xAOnuEQ5mgoAA/4YADjDdGQD/qAAWsOs5AP2GAA5w2zEA7u4RDu/C -gAAO3QL9hgAOcLspAP1mAA2wPAUADLsCKxR1hWwKmQIJiAL4LuYdoEWBAPQRAAHwVZEA6jMRCq5C -gADlMwIKJsKAAAQzAgczAiMUdolnL5kU5PTtZKiBAACKmWSk49pQ+iIAFeAMhQBbEw5j/G5l4Sgp -MFT1IBZgkgCdAPk/4ulSAJ0AYALV6iQACdgEgADsRAAK6ASAAFv2wtEP+cAI0NIAnQArMS79YB18 -IgCdABxlHv1/4T0iAJ0A+sBoHaF7JQBb+4Nj/BQA+cAIaNIAnQArMS79YBx8IgCdAB1lE/1/39Vi -AJ0A+sBoHaIbNQBb+3hj++f5wAgI0gCdACsxLv1gG4QiAJ0AHmUI/3/edSIAnQD6wGgdohv1AFv7 -bWP7u2Xg9SkwVPUgElCSAJ0A+T/dUVIAnQBgAlMo8D4p8D8IiBEJiAKxiPnn5h2oiB0A+efGHa/u -JgAAACnwPirwPwiZEQqZArGZ+efmHeiZHQD558Yd7+2mAAAAKvA+K/A/CKoRC6oCsar75+YdqKod -APvnxh2v7SYAAAAr8D4s8D8IuxEMuwKxu/vn5h3oux0A++fGHe/spgAAACzwPi3wPwjMEQ3MArHM -/efmHajMHQD958Ydr+wmAAAALfA+LvA/CN0RDt0Csd395+Yd6N0dAP3nxh3v66YAAAAu8D4o8D8I -7hEI7gKx7v/n5h2o7h0A/+fGHa/rJgAAACjwPinwPwiIEQmIArGI+efmHaiIHQD558Ydr+qmANog -Wwry0Q8roHAPAgArvAErpHAuMhvTDwjuEfkgEWlQHIUA6jQAC1gEgAD1/+IdoA+FAG36FS+wNC2g -ZOqsASXYBQAA/eAcFWIAnQD6wGgdoAiFAG2KFS+gPC0wXOM8ASVQBQAA/eAbjWIAnQDuFgArUASA -APwgaB3gCTUA0w9tmhUv0AAuoE7qrAEm6AUAAP/gG3UiAJ0AK2AF+sYGHeA61QD6wKYdr+f6AAAA -AAAAAADrPEUg0f0AAPtEIBWgDDUAWEeZLxIoKBIt/wMIFaj/HQAvFigtghkcY4b9wABFcCvVAPeg -AEbwL+UA7YYZJwu5gAAYYdN9i3MpEij9P81MogCdAGP5nAAAAAAroFwsoF0IuxEMuwKxu/tLph3o -ux0AK6Rc2iDrNAAKYASAAO1UAAtwBIAAW/kQY/lnLKBcLaBdCMwRDcwCscz9S6YdqMwdACykXNog -6zQACmAEgADtVAALcASAAFv8a2P5Ni0wOCgwOdMP6TA6Lu4CgAAI3QLoMDsu7gKAAAndAgjdEQjd -AvugDGRiAJ0A/6AMJGIAnQAvEiguFisqFirs/F9x2VEAANxwWEdd2iDrEisqYASAAO1UAAtwBIAA -W/slKRItwID5IyYVr+M+ACugXiygXwi7EQy7ArG7+0vmHei7HQArpF71P8hZEgCdAPzGEBWgDWUA -LWRU/MCmHa/mGgDccFhHRtEPLqBxse7/TiYdr+IeAC+gcrH//05GHe/h6gAooHKxiPlORh2v4bYA -KaBysZn5TkYd7+GCAAAAACxkVPoIAh2gi2UA6mQFK1AEgABb9Idj+D8AAC0SKP2/weSiAJ0ALTA4 -KDA56TA6Lu4CgAAI3QLoMDsu7gKAAAndAgjdEQjdAi0WKXvRB/+/wD1iAJ0AGGQUKTEuLhYrKhYq -+T/3BSIAnQDaIOxEAAHZUQAA7VQAC3AEgABb+uYcYwkqEiotMDgoMDkuEivpMDou7gKAAAjdAugw -Oy7uAoAA+aYADvAr1QD9oAAWsC/lAPmmAA6/+kYAAAAAAADrHBArUASAAPwBAh2gDSUAWwkmY/eE -AAAfY/IrMS5/sXkYY/EuFisqFip4sToqEi3AkPlDJhXv3ZYAKjBa81++PhIAnQDzX73+UgCdAPFf -vb6SAJ0ACgxD/Z/gFaALBQD9YgAMv96SAOx0AAHZUQAAWEbrHmLdLRIo0w//v7kMogCdANog6xIr -KmAEgADtVAALcASAAFv6sGP/kSgSLC+Acu/8AStQBIAA/w5GHefbBQBYASllr3Vj9uAAAAAAAADt -8wZ6UASAAMChZKx0YAAM7fMGelAEgADAoWSshSxkVPrAaB2gSAUA+MCmHaCLZQBb9CBj9qQAAH/j -AcBBZU/cY/yKAGwQBisgB4gnDwIACwtB5IE6ZGCBAAAtiRQPAgDqggkmiWGAAPFSQA3gHyUAiKAu -oDAIiFeYEv/AF1xj/fUAKaEI/SAX/GBOtQAoIAX1QGgd4EnVAPnBjg2gT6UA/wAHYeIAnQD5AAck -YD0FAIoS9sMuBe/OBQAOzgF9oRkowQUMShGqOq6O7uxALSgEgAD/QBeSogCdACgxC4ZaGWGL6IzE -LeAEgADoZjYNxwKAAPVgEXISAJ0AqYjqgp4jaN0AAPdgAQT03R0A6ZK/JugRAAD9QBXD4gCdACeC -nQl3Ae9hHxuDlgAAiiLrFgAlE8GAAIsSw8B8uVSIJxVjFy2JFCVSf+TSVmRQgQAAiIkmgAcrCgDs -VAALaASAAFsMrIon2zDqrCAqYASAAFsRTIwgiycIzBEMTALspgEl0IEAAOtUAAtgBIAAWxFF0Q8A -0Q8AAAAAAADqYZkTaN0AAP4ACB3k3R0A/CAmFe/+xQDu0RRzeGEAAOncBCvABIAA0w9tmQIIAmEo -IAf8ICgVoJgRAO5hUhzKgoAACpkCmXAtIgAqEgIvdgPudgIu7gKAAA3MAvzgJhWgOwUAe6EaKiIH -+oBoHeAMBQDqrCAqaASAAFsMfyggB9WgKyEICAxB7WM+HmQCgAD9ZgANsAwFAJx1DbsCm3QFBIkH -IIuddpZ3LCAMKnwo5nYHLmQCgADsuwILYASAAOt2BCHZUQAAWEZAKlwZ+megFeAMNQBYRjzkYWMR -2QUAAPqjoBWgDDUAWEY3G2DYiBEmVQsqUAeaUS0hBy4gB+whCCxPAoAA+OAARPrdAQD/oAAWsK4R -APVQABUx7gEA6t0CD3wCgAAPzAIaYRYLzAIE3QKdkI0gnJSblvsgRhWgPwUA/yBmFeAKBQD7IKYV -oA9FAOqWBy7uAoAAD90C7ZYBJPiBAAAFIIYPAmMFAIYPAmEdYQAM7BHtzAgEWBEAAOvGnSlQBIAA -Wwk50Q8dYOiK2PdABViSAJ0AGWD2DMgRqYjugp4jeN0AAA9PFLT//8AFo+IAnQAHyQopkr8ngp0J -dwFkcKKwrP2hBhWv9v4AAAATYbMoIh4jMn8JiBHoMwgJUASAAFsJIdowWwkg0Q8A6iQACdgEgADs -RAAK6ASAAFv8o9EPAAAA//awDaAIBQDrvBgjaN0AAPpAaB2k3R0A/aCAFeAMFQBbFbJj/W0AAPlP -AAq/9DoA//U4DaAHBQCbE/ogBhXgCgUAWEjIHWC3itiLEIwT+V/5+JIAnQD/9KANoAcFAMBwwMoM -rDT9oQYVr/RmAAAAAGwQBBpgwh9g+S4hByggBxxgcfxBBBXq7gEA+CAAA7CIEQDqiBAPdwKAAOju -Agu0AoAABt0CDN0CD+4CnkCGIJ1E/IDGFaALBQCbRfqA5hXgOQUA+oBGFaAIRQDpRgMrNgKAAAhm -AuZGASIQgQAAAyCGAgJjAwCGAgJhEmA0DH8Rov+V8NEPAABsEAQpIhMPAgD4wyoFofmxAOvzZ2fQ -BQAACgpB6JgBDVKCgAAKiALoJhMhg1mAACoiByqsEFsN5PRA6BWj6IUACDMo0w/qNAACIEEAAFqc -lO1f5BngBIAA7mKPHVgEgADvIgAqUASAAFqcSYInIiwQ2iBanCdooSfAINEPLSBV/kCwFaAKVQD8 -xQgFoDslAFhL2cAh0Q/aIFvxZ8Ag0Q8A2iBanDgSYC0LqBHoIggFAcmAAAzqMCsihYuwsKLsuwgJ -UASAAFhNRhxgQyrCf/pABADQCxUAALsaC6oCKsZ/WE17wCDRDwAA+gDiHaALFQBbDogsIn/8UGYV -oAIFANEPbBAGFWECiy0PAgAqUmsJuxELqggqogpkoBUrrGD6IGgdoAxFAFhFaPogCBWgACYAGmC3 -mhAfYLWNJx5gBfviAA0z7IUADKwsA8woDswo7BYAJtBBAABbDZyEEIMn6kQAAZhBAABanE7tX54a -YASAAO5g4h1YBIAA7yIAKdAEgABanAOCJyIsENogWpvhaKEC0Q8A2iBam/sSX/ELqBHoIggFAbGA -AAzqMCsihYuwsKLsuwgJUASAAFhNCSpSk/pABADQCxUAALsaC6oCKlaTWE0/0Q8AAAAA+gDiHaAL -FQBbDkwsIn8sJoPRDwBsEBAbX67lYcUa6ASAACwxJ44uKlI6KSAH5jEmL3ZCgADuqggKQASAAPuB -5g3hmQEALyE3/eAizSIAnQAnITb2wCJ1YgCdAI4nZODzK+kUmR/k4gklonmAAJoeKBYR5BYSJ1BB -AABbDV0tIAX4QQQVo/n1APYBYh2gXmUA/6AkLCAPdQAJiQz4zQAP8/z1AO8WEyIhCYAAjkD9ACP8 -J+7BAIYfH1/6GV/46hITKyAEgAD0wCA6EgCdAAxoEamIKYKe+yArC6IAnQAogp0PaQopkr8jFhAJ -iAHTgGUwZooi7hYVJShJgABo5lWOJxdhiyvpFCdyf+S0s2dQgQAAhOnlRAACAQmAAPyA8BXgCwUA -7RYEK+AEgABbCx2KJ+qsICuoBIAAKxIQLBIRWw+8jCArEhEIzBEMuwLrpgEqoGYAANEPAAD1wCRT -EgCdAB1fdCkSE+0ABQnABIAAbZkCCAJhGWFrLCBBHmB2JyEHDM8J6F/JH/8CgAD/wABHencBAO7i -fyu/AoAACHcCLyEi/kgAAzbeAQD8wAATMO45APemAA69bx0A5e4RCzUCgAAG7gIWYcDu3QIOZAKA -AA3MAu1hUh/9AoAA9+YAD7AGBQCWFZcwDcwCF1+wjiAmNQqZN/xghhWgSoUA+mFkHaBbhQDvNgYh -0IEAAPpgZhXgD3UA5zYCL3YCgADv7gIBWSEAAP5gJhWgDMUAWESxwID8wKIFoAkFAPhnRh3gBgUA -9mcGHaAq5QD6Z2YdoIuVAOs0LCHQ8QAA7DQtIVlxAAD4ZyYdoByFAFhEoR1hl/5pEBWgBgUAJjRE -5jUlIdEVAAD2YoYVr49FAO80PCdwBQAA7jRIINhRAAD8IKYV4Aw1AFhEk/q+FgXgCQUAKTRULCBo -LDRVLiE2LjUsLyE3+mXkHeBKJQAqNGQpNS4vNS0nIAXFhvjgF4QiAJ0AxdAtJAUoIQguOv//ABXs -IgCdABlfISwhBycgB/a/SgWgOgUA9EAIFarMAQD2IAAG8HcRAOp3EA5nAoAA94YADnAORQDmzAIK -XgKAAOYSEi78AoAA748CAcAHAADuvgIEQkEAAOn/AgsDxgAAFmFh9iDGFaAGJQAGuwKbF4seJrIf -lhkrsh6UHfohBhXgBiUA9iVmHaALBQCbHPq+mgXgBgUAJjYhJjYjLjYdKjYfLzYgKTYiLDYc6zYe -IOBhAAAMIIYIAmMMAIYIAmEaXz3oEhMuzwKAAKqZ+TOmFaAB0gAXYOHmYAcrWASAAAdmAhdfOJax -LjYdKjYfLzYg+GRGFeAGBQAmNiEmNiMsNhznNh4t4ASAAAxghggCZwxAhggCZRdfJy4SEu8SEy63 -AoAAp2YvZp2O4P74AAcwOCUA+cAKfCIAnQDDhfnACiwiAJ0AKTr/KSUIKiAFxbb7QA8Eb84FAI0n -6yIOJuCBAAD/gAQGMA4FAO7VFCZhAQAAnNic2YosKVI66CILLd5CgADrmQgNAGYAAPkhJhWgABoA -mKvxAGAN4A8FAJqMnyuIm+8mDCQAQYAAkoyYK5Kb+kBoHaALNQBb/qHRD9sw/QBoHaCOVQBYAHPR -DwAAAAD6IcYVoAQFAPgiJhWv7roA/++kDaAOBQAdXuGJ2C4WFfcgC0CSAJ0AGl7v5xITKkcCgACq -iCaCnvbAC5PiAJ0AKIKdD0oKKqK/CogBZIFg4xYQJNv9AACb2PMAaB3v72YAjR4u0AX/pgYdoEw1 -AP2gph2v7boAAAD539wLUgCdAIYn/sQAFe/IBQD54AQHsA4FAO5lFCf5AQAAn2n+wQYV7+12AIon -21DsEgQlUIEAAFsOsdEPKyEUIyESwEDrFhQl9ZmAAOpSwynYBIAA/AACHaANFQBbCYomUsQsEhTk -TAEhmAUAAAYzLnxJ2GP+hAAaXr3oEhMqTwKAAKqZ+TOmFa/55gAAAAD/7TwNoAQFABxgwo0g+kEE -FeAKVQD6IAYV4DslAFhKD2P8/QAAAIonLRIRwMDqrCAu2ASAAFsJ6voiRhWv7ZIAix/6QGgdoAwV -AO0SEyXYYQAAWxOCLhIVY/rfHGCujSD+RsQVoApVAP5G5BXgOyUAWEn62iBbBtfRD8CA8iIGFe/q -sgDAoFhGkx1egonYLhIVH16T+T/0QJIAnQDAgPIiBhXv+r4AwIDyIgYV4AylAAycNP2hBhWv+moA -AGwQBh5ehxpfNCciFuRfUBpgBIAAKyBV5SAHKugEgAD0j+gVoAh1APtgAIXwCWUA7LsRDPgEgADr -qggLvkKAAPaAAEJwi0UA+s8ADfFVAQDrjzgKxwKAAK6I+kREFeAHBQAnFgAugp4qon8XXm7/wBFj -4gCdAC6CnQdXCidyvygKhAhoDPfABAPwDxUA8uDQDeAOdQD8vBAF4AwFAAj8OAzpOQ0Ah+kWAivA -BIAAbZkCCAJhLyEHLRICGF5c+kgABLr/AQDomREP/wKAAPnmAA+2igEACYgC/uAGFeCaOQD+QAgV -rasdAOSqEQzNQoAACpkCCYgCGl5O6nYCL3YCgAAO3QL6v+gFoF6FAPzgJhXgXQUADO057XYDLc0C -gAAKmQL6v8AFoE6FAP6PkBXgTQUADO05+ODGFeALBQDrdQov/AKAAAj/Ahhf1i11C/rg5hWgDGUA -6P8CAlnJAADvdgQj0IEAAFhDQytMbPrkwBWgDGUAWENAJnQ8/r2+BaAJBQD46IYd4AgFAPjnRh2g -CgUAKnQ5/uWmHaCPlQD+5YYd4CTlAPTnZh2gCwUAK3Q4FF2pKzEmKjEnLDBJLTBALXRALHRJKnUn -KDEnK3UmKXRI9QAGBCAJBQAqfEH6SiAV4Aw1AFhDJCssTvrnoBWgDDUAWEMgH1+dKDBFjRAeYBgA -iDII/Tvu3QID0RUAAOjdEQjYBIAA/CAGFeAMNQBYQxX4EIId4A2VAPjFhg3gCAUALXRV+OrmHaBO -JQD+64YdoA81AC90Vhxd9uoSAirfAoAArLsqtp3RD8Dg/uqGHa/49QAodFUvMSYvdSwtMSckdS8u -dS785aQd4EwlACx0ZBtd6OkSAirXAoAAq6oppp3RDwAAAPjk5B3v/QIAAIoinBGdE+TUAAUCeYAA -/L/aBaAKVQD+ZMQVoDslAO8xJypoBIAAWEk3BAtHaLIB0Q+KJ44RLakULKEVDO4Rrt3tw+t1UIEA -AOwSASnYBIAAWw2x0Q8AAAAAK1wY+kBoHaCIRQD4zwAMMA91AOj5OA6gBIAA/SBoHeAMFQBbEqFj -/4sAbBAEJiAEwMH8yaAC3/v1AOgkAAECCYAA8AAoDavTAQAAAIiJyo0ngRkHB0t32fIiggpkL+zy -AAId4AllAG2aEaQ6ojkpkGwqoADpqTZxmAUAAGAAAcAgyiGCKskt8gACHeAKNQBtqhGlOKI0JEBO -KIAA5IkucZgFAADRD8Ag0Q8AAAAA6pMGfZgEgADTwGQ/xYIpwDD+WkwN4AllAGP/gQAAAADoQwZ9 -mASAANPAyDaCKGUvp2P/w9EPAABsEARkIEP7/+Id66MBAPAAIA2gDBUAginKLiUhGQUFS3Wp8ici -CmR/7PIAAh3gCGUAbYoRpDmnOCiAbCmQAOiZDnGYBQAA0nDRD8Ag0Q8AAOmDBn2YBIAA08DIPYd5 -wDD+/NwN4AhlAGP/qtJw0Q8AbBAGFl4ajC0mYmvoX2UeZkKAAKxsjMf8QcgV4Ee1APpnkBXgSqUA -/YHIFaBPhQD5qqYNoI4VAAnYEahmjWeN3v9gBCwiAJ0ALiAF/8AKDGIAnQB+ci77xW4NoIlFAPlg -EMRgilUA+2AMHCIAnQDJYy5gBcOy+8AJhGA8lQD9wAlEIgCdANEPAP9gF7wiAJ0ALiAF/8AabGIA -nQD+4AmbIgCdAPvACVsiAJ0ALQqE/WAONGIAnQAuCoX/YBmcIgCdAP/+pA2gBgUAL8BgKMBh6cBi -L/4CgAAI/wLowGMv/gKAAAn/Agj/EQj/ArH//4xmHej/HQD/jEYd6P8dAP+MJh3o/x0A78RgIwIZ -gAAo0Dwp0D3q0D4sRgKAAAmIAunQPyxGAoAACogCCIgRCYgCsYj5p+YdqIgdAPmnxh2oiB0A+aem -HaiIHQAo1DwqYAUpCkAPAgD7Ie4NoEwlACsKPvtf+QniAJ0A/V/4zCBeBQAtIAX/oBK0IgCdAOok -AAnYBIAA7EQACugEgABb/PDRDy/AbLH/78RsI3dhgAAo0HOxiCjUc9EPAAAqYhMKb1Fr8yUZXgOx -+wsLQempAQ3agoAAC5kC6WYTK1AEgABb7fLRD9EPAAAAAPzKsBXgClUA/L4MBaA7JQBYSFrRDy7A -bbHu7sRtIwIZgAAv0HQo0HXp0HYv/gKAAAj/AujQdy/+AoAACf8CCP8RCP8Csf//ruYd6P8dAP+u -xh3o/x0A/66mHej/HQAv1HSKJxRciftCABWgR9UAWwo45yQFKugEgADoQk8pUASAAPhk5BXgCwUA -+EbkHeAMBQALgADaIFsFF2Vt+2P+DQDAYIonxE3nXHgVUEEAAFsKKOQkBSroBIAA6HJPKVAEgAD4 -ZOQV4AsFAPhG5B3gDAUAC4AAKjBUFF1v9UAKbxIAnQCLLIwuKkJr6SILLmZCgADsqggNgG4AAPlB -JhXgAB4AAJm7yJCbnMDQnSuJq+0mDCSAQYAAkpyZK4stkqsqQmsJuxGrqoqqyaQrrGD6IGgdoAxF -AFhBxvogCBWgACYAGl0VmhAfXRONJx5cY/viAA0z7IUADKwsDswo7BYAJtBBAABbCfuFEIMnDwIA -6lQAAZhBAABamKztW/wa4ASAAO5dQB1YBIAA7yIAKdAEgABamGGCJw8CACIsENogWpg++V/nkNIA -nQBgAKQowGApwGHqwGIsRgKAAAmIAunAYyxGAoAACogCCIgRCYgC+QAgFaAGBQD5jGYdqIgdAPmM -Rh2oiB0A+YwmHaiIHQD5jAYdr/YOANpg6zQACmAEgAD8oGgd4I5FAFv99dEPKcBssZkpxGzRDwAA -KsBt+0AgFaAGBQD7jaYdr/jqACsxLmW+sCwxL2XOqi4gaC0wVbHu/7/0/SIAnQDaIFsEqmP8RgDa -IFqYLhJcIwuoEegiCAUByYAADOowKyKFi7AirP/suwgJUASAAFhJPCpCk/pABADQCxUAALsaC6oC -KkaTWElxY/wCAAAAAAD6AOIdoAsVAFsKfiwif/xQZhWv77IAAABsEA76uOwF4AoFAJoQhTQmPC7n -sn4rEASAAPVwKBWjVYEA9KASKlIAnQCnVwl3EadEJEIHJEIOJ00BKHGSZIIq6zwmIlALAAD7S6AV -oAxlAFhBUxteTx9cYfwggBWgCDUA6bACIlALAADpxAIlUgEAAPtgBBXgCQUA68UALVgEgABtihUt -wAAusN3rvAEmYAUAAP+gJpUiAJ0AwJEYW8UppPEogo0v8oGoWAmIEaj/j/eP/i/9Ai/xDPXq4A3g -GdUAKzELlxmWGPsgDPriAJ0ALEKMlBX6IOYVoAYFAOUWBiZgBQAA/JGGFaAHBQC4GvpAaB3gDCUA -WEEqJBEE/mFkFehUAQD4oEAVpkRJAOhmCApYBIAA/sAjkuIAnQDgQAQCID+AAAcIG/MAI2fSAJ0A -ZLEk9WAIUJIAnQD1YAdhEgCdAPVgBomSAJ0Aa7cJarQGbl8gYAQzAPVgBWOSAJ0A9WAEbBB59QB5 -sSSLFSqykLGqKraQslvrIggKe5YAAMCf+OAHTGIAnQBgA/4AAAAA9qAfulH89QD1gB974gCdANoQ -+kBAFeAMRQBYQP2OEB1d+R9drA6MFH3BuX/BGRhdqooVeMERKaKQsZn5UgYV7/6aAAAAAAAA+iCo -FafeAQDuXPwhWBkAAFvZYWevhmADmcCo9UAcouCrdQD1f/uy4gCdAGADhAAA9L/7ShIAnQBgA3cA -AAAAAPigG3lQCIUACHcC//04Dad3AQBkU1z0oBrP0AhFAAh3Av/84A2ndwEAZFNG9KAaH9AIJQAI -dwL//IgNp3cBAADysvwN4AgVAAh3Av/8OA2ndwEA0Q8AhxXiEggjsA8AAONkAAO4CwAA7HxyK0gE -gADsFgwjQg0AAOgWDSPZ5QAA6xYPK1AEgADrXREVUkUAAOoWCytABIAA6hIGJMptAADpFg4kQn0A -AOgWECO5oQAA66oIAZoxAADqFgojMgUAALga+kBoHeAMJQBYQK8lEQQFm0bUsPdgDPqYVQEA92AI -OxIAnQD3YAqUEAiFAPsACHngefUA+WAMbWIAnQDaEPpAQBXgDEUAWECgjhAaXZwOixT7YAuEIgCd -ABxdTHyxJB1dTP1gCv1iAJ0A/gECHefOAQD94Ap6ogCdAMCM/QAKK6IAnQAcWxSKFhlbnizCjSmS -gayqCaoRqpmJl4meKZ0CKZyAKZDxyJ6NGi3QgC8KBP+gCI5iAJ0AjxcYXNQv8Jeo/y/wgPogZhXn -jgEAmBTx4AeXkgCdAIoVKKKAsYgopoBYFFsZXSmLE3mxaBpdKPtgBpUiAJ0AiRcaXBkpkJeqmSmQ -gGiTB/kgBdPSAJ0A6hIFIVgZAADtEgQi4/EAAFvXVGAAnwAA+mBoHaAMlQDsXDQBWAkAAFhAY2AA -hwAA+iIIFaAMxQDsXDQBWAkAAFhAXWAAb4kXGlwC0w8pkJeqmSmQgGiSAmmXgYoV7Fz8IVgZAABb -1/VgAEpqtxtruET6IcgVoAxFAOxcNAFYCQAAWEBNYAAuAAAAabYo+iFoFaAMpQDsXDQBWAkAAFhA -RmAAEgAAAAAA92AEcZIAnQBqtDhotGmyXe0iCApxpgAAGFrCjxceW0sogo0v8NAu4oGo/wn/Ea/u -jueO7i7tAv/QABWgDQUALeTV0Q8tIAP+QFAVoAwlAAxcNO50ACFYCQAA7XQBK1AEgABYQCuIGS+B -tLH//xaEHe/+egAAAAAA+iGoFaAMlQDsXDQBWAkAAFhAIWP/fwAAAABqsiL5f/upkgCdAPoh6BWg -DIUA7Fw0AVgJAABYQBhj/1oAAAAAAPl/+pDSAJ0A+iGIFaAMdQDsXDQBWAkAAFhAD2P/NwAAY/sw -LkKL+gCiHaArRQDsXQgXcAUAAO5GiyroBIAAWEZR0Q+IFS+CjrH/L4aO0Q8AiRUqkpEoko6xquqW -kSRABQAAKJaO0Q8AbBAEE1v5KjJ/WwHg1KDoIQhtKASAANKg0Q8qMn9bAdvqSTJ9EASAAGAATAAA -AAAA6jJ/KtgEgAD8AAIdoA0VAFsFneoyfykoBIAAWwHQ6kEmfRAEgACxWHgp1OoygCrYBIAA/AAi -HaANBQBbBZPSUNEPAAAAAAAA6jJ/KtgEgAD8AAIdoA0VAFsFi8ck0Q8AbBAE9LmsBaADFQAEJAsk -Qp8oQQMkQQL4jwAKMAIFAAQyONEPbBAIFFzOLyAEKCEIE1zNhScuIAf8d6gVoDlFAPqighXj/fUA -9qEoFaAHBQD6pAAVoe4BAO4WASWToYAA7BYAK6gEgADmFgIvtFAAACtCf48gDwIADwIAe/sBwFH9 -ACIUYgCdAIhgCIRX+IAYVGfIAQD5gBgUYA5FAP4ghhWgDQUA/CBmFeAJBQCIFI8R6lqXFFgNAADl -uDkP6ASAAOgWBC/HAoAA9eAUehIAnQAbWo6PFAuICC6CngrbCiuyv//AH9PiAJ0AKIKdC4gB74QA -DGgEgADsWioUESGAACkSBBtahgwAh22ZAggCYYggKSEH5FBJbGYCgACb8pf2l/iX+Rpaffy5IAXg -FYUA9eBmFeAONQAOzgL/4CYVqpkBAO2NAgzPAoAACpkCHlyHnvWZ8J30HVyG7fYHJ+jBAACIEhpa -ICmBCC+AB/5BBBWgBUUA5cUCDM4CgAAJ/wKfgfSAEb6SAJ0AJCAHBA9BAPwRDOwCjhP4tTIF4EQR -AOjcICoigoAA6swCDwL+AAAuIQeV0ZvS/aCGFaA7BQD7oGYV6r4BAOrWBi3fAoAAC0sCCbsCm9CL -EpfVl9f6SGgd4DYlAAgCYwsAhggCYRpaR4QS6BIEL88CgACqmSiWnYRA8AGEDadEwQAALmAU8d0Q -DeA2JQAuIQeX15vS96CmFeA7BQD7oGYV6r4BAOrWBi3fAoAAC0sCCbsCm9CLE5zUldELYIYIAmcL -QIYIAmUaWi+EE+gSBC/PAoAAqpkolp0kQgAEhFf2hUYNoDtVAHtBIo4n/cQAFe/PBQAP3QHn5RQm -6QEAAJ3oneksIBVkwUfAINEPJiEUJCES/t0wDeAFBQDqMkIqWASAAPwAAh2gDRUAWwTdKDJD5VwB -IiAFAAAIRC52Wdtj/6cAAAAAAAAA7BYAK7AEgAD14A6rEAUFAP0ADxRiAJ0AiHAIhFf4gAVUYgCd -AAgMR/mABPxiAJ0A+AACHeAPRQD+IIYV4A4FAP4gZhWgDQUA/CBGFe/2RgBoTQkYWd+KEghIApig -yJ2KJ4sQ+0QAFaAMJQBbDdmLImW/TIsR+kBoHaAMFQDtEgQl2GEAAFsOzcAg0Q8cWdqLyPdgDBiS -AJ0AHlno7xIELscCgACuiC6Cnv/ADKPiAJ0AKIKdCt4KLuK/DogBZIGCsL//gQYV7/VqAADAsPwg -CBWgDSUAWwUamhOIEJgS6GAULQC+AABljtZgAA+JgAiZEPkABhXv9xIAZIEDwKSaFP/zZA2gCRUA -2iBbAgrAINEPAJvSl9Wa1pXRnNSOEwlGAvegBhWgNAUAlNMv4QiE4CbgBwj/Ef7GAAt3RMEA98Am -FaA2JQB2QQaEEoRABIRXjBMMoIYIAmsMgIYIAmkoIAcuIQf/QAAHMPgRAOr/EA93AoAAD+4CLyEI -+cYAD3GIAQDu1hAsZAKAAAz/Ao4gK9YSJ9YVJ9YXKtYW++YAD7AMRQD/ooYV4D8FAO/WEy9WAoAA -DKoC6tYRJtGBAACPEg/ghgoCbw/AhgoCbRtZnOkSBCxXAoAAq6r5U6YV7/bmAC5Cf4wg/5/xMqIA -nQD9H/E1YAUVANogWwHOwCDRDwDA+P4ghhXv++oAAAAAAAAA//AwDaAIBQCZFf4gxhXgCgUAWEGE -HFlzjRaLyIkVGlmE+X/zIJIAnQD/74wNoAgFAMCAwKoKujT7gQYVr+9SAGwQDigxCPqzjAWj+fUA -+QAHTGIAnQAeWSsbWSkKAInqWScQyEEAAAkAigkAigkAigkAigkAigkAigkAigkAioggmhb+IUYV -oAlFAOsWBCxGAoAACYgCmBUvIActMQgPD0EA/xEP3QIO3QLtFggpyASAAAzqMPwhJhWgCwUA6xYL -INDBAAAJIIYKAmMJAIYKAmGLJwhdEQ1NAiy5FI6577EVJdCBAADtFg0mAfGAAOTgNmZBAQAAePMO -+iIAFeAMRQBbCS/RDwAAHFtjjSCPM/5gSBWgCkUA9CAGFeA7JQBYRKPRDwAAAADrHBApUASAAPwA -gh2gDSUAWwB00Q8AAABsEASFIBtbVB5ZjiQhNYoqKOLT891IFeAGBQD6gABCd5oBAOhVDASB4YAA -yySMJy/6wPZA5hWv+PUA6MYAJmiBAAAP3QHmxRQm6QEAAJ3Jncj1IAbYkgCdAPUgB4kSAJ0Amiot -4hTsIhMmghmAAP6xcAXgCgUAbQgsKPJ9K+LkqKgJiBGou4u3i77kwBVlUAUAACmyqnyZCi2yrbDd -LbatLeIUfasGY//MAAAAAJYolimWKpYrliyWLZYuli8mJhAmJhEmJhImJhMmJhQmJhUmJhYmJhcm -JhgmJhkmJhomJhsmJhwmJh0mJh4mJh/8tjYFoApVAPwMAh3gOwUAWERb+qBoHeB+BQD+QKYdoAwF -APphqBWgDRUAWwO76jIOKlgEgAD8AAIdoA0VAFsDt9EPiDvqIgomeCEAAJ+B6MYCIcixAACZw/5h -ZhXv/F4AjTnqIgomWCEAAJvR7cYCIfiRAACfw/phJhXv++YAbBAEjS/I3AIqAvpCCBXgDBUAC9AA -iSrzIAoKEgCdAIwuyMv6QggV4AoFAAvAAIkqhSAeWSgbWu0kITUo4tPz3UgV4AYFAPqAAEJ3qQEA -6FUMBQHhgADLJIwnL/rA9kDmFa/49QDoxgAmaIEAAA/dAebFFCbpAQAAncmdyPVAB4iSAJ0A9UAI -ORIAnQCZKi3iFOwiEyaCIYAA/rCkBeAKBQBtCCwo8n0r4uSoqAmIEai7i7eLvuTAFWVQBQAAKbKq -fJkKLbKtsN0ttq0t4hR9qwdj/8wAAAAAAJYolimWKpYrliyWLZYuli8mJhAmJhEmJhImJhMmJhQm -JhUmJhYmJhcmJhgmJhkmJhomJhsmJhwmJh0mJh4mJh/8tWoFoApVAPwMAh3gOwUAWEP1+qBoHeB+ -BQD+QKYdoAwFAPphqBWgDRUAWwNV6jIOKlgEgAD8AAIdoA0VAFsDUdEP+kEIFaALBQD8AAIdoA0l -AFrvSdEPiDvpIgomeCEAAJ+B6MYCIdCxAACaw/5hZhXv/AYAjTnpIgomWCEAAJvR7cYCIfiRAACf -w/phJhXv+44AAAAAbBAGHFqQjyAeWC2INCkgBYQ1/wAEBzAKVQD4IAYV4DsFAPhAkBXnNAEA+CAm -FetEQQDyIGYV54jBAOgWAipoBIAAWEPDH1f7KPJ/L/KQpITsWn4aJkKAAKT0jUD+gLAVoApVAP6B -SBXgOwUAWEO4woP4YAQ8IClVAPhv5g3gK0UAezF3aDE5aDI2/GZgAdAcVQD8ZWYNoB1lAH0xIy4i -GO9CCCcBQYAAKCAEyvXpIgckAVmAAMqdG1nYikoLqgKaStpAW/9jwCDRDwAA9EMGFa//YgDygQYV -r/9WAMHI/ECGHa//TgBa8/eNIJ2g+kDmFa//GgAAAAAAAAAA/GBoHeAKVQD8tKQFoDsFAFhDkMAg -0Q8AbBAEG1hrGlpO/rSYBeAMBQDrOAIJT4KAAPsgAEUwDVUA/yAARPAOFQD5IAYVoA8FAFsF89Kg -0Q9sEAQjJHL6QGgdoAsVAFsJdNogWwn90qDRDwAAbBAEiioZWjocWjv/ReAAkHglAAmpASsgBQyZ -AZkq+WOGDaB6BQB6sRT6QQgVoAsFAPwAAh2gDSUAWu7Q0Q/RD2wQCBxaLSMgB/RDCBWnVQEA9r/A -FaAIFQAGhjmPSC1ABI5A/+AIFeEzAQDyIAYV4ApVAPYgJhWgOwUAWENb+kBoHaAbBQDtHBAp4ASA -AFsOumSghR1aGowgwOEupAkNzAKcoBtXhekSBCnXAoAAq6qZoOsgIilQBIAAWwKUj0r6DkId4HhF -APqAsBWgDAUA7CQjJ4iEgAB4oRloUjiKJ8Cx+0QAFaANFQBbAyDAINEPAAAAAPtDxg3gfAUAfKEW -+oEIFaALBQD8AAIdoA0lAFrum8Ag0Q/AINEP2iDsWfkbWASAAFsOecAg0Q8AbBAGHFn17SIAKngE -gAD+QJAVoApVAPQgBhXgOwUAWEMnHFnuLTIELjAXLzIG+GDoFaAKVQD4IAYVoDsFAFhDHykgBPpE -UBXgGoUAepFZyLjaIFsCY8CwKyQjKjAF+g7CHeB8RQD9SOYNoH11APul7g2gAgUA+0TuDeB+JQD/ -Q+YNoH8FAH+hF/phCBWgCwUA/AACHaANJQBa7m3AINEPANEPAAAAAAAA8kMIFe/+igArNAX6QKYd -4AIFANEPAAAAbBAEJCIYH1dYjTQcWcX+YvAVoApVAP+gBAbwOwUAWEL3KDAXwpp5gRfrICIpUASA -AFsCO8Cg6iQjKlAEgABb/3XAINEPAAAAbBAEJCIYH1dGjTQcWbT+YvAVoApVAP+gBAbwOwUAWELl -KjAX+0BoHeAoVQD5QAVcIClFAPlABRxiAJ0AKUIKHFdi9gRiHaAeRQD/IUAIUBX1AAydAi1GCviA -sBXgH+UA/0AEJCAMJQD/T+YN4Aw1APVNhg3gKBUAeKFk90wmDa/89QAqCnR6kRGNT8jc60IQKlAE -gAAL0AArMBd1sTz3ZyYNoIzlAB5ZhSsiGI1KK7B0Dt0BnUp8uQ7Aw/xMhh2gAgUA0Q8AAAD6QGgd -oAsFAPwAAh2gDSUAW/9MwCDRDwD//nwNoAxFAGP/l2P/lAAAbBAGIiIYZCBxHFl5iCiNIC8gBS6C -APkAsBWgClUA+CAGFaA7BQBYQqcuIAUpCnP5ycYN4HpFAPvNpg2ge2UA+8S+DeB8JQD9w6YNoH0F -AH3hFfpBCBWgCwUA/AACHaANJQBa7f0uIAX8QAgV4ApVAPyywgWgOwUAWEKSwCDRDwAAjS+PKC4K -dS4kBe70BSaAiYAA2iD6QggV4AwVAAvQAP5AsBWv/xIAiSgoCncoJAUolAX+QLAVr/7GAAAAAAAA -bBAEKCBywJQJiALoJHIpUASAAFsI/dKg0Q8AAGwQBisgDRxYMiogDPytYAXndQEA6cJEJYfBgAAY -WUCoqCiAfSbSiKuIqGYJZhGmliZsgCkgB/TgCVkRWQEAiyJlsWgsYA3xhFAN4AkFABpYICqggBhW -rgiqEQoGRwhmCihi5PogJhWoqh0AC4AACglBaJEH+SAModIAnQAoYuqKEQuAAOmkAA0APgAAYAFc -Flb261b2GAQKgAD0oAQSEgCdAAxaEaaqLKKe94AKolIAnQAsop0LWworsr+UEAvLAeS0AAWJQYAA -yJuKMPphABXjqgEAW6eE2iDrRAAJ4ASAAFsGNwxcEfeAAEYwC0UA68adI5RlAACKJ40QwMDqrCAu -2ASAAFsCGdKg0Q/AINEPJtKHqmYJZhH3IABDP/xeAB1WwIzYmRL3gAdIkgCdAAxaEaaqKKKe9wAH -+lIAnQAuop0LWworsr8L6wFksO3kFgAmc/0AAJ7Y5LQADftmAABgAIgAAIgn+QQAFe/KBQD7IAQE -sA8FAO+FFCTJAQAAmYnphggpUASAAFryICsgBPl/9VxSAJ0A+kEkFeAKBQBYHrLAwPxAph2v+loA -aHIFwCDRDwAAiifAsPtEABWgDDUAWwaOHVdBnaCMIPqxqgXgDTUA66YCLmYCgAANzAL9QCYVoAIF -ANEPAAAAAOokAALYYQAA/AACHaANRQBbC3pj/6nAsPQgBhWv+uIA//nQDaAKBQDAoFg+kh1WgYzY -iRIbVpP5n/hAkgCdAMCw9CAGFa/8ngAAAAAAAMCw9CAGFaAOpQAOzjT/oQYVr/w2AABsEAj6r0IF -4A0lAJ0RmxCPMg8PXy8VBC4gDCwgDQjuEQ7MAiwVBSkhCZsQ7RYBKVAEgADpFgMgwEEAAPIIaB3g -DAUA6AwACNgEgABb/1nRD2wQBBhWGghICiiC8OokAAnYBIAAC4AA0Q8AAABsEAb2q6QFoReFAAcn -KAdmCBdYlShtBCOGDydyf+tYkxvQBIAAWEMW5bQADSAEgAD6YGgdoGtFAFhDEfwAAh2gbUUAWEJJ -2kDzYGgd4AwFAOtUAAnoBIAAWEJD77FmbfAEgAAZVrgcWIICKgvvWIIdVsKAAOyqCANwEwAA/1im -FeANJQDt5hAjYBMAACnGEeumwSNAEwAAK4YSLaLAH1ZNHlYoD90BDt0CLabAKaLAHFWfDJkC6abA -I0ATAACTj9EPAAAAAJMQHFhsmxMfVcUbVcT4rTgF4Ao1AOkWAivHQoAAC4gs6YgoCWgEgAD4ICYV -oCsFAFhBjfqrdAXv/Z4AbBAG9KskBaEYhQAIKCioRChNBIqO6oYPKdgEgABYQtj8AAIdoG1FAFhC -DxVYT9MPJVJ/07DrWE0a0ASAAFhC0PxgaB3gDAUAWEIH77FmbfAEgAAZVnwcWEYCKgvvWEYdVsKA -AOyqCAJwEwAA/1imFeANJQDt5hAiYBMAACnGEeumwSJAEwAAK4YSLaLAH1YRHlXsD90BDt0CLabA -KaLAHFVjDJkC6abAIkATAACTj9EPAAAAAJMQHFgwmxMfVYkbVYj4rMAF4Ao1AOkWAirHQoAAC4gs -6YgoCWgEgAD4ICYVoCsFAFhBUfqq/AXv/Z4AbBAEKTAIGlYq9KBoHa/spQDlVhQUgeGAAGiRDupE -AAnYBIAAWD+vwCDRD4kwCpoBZKBUKjAJZKBe/UpgAN/spQD1IAQFf+ilAPsNAA4//z4AiTDqmgEE -qFSAACowCWSgVv1JYADf7KUAY/+veZbAKzAJZb+m6zwIKVAEgABa/Dz9QGgdr/5iAGP/tQAAAAAA -/ftCHa/+tgDrPAgpUASAAFr74okw/UBoHa/+YgAAAAD9+0Idr/2iAOs8CClQBIAAWvwz/UBoHa/9 -VgAAbBAEHlcmL+IhKeI32lDrNAAHidGAAAnJU2SRL/kgCPjSAJ0AiTCNICPi1/fbqBWgJQUA868A -CfAXBQDxIAXqkAQVAOghGi7OQoAAqWYZV9yNaoyzgmvp3QEEAVGAAH/HBRhVAwjdAnvHBSkKgAnd -AnzHBMSACN0CfccCBd0CfscCB90C/TAABLP49QD5IYYNoAwFAH87EmAAEQAAGVV6Cd0C/mDWDeAM -FQDAYBhWJBlW18P6L4YQIoYRw/svhhAthhGIgOmIAQn+AoAAD4gCH1Z7BYgCD48CDPg5H1YYmPAJ -iAEfVVkZVhUPiALolgAjAFGAAC1mCiJmC4mwH1UWeZY8L/KKLeLdo/8J/xGv3Y3aDQxZAMwRBMgC -7Yw6BuAcgAAHzAJ51wTAiAjMAnrXBMCUCcwCe9cEwNINzAKcs8DAWD81wCDRDwAAAAD99yIdr//G -AGwQBIkw6lQACdgEgADsV5gUqKKAAHmWF4/AiMGYs5+yjcKOw561nbSIxYnEmbaYt8DAWD8kwCDR -DxlU6CmSrnGWB/33Ih2v/7IAiTIJyVNvki39JKAA0Q/FAImyjbOdwZnAj7SItZjDn8KNto63nsWd -xImwY/+dAAAAAI4zf+jZ//64Da/spQBsEAQZVc4aVnIYVbj2qUQF6WIBAPrGAAswAgUA9z9mFaAF -pQDTD21aDSqS+wirAeexCHEQBQAAxyDRDwqtSfxgBhXpygEA/IAGFaACBQDRD2wQCuZVERl4BIAA -LPANlRXr8AwpkASAAONihCYboYAAGldWqroqoH0pYnysqqqZCZkRqTkpnIAtkRMukRIs8RorIQSt -7uTAH2dz/QAAZLSKwoD7ACQr4gCdACkhBQuZCPmAI7viAJ0AiyAUVaDxYAv6kgCdACohBe0WAyUg -4YAA+AAiHaAJBQD9AgAMsAsFAAmLOOkWBiWcCYAAKiEFJ/EZIyEE6ncIAShBAADzQBw30HeNAPYC -gh2gDAUAnxL2YBcBUAoFAP5gaB3hgx0AbYlgiVAAYAQJAxnwzzAN6TMBACZs9gBgBAkLGfDPIA3p -uwEAJmz2/WAfY+IAnQD7wB8j4gCdAPxgHuPiAJ0A88Aeo+IAnQCnqea4EQzLAoAACYgC6DgCB/v5 -AADoRvslUAUAAJsRkxCeFJ0T9eATkJIAnQCNFo8S+gAiHeAKBQANujjiFgclESGAAIsgkhfxYBDC -UgCdACYhBfLKQA3gCwUALvEa4hYHJxARgAAdVDIeVUcZVf8oIQUjIQQv8RmbJCslBOb/CAE4QQAA -8QAPV9BvjQAPGkn5RgANcAilAPqfZhWgDwUAbYoNLEL7DsgB7YE2d/gFAADwAQQNr/wFAAAA9KCA -FeAWRQD4oAgV7/wiALRV//w8DaAWRQAAAAAAAAD//ZwNoAwFAAyqSfogBhWpnAEA+CAmFeAMBQDp -Vd0TMAUAAOIWBy4LFgAAiBHsiBABm/0AAPjgBhWgD6UA9mAPiVAKBQCLEZYY4hYHKagEgADyIAgV -4AGGAAACo0nyIAYV6bIBAPogJhXgDAUAiBdlwRYpgQSymSmFBIlwAPEEADgaCJkC6XYAJ4M5gAAv -/PYA8QTlXP4twAqAAOiYAgVQBQAA6HYAJ4MJgADpVbkX+9kAAG5Sa4wYrKwMDEn5hgAOcAilAPyf -ZhWgDAUAbYoNIkL7DiYB7WGAdmAFAAD83/vEb/wFAGP/gwAAAAAAAAD24IAV4A8FAP7gBhXgCQUA -//4wDaAfRQD4q0QF4B9FAPbggBXgCAUA+OAGFa/+XgAAAGlRY4IXiBgcVZmoqAgISf0GAAwwCwUA -+J9mFaAMpQBtyg0sQvsOyQHtkQx12AUAAPAAXA2v/AUADKtJ+iAGFemsAQD6ICYVoAwFAOIWBy4A -xgAAjhCNcADxBOIWBy9wCoAADt0CnXCKFYsXWD4bwCDRDwAAAAD/+oQNoB9FAClie6uZCZkR+GAA -RP/ybgAAAJ8SnhSdE/h/7LDSAJ0A56cICNgEgADqdAAA4BEAAFv++4NQAGAEAwMZ/UBoHakzAQDj -FgAtCV4AACg6/3gxE4kT+GAI2+IAnQCLFPNgCIviAJ0AjRHsfhAO7YKAAA7dAg09AvyfZhXv9OYA -AAAA+kCGFe/1cgDAwP4gRhXv9JIAkhf4f/qI0gCdAJYY8iDmFa/7jgCfEp4U7RYDK9AEgADsHAQo -2ASAAFv+2Y0Ti1COFI8S/UBoHam7oQDrFgEtBH4AAP1gBDviAJ0Ae+N/6BIAIZv9AADmuhELywKA -APsmAAywBqUA6YgCA7gFAAD4n2YVr/CiAB1WQYrwnhSfEo4k72J+LV5CgACrO4y6D6oM+2FoFenu -oQDtzAEPdAKAAP+GAA4wDQUAWAfZjxKNE44U/eNEFa/upgDGyvIg5hWv+mIAmxHyIAYV7//CAACS -F/1AaB2v66UA+2IADj/57gCSF/1AaB2v7aUA+6IADj/5pgBsEASJIvhAaB2gWeEA+B0AA3GZ8QAU -Vh0ElAoiQoTH0ONmEAqZAoAA8EAEslTiAQDrggAs+IKAAAb/AvPmAA/0MkEA7bsBCZ4CgADzxgAP -c8KRAAy7ApuAD+4CLoYCKkKI/SgAEri6gQD3cAAVvaoBAPtGAA1wAgUA+wBmFaATxQDqVgMcIASA -AG06FOUjCgIgEQAA6jMIARAFAAAjMoCTQ/IAAh2gE8UAbToV5SMKBEARAADqMwgBEAUAACMyoCOG -H8Ag0Q8AAGwQBIUijCAdVe/iIgMpQASAAPxgAAYxlfEA9B0ABfCl4QD0iAAD/UKRAPOgAAE0VQEA -I9KA5lXkEfgsgADGKtEPAAAA7lPTG54CgADjUwIOe4KAAA8zAg2fCg4zAiP2hPiAABcwBAUA7i4C -DL5CgADu9oglgTGAAPMAaB2gE8UA0w9tOhSDJOdFCgEQEQAA5lUIAiAFAAAjVoDxQoAN4AIFACQK -AP0oABOwE8UAbToVI4Ig50UKBEARAADmVQgCIAUAACNWoNEPbBAEKTIADwIA/yMACpAMBQB5niLq -VAAJ2ASAAFg9SsAg0Q8AANowW//EiTAPAgDpluF9YASAANowW/+N/UBoHa//UgBsEAQrIQT0q1gF -oAcVAPQAAh3gBgUA+g8ABPADRQD6DgAFcNtpAPqIAAZxuzEAbToVLkKE6eYNciARAAAAUQQAfxoP -ZgKxVR5VnGSQfCPigH4/cmRgb+VVmBUBYYAAJwoA+KsuBaAPRQBt+hkjUojBT/aPAAp9MwEA44sH -cqgRAAB0bUGxdyzmjBRVjiMhBfVmAAowAgUA4uaBKiQCgAAEMwIj5oIl4oDu3xEMx8KAAP8GAAx/ -/4UAD1UBBaUCCFUCJeaA0Q/GKtEPKOKAx73riAEM18KAAAqIAvnQBhWgAgUA0Q9sEASIMP8CwArQ -DAUA6lQACdgEgABYPQLAINEPAAAA2jBb/779QGgdr/+WAGwQCIkwwEDxIASykAgFACogDPtfIBWg -CRUACpQ4BJg4ZIBsijPrVWQVAH2AACuxf/FgBudSAJ0AHFPIjTX6YEgV44rBAP5giBXgmvkA+CAG -FePqoQD9QAQGO7uhAO4WAilQBIAA+CAmFaftwQD+IIYVr48BAPggZhWn7YEA/iCmFa/dAQD++AAH -d/+BAFg9F/oAIh3gCgUABLo4ZKBcKTIAeZZWLSESDN0QnTIrIFwsIF0pIQeKK+zMEA3aAoAADLsC -C6oCmjMoIFD+RUQV45lhAOiZEAxEAoAACYgCCP8CnzQtICAuICEsIhDo7hAO7AKAAA7dAg3MApw1 -wMDqVAAJ2ASAAFg8u8Ag0Q8AAAAA//+kDa/spQBsEAYZUxbnMgApsASAAP6AABUwDAUA80AAQnAN -FQD44AQD8AiFAPsMyg2n5QEAlBH0IAYV4AUFAO7VOAGgIQAAi2IPAgALi1dvtlTLsRhVFQi4CoiA -6iQAC+AEgADtVAAKWASAAAuAAOykAAMwIQAA5aAMYiAhAACJEQ8CAHlDv+oSACnYBIAAWDyUwCDR -DwCVEP//qA2gDAUAAAAAAAAA//9wDa/spQBsEAYcVP8tIAwuIA3+YAgV4AolAPQgBhWgO0UA9CAm -Fe/opQD4IEYVp/+BAFg+F8Yq0Q8AbBAEFFJOKEKLJEKQooIJIhGiQqIyIiBG0Q8AAGwQEOMyACnQ -BIAAKyETLiANAwxPC80M/6IADjczgQDsuwgB5CUAAMYq0Q8AGFTi6VIDEgNJgAAUVOAEtAL1H+YV -o+SFAG0ICrBEZEDSJoL/dpAGY//uAAAAABJU1vQoABWgAzUAbToh4y0EISgRAADjMgAiqBMAAONG -ByIj4QAA41IAIRAhAACTSCgSF/lAJhWgAgUA0Q8AAAAAAGRQfP1AKBXgDPUAnB+dF9QQ8wBoHaAD -NQBtOiHlQgchGBMAAOdCBiEwEQAA5TYAIiPhAADlbQQhECEAAJdQFFS48iQAFaADZQBtOg/jIgch -E/EAAONGCCIgEQAAHlSy/2YADzPihQDuhv8hE/0AAMklL4L/75j3cRP9AADAINEPxy/RD8cr0Q/H -K9EPbBAcFlIJGFShGVHCjzAqIRMuIA36qUQF788BAArNDP+iAA43L4EA7KoIAQVJgAD0QAmokgCd -AGgiB8Yq0Q8AAAAAZU/0BgCH4jIBKJgEgAADAmEDAmHiVJIZG4KAAJMckhQkHCDzAGgdoAM1AG06 -IeVCByEYEwAA50IGITARAADlNgAiI+EAAOVtBCEQIQAAl1AUVIDyIGgdoANlAG06D+MiByET8QAA -40YIIiARAAD7RgAK8+KFAOWG/yET/QAAZCE6JoL/5pj2cRP9AADAINEPAABlT2KCMeYABQCZ/QAA -8mAgFeAMJQBtygIDAmElCn7lFiMpZ8KAACwWK+JUYxCZ/QAA8mQgFeAFZQBtWhIjPPztMgghcBMA -AO3mACEQEQAA4lRcEJn9AADyYCAV4A9lANMPbfoP5TIHIRARAADlJgchm/EAAAusAv0f5hWj4oUA -sCJkIK0tgv/9IAVeYgCdAGP/7AAAZU7OBgCH4jIBIJkBAADyAKgd4w4FAAMCYe4WFCl+AoAALxYc -JBxg8wBoHaADNQAPAgAPAgBtOiHlQgchGBMAAOdCBiEwEQAA5TYAIiPhAADlbQQhECEAAJdQFFQ0 -8igAFaADZQBtOg/jIgchE/EAAONGCCIgEQAAC6IC8x/mFaPihQCwIskhJYL/5Zj3cRP9AADAINEP -xyvRD8cr0Q/HK9EPwCDRDwAAbBAGijAKBlf8w6AR0B01AGVBtNsw6iQACmAEgABb/3TToGUxpNIw -0Q9203P8zgBF37oBAPTABkWQGBUAH1QW+MAG7CIAnQD3oA07oBklAPjADPviAJ0AZED1LiANjC8o -8nHptAAHB6mAAKnMCMwKjMBkwYCKMX1hTvVAB5gSAJ0AZEBrKsAWLQr//UAG1GIAnQCaMcCg80Bo -He/+IgAAAPjACSZSAJ0A6zQACVAEgADsRAAK6ASAAFv/A/NAaB3v/Y4AAAAAAAD1QAegEgCdAB1R -Ri7ABy3Si/vxqBXh7gEArt0J3RGtu6uqKqBGZU+TCgpH+4LGHa/+QgAAAAAAAO4gDSIDoYAA6SEI -JwUhgAAuIRMOngz+YCYVr/3qAAAALiANZOBm2bCLLyrycam5CpkK6ZIAIgMRgADpkQgnA9GAACwh -EwycDPxgJhWv/SIAZV8G//z8Da/69QCJLPlvAAz//CYAAAAA//yYDa/69QD6H+Idr/w2ACohE4sx -CrwMDss4q6r6QQQdr/wuAIks+W8ADP/+VgAAKiETizEKvAwOyzirqvshBB2v+64AAAAA+GAmFe/7 -ggD4YCYV7/tiAPof4h2v/KYAxjocU7MuIA0tIAzkFgAreASAAPQgJhXgCiUA8iBGFeAbJQBYPMbS -MNEPLiANHFOpLSAM9CAGFaAKJQDlFgEreASAAPogRhXv6KUA+CBmFaAbJQBYPLr/+dANr+qlAAAA -bBAEFlERgjAXU5zmIgECAUmAAPLhUg2g+RUAGFOYcoseJC3w5lOXEiPhAAB5SwoGRgqGYApgAADJ -VcYq0Q8AABhRO6goiID4YCYVoAIFANEPxy/RDwAAAGwQBB1QqIswHlOJ/KcSBaA4JQD2QGgdp6uB -APlB8g2gAgUAGVOECakKiZAKkADGKtEPAAAAZEMcK2AhKmAgCLsRC6oC+mAmFaACBQDRD2RDYypg -diwK//1AIewiAJ0A2aD4YCYV4AIFANEP+qSwBeAKFQDpYA0iGGGAAGSThS/C9o5gD+4MDlQUDkQR -BNQMJE0H9IaoFaSeAQAAkQTsUkkdQAqAAOhEAQlYBIAA5KQ5D2gEgAD+gGgdoApVAFg8cfRgJhWg -AgUA0Q8AAAAAAAAAZE9ZKGANZINX8mAmFaACBQDRD2RPRilgDWSTWvJgJhWgAgUA0Q9kTzMqYA1k -otDyYCYVoAIFANEPAAAAAABkTxsrYA1kstLyYCYVoAIFANEPZE8ILGAN6WILJhlhgACwnfxgJhXg -AgUA0Q9kTu4uYA1k4yLyYCYVoAIFANEPZE7bL2AN6WESJ5jZgACwmPhgJhWgAgUA0Q9kTsEpYA1k -kxLyYCYVoAIFANEPZE6uK9LGKtLFq6qwqpox0Q9kTp2MZ4zOLMEinDHRD2ROjy7SxZ4x0Q9kQcMo -YFwvYF0IiBEI/wL+YCYV4AIFANEPZE5sKWBQC4tH+WALO+IAnQDHr/pgJhWgAgUA0Q9kTk+LZ4u+ -i72bMdEPZE5CjsqNya7dsN2dMdEPZE4zj8mfMdEPZE4qiGeIjimCEIiPqYiwiJgx0Q9kThaJZ4me -iZ+ZMdEPZE4JimeKrousiqurqrCqmjHRD2RN9otni76Lu5sx0Q9kTemMZ4zOjc6Mza3MsMycMdEP -ZE3WjWeN3o7ajdmu3bDdnTHRD2RNw45nju6O6Z4x0Q9kTbaPZ4/+iPiP96j/sP+fMdEPZE2jiGeI -joiHmDHRD2RNlolniZ6KlomVqpmwmZkx0Q9kTYOKZ4quiqWaMdEPZE12i2eLviyxKSuxKKy7sLub -MdEPZE1hjGeMzizBKJwx0Q9kTVONZ43eLtEnLdEmrt2w3Z0x0Q9kTT6OZ47uLuEmnjHRD2RNMI9n -j/4o8SUv8SSo/7D/nzHRD2RNG4hniI4ogSSYMdEPZE0NiWeJniqRIymRIqqZsJmZMdEPZFDI2mBY -HeQsYAwIzBH9RgANMIsFAAuqAvpgJhWgAgUA0Q9kUKWNMfzEBh3o3R0A/MQmHeACBQDRD2RQjo4x -/sumHajuHQD+y4YdoAIFANEPZJEZLsL2i2AOuwwLWBQOiBEI2AwojQX/FqgV5LsBAACxBACpGgn/ -Av8WphXgAgUA0Q9kUEWKMfVACBASAJ0A6mR2K1AEgABa9sXAINEPL+GIZP0oKeF1KOF0qYiwiPhg -JhWgAgUA0Q8q4YhkrSYr4XT6YCYV4AIFANEPxy/RDwAALWAMLLCAANAE/F8ADjAKVQD6QGgd4CwB -AOxRbBlwBIAAWDuX8mAmFaACBQDRD41njd6O043Srt2w3fxgJhXgAgUA0Q+OZ47ujuL+YCYVoAIF -ANEPj2yp/7D//mAmFeACBQDRD4hs+GAmFaACBQDRDyphE6mqsKr6YCYVoAIFANEPK2ET+mAmFeAC -BQDRDwAAAAAAAP/vFA2v+fUALmAMLLCAAOEEAK0aDcwC/XAGHaACBQDRDwAAAAAAAAD6H+Idr/v6 -AGwQBCUwCicwC/ZhEBWgClUA7FJXGWgEgADyYEQVoAuFAORkAAtwBIAAWDtkG1JSwKDrawsKgS4A -ACyyhXxzGm8rbS0xAio0C+o0CCboBQAA/GBEHe/yVQDRDyiyhNowC4AA8UOgDeANtQD0w0YNoAoF -ACQ0CPphZh2gCRUA+GFGHe/yVQDRDwArMAvAwOw0CiXYBQAA+mFmHe/yVQDRDwCxZH1JxMAg0Q8A -AAAAAAAA/MBoHeAKFQD8pGAFoAuFAFg7Pscr0Q8AbBAE5iQAAgGpgAAeT3MfT2mLMB1SIxxSBvrw -AAVwGKUA+UJyDaACBQAZUiIJqQqJkAqQAABkQoHGKtEPZV/Lxy/RD2RP8SrCf5ox0Q9kT+cbUH0r -sICbMdEPZE/a2mD8ACIdr7sBAFgnlWSvyi2hGiyhGQDdEQ3MAvxgJhWgAgUA0Q9kT7GSMdEPZU+q -LvKu8cAUeFIAnQAi+rnRD2RPl5Ix0Q9kT5Av7fwv8rkL/xGfMdEPZE+AKAr/mDHRDwAAAAAAAGRP -cCnCgJkx0Q9kT2YLiUdkkaD5P/ro0gCdACnSV2aSTpkx0Q9kT0vHr5ox0Q8SUfEpIGj9IYACV6sB -APk/+aHSAJ0A5U+JFQ7JgAD1QA+okgCdAMAg0Q8A9dzAJeB6hQD8oGgdoB8FAG36DijBkLLM+wAF -FCIAnQCxIiL62tEPZE7widGK0P1AABU/mYEACpkCmTHRD2RO2ivS3Jsx0Q9kTtAs0tucMdEPZE7G -kjHRD2ROv5Ix0Q9kTriSMdEPZE6xkjHRD2ROqpIx0Q9kTqOSMdEPZE6ckjHRD2ROlSvidirS/gm7 -EauqiqdkoM8qrCBa9fYKTBT8YCYVoAIFANEPAAAAAABkTmpYGdj6YCYVoAIFANEPAGYvYPCL4A3n -m0EAH07y8SQ8DeACFQDbUMDA/fCIFeAeBQBt6gwvsZDq8Ql12AkAALHMLPr7AMAEDQkZ+AAABPAI -JQAJKDn4YCYVoAIFANEPAAAAAAD5P/Ag0gCdANtQ/BICHaAKBQD/8KgV4BgFAG2KDSmxkHyRfOqs -ASXYCQAAKuKLKdL+CaoRqpkpkGbAoQmpOfhgJhXgAgUA0Q8p0lZmkLGZMdEPK9IWKtIT7NxMJdvh -AADsrAwFU+EAAP1iAA0//FoAZJDX+T/siNIAnQD6YCgVoAsVAFgNCtKg0Q9YNk2LMWSwwvVgBjiS -AJ0AwCDRDwAAAKAEDwgZf4eB//5EDaAJJQAAAAAAKfKucZ5M6zIBIgJxgADAoFiWL+atPG0QBIAA -wKBYliSaMdEPZE0rLFKcjMDJx+ogmCHYEQAAC8AA0qDRDwCKMViWDcAg0Q/GKtEP0pDRD9KQ0Q8i -+rnRD8Cg+w4AC/dLAQBYlg4tYAzo2hENGASAAFg49y5SnI7hyeXqIJgr2ASAAOxEAAnoBIAAC+AA -0qDRD8Yq0Q8AijFYAvnSoNEPAFg75sAg0Q8AWDvswCDRD2wQBBNRSSUygBROdiMyf6QkJUaAI0aB -0Q8AAABsEAQVTpMWUUL0AAIdoAgFAPYAIh3gA6UAbTotKWF/AEAECQkb75cXciAFAAArUDAAsQQA -ehqwqvpABhWgABoAmCDlXAEhEBEAAMAg0Q8AAGwQBBVOOgJJFClWkSRSkgIIQw+IEfsABADQBzUA -4DYaDAEKgAD84AED3/j1AAh3AwdEAQZEAiRWktEPAGwQBBROKyJGliNGl9EPAABsEAoUTjH8YEgV -pAUFAPZACVRQDQUAKwoA6lEXEXPhAAD2YoAV4AiFAG2KFCmgfeubCAVQBQAA+8AHy+IAnQCx3caq -mhjkwWNh2HEAACxCrpsXBcw37EauK9AEgABYmRWLMYw11qD7gAvT4gCdAJwxihdYmRCOMo0x7t0I -DTgEgADtFgQg0EEAAFiZCi5Cq4wx/4AARDP/9QDo8wp9WASAAAxeDC5Gq91w6UKsK3gEgADuQq4v -YASAAOkWAClQBIAAWMqEjhSMNY8YizeKMgT/Cijyry3ytwumNqbGBt03Dog3KPavLfa3L0KsC6k2 -KEKrmDCZN580KUKumTavz66OLkarL0asGE4bqbkPmTcpRq7/AAc7oAoFAP6gB+viAJ0ALkKuG08X -/2AIi6IAnQDSoNEPAPwhBhXv/DYAhjHsFgUmAUGAACpCqytCrqaqBbs3K0aue6sMCrwMrGb2YCYV -oAAqAAutNy1GrokV+kBoHaAbFQD8AAIdoB31APcgAESwDgUA+CCGFeAIBQD4IAYVoB8FAFjKT4oy -izeMNf4giBWv/R4AAAAAAAAAAOsWByHQEQAAWJi/izGaFusWBCvQBIAAWJi86hIHLTAEgABYmLnr -EgYtOASAAP6VaBWv+yoAAAAA6zYFK9AEgABYmLHWoPxgqBWv+eYAAAAA+gBCHaALZQDsUJ4ZaASA -AFg5pi9CrP6/+Frv+kUA3vD6AEIdoAtlAOxQlxloBIAAWDme//u4Da/6RQAAAAAAAPxAaB3gCiUA -/KEgBaALZQBYOZbHJNEPAGwQBtIwiSDTUOVNyxSlRIAAGE3AKIKu8QAJSFIAnQDAQClSb5kjKFHg -KCUIL1HhLyUJLlHiLiUKLVHjLSUMLFHkLCUNK1HlKyUOKlHmKiUQKVHnKSUR+EAIFeAAGgDAQHqW -CYojK1JverQUxkraMOskAApgBIAAWDfhwCDRDwAALFHgKlZvKiEI0w/TD3rM2i1R4SpV4CohCXrc -zi5R4ipV4SohCnrswi9R4ypV4iohDHr8tihR5CpV4yohDXqMqilR5SpV5CohDnqcnitR5ipV5Soh -EHq8kixR5ypV5iohEXrMhipV51icjxxQUi1R4S5R4yRR5y9R5SlR5ChR5ipR4utR4CzMAoAA6f8C -DEQCgADoRAINVAKAAOruAg3cAoAA+6YADvAKRQD0IAYVoAsFAFg5SFibKuavMW0gBIAAYAGZABZQ -PfygegWgDTUALVXi/LxkHeAHBQAnVeAnVeEsZu9YnZ2LIdMPDwIA8WAEeRIAnQD6WAAFd7uBAFic -i+ahQW0gBIAAKGIQmCovYhGfKy5iDy4mCVicguahSW0gBIAAWJx7HFAm0w/TDyvCZupNXBWDKYAA -+1/zK+IAnQApwpn5X/LT4gCdACpiUf9E8A3gCwUAbQgcLlKHLVKQrr4J7hGu3SfWGixiUbG7/X/x -eqIAnQBj/9wAAAAAAAAA+gCiHaALBQBYnGjnr4ltIASAAFjN/2P+Uy/CmWX/mChiURxQCB1QCR5Q -CClR5ytR5ipR4w6ZAQ27AQyqASpV4/q8xB3gCgUA6VXnJAIhgAD8oAAFoA1FAC5ShytSkK6uCe4R -rrsvshbs/wEFUAUAAO+2FifQHIAALbU5J7YaL2JRDwIADwIAf6PNKVHnK1Hm/2KgBtAKJQAqZkIq -ZkP6yCYVoQgFAChmRH+XI/rHxhWgC4UA+semFeApBQD4x4YV7/WGAABYzdJj/aEAAAAAAPa85B3v -9TYAAAAAAABYmHz1QGgdr/YmAFjNyWP9fgAAbBAELEAHiEAeT2wZTR+NIP/P6BWniMEACYgKKIKk -7t0MCdAEgAD9oAAWsA5VAO7dAgpYBIAAC4AAiUGPMgmJR/HhYA3gmU0AyF6KJ4qulaD3QCYVr4LV -ANEP0pDRDwAAbBAMFE0FKyAMKiAN5AAFCMgEgAAJAmEJAmEJAmEJAmEWTQIZTi4XT0ztTi8Z5wKA -ACwUEPwgJhXgDgUA7hQRLcYCgAAIqAIucn8JiAKYEPxACBXniMEABogK+RSIFaAFVQDu3QwI2ASA -AOjdEQlQBIAA9aYADvAMRQALgACDEdMPA4NHAwMGZjItIxEE+kBoHaszAQD6YGgd4AwVAFglC+QA -BQjIBIAA6QwADTAEgAAJAmEJAmEJAmEucn8YTg8ZT5H6nBgF4AwVAJwRKxUE+CBmFeGKBQAqFQUZ -TNMIOAKYEPxACBXniMEACYgKKIKkDt0M6N0RC1AEgADl3QII2ASAAAuAAIUR/pmQBadVQQD0PwAK -8A9VAOZRtWjIBIAABACH+ACoHeAFFQAJAmEJAmEJAmEpcn+VERhN8xpPc5oSCDgCKBYA/EAIFeeI -wQAOiAoogqTp3QwI2ASAAP2gABawDBUA790CC1AEgAALgACFEf6ZWgWnVUEA+CBoHeBVTQDwtlgN -4A9VAAQAhwkCYQkCYQkCYQkCYSlyfxhN3fqbugWgCwUA+iCkHeAMFQAsFQT6IIYVoAxFAJwRCDgC -mBD8QAgV54jBAA6ICiiCpAndDOjdEQtQBIAA790CCNgEgAALgACFEQWFRwUFBuZRE2jIBIAABACH -CQJhCQJhCQJhCQJhLnJ/GE3EGU9A+CBmFeAMJQCcERlMhAg4ApgQ/EAIFeeIwQAJiAoogqTu3QwL -UASAAP2gABawDlUA7t0CCNgEgAALgACFEQWFRwUFBuZQyWjIBIAABACHCQJhCQJhCQJhCQJhLnJ/ -GU8pGE2amREZTG0IOAKYEPxACBXniMEACYgK6IKkK1AEgADu3QwI2ASAAP2gABawDlUA/6YADrAM -FQALgACFEQWFRwUFBmZQgtIw0Q8AjSD6AEIdoAsFAOxPFBnwBIAAWDgO0jDRD40g+gBCHaALBQDs -Tw8a8ASAAFg4CNJQ0Q8AjSD6AEIdoAsFAOxPCRrwBIAAWDgB0lDRD40g+gBCHaALBQDsTwQa8ASA -AFg3+9JQ0Q+NIPoAQh2gCwUA7E7/GvAEgABYN/XSUNEPjSD6AEIdoAsFAOxO+hrwBIAAWDfu0lDR -DwBsEATwRcAN7zKBAPBiEA3gJAUAAohXyoECyVPKmQLqUeSgL2Jb/QAAArQ70kDRDwAAIhH//3AN -oBQFAAgiEfSfABWv/1oAAAAMIhH0n4AVr/86AA4tEexM/SIT+QAADcI70Q/AINEPAGwQBBJL0CIi -2NEPAGwQBIIngi6DKIInoyKwItEPAAAAbBAEgieCLoIn0Q8AbBAEEkvFIiLX0Q8AbBAEJfrABSUB -JFEVpUQkTQHjJgEiIwEAAJQg0Q8AAABsEASCJ4IugyaCJaMisCLRDwAAAGwQBIIngi6CJdEPAGwQ -BBtOviQ8fwQ6FAuqAftCABWgGwUAWKRyI6UC46UDLRAEgAD07gAOMAsFAPtApB3mQwEA7KUEJWBB -AADspgAiAUGAAPqAaB2gDRUAWvL2aK4V+oBoHaALBQD8QAgVoA0VAFry8Wmu6dEPAGwQBOdLoRkv -goAAFk6ip1eTdKZVJFZ/0Q8AAABsEAQZTMWJkBpNeBhMwwqZAfhGAAlwFAUABCQClIATTpcUTXMD -IgITTLwEIgHyYAYVoAIFANEPAGwQBBhNjxpMthlLuyaCIR1NaCmSivZCkg2gBwUAJ4LdopkJmRH4 -4ABD8AASAMPKLKYQI6YRw7srphAkphGKoB9NCO2qAQlmAoAA/UYADTArBQALqgIPrwIF+jkeTKGa -4BxL4xtMnw2qAf1GAA0wAgUA6rYAI4BZgACUepN70Q8AwCDRD2wQBBtOcPiXIgWgChUA9g8CHe/5 -9QDrvOApDQgAAAu1AvYAAh2gHAUAbcoMLVGQ59EJcqgJAACxZib6+y+ChABhBACuGgniAwL/AQ/u -Av8QhhWgAUIAAAAAAAAA+EAFKVAGBQD1YGgd4BMFANMPbToMJFGQ50EIcqgJAACxZsdrLIKE+sAE -ANANBQDg3RoLAQqAAACuGgnuAw7MAQ3MAiyGhPNgaB2gBQUA/gICHaCWhQBt6gwvIZDm8QhxEAkA -ALFVx1sngoQTS2sAUQTkMoshmOEAAOMygi0wCoAACWsD63cBCiZCgACkMyIwNwdmAvcQhhWgBCUA -BCIC8mbmHaACBQDRD8Yq0Q8AAABsEAgeTi78m+AF7wwFAOIqCwtIBIAA80AAhXEXhQDnJygNVwKA -AO2qCAdD2wAA6HcICbcCgADnZggEhHGAAB9OIAIrC+hOIB3ewoAA7bsIA8gTAAD5eKYVoAglACiW -EOhLNhPIEwAAKJYRKPF/mBbotsEjyBMAACiWEi/xfg9ILg9PLOoWBCfYBQAA6L85AsgHAADrTg0U -y/0AAAyZARhL/wWbOZsX/wAEg+IAnQALjBQAzREN/QItpsksZs8vZtDRDwAAAAAAAOjiTSP4EwAA -KfISL/IR6hYELEdCgAAJiCwI/yifFfXgBXOiAJ0A5GbOI9ATAADqohEqWASAAFg4NvwgqBXgDAUA -WDdt8KtADe8IBQAlXQGwVehVAQWFaYAA/CCIFeilHQAArBEMvAIs1skqZs8rZtDRDxxN5JQRGUvX -mRAo4k3qEgYpaASAAPQg6BXgKwUA5RYDLEdCgAAKiCzpiCgJ8ASAAPggRhWgCjUAWDbEGUvJ/CCI -FailHQAAqxELmwIrxskqZs8pZtDRDwAAAAAAAAD+gGgd4Ao1APwgqBWgKwUA7BYAKWgEgADsTcgZ -8ASAAFg2svQgqBWv/L4AABVNwWW/VuhNvBloBIAA9CAmFaAJFQDpFgAp8ASAAOiCTSPIEwAA+SJI -FeAKNQDsTbgd+ASAAOUWAyxHQoAACYgs+CBGFaArBQBYNp3AofwgiBXotR0AALwRDKwCLNbJK2bP -KmbQ0Q8AbBAG9pU6BaEXhQAHJyinZudNYRNAEwAAI4YPI4YOJ3J/601dG9AEgABYN+DltAANIASA -APpgaB2ga0UAWDfb/AACHaBtRQBYNxPaQPNgaB3gDAUA61QACegEgABYNw3vsWZt8ASAABlLghxN -TAIqC+9NTB1WwoAA7KoIA3ATAAD/WKYV4A0lAO3mECNgEwAAKcYR66bBI0ATAAArhhItosAfSxce -SvIP3QEO3QItpsAposAcSmkMmQLppsAjQBMAAJOP0Q8AAAAAkxAcTTabEx9KjxtKjviWzAXgCjUA -6RYCK8dCgAALiCzpiCgJaASAAPggJhWgKwUAWDZX+pUIBe/9ngBsEAgWSo0VTWgtYn0rUuOi3exN -Zh7uQoAArbIuIDkqIDgpIRv8QAgV4AgFAP5A8BXgBxUA6Xk5BVPpAAAKeDgvFgArIBb4IGYV4AcF -APYgRhXgClUA6xYBKfgEgAD4IIYVoDsFAFg2O/RgBrESAJ0ALiA4+cAGM1IAnQBkMRn0YAkwkgCd -APhgBZlSAJ0AL1LpL/ITZPClK1IWZLCf9pqIBeADBQD0EkIdrwyFAC9igC5S4wn/Ea/urO4q4H10 -oQexM3s7enSp9y3iKGTf8YjZconsZNBmjthk4GEoIAcv4AcpIBb4AgAEMPq1AOr/AQxHgoAACP8C -L+QHKCAH/cAIFeD7xQDr/wEL4ASAAPnCxh3hiAEA+eYAD7AKVQD/wOYd4DsFAP4gAAdw/xEAWDYJ -K1IWsTP6f/uj7wyFANEPANEPHE0cLUAA/oBQFaAKVQD+gDAV4DsFAFg1/ikhGytAACskFipAAeok -BySAUYAACQlMKSUbHkstjCstQALuzAIO7MKAAA2dAi0lG/xBZhWv+4YAAAAAAAD6QGgdoAsFAFqV -CdEPAAAA+kBoHaALFQBalQXRDwAAAGwQBuVLchGG8YAA9GAGGdIAnQBkMLstQCIN2QnoIAwszwKA -AKlZKZCA+QAFtWIAnQD6AIIdoDslAOxM8RnwBIAAWDXWJUAiFEsjBVgJDIgRqEQrQn9ksIoaSgot -IAwuoocqopCu3QndEf1AAEVwDBUAWCI81qD0YAUZ0YkFAOQwm2JQCwAAKqyAKaB5wMLsmwIE+LKA -ACJCg8E866R5IQCRgAArIARosQJzsRiCKWUv8frAaB2gCwUAW8q9yqPaUFuKvtEP2iBbgnSCKWUv -02P/3mgzD2g0aGg1CfR/+bsSAJ0A0Q8tQCIN3wnuIAwv/wKAAK9fL/CAf+nn+gCCHaA7JQDsTMAZ -8ASAAFg1pNog60QACeAEgABbhHDRD2k2nalKKaB5/zKgD9AMFQD9JgAMMPvVAAuIAflPJh2v/goA -ABxMsS9AAS5AAi0gIviAEBWgCkUA+CAGFaA7JQBYNY8qICIKqwkMuxGrWyyweflvUBXgDUUADcwC -LLR5LkAALEACLUABfpkQL7B7fPkKKLB4/R/6dGIAnQAutHostHsttHhbiobRDwBsEBIbSf3jsn8p -4ASAAC+ygSqyhKIz4v8ICZ5CgACjo+gyHi/+QoAAr6qKpymBAyiBAuIWGSpwBIAA6qIOKSAEgAD5 -AAj8YgCdABlJrxtJvRJJvx1JvZ0UkhrrFgYg2MEAAOkABQ3IBIAACQJhCQJhLBYVLhYWKhYUH0x7 -GEx6KBYND08C7xYML2gEgABbhlb6Y8gVoAsFAFr08RdJgyoWGBhJjRVJ1exMcR0wBIAA/CLmFaAB -lgAAAAAAAAAAi5nCyFry/2Wgn+scECrQBIAA/ACCHaANJQBa8R4sEhcuEhX+IsgV4ApFAPigCBWg -K0UA6BYAKmgEgABYNT7qMh4jWAUAAFr01ikSGBhJcxVJuuqRUX0wBIAAKIKHJVKEpogJiBGoVStR -Kfd6Bg3gDUUAj1AI/xEN/wKfFS5QB4lXDg5B7JkUL3QCgADuvgIE0IEAAPPGAA8wCwUA7hYILnsG -AABj/1rRDwCLqPr4AARwGbUAeYEsjlct4RX/xAAVr8wFAAzsAazc7KpddVkBAAD7wGgdoCyFAFry -ymSvKmP/xwAACw9Df0nMiKkICF9phcQpoCjInWiRGmmTuSkSFWmTs2AAKysSFWmy6iwSFmXP5GAA -HC0SFWnS3i4SFmnh2GAADQAAAAAAAP1vAA3//o4ALBIVLRIW6hIUJViBAABbhfpj/vdsEAgTSTQm -IAcpMo0jMpCmmegiAizOQoAAqTODN+MyDiQAQYAAwCDRDyk9AiiRDOSAMWG4BwAAKnGSHEpI5KAj -ZPIBAAAokBYqKoDuFgQkAbmAAGiBPWSBMmiBB/UACokSAJ0ABQ5HaOK6iif6gGgd4AwFAOqsICpo -BIAAWvS9wCDRDwAAACmQF6yZKZCAaJMCaZfBKXGM8yANp9AIBQCYEfMgED+SAJ0AmBHzIBMXUgCd -ANeA6ElvE/1ZgACjfPuAAEYwCwUA68RPI/lVAADrxFArVwKAAPTAFaIU/x0AG0lkq6ouop6fEv/A -IrviAJ0AKqKdCGsKK7K/C6oBZKPlHEkBiRLsAAUNQASAAG2ZAggCYY0SG0laH0nsn6COIO9JIxPg -2QAAnKPrpgIvdgKAAA7dAp2hHUnE/nEIFaAJBQDppQoj4JkAACylC+2mBitEAoAA6O4CAdgPAADv -7gIF2q0AAO6mBCVQgQAAWC5VG0lA6RICK1cCgACrqimmnSgykrGI+HJGFa/7cgCJFCmQl6yZKZCA -9T/2UZIAnQD1P/YTkgCdAGiSB/k/9bvSAJ0ALj0DLuyA/iBmFaAPBQD/yiYd4CkVACnkU//K5h3g -DRUA/csGHeAIpQD5ysYdoAslAPvKhh3gGrUA+8pGHaAMhQDr5FUh0A8AAOqs2SHYEQAAWC4wKXGM -8yAOX9IAnQDBgpgQ8yAQT5IAnQCYEPMgEn9SAJ0AGUuRL4z+Dw9ICf8CiRP3AGgd4ooFAP8qBh3o -/x0A/ynmHe/5tgAAAADBxf34Qh3v++UA+GBgJeAYlQD5MAAV4A8FAP8qJh3vjgUA7pRSIdAPAADo -lFAlU1UAAP0qZh3gCJUA65RPIdgHAADolFQl2DkAAFguCvjxhBXiigUA//fcDaAYtQD8AWId4Atl -APhgAEc//OUA6u0DJ3APAAD/0AAVoAkFAPnKJh3vzyUA/8pmHe+IBQDs5E8lU1UAAPvKBh3gDCUA -7eRUIdgHAADo5FIl2TUAAFgt8YgR+PGEFeKKBQD5AQAVr/ZyAIcU+GAARL+OBQDqnQMkyA8AAOdw -lCTKAQAA/ypGHaAIxQD5KoYdr80lAP0qZh3gCwUAK5RRHUtJ53cJBVNVAADsfAUh2AcAAPtqYBXo -zAEA7cwCA7gFAAD9KgYdqMwdAOyUTyvgBIAAWC3SiBH44MAV4ooFAPkAAER/9KYAABxIpovI92AN -oJIAnQAdSLUMahGtqu2iniPxVQAADk4UnhL/oA37ogCdAC6inQhoCiiCvwjoAWSBrbC5mcjqhAAM -aYYAAGABDo4TwYH5zEYdoAkVAPnMhh3gDQUA/cxmHeAKRQDq5GEh2KEAAP5k0BXgDNUA7+RlIdAP -AADt5GYlU50AAFgtrClxjP/31A2gKFUAqD7q7QMncA8AAP/QABWgCGUAKORQ+cnmHaAJFQD5ykYd -4A0FAC3kUe8wYiHZkQAA/8pmHeAMJQDt5FQlU1UAAFgtmIgQKXGM+QEAFa/2vgCLFBxH3PtykBXg -DRUA+GAARD/5pQDqjQMkQA8AAOuZHQRCAQAA/QpGHeAHRQD47wAL8A8FAP8KJh3otwEADLsC+woG -Hei7HQArhE/pMHQlU1UAAOmEUyPj8QAA74RUIdnZAABYLXuIELJ5+QAARH/1HgAAAAAqMpP6wwAV -4AwFAO0SAiVQBQAA6jaTKVAEgABa/TsFC0f5f9jRUgCdAIonwLD7RAAVoAwVAFr4Oh1I7J2gjCD6 -laQF4A0VAOumAi5mAoAADcwC/UAmFaACBQDRDwAA/+7UDaAKBQDAoFgwRhxINYvIGEhH+X/x+JIA -nQAufFX+jgAPMAgFAP4gRhWv+XIAwIDA+g+/NP+BBhXv+TYAAGwQEPoAgh2gK0UA7Eq5EWgLAADt -0BcmkmEAAFgzlBlIFC4gfyqSgSiShK6qCaoR+wAARDANBQAthDUthEn9C6Yd4Ao1ACqEPCqEUCqE -ZCaSfyuSgSqShK5m7rsICzZCgACmpu9iHi3eQoAAq6qKpyjxAy/xAoqu+eAI3CIAnQAcR8AfR84V -R86VFC8WBhVHzeUWCiDYwQAA7AAFDcgEgAAJAmEJAmEuFhQYSo0ZSowpFg0I6AL4IYYVoAwVAFuE -aPrDyBWgCwUAWvMDFEeVGEegEkfnHEqE46QADTgEgAD8IqYVoAGaAAAAAAAAAACLmcLIWvERZaCi -6xwQKVAEgAD8AIIdoA0lAFrvMPwiqBWgCkUA/CKIFeArRQD4QAgVoA4VAPggBhWgDwUA0w9YM0/q -Yh4h2AUAAFry5xhHhRJHzOpxUX0YBIAAKIKHIiKEo4gJiBGoIiohKfVaZg2gDkUAjSAI3REO3QKd -FSwgB4knDAxB65kULmQCgAAMrALlzAIE0IEAAOwWCC37JgAA//14DaALBQDAINEPAI4nL+EV/8QA -Fa/IBQAI6AGo+OiqF3VZAQAA+8BoHaAshQBa8N5krzRj/9QAAP9vAA3//6YAbBAGJDAAFkg48IPg -DeAFBQD0gBWwkgCdAPSAFOkSAJ0A9IAI2ZIAnQD0gAwaEgCdAGhFcPoAgh2gG4UA7Eo6GmgEgABY -MxXGKtEPiDH6QGgdoAklAG2aMvhgAAS0uB0A+GQABjPYQQD7X4AVo+hhAPlKJh3kmx0A/UoGHaSZ -HQD9SeYd5IkdAC6kTiMwAcCgeDcN6GJ9IgFhgABoQSRoQiHSoNEPKzEBC8tCb7QCb7J3/W6AA9/q -pQAsMAF4z9Nj/94AhCAmCv/4jwAKMAMFANpA+mBoHeAMBQD8AAId4A8FAP4gJhXgDgUA/iBGFeBp -RQD4IAYV4A8FAFrtIGavoKI8LMBO5sgMClAEgADoXDgJ2ASAAFrs9uagB2GYBQAAaTisZq96YAG6 -Hkg3LSAi/6AARrAKBQD7sAYd7/36AAAjMAH8AEId4AlFAPhgBARwDoUA/mAEBjBzAQD8YAQF8PON -AP/gBAexo40A6aoBDd7CgADvzBEMR0KAAOyIAgu+QoAA+uYAC/KTjQD9IAQE8LM5AAuZAgr/Agn/ -Agj/Auf/AgrQBIAA/kWmHe/7lgAALiAiL2J/LWKCrv/sMAMv/kKAAK/djdcpMAUMyAmN3ikkYSQx -Aw2ICSQlMSowBPpMBh2vRAEAJIVvJCBhG0nPwPH17QAKMBm1APtGAA1xRAEA6oVxKiYCgADpRAIG -WAUAAOSFcCb4CwAA6/QUJ9IBAAAlpAUqMATxQzAN4Y8FACv6APtiQB2v8gUACooU5KAdYqghAAB6 -uPF6IAy0VfAAHA2kqh0AALFVChoUZa/3IjAFBQhC7MoJDEbCgADq2ggBEAUAAP9AAEVyIgEACCIC -IqQGKzEDC4sUK6QHKTEDKaQIKDADEklwCOgLDIgRqCLiLQMpwASAAOgDHgESAQAAAgJhwCDRDwAA -AAAqLFb6YQAV4AyFAFgsKmP9vyosTvpg8BXgDIUA6yReIdghAABYLCRj/abaIPxFsBWgCxUAW7NS -0qDRDwAAAGwQCiQtAedHehIgoQAAlBokQO7AUvqPfAWgBhUA5EAtY7shAABoQWppQh0eSMV+ORdg -AIaKGvVdxh2gCxUA+13wFaAMBQBb/NrAINEPAI0a0w8t0O+q2SmQgPUgI1GSAJ0A9SAjE5IAnQD1 -ICNREgCdAPUgIxOSAJ0AaEPL9ABiHaAKRQD8ktYFoCtFAFgyRWP/oB5Iqg8CAA8CAH45qY0aLdDv -CtkIKZCAaJICaZeY+IAh4VIAnQBj/42NGi3Q76rZKZCAaJMH+T/769IAnQD4gB/g0gCdAGP/bQAA -AADApPySqgWgK0UAWDIuIywcKTH+8SAG79IAnQCKGilyix9HPCqg7yhyjS/ygqqZ6ogIDM5CgADp -+QgMRkKAAOj/CArgBIAA6PIQJ/jBAAD+IOYV4A4FAO4WACFZQQAA+CEGFaAIhQBtiiLqkEYkyAUA -AOgSACXYBQAACgpDDIgRqoqaECqQTSy09Cq07Iwa+kAgJa+LBQDrxBAlUOUAAPogaB3gDEUAWCu8 -9EAgJeAcVQDlXDgi0DkAAOoWCSrYBIAAWCu1jBeJGPohKBWgCwUAK8QNJsQOK8QPK8QFK8QM6pYC -IUAHAADllgMkQI0AAJiRKTH+fpd5iBotcosaRwUsgO8rco0qooKs3ey7CA7uQoAA7a0IDd5CgACr -qv9CqBWvj4UAL4QpLdAt7YQqIXgHAAAs8FIr8FEr9E396cYdoAkFACmkUSakUimkU+mkSS/oBIAA -6aRQJ8E9AADo5gEn+TUAAO/mAibpRQAAneMpMf7xP+8HUgCdAIkaKHKNF0bkKZDv9EAgJeAclQDn -coIhGAsAAOmICAGaFQAA5VxTLEZCgADodwgK0ASAAOdyGiPBYQAA6BYDKdgEgABYK3eME8CwK8QN -K8QPK8QF68QMIVAHAADmxA4lUbEAAJpxlXLy4GYV7/XGABVGyihyjSVSgv0AAERwCkUA7EjZHEZC -gAD4oABCsCtFAFgxriYkGfJDgBXgCAUA6CQYKVAEgADoJgchWGEAAPhBBhWgDMUAWCtawMAsVRIs -VCAsVCEpMf7iVgohWDEAACtWC/EgCRfSAJ0AK3KLKhIKGUauKFIQKBYFKZKCKqDv9mYmHa/PBQD+ -ZkYd4A4FAC40MwuqCO40MC1WQoAA+yAARLAOBQD9KNAVoAplAO2QTiTACQAA7SRUIWlBAAD8IMYV -48wBAOmQRyFpSQAAbaof6oBNJEAFAADq1AMm6AUAAP+AAEUzyQEA6YBFLXcCgADvgE0u0ASAAP+A -AEcz2QEADwIA/0BmHeAPBQDvNEAhUJEAAOoWBC93AoAA7t0IAVkxAAD8YaYV4BxFAFgrIYwW+CCo -FaAJBQApVDwpVDUpVDspVDEpVDApVDMuMDKNFCZUOf4HAAew7jEALlQ6L1Q4nYTshgMhWKEAAJuG -64YCIVDhAADqhgUhePEAAC+GBymGACmGASkx/vMgBSeSAJ0A8yAKl1IAnQDqVAAJWASAAFvBNepU -AAlYBIAAW8Ez9qYAFaAHBQDqVAAJWASAAOxkAAvoBIAAW7338UHgDeADBQCxM+pUAAlYBIAA7GQA -C+gEgABbvfDIoWo25bF35mwUK58UAADA8P6kZh3v7cYAAPSf2+iSAJ0A//AsDaAEFQD1P9zxkgCd -APU/3LOSAJ0A9J/a6RIAnQD/90wNoAQlACpSFRlGPZoRihotcospkoL7XfAVoAwFAPxqZh2vzgUA -LjRSJjRR7aoIAVmxAADsNFAtVkKAAPsgAESwCIUA6ZAtITmBAAD4aoYd4AxlAOg0VSvQBIAAWCrK -wNAtVFAtVEktVE8tVEUtVEQtVEcpMFKMESZUTfgHAAVwmTEAKVROKlRM58YEIUGRAACYxujGAiF5 -wQAA78YDIXGZAACexZ3AKTH+7cYBIVmpAACbx/E/9bdSAJ0AjBonUhqXEv2dkBWvzwUA/ngGHeAO -BQD+d8YdoA1FAOY0vyFYBwAA7jTBJdtpAADszAkBOckAAO3MCQvQBIAAWCqiwNAtVGQtVF0tVGMt -VFktVFgtVFsrMMCOEiZUYfoHAAZwuzEA61RiIUgHAADsVGAswASAAOfmBCTTeQAA6uYDJMqZAADp -5gUkQqkAAOjmByF52QAAn+af4p3g/cAmFe/4SgAAAGwQBBNFl4ggJDJ+BIgM8nAoFeeIAQAIRAgJ -RBEEMwgjMgcjMg70YCAloA8lAPiSRBWgDRUA+pbkFeAMBQDpQZMh0AsAAOSA6WUqAQAA7KRSJI0h -AABokm31IAWhkgCdACwymSugUizMASw2melFkyWAuYAA+kBoHaALBQD8AAIdoA0lAFv7thtFCexH -2BlQBIAAWC0owCDRDygyki4ygPcABJpSAJ0AJjKZC2gu6Nw4BwWZgAApUNItNpnvRZMk/kGAAGP/ -rS4gNWTgfy8gSWTweSggXWSAcy4ymQvuLg7cOCykUipBtOSgbGV7/QAADw9P70W0J4MJgAAqMpko -UNKxqio2melFkyR78YAAY/9jLDKZLkG0LaRSK6BS7vk5BmAFAAAsNpnpRZMl+uGAAGP/QcAg0Q9l -73svMpmx/y82mfiSZB3v/L4AAAAA/LpGHe/+UgAAAADaMFv84v/+aA2gCTUAKKBSsWsrNpnpRZMs -eCYAAGXO/GP/DAAAbBAKFUWHJCAiKFJ/I1KCqEQJRBEEMwgjMgcbR5bjMg4pUASAAFv9ROtHlBlQ -BIAAW/1B60eSGVAEgABb/T/rR5AZUASAAFv9PMBA9I6gBeKGBQArICIEuwsMuxGrW6a7KbAAyJPa -IFv9NLFEaUjjGUW09mBAJeAKBQDqdBYhkAcAAPzi8BXgC3UAKyWMKjaAqdkpkID28AAV4AQlAPUg -HPmQBRUA9SAcu5IAnQBokgf5IBET0gCdAPUgENGSAJ0A9SAQk5IAnQAYRHwURVXTDyiCjSRCgv0A -AERwCkUA7EdjHEZCgAD4gABCMCtFAFgwOfRjJh3gCQUA6TQYKdAEgADpNgch2GEAAPhhBhXgDMUA -WCnlwLArRRIrRCArRCEpIYzjRgoh0DEAAJpL8SAIx9/GBQAqcJcbRGAZRTkvQhAvFgMpkoIrsosl -NE32acYdoA4FAC40TwuqCO40TC1WQoAA+yAARLAOBQD9KNAVoAplAO2QTiTACQAADwIA7TRUIegJ -AAD5KPAV48wBAG2qH+qATSRABQAA6tRTJugFAAD/gABFM8kBAOmARS13AoAA74BNLtAEgAD/gABH -M9kBAP9KZh3gDwUA7zRcIdCRAADqFgIvdwKAAO7dCAHZMQAA/GKGFeAcRQBYKa/4IGgVoAkFAClE -PClENSlEOylEMSlEMClEMy4wTo0SJUQ5/gcAB7DuMQAuRDovRDjthgQh4KEAAJyG7IYCIdjhAADr -hgUh0PEAAOqGByH5QQAAn4MphgAphgEpIYzzIAUPkgCdAPMgCndSAJ0A6kQACdgEgABbv8PqRAAJ -2ASAAFu/wfSGABXgBgUA6kQACdgEgADsVAALaASAAFu8hfFB4A3gAgUAsSLqRAAJ2ASAAOxUAAto -BIAAW7x+yKFqJuWxZuVcFCsfFAAAwPD+hGYd4AolAPryxh2gCxUA+vLwFaAMBQBb+kTAINEPwKT8 -jcYFoCtFAFgvvP//YA2gCjUAAAAZRMwqQhUdQ/GaFipwly3Si/kwSBXgDAUALDRvJjRuJTRtDaoI -7DRsLVZCgADqmQgB0YEAACoWB+mQLSHZsQAA+G4GHeAIhQD4biYdoAxlAFgpWvgg6BXgDQUALURQ -LURJLURPLURFLURELURHKjBujBYlRE36BwAFsKoxACpETutETCHBkQAAmMboxgIh+cEAAO/GAyHx -mQAAnsWdwJnEKSGM7cYBIdmpAACbx/E/9ddSAJ0ALHCUL0Ianxj2e4YdoA4FAP57Rh2gDUUA5TTb -IZHJAADuNN0h2AcAAOzMCQXbaQAA7cwJCVAEgABYKTPAgChEZChEXShEYyhEWShEWChEWy4w3IkY -JURh/gcAB7DuMQDuRGIh4AcAAO9EYC5YBIAA4pYEJmt5AADtlgMmYpkAAOyWBSXaqQAA65YHIdHZ -AACalpqSmJD5ICYVr/h2AADApPyNEgWgK0UAWC9iKSGMDwIADwIA8SAHN9IAnQAYQ5YqcJcfRG8p -gosogo3v8oIqYASAAPsgAESwDgUA6ogIDM5CgADp+QgMRkKAAOj/CAHoBwAA7/IQJ8DBAADvFgUm -6gEAAO4WAC7YBIAA+CCGFaAIhQBtiiLqkEYkyAUAAOgSACXYBQAACgpDDIgRqoqaECqQTSy0xCq0 -vPogaB3gDEUA+mAgJa+JBQDp1LglUOUAAFgo7fRgICWgHFUA5Ew4IjA5AADqZAAKWASAAFgo5ooU -wJAPAgAppAwppAUppA8ppA0pEgUlpA7mlgIhwAcAAOSWAyRAjQAAKJYBKSGM8SAEZ5IAnQAZQ1wq -cJcYRDQrkospko0ogoL7YABFv42FAOqZCA3eQoAA64sIDM5CgADpiAgB8AcAAOyCFSdyAQAALeTR -K7At6+TSIegHAAAq0FIp0FEp1E37qcYdoA8FAC+EUSWEUi+EU++ESS7YBIAA74RQJvE9AADuxgEm -6TUAAO3GAiXZRQAAK8YDKSGMfZdsGEM5KXCXFkQRKIKN9GAgJaAclQDmYoIhkAsAAOmICAESFQAA -5ExTLEZCgADoZggKUASAAOZiGiNBYQAA6BYBKVgEgABYKKSLEcCgKrQNKrQPKrQF6rQMIcgHAADl -tA4kybEAAClmASRmAiJmA//x7A2gChUAbBAIFEMbF0LcG0LcKEKLGUUKJEKQoojtQ9UcRkKAAKhE -LkEdKEEgKkEeDewB+QAEBHDPBQD4hAQdoEYFAPuACLxgBQUAcecPcacM/8AEAfAARgAAAAAAAP2A -CORgAwUA7+gBCvgEgADovzkHZByAAAf/ApMRHETx+iAGFaALhQD8QGgd4ApVAFguwP5jYAZQigUA -KUEgKEBwJ0AtBpkCKUUg+OAEA7AAGgDAcP5kAAYQDwUAKUEgKEBwL0AtCpkCKUUg+eAEB7AAJgAA -AAAAAP4ghhXgCkUA8ovwFeAbhQDsRNgZaASAAOZ0AA+gBIAA5xYAKfAEgABYLqTwZkAN7wwFABpD -8wMpEaqZKJKCDIgBCEgCKJaCL5KAcf4WK5KAHUMJHEMqA9U5DLsBBbsCK5aA0Q8qkoQMqgEKagIq -loQukoAYQwAfQyEDhTkP7gEF7gIuloDRDwD9X/dM4IMFAGP+/IQU9uBoHaALFQAHtjn1bQAKP/4+ -AA2sAfuf9w1iAJ0A//tkDaBDBQAAbBAE8GtwDe/89QD0gAXJEAUVAPifABXgCAUA+KIADHAJBQAa -QqYdQn76ACId4AQFAPYXAh3gHgUA5ycCDpgEgABt6gwuMZDn4SxxmAkAALFE09D0FgIdoB8FAPRG -AAkwBAUA0w9t+gwlMZDiUS1xmAkAALFE0Q9mT9YmooQAQQTgnhoKAQqAAAC/Ggz/Aw9mAQ5mAvdQ -hhWv/uYAZk/VIqKEAEEE4IMaCgEKgAAAtRoMVQMFIgEDIgIipoTRD8CA//18DaAJBQDAgP/9VA2g -CRUAAABsEAYVQp0oUH3zAAnf0A0VABlFchxFcypSMRtFcgyqDAqbOOhCTW3QBIAAZDBFaDEaaDI/ -aDM8bzcCbzQOaDczbzkCbzgtbzo5bjk2G0VnHEKl9GAKFJNuBQDA+/5gCcRiAJ0A9EAJgVIAnQD6 -isAF4ABOABxFXxtFXy4s/Q7LOQurAviKugWgBCUAHkNHBCo2A6wRrswvwrgpwrgeRVgI/wEIuAEO -mQEJuQIpxrj54AS0IgCdAC9QfP3n4BJf8+UAbiI3+l/AFeAPNQD4ACId4AgFAOuYOApwBIAACP45 -nhADiwHpRUcd38KAAAuIAgmIEQmIDCiNWIiDmBEuwoEN7gIuxoEL6jApUiCrmQ7qMA6eDGrhDm0I -CA/qMA+fDGrxAmP/8CjCgQOIASjGgVtxlylQfG+UXG4iWYsQbrIM8AAsDaCbAQAAwCDRD8CQjREf -RS3zIAQE8OkBAOS4NgzPwoAACe4C44gRD3ZCgACo7q/uneAM6jApUiCsmQzqMAycDGrBDm0ICAvq -MAubDGqxAmP/8NKg0Q8oLP0IyzkLrwL/5gANv/t6AAAAAGwQBCUiByVSDi5SJf5gaB3gCkUA9ERQ -FaAbhQDsRRAXcAUAAP6kphWgBQUA5SRuKmgEgABYLdLaQPoAAh3gDAUAW/9PKyIfi7fIt9ogC7AA -YAACAMCgZqEHHkMaA0oRDq4IKOKEKfoACYgBKOaELeKAH0SFD90BLeaAC+owH0HIKfJBLPJBDJkK -C5kJDOowDJwMasEObQgICOowCJgMaoECY//wHULKGUHpGELUqKgugoAcQ0YJ7gIuhoAr8pPArv1g -BAWwDvUAC+o5K4KCx8AMuwELqgIqhoIs8pMuCuD5gAQGcPsFAAy+OSmCgvyHVAWvCvUACpkBCe4C -LoaCK9J/rEwq0oKrSezAgCzOQoAAqamJl+mSDiZ5FIAAHUHSLdKLpN0J3RGtrS3QIqvdCd0Rra2N -143eLt0BJeWN5eWMJugLAAAl1Bbllook4AcAACXFtPWSRB3gAgUA0Q/AINEP0qDRDwAAAGwQBBpB -tB1EkRZCr/aDeAXgCRUA5DBEZuuBAABoMjzY0PwSAh2gCwUA/1CoFaAfBQBt+g4kgZCyiPyAB3wi -AJ0AsbsocoslYtwJiBGoVSVQZmRRjPRABkCSAJ0A+mBoHeAMFQDzjQAN8AgFAPRABuEf/PUA3oD0 -AgIdoI+FAG1KDiXRkLLd/qAE1GIAnQCx7sdb3YAkooQucossYtzC8Ov9OQ92QoAArswvwDcN/wLv -xDcqgQqAAOA8GgqBCoAA/SABBt/+9QAO3QMNRAEMRAIkpoRkMEkaRIgjYiL0QAZAkgCdAMs48gAC -HaAJNQBtCCskoHwAIAQEBBt/RxokcosjYtykJAlEEaQzKzBmyLQoNGYpNG0jYiKxInMrAmP/zcAg -0Q/1wGgd7/2qAACwBA4IGfEf+G/SAJ0A+F/48VIAnQBj/9oAAAAAAAAA9QBoHeAeBQDTD23qDC/R -kOj+CGboCQAAsVXHW96AJKKEwNgL3jkvcostYtwJ/xGv3S/QNw7/Au/UNyqBCoAA4D0aCoEKgAAA -nhoM7gMORAENRAL1UIYVr/zCAABkP3TAIG0ILS6gfAAgBA4OG+9i3Cd8XIAAI3KLoyMJMxGj/yn0 -bSn0ZiNiIrEi8l/6MuIAnQBj/8tlLndj/zgAbBAK51QACTAEgAAL6jAYREEogn/5YBODogCdAB1B -WBJBkhpEPC3SICIiFyumf/tPyBWgDAUAWC2NHEFQHkGLLMB9828ACrANFQDt5GAmcCiAAC0wAGjT -K8fu/sAEBzA/hQB/4R7Je8Cl/IhWBaALhQDvMAAqaASAAOUWACtwBIAAWCzrLwoT9eALSuIAnQAX -QOYPAgAmdr0aRCEbQOX8DIId4AwFAP4BQh2gCDUA+PfGFaAPBQBa708dRBbipAAFDsGAAPQg5hXg -CQUA4xYGIgmpgACWFPqIJAXg5gEA7hYIImP9AADsFgksqASAAPogphXgAzUA8ABIDaAGFQAAAAAA -AADwZMAN4AYVAI8ZiBh/UwHAYOSAeGsXwoAAG0DE8vfGFaAMBQD4h/4F4G1FAPqH9gWgDqUA+CAG -FeAPBQBa6BbipAAFBNmAAGYgxSVcAXRTrxRBRR1D7wvqMCrSf/tgC5uiAJ0AHkEFLuIgK9Z/KtJ+ -/cBoHeAMBQBYLTsrRhfqRhYhh0mAAMDwL0Rg0Q+KFg8CAKWqKqAAKna9GkPf+oFGBeAMBQD4h7wF -oAkVAPhGAAzwbUUA+PfGFeAOpQD4IAYVoA8FAFrn9OKkAA173gAAK3K+83/7gFIAnQBgAC6MFity -vaXM+4AGHe/9egAAAAD6h5gF4BpFAAWqDFroFmP+iRRBGP/9OA2gAzUAACL6uYwVjxaOFI0XL/AA -lhL0ICYV4ApFAPwgBhXgC4UA4hYDKmgEgABYLH2IGXhTbLAzCuowG0CCKbJBK7JBC5kKCpkKCuow -CpoMaqEIDOowDJwMa8H2//pkDaAFBQDAofyHWgWgC4UAWCxtwND8jAYd7/K1ANEPH0OjLvJ+se7/ -78YVr/YiAC9yvvP/8ShSAJ0A8/ciHa/4dgAAAADAkCl2vRpDmhtAXvwMgh3gDAUA/gFCHaAIFQD4 -98YVoA8FAFruyGP/aSrSfrGq+6/GFa/6IgBsEAbyhyIF4Pj1APhACVwgDRUAHkOOLDCADwIA7ioI -CQEKgADqoIAu2AqAAPnQEBWjuwEA6xQAJyARAAD8QAVsJKoBAC/ggfiAAAQwC5UA+wAHbGT/AQD7 -4AiMYgCdACnggi/ggwkJRPsgCKRk/wEA++AKlGIAnQD4AaId4AjlAPlA5g2gCwUAealVFUA4/oC8 -Ba//9QD0DgIdoBgFAOTMAgrQBIAAbYoMKaGQ7JFBdVAJAACxu+QsAgrQBIAA+gACHeAYBQBtigwp -oZDskUB1UAkAALG7+oa0BefaBQBa56XAQOI0gCoQBIAA0Q/AINEPZr/BLOKEALEEANoaD6gDCMwB -DKoC+9CGFa/+sgAAAGa/winihPtgBADQCgUA4KoaDYEKgAAA3BoPzAMMmQEKmQL50IYV7/6CAMAg -0Q8AAPvgBKRiAJ0AL+CCDw9E++AEdGIAnQAo4IMICET7H/jFYgCdAP+gaB2gAYIAwOApQH4JCUTr -mQx3cAUAAGAAAgDA4LHuL0B/Dw9Ee/E699/3OVIAnQD6IGgd4OoFAPwAIh2gDRUAW/7d5qA8bSAE -gAD6hkgF4GpFAFrnbuI0gCoQBIAA0Q8AwOCx6Pcf9VFSAJ0AY/+/AAAA/6BoHa/+SgD/oGgdr/56 -ANKg0Q9sEAQaQuQPAgAooOH8AgId4AzlAOqs4CRxJIAA/AziHaALBQDTD23aDC6hkOzhCHVQCQAA -sbvHux8/+S/yhcGPC4gMeP0NwYz4RYYdoAIFANEPAAApICLxIyAN4AIFANEPKyAjfLG0LCAiLQoQ -/YYADnALBQBt2gwtoZDs0bl1UAkAALG7//68Da/7tQDApPyF6gWgG4UAWCuywCDRDwAAbBAOKiId -wDAjJC4poQMooQL5ABNsYgCdABxCxh8//R4//Rc//ZcWnhCfEi8gIh1C5p0ZDPwCnBgpICv/I6AH -0OkBAH6XFX2XEnyXDy0hIA0LRfVgABWwADoAAAD8RAQV4AsFAPHBAA3gDAUAfpcIfZcFfJcCLAoB -JiEe7kHDHiBCgAD1ZgAKMJ05AOs/iRnABIAACeg5+GBoHeDGOQAMuTkbP4LuQFEZqASAAPiGAApw -xjEADLU5LCAsCFUC5UQCCcgEgAD8RJAV4F0xAAXpOSggIC4gIeUgIy7qwoAA7Z0CDEbCgAAIzAIp -IRkoIR0NTQLoVREPdAKAAAXuAg7dAiUhHCgVFykVGCUVFg3MAhVBcpwaKyA0pfX0sBAV4AkFAJke -mx/4RrAVoIQFAPgmRh2gVQkABTQ5KCAvJhUbKBQz9CaGHaALBQBa6vgdP5YqFhHmP4gdIASAAPWm -ABXgASoAAAAAAAApMAz1IAVDEgCdAMi29SAGwJIAnQD1IAaCkgCdAGRRBBU/z+oiHSJYBQAAWurm -LBIR7T+DHSAEgAD7gAb0IgCdACjShyNShKSICYgRqDMrMSn3eWYNoA9FAIgwCIgRD4gCmBEuMAcp -MgcODkHsmRQvdAKAAO6+AgTQgQAA98YAD3ALBQDuFgQmBKmAACuSCSwKKFro5OWkAA0F1gAAwFAZ -P4HTDymQffE/+q/QuQEAKSAr/yHgB9ALBQB+lwd9lwR8lwHAsfxAaB2gChUA66s5CdAEgABb9Tkb -P3MrsH0pMAz//JgNoLsBACkgKw8CAA8CAP8h4AfQCwUAfpcHfZcEfJcBwLH6RFAVoAwVAPuNAA3w -DAUAW/S7Y/8B0Q9j/24AAAAAAOo0AAjYBIAA/ACCHaANJQBa5uCOMC0gIvyElAWgCkUA/kQQFeAb -hQBYKwJj/syLWPr4AAbwHrUAftEvijcPAgAPAgAtoRX7RAAVr88FAA+vAa/f71opctkBAADCyFro -qOWkAAV4oYAAY//CLyAiCw5DfvnGi1kLC19ps75gAAgA/W8ADf//XgD6AIIdoBuFAOxCLRroBIAA -WCrmKSArJRYQ/yNgB9DZAQB+lxN9lxB8lw0vISAPC0X1YAAVsAAyAP5EBBXgCwUA8aDwDeAOBQB+ -lwd9lwR8lwHA4RVBCMCQ/SBoHaCPOQAIXDklIR4YPs37IGgdoNU5AA2KOR0+ySUWEvkgaB2gVTEA -5dk5DyhCgAAFtQIMmQIKVQIJVQIpICQaP44PbEDsqDkMysKAAAmIAghVAiggISkgI+iZEQxEAoAA -CYgCCFUCKCAgLSAsC4gRCN0CKBIQKSEcBd0CKYUWJSEdJYUXLCEZLIUYnYocQLf6RpAVoAkFAJmO -mo8lIDUlhDItIC8thDMqICKsqiqggMCQ/CJIFaCFBQD9A2QdoKoJAAqVOSWENP/3MA2gBRUAAABs -EAYoIGzCSPhkAAQwJVUA/QYAgNAHBQD0YAVcYgCdAO8CAAHLgQAA9GAE3CIAnQAJczjjJEMrkASA -ANEPAAAAAAAAAPyDqAWgCkUA/ERQFeAbhQDuIEMp+ASAAFgqiMLW/IOaBaAmNQD8biAGUColAHNj -B/pgBHqiAJ0Ac9MCdTsmdDEj/GLAhlAKBQDnJEMtEASAANEPAABmoLVoPO3jJEMtEASAANEPLCIf -0w8swhD/nkAN7+qlAOokAAnYBIAAC8AAY//RLSIfLdIQZNCF8khmHeACBQDRDwAAAAArIEN7YgJ6 -unR70gJ1ugJ0uZQsIh8swhBkwFPqJAAJ2ASAAAvAAGAARyUgIyQgIsCk/mBoHeAbhQDtRAAK8ASA -AFgqWMLS/GAEVGIAnQD2f/qtIgCdABg/cgNPEaj/LvKAGD6yCO4C//AGFa/88gDGqmevSdKg0Q/G -KtEPAAAUPoItICIlQoskQpCtVQlVEaVEJSAjwKT+YGgd4BuFAO0WACrwBIAAWCo+K0BDwoJ4sTL3 -f/eVIgCdAIoQGz9YA6oRq6opooAbPycLmQH5UAYV7/teANpA+qBoHeAMFQBbqttj/sKKEPqgaB3g -DAUAW6rXY/6yAABsEBIXPz0WP3ooIRjyRFAV4AwFACwWBCkgK+00AAQAUYAALyBmZPC38SAFN9AL -BQB+lwd9lwR8lwHAsfFpMA3gCgUA9AACHaALBQANuxHrqwIJUASAAFv8NdWg63J9KpHKAAApISAq -IgD7TwANdZkBAPUgBhiSAJ0A6z5jFJSRAAD1IBEaEgCdAPUgEZwSAJ0A9SARnZIAnQD1IBMeEgCd -ABw+CizCQi066A29LAfMEQ3MLLDMA60Rpt0s1oFa5bj0RWYdoA0VAPxFxh3gAgUA0Q/A4P5FZh2g -AgUA0Q8AAC8gbA9PQ2T/PiogQ8Ly/0APNGIAnQD6Q+gV4CiFAPlADoQiAJ0AjLRkwaTrHBApUASA -AAvAAIkU+0BPYFIAnQBklPwrIh+Ltcm0AioCC7AA+CCIFeAAOgD6gkgF7/2WAMCg5qeCbSgEgABk -lNopISDTDwkJRR8+9AM0Ea9EKkKA9SAQTBIAnQD1IBnhEgCdAPkgQmDSAJ0ACttS+WBCCdIAnQAt -ICIqICMrIEX1QAvDEgCdAMDJ/UAKdCIAnQD1QAo1EgCdAMDR/EimHeAOFQDx2AAN4AsVAC5CjcCC -COoBeOAcwMDpQrclAHmAAHOXB3SfBHqfAcDB2sAZQPwpRreMFOkgKy5nwoAA6soCDceCgAD7BgAN -MASFAPVGAAowCwUA/yfgB9DEAQB+l3fxIAm/UgCdAPEgIL8SAJ0A/oKAB9ALFQDA4g5NAX5AB8CE -CE4BeEgiyMR+RwJ9Tyb/+CgNoAsVAH9HT8CSCU0BeUBHwIQITgF4QD9osTxkz95k39tk79gqIG5k -pRUZQNmxqyskbqk5KZCA+yAH2yIAnQDSUNEPAAB/Rw/A4g5NAX5AB8CECE4BeEi/5CQrKpAEgADR -DwAAAAAAAPqBlgXv99YAwFAfPp0DNBH+gABCf/sqAPqBjAXv93YA+oGKBe/3VgAAACiyEGWOLfQA -Ah3gCRUA+CCGFe/5pgAqICz5X/W6UgCdAPAATA2gChUAAAAAAAD6gXAF7/Z2AMCvA94Rr+4u4rsO -ThQOrgEODkMuJEV64QnAgPhIph2gDgUAZLNUZe5+YAgQKSBD+TvAFeAMBQD5jQAN//nWAADwn/pn -0gCdAMDiDk0B/p/5/iIAnQDAhAhOAfif95CiAJ0AY/8qCttSZb30Y/4MiyeLvhxAmi6yJCMgIi8h -IOkhHSdwBQAA/2SGFaAIBQD4RAYdoApFAPggBhXl/wEA6CEeKegEgAD4ICYVoBuFAFgpPS8hIA8P -RRo+WgM9EaraLqKAGECHCO4BLqaAGz0+HECFLKa3K7KTC4lR+hQABnHrqQD9w2AKULvZAGbgEyii -giX68OWIAQ8vwoAACFUCJaaCZJND/z+gFaAIBQAOiTj5IBHqEgCdAGaSNSiigi76D+6IAQz+woAA -CP8CL6aCyMovooIoCgEI/wIvpoLIuSmigsGwC5kCKaaCHz4OGz0Spt2dHizSgB49mBo9Sw7MAizW -gCqiiyhygqOq6T85HVZCgACqiJgdLoEdLYEgKoEeD+wBCd0BLYUg+4AWJGDNBQBx5xxxpxn9wAQC -8ACCAAAACttS+X/mCVIAnQBj/MwAAP+AFvxiAJ0AwFD9wAQGcA8FAOy/OQdkKIAAHTzxDf8ClREc -PyD6IAYVoAuFAPxgaB3gClUAWCjv6hINIuSAgAAtoSAsoHD7RbAV4E4FAA7dAi2lIP1gBAWwABoA -wLD+o8AGEIwFAIUeKaEgKKBwL6AtDJkCKaUg+eAEB7AANgAA9CHIFeAPBQCfHxw/By8WFCsWEO6g -XynoBIAA7hYVLcgEgAD6IAYV4ApFAPgiJhXgG4UAWCjQKRIV0w8PAgDqEhQkj3GAACv6AC1Sggvd -AQ2tAi1WgixSgHHGDi9ShC4SEQv/AQ/uAi5WhMCgKFKAHD0vGz1QCco5C4gBCogCKFaAFTz1JVKN -GD7BKnKCo1XoOAgKrkKAAKpVi1cogICLvusWBSgECoAA8wAFL5IAnQArIh+Ltsmw2iALsADZoOoW -BiUAXYAAYAAVwMCcFiwhINow/KAABjALFQBb+iaJFvUgaB3v6QIAAOWkAAzZTgAA/ERQFe/yGgDw -n+Mn0gCdAMDiDk0B/p/iviIAnQDAhAhOAfif4FCiAJ0AY/xCAAAA9SAKohAOdQD5P+6S0gCdAPn/ -7lFSAJ0AKaKCx/APmQEOmQL5UEYV7/beAGTrKWAEuxg8wSiCi6OICYgRqKpb+BCPFf3gICXgDBUA -7NWSJ8ALAAD9CiYdoA4FAC72ki72jItQLNWTKnJ/CrsM+PBIFee7AQALqggJqhEKmQgpkgcpkg4q -nQEsoZItobfroZMmeAGAAP0gQCWgDgUA7sRSJnIBAAD1YAZYkgCdAPVgCFESAJ0A9WALUZIAnQAo -kpkvwFIojAEolpnrpZMngLmAAPqgaB2gCwUA/AACHaANJQBb82AbPLPsP4Ia0ASAAFgk0mP+mwAA -AAD/8zgNoAk1AP9f6eTgzQUA9BACHe/1egCKHysSEMDBCso5C8s5+iImFe/4FgAAAAD6AKIdoBuF -AOw/mhnoBIAAWChG+k3QFa/rTgAPqAH7H+j1YgCdAP/0YA2gRQUAAAD5/+QUUgCdACmigsfwD5kB -DpkC+VBGFe/xvgAokpIvkoD3AAaaUgCdACiSmS8WF5gbDYgu/gAiHeANBQAI/TgoEhftFgokC5GA -ACvg0sDR/TMmFeAMJQDspZMt+O4AAGP/KC9QNWTwoihQSWSAnC9QXWTwli+SmSIWGPgAIh2gAgUA -Df8uD4I4IsRSIhIYLKG0KhYT6RYMJghBgADqFhMmQ/0AAPghhhXviAEA6KW0JAeBgAAtkpks4NKx -3S2Wmeulky51rgAAY/7ALaG0/zMoFeAOFQAuxFL/ilAVoAglAO2LOQf4BQAAL5aZ66WTL3ROAABj -/pQAAGX/Ti+SmbH/L5aZ+1JkHe/5xgDAgfnaRh2v/fYAAAAA6SArLNfCgADASASkAv8l4AfQtAEA -fpdX8SAFZ1IAnQDxIAXnEgCdAP6BgAfQCQUAfkcEfUcBwJFpkRdj+ZEAAP6BgAfQCQUAfkcEfUcB -wJFkmXxksGnwn8jfkgCdAPKfyddSAJ0AY/kLAAAAAAAAAP6BgAfQCQUAfkcEfUcBwJFln89j+UkA -AC4WEoocW/Q6KhITLhISiRz//AANoAs1AI0bKMBSsd0tlpnrpZMsbZYAAI4aZe2oY/24AAAAAAAA -/9r8DaALFQD+gYAH0AkFAH5HBH1HAcCRZZ93Y/jxAAD+gYAH0AkFAH5HBH1HAcCRZZ9fY/jZAAAu -ISAcPwz6AIIdoBuFAPxgaB3l7gEAWCe1KSEgHzzT+kRwFeWZAQDqQoAsxCgAAArcUmTA3WmSCgrd -UvWgBqESAJ0AaZEKCt5S9cAGMZIAnQAoQoDHzgyIAShGgPkgB4RSAJ0Amxn4IOYV4A0FAJ0Yixns -Egcp0ASAAFv5UYsZ7BIHKdAEgABYAlQfPLeJF4oYZKBzGzvPK7KLKnKCo7sJuxGrqiqhIAoKRWmh -B/oMgh2gAEYAwMr9X8AV4AoVAA3KOBs+3S1Cgxw+3dMPC90BCswtDcwCLEaDKEKEHj7ZC4gBCu4t -CO4CLkaELkKAHDwEGz7VGD7U6+4BBOv5AAANyDgI7gIuRoAuQoDAgQjuAi5GgGZQJSsiHw8CACuy -C2SwDQIqAguwAP55IAXgABoAwKDVoP1ftFgSAJ0AiRRj/cYAAAAAAAAA9UBoHe/28gBpkkT8ACId -4AwFAPoAAh2iiukA6Nw4BHP1AADu2jgGeRGAAJoY+CDmFeALJQD6ISYV7/u+AMCk/H1iBaAbhQBY -J1cuIEVj9lf5P/tg0gCdAAraUs2m+CDmFeANJQD8ISYV4AwVAPwhBhWv+t4AwOH5X8AVoAoFAPnC -AA0/+xoAAGwQBCkgN9MP8yAG5tIAnQAaPlD8duIF4AMVAP4AAh2v//UA6qzgJPWEgADZoPvAaB3g -HAUAbcoMKJGQ6I4JZMgJAACxuyv6+yzShOU7bR2BCoAAAOQa6SA3LYEKgADoUosiqOEAAA8CAOVS -ginACoAAD4gD+YAEBjD1tQAFmQEpJDcEzAIs1oT/LwAH0AsFAPgCAh3gnIUA0w9tmgwooZDsgQh1 -UAkAALG7x7ss0oQAsQTg6BoNgQqAAAA5Gg+ZAwnMASkgNwjMAv2whhWg+uUACpkB+EbmHeGZHQD4 -RuYd4AIFANEPAAD6AAIdoAsFAFv5hCkgNyoK7wqZAfhG5h3v/EIACRsU+kbmHeACBQDRDwAAAGwQ -BB07MPp2EAWgCwUA/gAiHeCcBQD9sKgV4BgFAG2KDC6hkOzhUXVQCQAAsbsePCIr4iLLuB07Lfx4 -jgWgCgUAbQgoKMCAAKAECAgb6eLcJHxcgAAr0ourqwm7EauZL5RmL5RtK+Iisap7qwJj/9DAoVvv -gcAg0Q8AsAQNDBl/x6vAolvvfMAg0Q8AbBAGGDsx0w8ogH32A+Id4A0VAP8BoAcQAwUAKSAiKyAs -ZJJDKyAjwKn7YA+8IAXlAPVgD3xiAJ0A9WAPPRIAnQAqICX6RRAV4BYFAPx12gXgBAUA7DrqFQHh -gAApIRNkscr/ICAV4AgVAP6AaB2grwEACo447yUTJwDZgAApIh+LnNpg7pINJYMpgABk4F3aIAuw -AC8gImTyQS4gbA5JQ2SQnPUgBsiSAJ0A9SAIURIAnQD1IAm5kgCdAPx8EgWgCiUA/ERQFeAbhQBY -JqzGOvxgaB3gCiUA/HwGBaAbhQBYJqbAQfAMGA2gAwUALiAiA+4RrO4pICKt7u7igCzMwoAArJmt -mSiSgA5OQP6NAA0/6/UAC4gBCogC+TAGFa/92gAAAMChKCBtLyBmsIgISjgPSjlloqApIGwJCUMp -JGz6QGgdoAsVAFgAsRs95+OkAA0UNgAALSArJiRsJCQr5tsBDeAEgAD6ICYV4ApFAPxEUBXgG4UA -WCaBLhIBZeJXKyAjwPn/YOYN4CwFAHW5EiggQ8yMKSAsd5kH8AAYDaAKBQDAoS0gbSsgZrDdDUo4 -C0o5ZKIhLCRs+kBoHaALBQBYAJPjpAANEIYAACsgQ8iz2iBb+8rDMPx7igWgCkUA/ERQFeAbhQBY -JmQjJGzaIFv8N+ah5G0YBIAAKyAjwIl4sQf1f/jtYgCdACkgQ2WfEiogLPdf+GViAJ0A//wYDaAK -BQAqICJYF+Zj/hOwmQkJTyklE/M/8t/SAJ0AZZ489ESmHaAKRQD8eLwFoBuFAFgmSikiH4qcZKFf -i51ksVraIAuwAGP+K/d/7cRgCgUAGzp80w8ososkspAsCmf9CAAUMB4FAOhECAXbmwAAbeoMKbGQ -7JEIddgJAACxqserFTrFGzpl0w8rsoUAoQQA3Bp8uAUsQCx3ySotUCkjCv9z0Q/Apfx7FAWgG4UA -WCYrI1QpwDBnPUvSMNEP2iBb/uBj/bUAwOMuFAD+ICYdoPr1AFv5z+agk20YBIAA+iBoHeCqBQD8 -ACIdoA0VAFv5D+ageG0YBIAA+iAgFeCqFQD8ACIdoA0VAFv5COagXW0YBIAAIxAB0w/TD2YwTi1Q -KdMPDwIAfTlXLVAoL1AqffFGKUAsaJRAaJU9Kgr//ArCHaCrBQBYFXbmoCNtGASAABw9YfylUBXg -ClUA/qUQFaAbhQBYJf4oUCgoVCpnP0XaQFv6ImP/PQD//OQNoAMFAPoAoh2gG4UA7D1UGfAEgABY -JfLypSYd7/5GACogIhs6D+kgZy1UwoAAq6obOg2rqvlQBhXv8wIAZzARx7X6f+d9YgCdAP/z8A2g -BAUAwEEsIC7Iw9ogW/oxZE7bGz0n7D0/GVAEgABYIlbSMNEPAABsEAYkICLAUgVFNuY65xqswoAA -9oAKSVIAnQD0gAsJEgCdAPSAC0mSAJ0A+gFCHeAMpQAYOu0aOe7nOdQaNMKAAKhmqprqFgEhipGA -ACligAnZUm+TDR09Jg2dCv2v6BXgAB4AHT0kHjrMrl4p4oYr+v8LywMJuQEp5oYI6jAP6jApckEI -mQgPnwxq8Q5tCAgP6jAPnwxq8QJj//Ao4ogIuAEIyAIo5ogP6jAI6jApckGvmQiYDGqBD20ICAvq -MAubDGqxA2P/8AArooDEwAy7AiumgAnqMA7qMCxyQayZDp4MauEObQgIDuowDp4MauECY//wCeow -KnJC0w8K2iwKyiiqmQ/qMA+fDGrxDm0ICAvqMAubDGqxAmP/8Cx6/ixmgS5igB86uRg5jP/ABAdw -DwUAA484D+4CLmaALSIfjd7uYoAmgKmAANog+mBoHeAMBQAL0ADSoNEPwCDRDwAAKiAjW6YfwI/5 -QAckIgCdAMC1pln8H+Idr/ruAAAAAAD2oABEsAtVAP/6nA2gDFUAGTqI+KAARP/6UgCbEOw6hhPo -awAALdKLGjzULMKCpN3qWggO7kKAAK3MnBMswCPsFgIt4ASAAFul9ho6kYsQ+qAARTAMBQBbpfKK -E4sSjREs0oAu+r8OzAEs1oAJ6jAsckGsmQ3qMA2dDGrRCA/qMA+fDGvx9gnqMAnJCQjqMAiYDGqB -CAzqMAycDGvB9iyhIPqAaB2lzAEAWAALCuowDeowKXJBCpkJDZ0M97/3GJIAnQAL6jALmwxrsfZj -/tIA+h/iHe/8egBsEAQCKgLrNAAKYASAAFtqcmahw/RgDMEQC7UA9GAMg5AKBQBoOUd7MURoQi9k -MYdoMSRoMib0YAvxkgCdAG83Am80E2g3G285B/RgC1RSAJ0AbzoZbjkWwYAIqgJoNwJpMgUpSgAJ -qgIsGgAMqgIYOkgDJBGoRChCgBk8igmIAQioAihGgC5Chh85/w/uAi5Ghvyfwh3gBRUA7UaBIa01 -AABoNkVoNEL0YAjjkgCdAPRgCKESAJ0A9GAIpBIAnQDAmfhgCFRiAJ0A9GAIEZIAnQD0YAhUkgCd -APpgCBRiAJ0A+P/CHeAAIgAAKUqu+nK4Baz79QALmwErRoEqoH0PAgAPAgDzQAR/0gCdAOokAAnY -BIAAW2fC6iQACdgEgABbZ0/qJAAJ2ASAAFtmkhk5MiqSiymSkKKqCaoRqpkpkSD4eI4FpZkBAPUg -BNiSAJ0A+z/AFeAKpQALpTjZUCtCgxo8QAi7AQmqLQuqAipGgyJChB88PQgiAQn/LQL/Ai9GhC1C -gB443g7dAi1GgPyQCBWgAgUA0Q8tQoAeONkO3QItRoD8kAgVoAIFANEPAAD6crwFr/nCAB88PP9G -AA1/+sIAAAAAAAD4lMId7/yKAPiZgh3v/GoA0qDRDwAAAAD4iYId7/wqAPgMgh3v/coAbBAEKyIf -i7LIuAIqAguwANKg0Q/AINEPbBAEKyIfi7PIuAIqAguwANKg0Q/AINEPbBAEaDFJ6zkSEZRBAABo -NEZoOEtoO1BoPC0YOL4ogkIpOugJuSwHiBEJiCywiBo8FwMpEaqZ6JYAKVAEgABa4GrRDwAAAAAA -+nfwBe//OgD6d+AF7/8aAPp34gXv/voA+nfgBe/+2gD6d94F7/66AGwQBBo489MPI6B9Fzim8mAG -R9ALFQDyAAIdoCb1APTgaB3gGAUAbYoNKVGQdpEJ4iwBIqgJAADHK2Ygmxk4wdMPLZKEACEE/WAB -A1/19QAFZQMNXQENbQItloQskoT6QAQA0A0FAOXMAQ7oCoAADcwCLJaECOowDOowJKIgqEQMTAxq -wQ5tCAgI6jAISAxqgQJj//AqkoQFqgEKagIqloQmckHAUPbAAQM31wUA/tgAEzAAKgAAsVV3URwE -6jAI6jCmRAhIDGqB7G0ICAjqMAhIDGqB4GP/8NEPGjmJKQr++VAmFe/+8gBsEAQTOGIlCsD0QAQC -8AQFAOU0OQFkMIAAEjhbAkIC0Q/SQNEPAAAAbBAEEzo29AACHeAEhQDjIwoJOASAAPJz6BXgAgUA -DwIA0w/TD21KF/pABADRQxEA4EQaARAJAAD0pgAKtDMdABk5swN4EamI9QAGFeACBQDRDwAAbBAE -5DmwGSgEgAD2AAIdoAOFANMPbToPKEKlCAhS5IBLYzAhAAC4RMBAFjmkGThdFzjc+HLKBaAiBQD4 -gABCcAkFANMPbSoiIoJAInLSBiMBA1MCI3bSI3LSKUagI0KgInbS4nLSIiARAADRDyRCpAQESf6Q -ABI//s4AAGwQBBg6DNMPI4J/wGDpZAABgbmAABc5gvLgaB2gFHUA44J/JMgFAADTD21KCiYmgOYm -gSEQIQAA9uQAJeAUdQDjk9x7kASAAPBjoA3gBwUAEjl00w/4QGgd4ATVAG1KCiYmsOYmsSEQIQAA -I4J/9uAgFeAE1QDmlsokkIMAAONz23lIBIAA8GNADeAJBQAXOWTTDw8CANJw+SAgFeAUtQDTD21K -CiYm0OYm0SEQIQAAJIJ/J30g5JPee5AEgADRD2wQBBg5/g8CAKgoKICAAwVP8QAEX9AMFQAYOcwI -KAoogp8jCgD4IgAEsAIFAPsgBADUiB0A/YABBVAJNQBtmif4IgAEtLgdAPsgBADRmDEA4MkaDIEK -gADpqQIOUAqAAPsmAA00ix0A9UBoHaAZ9QADmQx5TRGxM/x+gIJQGfUA0Q8AAAAAAADqNAAK2ASA -AFuQ2eev320QBIAAY//hAADqJAAK2ASAAFuQ09Kg0Q8AbBAE5zmLGTAEgAAVN8oFJQIldrMicrQU -Oc4TOy30QAQBMBQFAPJGAAlwAwUA4na0K5AEgABtSgfjJrUhEBEAACZ2s9EPAAAAbBAEwMEYOyED -JBEPAgCoRBg5vSRCAAgoCCiAgP8PAAffRAEAGDmMCCgKKIKf+CIABLACBQD7IAQA1IgdAP2AAQHQ -CTUAbZon+CIABLS4HQD7IAQA0ZgxAODJGgyBCoAA6TkCDhgKgADzJgAJ9IsdAMGfApkMeT0PsSL8 -XoCCUBn1ANEPAAAAAOtEAAlQBIAAW5CbZ6/h0Q/qJAAKWASAAFuQl9EPAAAAbBAEW/9nHDr4/AAC -HeADBQD5gGgdoBoVAOzNBCboBQAAbaoKI4ZA44ZBJEAhAAAqChHp2ONuQASAABQ5NvSP6BWgAgUA -Kgr//EBoHaALFQBYkB0qCv9b/zOxImku5txA+gACHeD69QBYkBfZMPh0mAWgGgUAbaoKKYYQ6YYR -JEAhAAAYOkeIgBk6RsCiCogCmJDRD2wQBMAw9m8uBe/19QAYOWIMJhGoZidmgyVmgidmgSVmgBQ5 -WQQkCyNGgSNGgNEPAGwQCOI3pBlABIAA2TDiAAUIkASAAAICYQICYW+EfxI6NvQgaB2gAzUAbToh -5UIHIRgTAADnQgYhMBEAAOU2ACIj4QAA5W0EIRAhAACXUBI32vR0VgWgA2UAbToP4yIHIRPxAADj -RggiIBEAABc6Ixo3suY3QxwiAoAABJQCCkQC9P/mFaPihQDTD20ICbAiyC8lcv91YAJj/+3AINEP -wCDRD8cr0Q8AAGwQBBU4X8CICCgCKFZSDzQRJFZT0Q8AAGwQBBU6AAIkCwtEEaVEJ0LC/ngAFTAJ -NQD7QAQA0AgVAOCIGg0BCoAA/SABBN/69QAKmQMJdwEIdwInRsL0dRQF4RaFAAYmKCRCwqZVJFaf -0Q8AAGwQBBU56wIkCwtEEaVEI0LAFTcWBTMCI0bA0Q9sEAQVOeQCJAsLRBGlRCNCwBY3uRU3lAYz -AQUzAiNGwNEPAABsEAQbOdnrsn8p0ASAAFgkXPwBAh3gDAUAWCOF/EBoHeAMBQBYI5DSsNEPAGwQ -BPJvPgXgAhUAIjaAIjaB0Q8AbBAEhyD4YAgVr/b1AOZGAwJL/QAACXkBCUkMCWYBBoQMp2bmJgAi -gHGAAAVILghIDJgw0Q+UMNEPAAAAbBAGGDpWEzc9GzpV8wGyDaAqBQApMH0KmQIpNH1yuxTaIOwc -BCjYBIAAWI9YiRBokhJolgHRDywwfS0KgA3MAiw0fdEPLjB9xPAP7gIuNH3RDwAAbBAEKAoACOQW -AQIAHTf+AiwJDcwKI8ZkLfrADU0B/KYADvAuBQAO3QItxmUnxmYswmYK6jAbNtEpskErskELmQoK -kgoG6jAGJgxqYQ5tCAgO6jAOLgxq4QJj//AI5BbRDwAAAGwQBPgQAh3lSAUA8xEACT+IBQADkzqj -JCRNASRMPwhCAdEPAGwQBPpAaB2j64UAWCQHHTa5/agoFeAMBQBYIz3SsNEPbBAE6iQACdgEgABa -bbnRD2wQBAkiESItebQi0Q8AAABsEAQYNunoAAUJn4KAAG05AgICYdEPAABsEAQSOgvRD2wQBBI2 -1SgijyIikAmIEQgiCCoiByqsEFrkixg22wgAh/hA6BWgSQUAbZoCCAJhwJCZJ9EPAAAAbBAEEjn8 -IyJBIiF/oyLRD2wQBBI4PhQ5+CMigXs2ICkiigkJVfsgBADQCBUAAIgaIiL+AiIU8wAAQTAAJgAA -ACJBfSRBguM2ehIAWYAAAyI1pCLRD9EPAGwQBBI4LCMigXs2GykiigkJVfsgBADQCBUAAIgaIiL+ -AiIUooLRDwASOd0iIX/RD2wQBMohsCMDJQFzIBdtCAywVORVAQqQBIAAdCACY//sDyIR0Q/RDwDA -IdEPAAAAAGwQBBM50CMyf/Bg4A3gAgUAGDnNwCAihsDRD9EPAAAAbBAIGzgYFTeyFDhc+2+IFaAD -BQD5cGgV4AwFAOI3phJoSwAA7RYELVZCgADqmQgFwzEAAPggZhXgAJIAGDaDKmaI+waAFeAMBQCx -VeRMASGYBQAA9GAM8hIAnQAqgo0nsoOqOukggC1WQoAAqneGdwAwBPyQEBXgmZ0A5mIOJP8sgAB+ -18PjdAcp0ASAAPwgaB2gC3UAWCDtjhTYYP4ACB2gOTUAbZoCCAJhKG0CKIyAI4TQL1CAzPPAkilU -gNsQ+sBAJaAMZQDjhJclUV0AAFgb2fzAICWgf4UA620CI0ALAADpbQIjUA8AAO5tAyVSxQAA6hYC -J3IBAADuFgUkywEAAOl2FSRDgQAA6HYaJdqBAAD64gYV4A1FAP2WxB3gG+UA+5bkHe+IBQD/lqQd -4AkVAPnFZh3vzyUA/8WmHeAN5QDt5DAo2ASAAPnFhh2gDGUAWBu5hxX/8QId4AtFAP7m5h3vzsUA -/ucGHaANJQD85yYd4Ax1AOx0OiNQDwAA63Q7JVLxAAD6IGgd4AxlAFgbqfwA4h2gDUUA/OhGHeAL -NQDrdEQjUA8AAOx0QyVTFQAA+iBIFeAMZQBYG5/AxvrAYCWgCyUA63RMJVM1AADsdEsjWAsAAPtt -QBXgDCUAWBuV6hIDKdgEgABb6R3nrkptYASAANLA0Q8AAGwQBhY2HgYAhxY5SRk17dhgbZoCCAJh -FTlHGzlHHDlIHjlJHTlGGjYJGDlKFDlJEzdiEjlGHzlELzYQkj+UPpY8mD0pMukqooktNvIuNhEs -Ns4rNvDsvDAl2UEAAOs2zSbwIwAA7DbzJuijAAAtNuvuNuotVkKAAKqZKZIHJVFzKZIO+CAGFeAE -BQDlZQIkY/8AAOVlAyK5/QAA/MAGFad3HQD2wIQd5iUBAORlBSEBaYAAAioC+oBoHeANFQBa3P9o -rhX6QGgdoAsFAPzACBWgDRUAWtz5aa7piD0nhQQkhQUlhQIlhQPlhAAEYEEAAOyGACEBUYAA2iD6 -AAId4A0VAFrc7miuFfpAaB2gCwUA/KAIFaANFQBa3OhprumHEIU+J3EnJFUFJ1UC51UDIuBBAADs -VgAjsf0AAPbAAAF3Zh0A5lUEIRGpgAACKgL6AAId4A0VAFrc2WiuFfpAaB2gCwUA/KAIFaANFQBa -3NNprumFP9MPJlUE51UCKVAEgAD2oGQd4AsFAORVBSLgQQAA/KAGFaANFQBa3MhorhX6QGgdoAsF -APygCBWgDRUAWtzDaa7pGDjfDwIADwIAKIGKZICdFzjcJTIQJ3F3JFUFJ1UC51UDIuBBAADsVgAj -sf0AAPbAAAF3Zh0A5lUEIQFZgAACKgL6AAId4A0VAFrcr2iuFfpAaB2gCwUA/KAIFaANFQBa3Klp -ruklMhEPAgAPAgAmVQQnVQInVQPkVQUi4EEAAOxWACEBUYAA2iD6AAId4A0VAFrcnWiuFfpAaB2g -CwUA/KAIFaANFQBa3JdprukpMi0iMusmCvv4zwALcFoFAPRApB2hXAUA/EBEHaALBQD8QGQdoAg1 -AOglBCFgQQAA/EAGFaANFQBa3IhorhX6CgIdoAsFAPxACBWgDRUAWtyCaa7pJTLwLWx/DX0ULVUE -JlUC9qBkHaYmAQDkVQUi4EEAAOxWACEBWYAAAioC+gACHeANFQBa3HRorhX6QGgdoAsFAPygCBWg -DRUAWtxvaa7pKjIuZKCQsK4OqAF+oBVtCAywj++IAQxQBIAAf6ACY//sD6oRIjLz0w8kJQXqJQIl -Gf0AAPpAZB2nMx0AIyUE/EIAFaY6AQDsJgAhgVGAANow+gACHeANFQBa3FdorhX6YGgdoAsFAPxA -CBWgDRUAWtxRaa7p0Q+IPyaFBCeFAieFA+SFBSRIQQAA+QAGFe/4igAAAAAAAP/+JA2gChUAbBAE -EjakIyKBezYbKSKKCQlV+yAEANAIFQAAiBoiIv4CIhSigtEPABI4VSIhf9EPbBAI5BYCKbgEgABY -HKETOF0oMX4bOF3zAB1v0gCdACqykiwxgR44WC8xfygxgC3ilMCw+CQABDH/AQD/TQAO8cwBAO3m -lCYdCYAAZIOqHThOGTYD+nCcBaAMRQAs1rDCtyuWECmSESYgDPRBsBWg2QEA/U+GHeDJCQD9T6Yd -oLkRAPtPxh3gmRkAKaR/WJvU5hYALRAEgADmNPgdFAoAAOkyXSIZkYAAGjeIiBCqiCiAfSJiiKSI -qCIJIhGikiIsgBs4MSoyXCuysftAAEVwBDUAWJusWBsfkhFYmzDmojxtEASAAFiaqFiZo+aiLm0Q -BIAAWJjJ5qIjbRAEgAAsMXvTD37HCliYwuaiEG0QBIAAWJhS5qIFbRAEgABYmAnmofptEASAAC0x -fvOgEX/SAJ0ALjF//oARoKIAnQArMYHB+A8CAPvgEbjiAJ0A+oASuOIAnQAoMYDTD3uHGuoSASvY -BIAA7BICKugEgABYlXvmoaltEASAAFv+rBw4A9MP0w8pwqn2IGYV4AIFAOUWBCSEeYAAFjf/Fzf+ -5TW2EyAhAAATN/gYNLQjMl0ogoYmdr4mdr8kdsCoKOR2wSxGQoAAqDMtMAf6YOgVoP7FAP+gBAaw -CwUA/EYADvAMFQDtNAclUIEAAFrk8JWgiTAcN+r9QEYVoA4VAOw34xzOAoAADpkCmaEowqknfBTi -LAEiIFEAAOgji3MwUQAAhRSHExM0sC0yIC3GUP2gAQfz6IUACNgo6MZNL//CgAD/ieYV4G5FAA7d -KC3GTlrh5mWiT8AgZiDHW/325qDBbRAEgABYHAspMH3rN80U6KKAAB00Ryiydin6/QmIASi2di8K -AC+2cSzSyB40ZikwfQ7MASzWyHmfIi2ydsfrDt0BLbZ2HDetLMJ/wNDttnImAGGAABg3qsDwL4bA -WuHKyaZa4clkoUmDEYs3KjANIzB367IOJQp5gAAYNF8vgq4ZNLMaNDX8aLYF4AsFAPnmAA/wTHUA -/xXGFeAeBQBt6gwuoZDs4S51UAkAALG7L9KCGDel+eAEB7AIFQAI/wIv1oJYG9zaUOt0AAlgBIAA -WB6EwCDRD2a/1CrShPtgBADQCRUA/SABBN/89QAMnAMMqgEKmQL5sIYV7/8mAAAAAAAAWJdq563O -bRAEgABj/7EAAFiXVuetyW0QBIAAY/+hAAAAAADqEgEr2ASAAOwSAiroBIAAWJb95q+GbRAEgAAr -MYHTD/qf7Y5iAJ0A6hIBK9gEgADsEgIq6ASAAFiVS+etlm0QBIAAY/9WAABb/wEbN2/7ckYVr/FC -AIgQImKHqCIJIhHzIABBP/N2ACvmlSvmlivml/vTBhXv8VoAHTdjK9acK9abK9aa+7OmFe/xFgDA -ovxp0gWgCwUAWB/fY/63AAAA+CAoFeAKRQD8abYFoAiFAPhmAAwwblUA6JR3JfgXAAD//oQdoA01 -AP/+pB2gCwUAWB/QGzdUK7J/yLQqEgELsADJNsCl/GmaBaALBQD+DIIdoA01AFgfx2P+WYwRLMB3 -ZM/fwKX8aZAFoAsFAFgfwYoRGzTGHDTGWBw3Y//EAAD6BwId4Pr1APwfgh2graUAWA8p5q5mbRAE -gAD6BwId4Pr1APwBYh2gDTUAWA8j80BoHa/2AgAAAGwQBuckAAGASYAAwCDRDwAsIRPkzAgJ/6YA -AC0hKRsz1OzZB34YBIAAKyUpe2Ed62QAC9AEgAD8AgIdoA0FAFgAJBszy+aggm0QBIAAGjVeLqB9 -yeksoT/AsuPMCAvQBIAAWB1wGzPC5qBfbRAEgAB7URrrVAAL0ASAAPwCAh2gDQUAWAAT5qBBbRAE -gADacPxgaB2gCyUAWB1i5qAsbRAEgADcMPrgaB2gCxUAWB1d5qAXbRAEgADqcgoqWASAAPwAAh2g -DRUAWt7j0Q8AAABsEAYYNv+ELyiCfyYiDANECAhECiRCAONmCAIGAYAA6TQTEoXBgAAlQAcFBUHr -NBAa1wKAAAmqCCyingtYCiiCv/eACltSAJ0AJ6KdCHcBZHE9BVoCWuI8/gACHaAPBQD9/+IdoA0F -AO0WASvYBIAA7BYALTgEgAD4QAgV4AwVAPggRhXgDVUAWuOJ/AACHaANVQD+AAIdoAgFAPggBhWg -DwUA6BYBLVgEgADoFgIr0ASAAFrjfx4z7QxdEf+gAEawDGUALNadKyAGiSLAoeqZAgXYBQAAKyQG -mSLaIPzAaB2gCwUAWB0b5qCgbSgEgADqIg0p2ASAAPwAAh2gDRUAWt6h8IhQDeAHBQCKRydEBSqp -FGWgkSpABylCGidEdPaOph3hqgEA6qwKBIEpgAAtQhsfNQKZ0C5CGg/MCivB/p3hJ0Yb50YaJdv9 -AAArxf6LShkzU/pCSBWgKAUA+IBGFaAMBQD4g4YV4A0VAFrehhs2pIwsii8rsn8MbAysqguqCuem -ACqQBIAA0Q/SUNEP61wYKVAEgAD8AAIdoA1lAFroksck0Q8AHDaXLiANLSAM6hYAKfgEgAD4gEgV -oBslAPggJhWgCkUAWB8Ii0eKQvlkABXvzAUADJkB57UUJMkBAACZuem2CCUCOYAA/eACHaALBQD7 -gkAdr/0FAAqKFOSgHGXYIQAAesjxetALtLvwABgNpKodALG7ChoUZa/3+oBoHa/89QBa5vtj/ucA -AP//sA2gCwUAbBAGGjMlGTZy/ITQFaCIBQDqoospsASAAPjABAQwBwUA+CAGFaYzAQDsqggDYGKA -AAaFQiVdAfSwABXgADIAAAAAAAAA1TArktooQF/tktEtVkKAAKuq6hYBJAEpgACOSAnuEa6+LOB1 -i+CwzP3Oph2nzAEA7bsMBhqJgAAnRF/rZAAKUASAAPwAAh2gDQUAW5d/6iQAClgEgAD8oGgdoA0F -AFuSEOtUAA0wBIAA+oBoHaAMBQBblQMqQCbTD+qtAirYBIAA+0oAFaAMBQBblCwbNHceMrgOXgIu -trP8aSwF4AgFAP12hhXgGQUAbZoK64kKBEAFAAAnlrUpIA0ltrPrIAwkgdmAAO40HBSAsYAAHTYv -LuB9LdIbsJwOzCir26y7GDSVDr8RqP+P8A8PRn85CfAAWA2gCwUAAAApIA0rIFHAwQnJOQs7DKub -KiITgxD8AAIdoA0VAFrd/WQyMSlAJhMytf3/4h3gDBUA45kRCvBIAAAaNHwrXID7IABEsABWABo0 -egVbFAm5CvsgAES0tQEAo58u8oAAsQTgeBoNgQqAAADKGg2qAwruAQjuAi72gC1ANnzXEY8RLvEY -sO4ODk/u9RgnEMGAAG5eDBo0hQZZEaqZiZBgAB8dM9YFWxQNuwoqsoQrsogFDUQA0QTrqgIOSAqA -AAqZAcmVjhHaUPqE0BXgDAUA/8MEFaANBQBbjCErQF4aNe78AAIdoA0VANMP+1yIFaa7AQBa3cgn -RF4qQCYnJF8nRRknRRonRDZbn6osQCYbNGADzBHrzAgNKASAAKPMLMKALRIB/UAIzCIAnQAt0DRk -0TsqQCYYNbkeNFYDpxEIdwgOrggu4IAncgDxwAnH33cBABg0JAioCiiCn/giAASwDBUA+yAEANSI -HQD9gAEFUAk1AG2aJ/giAAS0uB0A+yAEANGYMQDgyRoMgQqAAOmpAg5QCoAA+yYADTSLHQDAMPNA -aB2gGfUAA5kMeS1PsTP8foCCUBn1ABoygyqgfelAJiV1/oAAf5dFAFsRGDNVCRIUCCIKLyL0jU4u -0QMt0QIPD08PvwL/rwAOv/wlAA3GOe8m9CsQBIAA0Q8AAADqNAAL2ASAAFuLIWevoWP/qBgzRAkS -FAgiCi8i9I1OGDN+LtEDLdECCP8BD18C/68ADr/8JQANxjnvJvQrEASAANEPik4roQMqoQL7TwAN -f/klAAqWOdJg0Q8rIhMssQMrsQL9f+4MIgCdANJg0Q8AjBEtwCID3RGr3aPdKtaA+4MkHa/6xgAA -23BbiwFj/ykAACqiHvzgaB2gDRUAWt1eY/yhAAAAAAD74GgdoAsVAFv4F//3dA2gDBUAAAAAAABs -EAQlIA3OPspc4iAMIoHRgAAYM1wUNXEogH0kQn+wUwgzKKJCoyITM9YOIhGjIoIgAgJG0Q8AACIg -UcBBBUU5oyIFIgzRDwAUM84OIhGkIoIgAgJG0Q8AbBAEiC0PAgApgQMogQL5B+YN7/ZFAMBA+kBo -HaALhQBYGpbmoRFtGASAAIotK6EDKaEC+y/+DeAFBQAvoQMuoQLA0f/PAA9wDAUADtw4ZM/G2iD8 -H6IdoAsFAFgb0tOgZjDQiCoPAgApgQMogQL5AAckYgCdAMAw+kBoHaALhQBYGn7moOFtIASAAIoq -K6EDKaEC+ys+DeAFBQAvoQMuoQLA0f/PAA9wDAUADtw4ZM/GYAChAADbQFrdRcDA9UBoHaANBQDq -JAAKWASAAFv+KuagYG0YBIAAii0poQMooQKxVfkf+qxiAJ0AaVjIY/9K2zBa3TcdMcgeMcjzQGgd -4AsFAOokAAngBIAAW/3n5qBwbSAEgACKKiyhAyuhAu8CAAKoBQAA/X/7jCIAnQBpVL5j/2bSMNEP -91/5JSIAnQD6QGgdoBvFAPwAIh2gLQUAWucEY/8J2iD8H6IdoAsFAFgbkNKg0Q8AdqkW+kBoHaAb -xQD8AAIdoC0FAFrm+tJA0Q/SQNEPAABsEAobMfcuIDgtIAwosnslMgEmsoQNiAjnNPocRkKAAPjA -AEM3VUEA+EcwFaBVTQDoFgkigKGAAPfAB+FQCXUA+EcGHeAOdQAnfPbvMakXCWmAAPXACgiSAJ0A -9cAYeRIAnQD1wByxkgCdAPXAIkoSAJ0A9cAnipIAnQD1wCyjEgCdAPnABbPSAJ0A0/AcNN0uIA2P -ICogOZoQKSEYmRH4QWgVoDsFAPggRhWgClUAWB1L4wAFC8gEgAAJAmEJAmEJAmEJAmEZMVMbNM8s -IAwqIA0dNM7tdgEuZgKAAAyqAguqAip2ACghGAmIAih1BC8gOS4KAPzgaB2gDQUA7P8RC1gEgADv -dBArUASAAFvkWuWkAAUAuYAA+gBCHaA7BQDsNLwa6ASAAFgdLMDg7iQ4KpAEgADRDwDA8C8kOBw0 -tS0gOR8xtC4hGBMxsC/yFCMWACgiC/QgRhXgClUA+CAmFaA7BQBYHR0aMavbMOqiFCrgBIAAWBuD -0lDRDx0xphw0pv4hKBXgClUA/aKIFeA7BQBYHRIfMVbvAAULyASAAOkMAA+oBIAACQJhCQJhCQJh -HjGLGTEVHzEXGzJ+GjFaHTKALCAMKCANnXHqoH0uZgKAAAyIAguIAvjgBhWgqgEACp85L3UEKSA5 -/89oFaAKBQDqdBEszwKAACl0EI1gGTFAJRYK/68ADreIwQD5AAEEcAxFAOiCpCvYBIAA/aAAFrAO -VQDu3QILUASAAAuAAIVxjGIbNHr06AAC/4nVAPGB0A3gVU0AybWIZ4iOm4D1ACYVr4LVANEPAAAA -AAAAAPigIzxiAJ0AZV7RK3EE0w8LC0srJRgscQweNGH+IQYVqswBACwlGSrgACngASkkOyokOijg -Ai/gAy8kPSgkPC3gBe7gBCEo6QAA/kfGHaAMFQDtJD8rUASAAFgJOhw0WC5gDCtgDSlhCShhCC0h -GC9gFC+kFCilCCmlCSukDS6kDComEi8gOi4gOy4WAC4SCSsgPCsWASogPSoWAikgPpkT+EfwFaA7 -BQD4IIYVoApVAFgcshw0RC9gFC5hCC1hCfhDJBWgClUA+CAGFaA7BQDTD1gcqokgKjwa6JkRCtgE -gAD4YGYV4AxlAFgWWRoxMYMaKqIUWBsx+iEIFeAMJQD8RwYdoABSAAAAAAAAANPw6zQkESjpAADj -AAULyASAAAkCYQkCYQkCYQkCYR8yERw0Jv5DBBWgDRUAnXEsdQQP7gKecCpQASlQACm0ACq0AShQ -Ay9QAi+0Aii0Ay1QBC5QBS60Be20BCvgBIAA7TQTG1AEgADrIhIqcASAAFvjpvVAaB3viNUA+V/s -ZCIAnQBlrUnAk/hHBh3gABoA0/D8aBYFoApVAPxDRBXgOwUA7xIJK/AEgABYHHHA4eMABQvIBIAA -CQJhCQJhCQJhCQJhGTHsHzDrGjP+KCEYnnGaci/yewmIAhkwrphwjWD0QkgV54jBAAmICu/dDAvY -BIAA+RSIFaAMFQD9oAAWsA5VAO7dAgrQBIAAC4AAiXGIUgmFR/EBoA3gVU0AGjPkyK+LZ4u+mrD1 -YCYVr4LVANEPACz6jfygESQiAJ0AZVyOwNT8RwYd4AAaANPwwLTjAAULyASAAAkCYQkCYQkCYQkC -YRkxxh4wwxoxxSghGPrgJhXgDAUA/OCkHaANFQAtdQSadC7iewmIAhkwgphwjWD0QkgV54jBAAmI -Cu7dDAvYBIAA+RSIFaAMRQD9oAAWsA5VAO7dAgrQBIAAC4AAiHGPUgiFR/HhkA3gVU0AGTO4yJ6K -Z4qumaD1QCYVr4LVANEPK/qN+qALpGIAnQBlW97AxfxHBh2gABoA0/DAsuMABQvIBIAACQJhCQJh -CQJhCQJhGTGcHjCXGjGbKCEYm3Gacy7iewmIAhkwWphwjWD0QkgV54jBAAmICv+vAA6wDCUA6IKk -K9gEgAD9oAAWsA5VAO7dAgrQBIAAC4AAiHGPUgiFR/HhoA3gVU0AGTOQyJ+KZ4qumaD1QCYVr4LV -ANEPACv6jfqgBqRiAJ0AZVs++kBoHaALNQBaexLAxvxHBh2gABoA0/DjAAULyASAAAkCYQkCYQkC -YQkCYfxnBAWgBRUA/EMEFeAKVQD+QkgVoDsFAFgb5h8v5hkxWighGBswLB4wZAmIAphw+EFoFeAM -BQDqMGQeaASAAP/PaBWniMEA+wABBHCZ4QAJrTkJ/DkNzAIFzAKccY1gKIKkKiIS7t0MC9gEgAD9 -oAAWsA5VAP+mAA63zAEAC4AAhXH0JAAEt1VBAPsoYA3gVU0A9T/VyJIAnQD8QZAV7+caANJQ0Q8A -bBAEgieCLoIv0Q8AbBAEgieCLiMiEIIvoyKwItEPAABsEASCJ4IugiTRDwBsEA4YMEUVM00oghLa -MONS1iQ1mYAAKzITwHDqFgYisAcAAOIWBSWEQYAA/KBoFaAANgAAAAAoMhNkgHL/n2AN4AQFAOoy -ECpYBIAAWts/LWKAZqBPLFLQqt0J3RGtzIzKZMBAgsjwQ7AN4I/FAC7AdH/hMPpg8gXviMUA6MR0 -KVAEgABa2FknpBKJItug56UILICeAACIosDE+kBoHaANNQALgACMU7FEfEOXY/+GjFTxjrAN78IF -APABMA2gBAUAiscprCACmQHnpRQkyQEAAJmpmaiXyJfJl8qXy5fMl82XzpfPJ8YQJ8YRJ8YSJ8YT -J8YUJ8YVJ8YWJ8YXjFSxRPyABNqiAJ0ALWJ+LFLQrU0J3RGtzIrM7BYHJX6UgAArwhLuMiYmYSEA -APwhRhWkq0EA+1oADTANFQD/QAEFMAwFAPtACBWvu4EAWtrMjReIGo/X54YAJ/CBAAAC7gHn9RQn -cQEAAJ75nviX2JfZl9qX25fcl92X3pffJ9YQJ9YRJ9YSJ9YTJ9YUJ9YVJ9YWJ9YXjFQkTAH8n/tr -ogCdACkyESrMf/ghZhXnqh0AKpUEJ5UF/SBEHaZMAQDslQMk4EEAAOyWACIBYYAA2kD6AAId4A0V -AFrWl2iuF4wb+oBoHaALBQD9gAgVoA0VAFrWkWmu5yxSAQ8CAMrHwEAsYn8qUtDTD6xMCcwR/UAA -RTALBQD7RAAVoGwFAFgU8YxRsUR8Q9iNPi7Mf/whhhXn7h0ALtUEJ9UF/aBEHaZMAQDs1QMm4EEA -AOzWACIBYYAA2kD6AAId4A0VAFrWdmiuF4wc+oBoHaALBQD9gAgVoA0VAFrWcGmu5409hFGdHSfV -BSTVAuTVAybgQQAA7NYAIkH9AAD0wAACN4gdAOjVBCIBYYAA2kD6AAId4A0VAFrWYWiuF4wd+oBo -HaALBQD9gAgVoA0VAFrWW2mu5yxSA2TAbiQKAG0IZC5igC1S0K5OCe4Rrt2P1y78IALuAef1FCdx -AQAAnvme+JfYl9mX2pfbl9yX3Zfel98n1hAn1hEn1hIn1hMn1hQn1hUn1hYn1hcn1hgn1hkn1hon -1hsn1hwn1h0n1h6MU7FEfEsDY/+UAC8yEJ8eJ/UF7PUCJiH9AAD94GQdp0QdACT1BP3iABWmTAEA -7PYAIgFhgADaQPoAAh3gDRUAWtYvaK4XjB76gGgdoAsFAP2ACBWgDRUAWtYpaa7njFJkwFAkCgAo -YoEiUtCoSAmIEagijif9xAAV788FAA/dAeflFCbpAQAA7eYJKlgEgAD9wQYV4AwFAPph6BWgDRUA -WtoplyyXK5cqlymXKIxSsUR8Q7GCPynMfwl5FCklBCclBfxARB2mTAEA7CUDIWBBAADsJgAiAVGA -ANpA+gACHeANFQBa1gZorhX6gGgdoAsFAPxACBWgDRUAWtYAaa7pGi79KVLQK2KHKqB9CbsR65kI -BXxYgAArkieLviuyELC7+iEGFeAAQgAskqeMzizCELDMnBgtMBT3oAxAkgCdABwyMx0yMS0WEPwh -JhWgCwUAmxQpYn2IFCRS0KmI7BIQLEZCgACoRI1ALkAMgkcvQA0oQDnyQcgVoApVAPggBhWgOwUA -WBqJKkA49UAImxIAnQCLS3a2FopHKqwQWtx4HC8VK0ILDwIADLsBK0YL+kBAJaALBQD7XgAVoGwF -AFgUOiosEPw8Ah2gCwUAWBQ3+kBgJaALBQD7UgAVoDyFAFgUMitCEIIU6xYRJYQpgACMGShAOY2w -ibcusAwvsA2Jnvgh5hXgClUA+CAGFaA7BQBYGmaKH9MP+0BAJaALBQD7XgAVoGwFAFgUIIofwLD7 -QgAVoewFAFgUHIof+0BgJaALBQD7UgAVoDyFAFgUFywSEZfIl8mXypfLl8yXzZfOl88nxhAnxhEn -xhInxhMnxhQnRhQnRhMnRhInRhEnRhCXT5dOl02XTJdLl0qXSZdILTAUsSKSFPxf9ctiAJ0AYAAi -Hy7SjBSOS/ogyBXgLQUADcwCD+4B7kYLKlAEgABb/MNj/s2FGCoSBVrWsYozBVwK/4gAFjALBQBY -E/SKNAVcC/+IABYwCwUAWBPw5DIBKpfCgAAoLH8IeBQoRQQnRQXiRQIiYEEAAPKAZB2mIgEA7EYA -IQFZgAACKgL6AAId4A0VAFrVdmiuFfpAaB2gCwUA/IAIFaANFQBa1XFprukiMgIpXH/2QKQd55kd -ACklBPRARB3mNQEA5SUDIWBBAADsJgAhgVmAAAM6AvoAAh3gDRUAWtViaK4V+mBoHaALBQD8QAgV -oA0VAFrVXWmu6dEPAGwQCBgvUy4gDBcuPBUvmRQuRx8vdZ8SlBUtUucrUuosUusqcof8IGYVoAMF -APoghhXgBgUA+8AARzAJRQDkUuwvdkKAAO7dCAwQBIAAKCH/LnKL7FLnJAU5gACuPgnuEa7MLMAi -iBWOEvWABIpSAJ0AK9AML9ANCACHDgJhDgJhDgJhDgJhHi8yDMgJDIgRqO6eES7h/5kW7RYAJwMR -gACKEBkxaesSAi3uAoAA7DF0HkcCgAAN/QIfL08oxIgpxh8YLh4P7wIeMV8vxUAogrgO3QItxh78 -AIIdoA1VAAuAAB4xZ9MPLuIf+CDIFefuQQDtEgAvAE4AAI8RJvZ/JiaD4zwBJMv9AADln0BhEMEA -ABkxXRgxXBoxXSKSXCqWqiqWqyiWzuiWzyV4IQAAL5as75atJXDBAAAulrbulrclYOEAACyWuOyW -uSVZgQAAK5bC65bDJEAhAAAoltDoltElUaEAACqWxOqWxSECsYAAg9eDPoM05iUFIWBBAACcICMl -AuMlAyHB/QAA8sAAAfeIHQDoJQQhgVmAAAM6AvoAAh3gDRUAWtTxaK4V+mBoHaALBQD8QAgVoA0V -AFrU62mu6RIxMSIi1mQgTiNSFuYlBSFgQQAAnCAjJQLjJQMhwf0AAPLAAAH3iB0A6CUEIYFZgAAD -OgL6AAId4A0VAFrU22iuFfpgaB2gCwUA/EAIFaANFQBa1NVprukSMRsPAgAPAgAiIllkIFATMRcm -JQXjMlohYEEAAJwgIyUC4yUDIcH9AADywAAB94gdAOglBCGBUYAA2jD6AAId4A0VAFrUw2iuFfpg -aB2gCwUA/EAIFaANFQBa1L1prukpUhX4W+gFoBMFAAkzNvBi4A3gAgUAiovMqWAAIgCKqw8CAMmm -2yD8AAIdoA0VAFrYwuot6REQBQAAcyPgKVIV8SeADeACBQAocnsjUueoKAmIEagzijfTDyqsEFrb -RYw3ihX7hAAV780FAA27AebFFCXZAQAAm8mbyJY59mFGFaApRQApNAXqAAUB2IEAAAsCYQsCYQsC -YQsCYQsCYQsCYepEAAlYBIAA/AACHaANFQBa2KIuUhWxIn4jiytSFPFvcA3gAwUAEjDRKXJ6JFLn -KCKuqTkJmRGpRPUABpQiAJ0AKiK6DwIA9UAGJCIAnQAsIsb1gAXMIgCdAC0i0vWgBXQiAJ0AKkIH -KqwQWtsZi0f9ZAAVr80FAA3MASzMQJy4nLmKS+a1FCUAoYAAgqta1fnqJAAJf74AABIwtYpMDwIA -ZKAPgqta1fPqJAAJf74AABIwr4pNDwIAZKAPgqta1e3qJAAJf74AABIwqZZLJkYKLhIF9oEmFaAp -tQApRAXuAAUCWIEAAAsCYQsCYQsCYQsCYQsCYQsCYeoSAynYBIAA/AACHaANFQBa2GMrUhSxM/p/ -+KPiAJ0AL1IT8eswDeAEBQAocnkjUueoSAmIEagzijcqrBBa2uaJN/skABWvywUAC6oBKqxAmpia -mYo55pUUJQCJgACCq1rVxuokAAl/vgAAijoPAgBkoAyCq1rVweokAAl/vgAAijvIq4KrWtW96iQA -CX++AACWOYwV9mEGFaA59QApNAXsAAUB2IEAAAsCYQsCYQsCYQsCYQsCYQsCYeoSBCpYBIAA/AAC -HaANFQBa2DUtUhOxRPyf+qviAJ0AHi1Ylu8m5hCW7tEPAABsEAQfMGT8WeoF7/71APZc+gWgChUA -JyAiiCor0q4AcQQAqhrrrAEEA6mAAAwMR2TApeC3FX3gBIAAC8hCeHkK/2AEBnAJBQApZIAOqAMI -yAEvYU/5tcYVoAs1AH+wFCkgDBotAQ8CAGiWdCqgfX+nAmiRaythTnu3BSwgDGjFd+okAAnYBIAA -7EQACugEgABYAGXSoNEPAAAAAAAAwMDgtxV9+ASAAAvIQnh5Ch8wOcCAKGSAD78B7qkDCdgEgADp -+QEK0ASAACnWrlgW/sAg0Q8AAAAAAAD99yIdr/8OAOokAAnYBIAA7EQACugEgABb/oRj/30AAOok -AAnYBIAA7EQACugEgABb/Mxj/3EAAGwQBC8yAi0KAepUAAnYBIAA8llcBeL/iQAiICIsMq4AIQTg -3RoOcASAAP2EQB3njAEA+aAGVSIAnQD5YEgV7/z1AAzcAwzsAfEgBaPSAJ0ADFhS6P8MD/LCgAAP -7DnvLiUWQOKAAImy/yXACJJJoQAJeFDkLhEMQ0KAAOjuAgpEAoAADogCHjAACMwCDswCDA5H5PSA -JwORgAAM3AL8HgAGsuypAOw2riZAMIAA8AAYDaKcYQDAn/GwABawjPkA7PCALEBCgADo3QIPc8KA -AA7dAuieEA5jAoAADswCHiyeDcwCnLIo8iGYsy7gfv3/Ah3gDAUADtw4WBauwCDRDwD99yIdr//S -AH+WjhkvAvmABAZ//iIAAABsEASIIsJ65i1zHAZuAADaIFgNr2WgwBwv1/xBkBXgCjUA/kGwFaAb -BQBYGDIsIgctyRQXLbrpIgImWIEAAOrCCSaDOYAAZJB38UXgDeAvpQAuoAAYLbAsogJ/6QV4wVp3 -wUDasPwAQh2gCwUAWuCmGy2slqCMIJeik6UkpBz1QMYV4A0lAPtAhhXgCQUA6aQdLmYCgAANzALs -pgEq0ASAAFgWpMAg0Q8AyZTasPwAQh2gCwUAWtyUY/+0d8mxY//i2lD6YGgd4AwFAFgWdNogWBBS -0qDRDwCMJy3JFOTQX2ZQgQAAi8lksFUusAB36QcYLYmPsnjxP/oAAh3gDCUAWuCBHS2EGy+clqCM -IJOlJKQclab7QIYV7/n1ACmkHS2mAv2AABYwDSUADcwC7KYBKtAEgABYFn/AINEPAAAAAPoAAh3g -DCUAWtxvY/+0AABsEAQeLBgoIA3s4q4kANmAAOokAAnYBIAA7EQACugEgABb/6PSoNEPwLApMgPr -5rYsgroAAC0gIh8r2AjdEQ3NAg/dAhgr4CiCQv3VxhXn2QUACYgoKjIC/wAAFDAJFQAJiAIo5rcv -4rcL5DEBAgAt4rdm0AttCAUv4rdm8AJj//NaYuHAINEP6zQACtAEgAAYLvQIyAL51cYVoAwFAFgW -K8Ag0Q8AAABsEAQqMAMKOhRuqRDaUPpgaB3v7KUAWBYjwCDRDxgvWQioCoiA6zQACmAEgADtVAAJ -UASAAAuAANKg0Q9sEASMMPqgaB3inAEA6jQABIHpgABokTVpkj3qxhJ+SASAAA3qMAzqMP2PAA5w -ABoAwMB5lg4P6jDAgJijDuowD+4MrszA0FgWO9Kg0Q8t+tr//8gNoAwFAMba//+gDaAMBQAAbBAE -4iANKUAEgAArgAwtgQcNIhHmK8IREMcAAPwuAAbzuwEAFCwisdwLxyikIvJACBWgBMUA9oAF8+IA -nQAugAz+WTgF6iIBAPxAABEz7iEAAu4CD+4CIoIA7ma7ISk0gABksEX6AAIdoAkFAPkgAQEwBAUA -bckRgyTmRQoBEBEAAONWvCIgBQAAJIAILtwB7pkIBVARAADokgoCcXCAAPtaNg3gBAUAIoIAeSZJ -8WRgDeAJBQDAoPkgAQIwAgUADwIA0w9tyRHmIwoCIBEAAOMyvCEQBQAAk0MvgAjqrAQmkAUAAPMg -AESwAgUA6JQKB/AcgAB7o8fAICJmu9EPAGwQBtIwiiAPAgAPAgD6QAAEsAYVAONUAASCWYAA9SAG -0JIAnQBokhf9/UId4AwFAOokAAnYBIAAWBXl0qDRDwAE6jDzQAwKkgCdAPNADOJSAJ0AwNBm0WcM -6jD1jwAOP/9GAAAM6jB5pr0qIAj5QAmhH+2lAGahLOkhBSUPIYAACQ5HLSAJGiye+gACHeAPhQBt -+hQooqX7YQAV4oiBAPmgDQQiAJ0AuKrAkIgjHSvLqYgbLFQrskAv0tIaLI8K+gEK6gIq1tIr0tIa -K7iqiOgABQFQQQAA6gAHDVgEgAAv1tIt0tILAIgLIIj6imgdoA0FAPqCaB2gAroABOowKiAILCAM -/kFQFeAJxQDzQAUeEroBAPoEAAazzAEA/SALg6DqGQApIAvoIAku6QKAAO4rkB9QwoAA7aoCDM4C -gADp/wIMQwKAAAqIAhorNOj/Ag3tAoAAD90CDt0CiSDtppgkqHCAAMnE2SBtyQ6PlC4gCLSZ76aZ -J3B4gACJIHmWFNkgbckPK6KZm5QoIAjshwR0yBEAAMDQcN4lDOow9Y8ADj/6PgAO6jD9zwAOP/oS -AAAAANogW/9S4KbefWgEgAD/+bANoAwFACogCCsgCSwgCvxBcBXhqjEAWAZRZqB4iSDTD/E/82JS -AJ0AKiAIJSAJKyAKKxQA+iAmHeGqMQBb6oRmoDLbEPofwh2gDBUA+qAEBTANFQBb6cVmoBqxG/am -AA0wDBUA+uAABTANFQBb6b9moAIqEAFmoBz6QWYdr/hSACmipAkJSf8wABS/+XoAAAAAAAAAAP/3 -5A2v/fUAeJcH8AAcDaaZAQAJiUIdKvQq0or5sggV77kBAKuqCaoRqplknaH/JvAVr/fmAAAA//wM -Da/tpQBsEAaKMogwGSqs7St4FCVogAD7IASToBsVABgsXv9QABU/+fUAbboH6YbUJEARAAASLFkN -qwIrJr0aLkUqJrxYBSjnpAAFAWGAAOo0AArYBIAA/OBoHeAMBQBYFTfSoNEPAAAAAAAAAP//eA2v -56UAAAAULEgWLjcsIvDsNBAhkEUAANog7ULvKNgEgAD8IAYV4AxFAFgQQCRM/OZJ5HEQEQAAY/+j -AAD//ngNr+elAGwQBhwtkSswCNMP6cDhJlOBAAD1YAZKUAYVACzMfKy8LMCA8SAI5xTMAQDAsPwC -Ah3gbHUAbdoMLqGQ7OEJdVAJAACxuyv6+x8qoi/yhcGPC4gM+eAFyiIAnQApMgDzIAW6n+2lAP8s -QAJQOAUAKjAIIjAJJDALKTAKKRQA9QAGe6IAnQBb6hDmoEBtaASAANsQ+h/CHaAMFQD6QAQFMA0V -AFvpUOagI21oBIAA3EDmKgIB2EEAAPrgAAUwDRUAW+lJ5qAGbWgEgADA0Now+qBoHeAMBQBYFOzS -oNEPAAAA8z/6PxIAnQDB0P1mAA5wCwUAbdoOLqGQsqr93/pUIgCdALG7//0ADa/7tQAAAAAA//7g -Da/t1QAAKzAJLDAKKjAILTAQWAWsCvlQ+AAiHaAPBQAJjzjtpAAH/JGAAIkwY/8iwN59yZ9j/t8A -AAAAAP/94A2v7aUAbBAEKjEECvlADOowiDAbK+rxAAUaUA0FAGSQUPkgBQDSAJ0AHSomCglODZkC -KbbFKLLGLzEFCP8CLzUFLrLKnjMtssmdNCmyyJk1KLLHmDYvssqfNy6yyZ44LbLInTkpssf4YUYV -4ADWAAAAAAoPTi+2s8CA7rK0KdAEgAD+YOYVoBkFAG2aEuuJCgVQCQAA6ZK1JEAFAAAppQ8I6jD9 -DwAOMA0FAOo0AArYBIAAWBSg0qDRDwAAAP//qA2gDAUAxtr//4ANoAwFAAAAbBAE6jQACtgEgAAM -6jCIMP8GQAKQTYUAKTAD7ZkxccAhAAAIIIcACWPoAhoB+GEAAA8AYQ7qMP3PAA4wDQUAWBSJ0qDR -D8DQ///MDaAMBQDG2v//pA2gDAUAAABsEAQWKeLkMEBpVsKAAAOoAihmwSRmwhsp3/wGQh3gDhUA -+lO2BaAMBQD82GYVoA8FAFrYSeagV20QBIAAwJP42GYV4ABKAAAAAASsAixmwcC6K2bD+lOeBeAM -BQD6U5gFoD0lAP4AIh2gDwUAWtg65qAMbRAEgAAtYsItVQDRD8Ck/FOOBaAbRQBYFcLRDwDApPxT -ggWgG0UAWBW+0Q8AbBAEFim65DBAaVbCgAADqAIoZsEkZsIbKbf8BkId4A4VAPpTZgWgDAUA/Nhm -FaAPBQBa2CHmoFNtEASAACVmwsCR+NhmFeAASgAErAIsZsElZsLAuStmw/pTTgXgDAUA+lNIBaA9 -JQD+ACIdoA8FAFrYEeagBm0QBIAA0Q/AofxTRAWgG0UAWBWb0Q/AofxTNgWgG0UAWBWX0Q8AbBAG -iTAkMQTAh/kACsjiAJ0AHSqkBAdE8PQADeREQQAu0n0t0oIsCoD9yAARMAsFAPxAAEFwCEUAbYof -LyAhDwIA5PkOfdAEgAApIh/Ik4iaZYAU7CIIBVgFAACuogkiEfOgAEEwACoAaLQCZHGcwJGLMP9m -oAKQBgUAmRMG6jBkkPjsMQUqUASAAO0xByvYBIAAW/+0iROLMJoQCOow9w8ACzAAJgAAAAAAAPFg -BiJSAJ0ACuow6hYCJIhJgADiKWQaVsKAAOwxBSOB+YAAhBIHqwIrJsEsJsIbKV/8BkId4A4VAPpS -tgWgDAUA/FhmFaAPBQBa18nmoTxtOASAAMDD/FhmFaAARgCEEgyuAi4mwcDaLSbD+lKgBeAMBQD6 -UpoFoD0lAP4AIh2gDwUAWte75qDybTgEgAAvIsIvNQfdcAjqMASIDKhm2jDrVAALYASAAFgT3dKg -0Q8AKTEF9z/17lIAnQDG2v//dA2gBgUAAAAA/CAIFe//SgAqICRkoJEsIh+MypkT7BYBJgFZgADa -QOgSASvYBIAA7DEFIeg5AAD+YMQVoA8VAAuAAIkT+mAIFeAAHgAq+tr6IAYVr/t2AAApICRkkFMq -Ih+KquoWASUBWYAA2kDoEgEr2ASAAOwxBSHoOQAA/mDEFaAPBQALgACEEv1AaB3v/SIAhBL9+0Id -7/z6AAAAAAD/+YQNoAkFAMev+iAGFa/6CgCEEv/8eA2v/fUAwKT8UhwFoBtFAFgVCWP/B8Ck/FIQ -BaAbRQBYFQVj/vdsEAQUKSSkIiMmgCIigdEPbBAEFSkgpSUjVoAkVoHRD2wQBIkw+lI2BeKZAQDq -LJ4UgwGAAGiRW+osmxyVgAAAiTD/JAACkAwFAA3qMIgyjjOrryj2gC72gQzqMIkw/Y8ADnAAEgB5 -lhYN6jCPMquuL+aALuKBnjMJ6jANmQypzMDQ6jQACtgEgABYE37SoNEPY/+r+lkMBa/+ogDG2v// -gA2gDAUAAABsEASKJyipFBcqYukiAiVYgQAA6qIJJAMZgABkkHPxRaAN4CylACmgAB0qV3yZB4yi -fcFXd8E92rD8AEIdoAsFAFrdTh4qVBgqAJigjyCXopOlJKQclab3Q6YdoAglAO6mBC/+AoAACP8C -76YBKtAEgABYE03AINEPAMmU2rD8AEIdoAsFAFrZPWP/t3fJtGP/4tpQ6zQAC2AEgABYExzaIFgM -+9Kg0Q9sEAQWK40YK93lKK0ZGgKAAAgzAvLf5hXj4oUA0w9tCAmwIsgrKWL/eVACY//twCDRD8cr -0Q8AAGwQBogzJjIAFynY5DICKkgEgADzAA0AUmYBACgiAikWAO0qXBwO7gAAZGFK9MAKqJIAnQD0 -wArhEgCdAOvQfSscVAAAKtE/ALEEAKoa+oASmqIAnQD6QGgdoAslAFgRUOaiGG04BIAAiTDzIAUa -kgCdAPMgBkJSAJ0A22D6QGgdoAwFAFgSkPZUFAWvjNUAfKECB6c4jCctyRTpIgImWIEAAOrCCSaF -8YAAZJEf8UtgDeAvpQAuoAAYKfwsogJ/6Qr5gAc8IgCdAHbBPNqw/ABCHaALBQBa3PGMEBkp9h0p -op2giyCWopOllaYnpB2ZpCykHP1gABWwDCUADLsC66YBKtAEgABYEvDAINEPAAAAAA7qMNog62QA -CmAEgADuKOgR6EEAAFgRN+agt204BIAAD+owiTDxP/oCUgCdAAjqMOxEAAlQBIAA7TwQK1gEgABY -EV3moJxtOASAAAnqMGP/FwAAZJBl2rD8AEIdoAsFAFrYymP/YSrSIPqf9XOiAJ0AYAEeK9Ij+p/1 -Q+IAnQBgARAALtB9LNE/AOEEAMwa/J/0w6IAnQBgAPgA95/5TSIAnQBj/1HaYFv/g9yg6zQACtAE -gABYEprAINEP2lDrNAAL4ASAAFgSltogWAx00qDRDwAv+o3/X/o0YgCdAGP+hwAAKPqN+V/7DCIA -nQBj/neMJynJFOSQYWZQgQAAi8lksFcssADC2n3JBx8po46yf+Gk+gACHeAMJQBa3JuMEBkrvR0p -nZegiyCdopOllab5QIYV7/j1ACikHSykHP1gABWwDCUADLsC66YBKtAEgABYEpnAINEPAAAA+gAC -HeAMJQBa2Ilj/7QAAPZSdgXv/kUA/1/71SIAnQD6QGgdoBvFAPwAAh2gLQUAWt16Y/9fAAD/90gN -r+elAGwQBIkwhDL/J6AKkAIFAHmeGOo0AArYBIAA/EBoHaANBQBYEovSoNEPAADaQFgViFgVngnq -MIpAmjMI6jAJiAz4QABBP/86AAjqMIkzmUAC6jDoIgwKUASAAFgVfVgVk4kwY/+pAAAAbBAEgicm -KRTiIgkjAYGAAPBCgA3gKKUAJyAAGilgiSJ4eRh6mRWLJiwgHI0lnTAsRAD6oAYV4AIFANEPxyLR -D2wQBuokAAjYBIAA7BwEIOghAABb/+uLEGagNSk8EOkHHgXQQQAACgJjCQCGCgJhCeowKLEDLDkB -GifsCJkyDJkMCpk26LYBLMwCgAAJiAKYsfpAaB2gCwUAWmDq0Q8AAGwQBBcpSBIo9iZy0yRyFgIy -AXYrCqZEcksFwCDRDwAAKHLpCSIRooLRDwAAbBAEFytSEijrJnLTJHIWAjIBdisIpkRySwPAINEP -KHLoCSIRooLRD2wQBBQo4hIpCSIifwQ0AQlEEaQi0Q8AAGwQBBQo7SRAgAMCQwAgBAQEG+gnzhJ8 -IoAAwCDRDySCiyiCkKQiCSIRooLRDwAAAGwQBPJIAAVwiQUA6TkBBSgHAADyYAauEgCdACVcgPSg -BpdSAJ0A8S4gDeKDQQAvIAwWKPIpIA354AaNJqMBAC0gUSwgUBso7x4o7u3MCASFaYAA5JCtZhv9 -AAAo4H0sYiCwnQjdKK/MrcwLyQopkoAJCUZ5oRItIFH9QAR74gCdAPNABDriAJ0AZEBMKSAN7CAM -JIGJgADo4H0kgImAAC9iILCdCN0orPwNzAgLyQopkoAJCUb5QR4N4AsFAGAAEAApIA0rIFHAwQnJ -OQurDKubKiITWtLjy6EYJ5AogooiYt+liAmIEagi0Q8A//zYDaZTAQD1QGgd7/y6APOAaB3v/eYA -/eBoHa/9igDAINEPbBAE8kgABXCJBQDpOQEFIAcAAPJgBq4SAJ0AJEyA9IAGl1IAnQDxLiAN4oNB -AC4gDBUorikgDfnABo0mowEALSBRLCBQGyirHyiq7cwIBIVpgADkkK1mG/0AACjwfSxSILCdCN0o -rsytzAvJCimSgAkJRnmhEi0gUf1ABHviAJ0A80AEOuIAnQApIA3sIAwkgYmAAOjwfSSAgYAALlIg -sJ0I3Sis7K3MC8kKKZKACQlG+UE+DeALBQBgABEAACkgDSsgUcDBCck5C6sMq5sqIhNa0qDoJ04V -AaGAACiCiiJS36SICYgRqCLRDwD//NgNpkMBAPVAaB2v/LoA84BoHe/95gD9wGgdr/2KAMAg0Q9s -EAQkIA3IQtEPAAAWJzwYKc/ySAAC9zMBAOJikCGA0YAAqFgogH0kYoijiKhECUQRpCIiLIDRDyli -h6WZCZkRqSLRD2wQBNEPAAAAbBAEFycTpycjdoAmcoEjdoDHjwhIAwhmAQZWAiZ2gdEPAAAAbBAG -y0goIAAPAgAPAgD4hAYNoAcFAAIpAm0IEuSBHGO4BQAAKJAB6EEHdMgFAABj/+aidCRMAeJEAAIQ -2YAAFiqEKmAA8U4ADeAEBQBtCAwlYAGxRORQB2MwBQAAY//sZEDFJyAA0w8PAgBkcLr2gAsQkgCd -APrgCtUgCAUAGSp0CEYM7wIABEAFAAD0wATIkgCdALGZ9sAJIRIAnQAqkACiiyuwAAhGDHuh13qz -D/tB1g3gBBUA8AAYDaAEBQDHT2RAZBYqZCpgAPFFsA3gBAUAbQgMLGABsUTkwAdjMAUAAGP/7GRA -QPaADACSAJ0A90ALxWAIBQAZKlcIRgzoYSdkQAUAALGZ9sAKgRIAnQAqkACiiyuwAAhGDHuh33qz -IvtENg3gBBUAx8/8YAYVoAIFANEPAAAAAAAAAP/73A2gBAUAx09kT98WKkMsYADAgOSEAAYC+YAA -bQgMLWABsUTk0AtjMAUAAGP/7AAAAABkQED2gAwokgCdAPeAC+1gCQUAGio1CUYM6GEnZMgFAACx -qvbACqkSAJ0ALKAAopsrsAAJRgx7wd98szH7hhYN4AQVAPhgBhWgAgUA0Q8aKiSiiyuwAKqKKqAA -Y/7dAAAAAAAA+uBoHe/7UgAAAMdPZE/QFioeLGAA8YXwDeAEBQBtCAwtYAGxROTQC2MwBQAAY//s -AAAAAGRAQPaADCiSAJ0A94AL7WAJBQAaKhAJRgzoYSdkyAUAALGq9sAKiRIAnQAsoACimyuwAAlG -DHvB33yzMfuGFg3gBBUA+GAGFaACBQDRD8Yq0Q8AABop/qKLK7AAqooqoABj/rH64Ggd7/q6AAAA -x09kT9AWKfksYADxhbAN4AQFAG0IDC1gAbFE5NAHYzAFAABj/+xkQED2gA5IkgCdAPeADg1gCQUA -GinsCUYM6GEnZMgFAACxqvbADLESAJ0ALKAAopsrsAAJRgx7wd98sy37hZYN4AQVAMDB/GAGFaAC -BQDRDxwp26KbK7AArJwswABj/qz64Ggd7/qmAAAAx09kT9QWKdYuYAD6ByIdoCn1APPBcA3gBAUA -bQgMLGABsUTkwAdjMAUAAGP/7GRAifaADIiSAJ0A98AMTWAMBQAdKccMRgzoYXNmYAUAALHd9sAL -yRIAnQAu0ACiyyuwAAxGDHvh336zK/vFVg3gBBUA8ACIDaAEBQAcKbeimyuwAKycLMAAY/6wAAAA -APrgaB3v+qYAx0/KQpgwKCAAwED5IAnyoAsFAPlAC9uiAJ0A3ID7YCAV4ALuAGThb/ZTUAWgBAUA -0w9tCAwsYAGxROTAB2MwBQAAY//qmDCiRy1wANMP8a0gDeAEBQAoCmD6CMId4GxlAG0IVQxEEZQw -InAAcpsQcqMN8loAFaAA1gAAAAAAAADzApINoE4FAHLDDPJVIBWgAHIAAAAAAADz3/DyogCdAPN/ -8LOiAJ0AIizJokSUMC9wAeTwbmO4BQAAY/+jHCmBopsrsACsnCzAAGP+awAAAPrgaB3v+ZYAAHij -G6K35EQKBitBAAAFRAmUMChwALG76JPlfGAEgADKiCoKbfgJYh3gS9UA8wBoHaBotQB4IUd5IVR6 -IV57IWsicAHlL+1juAUAAMCA6BYALBAEgADRD/rgaB3v+nYAHilkossrsACuzi7gAGP+iAAAAAAA -ZI/T9kBoHe/+mgAsOugMTCj8YAYVoAIFANEPBk0R/GAGFeACBQDRDx4l/A5OKP5gBhWgAgUA0Q8M -TxD+YAYV4AIFANEPZY+6Y/+LmDAlIAD+uDAN4AQFAPZAaB3v+rYAAAAAbBAEJiAA0w8PAgD2Y+YN -oAcFANggbQgS5GAYY7gFAAAmgAHmMQd0QAUAAGP/5qJysSLRD8Ag0Q9sEAQjIAACJALwYXAN4AIF -AG0IDChAAbEi5IAHYiAFAABj/+zRDwAAbBAEwCHyYAYVoAIFANEPAGwQBCIKyNEPbBAEFScsFiV/ -8AAkDaAEBQCxRGhJKSNSvHw3HwPqMCJiQaMiCOowCCgMaoHjbQgICOowCCgMaoHXY//wwCDRD8cv -0Q9sEAQiLH/yUOAF4yIdAAMiASIsENEPAABsEAgcKREbKG4ZKREUKRCIICmSdRcnEY0x+Q8ADH/2 -hQD4IGYVr/L1APGgBTgQrfkA86ALkFIAnQDtKGEVE/mAAC3RfxonBfOpkA3gHhUA3TBt6iD2YeYN -oA4FAHpCB/+gqBWgABIALqbALdz84qbUJVARAAAfKPcoPAgIzzkvdrxb/8jkpAAFD7GAAOko8xoJ -ogAAKBEAEijuKZH+8lFIFaKIHQAJiAwHiBEIIgjrEgMpUASAAFrQv2SiL9pQ6zQACmAEgABYD5rA -INEPAAAAAAAAAPGgBnhSAJ0AK7F/3TD6IKYVoB4VAOp0AAWGIYAAbeog9mHmDaAOBQB6Qgf/oKgV -oAASAC6mwC3c/OKm1CVQEQAAHyjPGCjMuDnTDwmPOS92vFv/n2ShcGaggh4oJCkRABooxy7hfosT -+1FIFaLZHQAO3QztFgQu7cKAAP1AAEVwDAUA+iBGFaANFQBa0HGPEijxAy/xAi0RAI4UePkUKXK9 -Gii5CpkBCdkCKXa9GCi3KHa8wKX8UWwFoAtlAFgQ/RworfogqBWgCwUA+iAmFe/6WgCaFf/8PA2v -5KUAHCil+iCoFa+9lQD8ICYV7/nmAB4n/y7hf2ThUegmohlQBIAA+AACHeAbFQBtugophsDqhtQk -QBEAAB8omi92vFv/bOSkAAUIYYAAwJBlQEwpFQAaJpWNNS2mwO0olxHj8QAAjMUs1sDsKJQR2+EA -AIu1K8bA6yiJEcPRAAAoggUotsAvcr0YJqMI/wEPnwIvdr0eKIsudrxb/1bUoGZOah8n2ykRABIo -fi/xfvJRSBWi6R0AD+4MB+gRqCIrIQMqIQJ7oU319yIdr/kKACpy5X+nD36nDArIFPggBB2v9/oA -AAD/99ANr/RFACxy5fGf+HfSAJ0A8Z/4N5IAnQAMyRT4IAQd7/nWAAAA9CAoFa/38gD9IGgd4ApV -APxQ1AWgC2UAWBCs//dMDaAEBQAAAAAAAP/2kA2v5KUA6xIDKVAEgAD8ACIdoA0VAFrQCWP9uQAA -KXLlf5cKfpcH//u0Da+ZYQDHRP/7jA2gCQUAxkr/+2QNoAkFAAAAAGwQBMAg0Q8AbBAEwCDRDwBs -EATAINEPAGwQBMAg0Q8AbBAK9lCUBaAHBQD0IiYd57QBAPoiBh3g+PUA+EI+DaAFFQDScPogphXg -A9oAAAAA7ifCE2AxAACsLOzAgCkBCoAA7eCAKvgKgAD6IKYV4/8BAC8UEvxABhRkzAEAL2CMKmCN -/oAAB/ALlQD74BulZKoBAPtAHi1iAJ0A2lAoYI4ICETriQZ1UAUAALGqKWCPCQlE+yAYjWIAnQAr -rAH1YBhxUgCdAMD+f8EEwI14yWD6SNYFoAsFAP5JIAXgfAUA/aYADjAZBQDTD22aDimhkLKq/SAY -1CIAnQCxuxokYPwOAh2gCwUA/EYADjAdBQDTD23aDi2hkLKq/aAYrCIAnQCxu/pPFgXn2gUAWsvW -HieKwJDz0AYdoAAaANlw0pBmInAL6jAeJ34PAgAv4n9/uwco4n6xiCjmfivmfxIkzi1iHSrifvJC -6BWgDAUAWBDKKWBxArIMGyTHKgr+DwIA5bRgJPA0gAAsEgUPAgBowzT6YAQDv/3lAPzgBAbwPoUA -ftEkBA9H8iAGFaAKVQD8TsoFoAuFAP7gaB2gDSUAWBAnYAADAAo3AcHjcuMN+k7ABeAaRQACqgxa -y6oUJB7TDydGvRonWRskHfwMgh3gDAUA/gFCHaAINQD4l8YVoA8FAFrSh8yhKUK+8iDmFaADBQD8 -TpwFoAU1APwhBhWgtwEA+iEmFeAOFQD8ISgV4AIFAAPiOA8uEe4WCy6C1gAAKhwQqjoqoAAqRr0a -Jz8bJAPyIMYVoAwFAPhOfAWgCRUA+cYADPBtRQD4l8YV4A8FAPggBhWgDqUAWstT4qQADQK2AAAr -Qr5xvkvz9yIdoAEuAAAAAAAaJywbI/GSFvhOWAWgDAUA+CFoFeBtRQD4l8YV4A6lAPggBhWgDwUA -WstC4qQADQCOAADqQr0g2EEAAKs7KrQAZyDcjBiOFo0XLxAQkxGSE/wgBhXgCkUA/iBGFaALhQD+ -4GgdoA0lAFgP1840wJApRr0aJxAbI9T8DIId4AwFAP4BQh2gCBUA+JfGFaAPBQBa0j6wVQvqMArq -MBwj0ynCQSzCQQyZCguZCgqaDGqhCA3qMA2dDGvR9sAw/q1cDeAOFQAL6jAeJvku4n8aJvcTJEx+ -uxAaJvUvon7jJEkX+AUAAC+mfi1iHftP5hXgBAUA+0/IFaAMBQBYEEIrNhfqNhYqgS4AAMCh/E3a -BaALhQBYD630bAYdr/K1ANEP0Q+xM28ynWP/kiQ0YNEPAAAAAAAA91/n0VIAnQD6IkAV4OoFAPwA -Ih2gDRUAW+KS2aDqFgotaqIAAPpNsAXgakUAWssjHibY+CFIFe/00gB7qWb64Ggdr/JOAAAAZrzo -KfKEALEE/KABBF/69QAKigMKmQEJiAL58IYVr/M6AGa87SzyhACxBOB9Gg2BCoAA/KABBF/59QAJ -iAMIzAENzAL98IYVr/MuACpgjgoKRHupGvqgaB2v8QoAL2CODw9Ee/kh+uBoHa/wxgAAAChgjwgI -RPsf4eViAJ0A+qBoHa/wpgAAAClgjwkJRPs/4SViAJ0A+uBoHa/wRgAAAGwQBCsgI8DO8GEQDeAK -lQB6sRxouhl8sRbAINEPerEffLEcaLoZwCDRDwAAAAAAAPpAaB2gCxUAWAAF0qDRDwD6QGgdoAsF -AFgAAdKg0Q8AbBAGKSAsJCAiGCOn6iAjJJRhAABokRBokw1olgpolQfAINEPAAAAAPkPsBWgC4UA -/gHCHe/+9QD6gAQA0A0VAODcGgRwkIAAGiPTKaAoDswD7JkBCdgKgAALmQL5RQYd4AIFANEPAAD/ -S4YN4AjVAPlOhg2gGQUAGiNBC0wC8kbMBaALBQBtmgwuoZDs4Qh1UAkAALG7x7svIoTAwePDOQ2B -CoAA4DgaDYEKgAD9oAEE3/r1AAqZAwn/AQj/Av5QhhXgAgUA0Q/6gGgdoKsFAP4B4h2gDQUA880A -DvBcZQBb/o/SoNEPAMX97xQAKlAEgABb4sdmoC36IGgd4KoFAPwAIh2gDRUAW+IIZqAX+iAgFeCq -FQD8ACIdoA0VAFviA2agAcCgZqB0KBABeYduKQpu6RQAKlAEgABb4rVmoC36IGgd4KolAPwAIh2g -DRUAW+H2ZqAX+iBAFeCqNQD8ACIdoA0VAFvh8WagAcCgZqAsLRACLwq/790BCfaCgADu3QIKUASA -APzgAAbwqyUA/CBGHeBs5QBb/mLSoNEP0qDRDwAAbBAGHiNAKOB9+kXmBaAN5QD8DOIdoAsFAP8J -4AcQGQUAbZoML6GQ7PEJdVAJAACxuyv6+xgjECiChfvPsBWgGfUAC5kMeYUNKREC+KAGFe/i1QDR -DwB8rwJ9OTv0gARREgCdAMYq0Q8AAAAA/HYmDeAfBQD+RgAOcAsFANMPbfoMKKGQ7IGsdVAJAACx -u//+iA2v+7UAAADFnCkUAekUAilQBIAAW+JxZqAu+iAgFeCqBQD8ACIdoA0VAFvhs2agGPogQBXg -qhUA/AAiHaANFQBb4a1moAIqEAJ5r2sqEQL6oAYVr8I1ANEPwrILKwn6IAYd4Pr1AFviXWagPvog -aB3gqgUA/AAiHaANFQBb4Z5moCj6IIAV4KoVAPwAQh2gDRUAW+GZZqAS/CBEFaAKBQDsVgAtEASA -ANEPACwRAuxWAC0QBIAA0Q+wT/4Mwh2gbYUAD+047RQAKVAEgABb4kNmoD/6IGgd4KolAPwAIh2g -DRUAW+GEZqAp+iCAFeCqNQD8AEIdoA0VAFvhf2agE/IgRBWgCgUA4lYALRAEgADRDwAAIhEC4lYA -LRAEgADRDwAAAGwQBCwwCOgyACYcOwAAasEGeY4fxirRD2jDBMYq0Q8AiTB7lvXrMgMpUASAAFv/ -HtKg0Q8qICLrICMh6DEAAFv/g9Kg0Q8AAGwQDh0jgRgiwNQg/6+oFaAK5QD5D7AVoAt1AP2wSBXg -BgUA/kAAQbAPhQDvjwEJnkKAAPxgAEHw9fUA8wAGjxAMFQAnMCP64AY0IgCdACUUIiYUIyUUISYU -JCUUICIwNvpmsBWgDdUAfXEB3GAnMCwYJhDA4C4UJPkAKBXgDQUALRQjiID8ZHAV4P71AO4UIiDw -oQAA+cAGFaD49QD4JCYdoPj1APnAJhXgCQUA+CQGHaAO5QD/oAh8IBgFAMDRD9k47SJGHfgEgAD4 -jQAP8A4FAPnmAA+wGAUAbYoMKNGQ74EIdugJAACx7sfr1eAfImLB7ynyhS0wLAXoDPkgBVoiAJ0A -/6AIbCIAnQDAINEPJhQkJhQjJRQiJRQhJRQgJzAsIjA26jA1J/o5gAAZJeDyImYVoAgFAPgkhh2g -AgUA8iRmHaD49QD4JEYdoPL1ACIUIYiQ+SAoFeDy9QDiFCAgkKEAAJggmSHiEhMiAtmAAAnvEa/f -LPA1K/A2KfAsL/EfLzUfKTQsKzQ2LDQ1d5kKcrkH/V/7pCIAnQDAIfJlxh2gAhUA0Q8AAAAsFhKb -Hv+gCCUiAJ0A9mymHaACBQDRDwAAAAAAAPwAIh3gCQUA/6IADPAOBQDtIgEdqASAAPiNAArwaAUA -+KYACrAYBQDTD22KDCjRkOWBCHboCQAAse7H6/XAaB3g+fUA/y0ACn/7egAAKzBl0w/qFg8llzGA -ACowZLG8LDRl+1/28uIAnQD6AKIdoBuFAOwlnhpoBIAAWA3eJjRlJjQ25jQ1KlAEgAD+ACIdoKsF -AP5lxh2gHdUA/GWGHeAMBQD8JgAV4A4VAFgB9eoWEC0kygAAKhAw9UAVmZIAnQDA/f9ACZxiAJ0A -HCWJ/IBoHeAY5QD4ZYYdoAolAP4mEBWgG4UAWA3DxirRDwAAAPID4h2gClUA9YBoHeAbhQDsJX0a -aASAAFgNuisyHyY0NSI0LIuzyLbaMAuwAGAAAcCg+mPkHaAMFQDsNC4i9hGAABkiAxohtymQfYwe -+AAiHaALhQD7IAQE8A8FAPkCAA/wHQUA/o0ADnBeBQD/hgAOMAsFAG3aDS2hkHzRSuu8ASVQCQAA -x7sYIc4igoT+RFYF7/z1APoAIh2g/vUA5jRlLYEKgADgaRoNgQqAAO70KS1QCoAADKoDCiIBCSIC -8xCGFaACBQDRDxghvSKChP5ENgXv/PUA+gAiHaD+9QDmNGUtgQqAAOBpGg2BCoAA7vQpLVAKgAAM -qgMKIgEJIgLzEIYVoAIFANEPwLErFhEsEhHtHCgqUASAAP2AAQZwqwUA/CGmFaAOFQDswAAg6IEA -AFgBl8G96hYQLRkCAAAuEhHx1EAN4AwlAC8QIPHgCI9SAJ0A7DQsLlAEgAAoECApCv95gREtMCPA -7v+gEXwiAJ0AwPgvNSD7QAkkYgCdACgQISkK/9MP+QALTGIAnQD7QAvUYgCdAIwdBEoC/CRgFeCr -BQD9gDAVoA4VAFgBeOoWEC0VOgAALRIRLBAj7DQ1JoBpgAAMzgoP7hEuNDUmMCwbJQ0qMR8pMSAL -qgHqNR8klEEAAGiYEWmbFGAACwAAACwaAAyqAio1HwmtAi01H3Z5DS4wNnLpB4gfLzA1ePE1HCT+ -LxAgLRAhnRArECObESoQIuoWAirwBIAA6RAkKmgEgAD4IGYV4BuFAPYghhWgCkUAWA0vwCHRD2TN -GuswIypQBIAAWAiE+mywFe/0MgB+9xL6ACIdoA8VAP5lhh3v+7IAAAAA+mWQFa/7hgDAgPgiJhWv -+iYAKRAg8SAIvtIAnQDawPxlhh2v+woAAC0SEWXe2dpA/ADCHaCrBQD8JCAV4A4VAFgBNcDC6hYQ -LQzSAAAuECH1wA9wkgCdAPXADzESAJ0A9cAO9BIAnQAqMCzBvftf9LxiAJ0A/GQEHa/6PgApECAt -Cv/9P/SNYgCdACY1IPtf9HViAJ0AjB3aQPwkQBXgqwUA/YBQFaAOFQBYARvqFhAtCZIAAC4SEfoB -gh3gCrUA8c4QDeANVQAsECIMTBRvyXFoyG7A0vxlhh3gBiUAwe3+3/O0IgCdAIwdBEoC/CSAFeCr -BQD9gHAVoA4VAFgBBuoWEC0G+gAALhAkLjQ1LRAk9aAGGJIAnQBv1DvAivhmxh2v+NYAwZD4ZAQd -7/dSAHqXMfoAIh2gDRUA/GWGHe/2mgBoyXl6wXZuyistNCz//iwNoAZVAABv1iTA+/5mxh3v99oA -eZcp+gDCHaAJZQD4ZYYd7/XGAPZlkBWv/YoA9b/unFIAnQDAvPpmxh3v9yYAeJc6+gBiHaAONQD+ -ZYYdr/USACkQIguZAWiUefUgBDQSAJ0A9mWQFa/8kgAAAPYAgh2gDEUA/GWGHa/8SgD6ZZAVr/RG -AMDZ/GbGHe/15gAA2jBb4NEcJGwvECAtECGdECsQI5sRKhAimhLpECQq8ASAAOkWAypoBIAA+GWQ -FaAbhQD4IIYVoAolAFgMmyISENEPAAAA9gCCHaAORQD+ZYYdr/qqANbQ/GWGHe/6ggAsNCz/+IgN -oAolAAAAAGwQBiMgI/QBwh3gB9UA9ERQFaALlQD6YAy0YAoFAPRgDHRiAJ0A9mAMNGIAnQD0YAv1 -EgCdACokLHUxCncxB/hgC01SAJ0AC+owFiRA0w8PAgAoYukPAgD5YBH7ogCdAB0gyitm6Spi6P2k -CBXgDAUAWA0BGiDFL6B9KxYA8+AOxxIAnQD0YA6EYgCdABokMBsjslrYE4sQKiRkKGIXGiQsGSQs -C4gMqor5AO4N4AwFAAqsAi5gYABABA8CAA4NG/GgBu/QCxUAGCCwDwIADwIAKIB9KQoIDwIACYoB -+QAHBmANdQDAkClkYPgAIh3gCAUA6pg4DvgEgAD4jQAPsGYFAAb/AhYgVcDg+sBoHaAYBQBtig4o -oZCyqv8AB5RiAJ0Ase7wA6ANr/61ABkgl8evKiRlKZB9wMgMmgH9IApGIgCdAMHg/AAiHaAJBQD7 -ggAMsFgFAOlNOQtQBIAA+aYADrAMBQBt6gwuoZDt4Qh1UAkAALHMx8v+QX4FoP31ACnyhMev7eQp -LgEKgADt5CotwAqAAAqKAwqZAQmIAij2hOskLipQBIAAW/21wCDRD8H/LyQs+n/0BWIAnQBj/oYA -AEEE/WABBF/59QAJiAMI6AEoZGD0f/iMYgCdAMBg6rY4DvgEgAAGTzkWIBz+AAIdoBgFAOj/AgtQ -BIAAbYoMKKGQ74EJdVAJAACx7i76+x8gOtMPKvKHAOEEALkaKfaH+z/74KIAnQAJ6jAaIFcqoiAM -qiiqmQ7qMA6eDPff91iSAJ0AbQgNDOowDJwM95/20JIAnQBj/+saIIAbIz9a16CPECokZC1iFxog -fB4jug/dDKra/7/x2yAMBQD9QGgdr/jOAAAAAPR/9cRiAJ0A9n/1hGIAnQBj/wUoYuixiPjdBhWv -9vIAbBAEIyAjwE70YMYNocKlANEPIgrQ0Q8AbBAEwCDRDwBsEAbDgHaDSOQUAClQBIAAW9+FZqA2 -2xD6H8IdoAwVAPpgBAUwDRUAW97GZqAewNHtOgIK2ASAAPzAaB2nqgEAW97A8UBoDeACBQDRD9Kg -0Q/GKtEPAAAAbBAGKiAjKyAs9ERQFeANlQD9QsYN4AzlAHyhDmiqC8Ah8mAGFaACBQDRDyQgRMBh -6LIeanAEgABosRZosxNothD9YaAC0AgFAPhIhh2gANoAAH2hX/1LgAVQCdUA+UAGvGIAnQD9QAls -IgCdAPCJoA3gCgUAZOF39UALoJIAnQArICzBr/tj5g2gHMUA/WLmDaAd5QD9YeYN4A4VAP5gBhWg -AgUA0Q8AwPD+YAYV4AIFANEPABgf7CiAfXyPVhofn/oAAh3gHIUA/KYADjAZBQBtmgwtoZDs0Qh1 -UAkAALG7x7scH70uwoUAsQQAahp+qAgvwocqxod68DTAkQkOR/5Ihh2gCgUAZU9pwIH/DQANP/2e -ABkgDymQKQBQBAkJG///YA2gmQEAAAAAAAAAAP//JA2gCQUAxa3qFAAq0ASAAFvfI2agLfogaB3g -qgUA/AAiHaANBQBb3mRmoBf6IEAV4KoVAPwAIh2gDQUAW95fZqABwKBmrwYrEALTD9MP82AERtIA -nQAuEAQOHkD+SIYdr/3aAMDz7xQAKtAEgABb3wtmoC36IGgd4KoFAPwAIh2gDQUAW95NZqAX+iAg -FeCqFQD8ACIdoA0FAFveR2agAcCgZqB4LhAB/mAABzAIFQAOjjn+SIYdr/x+AAAAAPygaB3gCkUA -/EYiBaAbhQBYC0Zj/nkAAAAAAAAvCm7vFAMq0ASAAFve7magK/ogYBXgqiUA/AAiHaANFQBb3i9m -oBX6IIAV4Ko1APwAIh2gDRUAW94qZ6AWZ687Y/4wACYkRP/6xA2gDhUAAAAAAAD//5QNoAoFAGwQ -BMAg0Q8AbBAEwDb0SJAVoAIFAAQyOdEPAABsEAQXIJYVH13zDgAKNzIBAOJy3yGM4QAAKFKHpIgJ -iBGoIiQgDRYgjiNyIOIgDCIBaYAAJmB9ojMSIuCwRQZVKCIif6UzCjMRoyLRDyhSiKSICYgR+EAA -QT//KgAZItcpkn8KIhGiktEPAABsEAQcIHsbH0LzDgANN5IBAOjC3ySN+QAALbKHqt0J3RGtiBIi -yyqADR0gciiADOIifyUAqYAALdB9K8IgKaz/DZkoqLgJiAgKiRHpIggBgqGAAIohiCAKCo75HwAM -MAl1AOgmASlABIAAbZoTiYLqhgAkQCEAAIqBCQmOmYEKCo7qhgApUASAAFgMhdogWAyC0Q8tsoiq -3QndEf0AAER//hIAiiGLIAoKjgsLjvpAJhXgCXUAbZoTiSLqJgAhECEAAIohCQmOmSEKCo6aINEP -bBAEEyKgDCIRoyKCINEPAGwQBBUinQwkEaVEI0bAJELA0Q8AbBAEFSKZ+D60BahiHQDqZBELTwKA -AOVFCAzPgoAA6JkIBDnBAADnQggBgemAAPZAaB2gA4UADwIAbToQ45IcJMghAAAkkhsklhojlhsG -YIYFAmcGQIYFAmUGIIYFAmMGAIYFAmHRDwXghgICbwXAhgICbQWghgICawWAhvIQqB2gA4UADGIR -CCIKDwIA0w/TD206EOMiHCEQIQAAJCIbJCYaIyYb0Q8AbBAE8j5iBagyHQAKMxGjIiIscNEPAAAA -bBAEGiJqGyJq7z0QCWQCgADtzAIKbgKAAA3MAliZ2sAg0Q8AbBAEBOowGB6bKIJBAogoqEID6jAD -IwxqMQ5tCAgJ6jAJKQxqkQJj//DRDwAAAAAAbBAELSANKyAMFB/35x/4FotBgAAocH0uQiCw3wj/ -KKvur+4oIAVogyZohHb1AAlqkgCdAPUACkMSAJ0A9QAKk5IAnQBoiAPAINEPwJMpJAUfHqXAMA/u -CyriwBgiQQoMSgjMEQjMAgwMT/33ZhWpqmEAbakCI/a8I/a7KuLAGB9/CgxKCMwRCMwC/eAABjAJ -FQD992YVqaphAG2pAin2vCP2u/VgBYsSAJ0AGR6X6ELfJodxgAAaISiquiqgfSOSiK2qqjMJMxED -gwgjPIAIthEqMhMvoQMuoQJ/4XorCgBayesoMA3LpikwUcCxCLg5qpkImAz3BgAKsIgFAOhVAgnQ -BIAA+qBoHeAMFQBb9rfcoOtUAAnQBIAAW+tVY/+wZI/E6TAMJAFJgAAscH0rQiCwigyqKKm4qogZ -H60JiAoogoD//rgNpogBAAAAAAAA+SBoHa//mgDApSokBdogW+xY8USoDeAMhQDsJAUtEASAANEP -AAAAAP9gaB2v+p4A+gACHaAMhQDsJAUtEASAANEPwNj8QKYd4AIFANEPAAAjkoerMwkzEfMAAEH/ -/IoA0qDRD2wQBBYeSwYmCyViwcd/BzcDB1UBBUUCJWbB0Q9sEASIIs6H2iBb/4nOoGhTA8Ag0Q+K -J/qAaB3gDAUA6qwgKmgEgABayezSoNEPAABoUzKKJ8Cw+0QAFaAMFQBazoodHzydoIwg+kOkBeAN -FQDrpgIuZgKAAA3MAv1AJhWgAgUA0Q/AINEPAABsEASDJ4M+FB/o7B9pEZgfAADqHiURmgEAACgw -cS8wcC0gDO4gDSRAKQAA6DRxJ4EpgABo8W/14AXZEgCdACoKAfxDdAWgCwUAWAnnxyLRDwAAAADx -y8AN4AUVAC/AfSlCHLDrD7sorZmrmQqcCyvCwR0fcA27AivGwYgiZYCl2iBb/1BloJ2LICU0cC5C -0vqcaBWgDBUA/28ADbANFQBayTnAINEPKDBxxPV4+2HvwH0nA1GAAClCHLDrD7sorZmrmQqSCy8i -wQ+PSWXwgioiwRsdxQuqAiomwSgiwRkhlAmIAfhYJhWgAiUA8m4GHaACBQDRD8DALDRwLDRxLkLS -iyAqQuP/bwANsA0VAFrJHcAg0Q/5oGgd7/1OAPmgaB3v/ooAAIonwLD7RAAVoAwVAFrOMh4e5Z6g -iyAdIXvtpgIt5gKAAAXMAv1AJhWv/PIAwKH8QvAFoBsFAFgJoyoiwRsdoQuqAiomwSgiwRkhcAmI -AfhYJhWgAiUA8m4GHaACBQDRDwAAAGwQBBUhaypSiCmhAyihAvkABoxiAJ0AwLBaySznIIUdIASA -AO4dyB0wBIAALuKHLVKADwIApO4J7hEO3Qgu0A0p0Axk4KXvdAAEhhGAAP8hgAfQCgUA6nB9I/gF -AAAJGRTkkCpk2/0AAOzwfSfACQAAbbkO64B8JEAJAACqyiyAe6q66oB8LVgEgACry6uqsO+vrw9b -FA67EQs7DPtgaBXk/wEA++AEANAMFQAAzBr9YAQFsAwVAAvLOdrQW/9uKlKIDwIAKaEDKKECeYEV -K0wBWsj+7h2cHSAEgAD63/plIgCdAMAg0Q8AAAAA+yAEANALFQAAuxr6QAQF8AoVAPtNAA3//t4A -AAAAAAD//fwNoAoFAGwQEBkdi+cdgRTL8wAAKZLREx3TGyDW/zgAFLAoBQD5DwAMcAUVABIhHiuy -fyIi8+m8/ywCCoAA/WUAINEinQAJlgL9PyAVoAQFAAIIQWmDAnxJJ/SAIBWiIh0A60nrczP9AAAr -HBBb/6ESHqEpIoPzIAVgUgCdAMAg0Q8dHW0aHu0t0ocqokStbQndEa2qLnKuLaAi4OcYf3gEgAAO -yEJ42Q0ZHuQeIMfAgCiUgA7+AQDRBPygAQRf+fUACYgDCOgBKHauL3KucfaIjaKaGCwWFOsWFS6A -lgAAW/6TKxIV7BIUJXuBgACKGIqnwLD7RAAVoAwVAFrNmisSFY0YHh5LnqCN0CwSFB4g4e6mAi7u -AoAABd0C/UAmFe/86gAAABsg4SQiuJQcJCa4HCDfCwCH6wAHANBBAAAKAGGPFY4UiRbpFgAqaASA -APgg6BWgGwUA+CAmFaAKVQBYCPwjJoMaIIMqon/A0J0b6XKuJQvRgABkQXKMGxQf6fMgBAHwjgUA -nh3sTAwAsEEAAPwhRhWgAXoAABoepyyiOyuiRKwtCd0RrbubGYuwKqJMDLsMWshyZKGyjx4v8H3x -7VwN4A4FABogaiqif40cixuMHQ0dFO0WDCXYBQAA6xYLJmAFAACcHftgCAqiAJ0AZND5iRqIG48c -6YgIDBAEgADoFg4n/yCAAGU/jQcrCyqywRwczwyqAvt4JhWv/noAAAAAAADpRAABBaGAAMCg/kGA -B9DSjQDqQH0iSAUAAOTQLWbb/QAA7JB9JMAJAAAPAgBtug7rgHwkQAkAAKrKLIB7qrrqgHwtWASA -AKvLq6qq6gpdFA7dEQ1tDP2gaBWkmgEAAJEEAFgaCMwCnNOx7v/f+ZriAJ0AyDnqEg0vBhwAAGP/ -zOoeHRcE8QAAGSAxKqB9KZJ/CuooqSmqmQeaCyiiwRsco48eC4gCKKbB/++wFe/+8gAAAAAAAAAA -//48DaAKBQD4QGgd7/9SABwgcY8WjhWNFPgg6BWgClUA+CAGFaAbBQBYCJIoHBAIIIfyPJQFoAMF -AAM1YhYcxgEgY/R/6s8SAJ0AKGKIJCJEo4jsIjssRkKAAKhEi0AqIkwMuwxayA7JpAFEh7EzAzVk -4UQHCfdUAABj/SIAAAD6gGgdoAsFAFv+gGP/2wAA+iEoFaALBQBb/nxj/j4AAGwQBIoniTAroRX3 -RAAVr80FAPzABAb3xQEA/WAARfaJQQDrvEAuFVgAAGSAg4mrCIwR7JwIAlP9AADsrhEGYAcAAPuA -BNriAJ0A7s4IAcBBAAD/YASzogCdAGhBCm2pBQgAhgwCYYljiJCxiJiQjzDz4AVsEgCdAMAg0Q8q -MAdpofX+QpAVp/kBAO/8AStQBIAA/i4AD/ALFQD/wABHcAwFAP5Chh2gDRUAWsgr0qDRDyUwFx4g -IS+pFOmiCCKoBQAA6aYLKucCgACs/y+lFJ6QjCAIzBEMVQL1ICYV7/1KAChhBfmPAA4//YoADLsM -C0kUuJ4OrjbTD23pBQgAhgwCYQO4COlPDAbJAQAA7/z/JEBBAABt+QUIIIYJAmNj/0QVHG8kkBAj -kBeKYQVECvSCCBWgBwUA6alodKhBAAD6wGgdoAsVAPzgaB2gDRUAWsgCiWMpkAMoIBTpnAEpUASA -APqgaB3hmR0A6YgICeAEgAD4QoYdoA0lAAtAAIpjDwIAZK7y6zQAC1AEgAD8YGgd4AwFAFrH8PbA -ZhXgAgUA0Q8AjiDrVAAJUASAAP3AABcwDxUA7+4CCeAEgAD/ICYVoA0lAAtAAIljZJ6qL2kE6WYA -IZAFAADnZgMpFwKAAAL/DP7AhB3gAgUA0Q8AAABsEATRDwAAAGwQBigKASgUAPggJh2g+vUAW9uf -ZqA9+iBoHeA6hQD8ACIdoA0FAFva4WagJ/ogIBXgOpUA/AAiHaANBQBb2tvpEAEtAIoAABodFflP -5hXgYkUA0Q8bHRH7b+YVoGJFANEPAABsEAQE6jAVH7YiUoByQwQkVoDRDyhSf+RWgCRABQAAKFZ/ -0Q9sEAQTHGKIOGmADwrqMIk5CpkMapEEwCDRDwDAoVgEa4k4apEtGxxr6BxFGVcCgACrqiuinggi -CuIiny3olAAALKKdAsIB5CAmZNP9AACaONEPAMCgWARdiThrkcfAINEPwLoLmzT6YQYV4AIFANEP -wLoLmzSbONEPAAAAbBAEiScomRT7JAAVoAIFAOuSCSQBAYAA8WGADeAspQAdHTYpsACLsnyZCH2x -CB4dNH6xAtEPAMCy/AACHaANJQBax4bSoNEPbBAEiScomRTrkgkkAIGAAIkiyJ7AINEPAAAAAP// -wA2gCwUALLAdiraLtQDMMlgGAtogW//h0qDRDwAAbBAEizWINCwwHO0yBilQBIAAC4AA0qDRDwAA -AGwQBi8yACQiABgb1P50AAX3/8EA9eAGxtIAnQAI+AoogqRkgPAZG7QYH18pkq4P9QroVQgEhD6A -ACpQfPNACffSAJ0A5lB9JaGCgAALbAEMDEP9al4NoP31ACdQfn1xDIsxCwtH92AHrWIAnQAnUIAq -QCCLMBwdGneoCyNQfy9AIXy4SXPwRhkfSAZIQw8CAAmICiiCfwRKAguAAOomACUEiYAAwCDRDxwf -QS5ADfyBkBXgCiUA+iAGFeOGAQD4ICYVoAsFAFgHXMYq0Q8AHB84LkANLUAMkxH6IAYVoAsFAPYg -RhXgCiUAWAdTxy/RDxwfMfyBkBXgCiUA/oGwFaBJBQD4IAYV4AsFAFgHS8Yq0Q8AAAAALUAM/oGw -FaAKJQD8PkoFoAsFAFgHQyL62tEPHB8iL0AhLkANLUAMKkAgmhApUH+ZEfiwEBWgCwUA+CBGFaAK -JQBYBzjGKtEPHB8YLkANLUAM+iAGFeAKJQD2ICYV4AsFAFgHMMYq0Q8tQAz+gbAVoAolAPw+HAWg -CwUAWAcpIvq50Q8AAABsEAobHwoLKwsqsIAssIIusIHyACId4A8FAOzMASVT/QAA7KoBB0AFAAD6 -RQAGN6oBAOq0giQC+YAALbIf8ACgDaAEBQAusIGxzA7ODA5MOC6wgQvKEf/gIBXnqgEA6rSCJ0AF -AAB4+ysNyQqJkAoIRACABAkJGWSfzW0IFH+fFLGq+C4ADPeqAQDqtIIk/bmAAGP/5B0bOgisEfuy -CBXgHhUA/kAKDCIAnQAl0oeqVQlVEeW1CA4YBIAAFBtBAwJHBCIKKCLkA4oUC4AAKCLo+mBoHaAL -BQALgAAoIuraMAuAACegBwqkAvTgCIrSAJ0AL6IA1lDTD/50AAV3/8EA9eALNtIAnQAYGy4I+Aoo -gqRkgXYZGxIYHrwpkq4P8groIggEhD6AACsgfPNgDl/SAJ0AmhgrIH3zQAaKEgCdAAq8AfohBhWj -zAEA/UAF9SIAnQAqIH4tCv99oROOQfog5hWn7gEAnhT7wAtNIgCdAC0ggC5gIIpAfeggL2Ahnhad -FRgccCwgf5wZ+UAFcKIAnQCcGf3gBSYiAJ0AGR6cC0hD0w8JiAoogn/rpAALUASAAA8CAAuAAOWk -AAUHaYAAwMBmwDqIQBka/giIVwmICiiCpOpUAApYBIAA7HQACegEgAALgADRDyXSiKpV48MCCq5C -gAD1YABC//sKAADGyuo0AApYBIAAWAUO0Q8cHoCJGC5gDfzBkBXjiwEA+CAmFaAKJQD4IAYV4AsF -AFgGm//+OA2v7KUAAAAAABwedogVihYuYA0tYAyJGZkR+iAGFaALBQD4IEYVoAolAFgGj//9eA2v -/PUAAAAcHmv8oZAV4AolAP6hsBWgSwUA+iAGFeALBQBYBoVj/6QtUAz+obAVoAolAPw8wgWgCwUA -WAZ//ftCHa/8dgAcHl0vYCEuYA0tYAwqYCCaECkgf5kR+FAQFaALBQD4IEYVoAolAFgGc2P/Whwe -U4gXLmANLWAMiRT4IAYV4AolAPggJhWgCwUAWAZqY/83AAAtUAz+obAVoAolAPw8kAWgCwUAWAZj -/fciHa/6ugBsEASJMNpQ7R5EGdgEgADsMAgkqDyAAG7GL/AAGA2v7KUAwMDvGq4UpGyAACnSHi7Q -gq+Z/2EGHaSZHQCZtCjSH5i1WAS6wCDRDyzUgv//TA2gDAUAAAAAbBAE5iQACZAEgADjRAALIASA -ANMPbTkP4yAAIRAFAADjRAAiIAUAANJg0Q9sEATWINMP0w9tSQfjJAAhEAUAANJg0Q9sEAQpCmD4 -BeIdoHulAPIuAAqwOpUAbVlaIjABcosMcqMJ9loAFeAAZgAAAOKbD3E7JQAAcrMH9lUgFeAAEgAi -MADiiw9xmAkAAHKjB/JaABWgAFoAcpsLcrMI8lUgFaAAIgAAIizJDCwRrHzsRQAiIAkAAMAg0Q9s -EAT6DAId4AgFAPoF4h2gfaUA8i4ACrA8lQDTD21ZayIwAHKrDXLDCvZaABXgAGoAAAAA4rsPcTsl -AABy0wf2VSAV4AASACIwAeSPCAvIBIAA4zwCJEAFAADiqxZ89wKAAHLDDvZaABXgAHoAAAAAAAAA -AOK7D3E7JQAActMH9lUgFeAAEgCufi70AMAg0Q9sEAQCMhRkIGf6DAIdoHylAPgF4h3gO5UA9EAB -BzACBQDyQABD8AgFAPxBABXgBYUAbVosInAADIgR4psPc7gFAAByswfyWgAVoABaAHKrC3LDCPJV -IBWgACIAACIsyago6EYAIiARAADuSbR+kASAAMAg0Q8AAABsEATnJAABgoGAAPoHIh2gKPUA+g9C -HeBpBQDyAAIdoAYFAG05LqdjIzAAAiIK44sPczAFAABzowfyegAV4ABWAHObCnOzB/J1IBXgAB4A -IzzJAyIJ0Q/AINEPAGwQBPBFIA3gBwUAw7n8D0IdoCn1APgAAh2gagUAbSkuo3IiIAAIiArimw9z -uAUAAHKzB/JaABWgAFYAcqsKcsMH8lUgFaAAHgAiLMkCiAn4gAYVoAIFANEPwJD4gAYV4AIFANEP -AAAAbBAEIyUC4yUDIWBBAAD8QAYVoAsFAOslBSHB/QAA8sAAAfeIHQDoJQQhgUGAAPpgaB2gDRUA -WsDwaK4V+mBoHaALBQD8QAgVoA0VAFrA6mmu6chLKSEEDJkRKZwQmUDRD9EPAGwQBBgZyBIddCiC -jyMibAmIEagziDdkgFL8OuAFoApFAPxgCBXgCwUA7zIHKfAEgABYBYOEN+oaiBIgQQAAWlYrHBqF -HRl7Hh1mjzDrpAAKUASAAFpV4YM3IzwQ2jBaVb9ooSrRDwAAAAAAAPoIAh2gSwUAWJXn+mAIFe/8 -9QD6YOYVoA0FAFhyFWP/iwAA2jBaVc8TGcULqBHoMwgFAbGAAAzqMCsyhYuwsKPsuwgJ0ASAAFgG -3SoilPpgBADQCxUAALsaC6oCKiaUWAcT0Q8AAAAA+gDiHaALFQBayCAsMn8sNoPRDwBsEAQTGr4S -GdkiNoPRDwAAbBAEEx0nAwCH4wAHAQBJgAACAGHRD9EPbBAEExq0IjK4Ija40Q8AAGwQBB0ZTx4Z -nR8dMBkdMhIaShMdLBUdLBwdLiw2jiU2fiI2hSk2cCk2cS82hi42je3SQSTRAQAAKjaA6jaBJNiB -AAArNnj6byYV4AgVAPhuphWgZEUA5DZ9JMmBAAApNogpNokC0igE1CiUUJLwFB0bFR0ZEh0bDt0o -ncAiNo8lNn8kNofRDwBsEAQSGlEjItsiIiSjItEPbBAEGB0SDScRDwIAqHficgAhgFGAAALJUyk0 -APCAcA3josEAKkQA8KBwDemyYQArVQDwwJAN6sIBACxlANEP0Q8AAABsEAQTGnkiNpzRDwBsEATo -Gn8RgMGAABUckyiAfSVSf7A0CEQoolKkItEP0Q8AAABsEAQYGQz4NSwF4AX1APcSaBWgCuUACWYB -Blo5FBodAyMRpDMrMoIZGS75EmgVr/wFAAy7AQuqAvpwRhWg9gUA+QAEBHDiBQAIYjkkMoIl+g8F -RAEEIgLycEYVoAIFANEPAAAAbBAE8jm6BeDJxQAJKSgYGTv4YABB8AoVAPpwRh2gCQUAKTSAKTSD -KTU+KIB9DwIADwIAf4cYAioCWF/24qQADQDmAADAqyo0gNEPAAAAANogWF/g4qQABX9hgADRDwAA -bBAEwCHRDwBsEAQXGq4pciMUHML5IAgV4MXFAAUlKPSAAEJwmYEAKUSAKHIjiIAIElIiRIEmciOG -YAZGUCZEgiVyI4VQ9FYAAvAIFQDlRIMhPK0AACpwgAYsDPWPAA5wAgUA7MwdJQxVAAAAwQToRJ8s -WAqAACtGI9EPAMAgIkYj0Q8AbBAEFRjhJFKE+kAEANAHFQDgNhoJAQqAAPzgAQPf+PUACHcDB0QB -BkQCJFaE0Q8AbBAEGBj5FRit+Q+wFaAGBQD6MaIF4P31AP4yXAWgCYUA+QAEBHAEdQD4QgAKMFcF -APaGAAlwEwUAbToMKVGQ4pEIcqgJAACxZsdrLLKE7eQpKwEKgAD9xUYd4AoVAP1AAQVf/fUADa0D -DcwBDKoCKraE0Q9sEAQUGLgkQoX6QAQA0AMVAAAyGgJCAQIyOdEPbBAEFBixJUKF+kAEANADFQAA -Mxr4YAARv/b1AAY2AwZVAQUzAiNGhdEPAABsEAQVHGsMJBGlRJNA0Q8AbBAEExxnDCIRoyKCINEP -AGwQBBIYdyIiQ/JDaBWgAxUAAnJWAyIJAgJH0Q9sEARkQFEpIABkkEvAcOgwACIMy4AAeYkqbQga -B0YM6GE1Y7gFAABqYg+jeKJ5KZAAKIAAeYkMY//eo3iieSmQACiAAHiTC/kCNg3gAhUAwCDRD8cv -0Q8AwCDRD9EPAABsEAQTHEWjItEPAABsEAQbGFUrskPpLAQp4ASAAPNgAEWx+PUA+QGWDeAKBQD7 -YCgVoAASAIuwWAWzC0IB0Q8AbBAEHRw2GBw2+aBoHeG6xQBtqgUIAIYJAmHrHDIe0ASAAFgF3tEP -AGwQBhMYZvQ4AAXgAgUAJDKuGBr0CEgBKDauIlaoIlapIlaqIlarWJvFFxg0KTroKXZBWJufWJuL -5qDtbRAEgABYm3LmoOJtEASAAFibLeag120QBIAAWJqN5qDMbRAEgABb/93+gYAIkAYVACtSgNMP -f7dFL3JCGBwSKXrQCf8oCEgB6DauL/8CgAAG/wIvNrf+dugVoA0FAA3kMQECACwyt2bAD20IBSoy -t2agBmP/8wAAAADAo1pPIliZ0OagaG0QBIAAWJml5qBdbRAEgABYmUfmoFJtEASAAFiY7+agR20Q -BIAAIjKu0w8PAgB0L0YbGr0LKwErNq5YmLBYmKPmoCVtEASAABoYwRsYwFiUaBwb7OrGfyUGwYAA -GxrbtLxYlD3SoGcgBMChWsZ30Q9j//wAAAAAAPQwZAXickEACHcRBwRHBUQKKELkB4UU6BYAKtAE -gAALgAAKCUFokQf5IAVh0gCdAChC6tpwC4AAzamIENpQC4AACglBaJECaZNlKELq2nALgABkr+SW -oRwaspygGxvNGhmLiBD6QAQF8pKBACmkgOs2rirQBIAAC4AAKELo06D64GgdoAsVAAuAAPicyBWv -+8UA+mAEBfAMpQDsuwIK0ASAAAuAAPJf+niSAJ0AY/8R//54DaAKBQD8N24FoAoVAPoAIh3v/UUA -WAO2//x8Da/yRQAAAAAAAP/9cA2gCgUAbBAEHRe46zQACVAEgAD9qCgV4AwFAFgEOuO0AA0QBIAA -0Q8AbBAEA+owFhrfJGJ/dDMHI2Z/ImJ+0Q8iYn7jZn8hEAUAACJmftEPAGwQBPhA6BWv9fUAiYOD -IouCm5CKggVFAwUzAflAJhXgBgUAloKWg5Mi0Q8AbBAEhCf0ggAVoGpFAFpUOu8iACnwBIAA+0Bo -HeBsRQDtF4YaUASAAFpT79EPAAAAbBAEhSflXBAp0ASAAFpULuw0AApwBIAA+0BoHeANBQDvIgAq -0ASAAFpT44InIiwQ2iBaU8FooQLRDwDaIFpT2xIX0QuoEegiCAUBsYAADOowKyKFi7Cwouy7CAlQ -BIAAWATpHBfmKsJ/+kAEANALFQAAuxoLqgIqxn9YBR7RDwD6AOIdoAsVAFrGLCwifywmg9EPAGwQ -BBUX74NYyDDJKBgaPSiCqflAAAQxhAUA+IFWDaCJBQCZWNEP0Q/Hz5xYCuowGxdeK7JBLBr0DLso -q6qaWdEPAAAAbBAEFBfehEjyL94FoAh1AOg4KAGoKQAAdUIgJSKuGxtG+KTWDaAJBQAqIq0rsn/7 -QAQFf/JFAAqSOdEPwND8AAIdr/JFAA3COdEPwPD+AAIdr/JFAA/iOdEPAABsEAQUGzcVF8YkQICF -WLo48IMADeACBQD4pU4NoAl1ABIX0hQbLigirgk5KCRCf3mDIiMircCA9GAEAb/yRQADgjnRD9EP -wKD4AAId7/JFAAqSOdEPwDD4AAIdr/JFAAOCOdEPbBAEZDCR9GAEUlIAnQBkMIL4M/QFoAk1ANMP -0w9tmiHrUgckSBMAAO1SBiRgEQAA65YAIqvhAADrzQQkQCEAAJ2wGBeeGRnvBoY4imKLY4xkjWWP -ZohnmJifmZ2anJubnJqdHBnmGhd16xcGGcoCgAAJSQIKmQL5n+YV4+qFAG0ICbCqy6gtwv99sANj -/+8AwCDRD8ci0Q8eGvku4IBk72/qJAAJ2ASAAPyAaB3gjAUA7lQAC3gEgABYAJTSoNEPxyvRD2wQ -BOYkAAGDeYAAbzRjZDBgHRnJ7BbrGcICgAAISAL5v+YVo+uFAG0ICrC7ZLDIKdL/ecAGY//uAAAA -APgzfgWgCTUADwIA0w9tmiHpjQQkWBEAAOmSACXYEwAA6VYHIqvhAADpsgAkQCEAAJlYwCDRD8ci -0Q8aGtAqoIBkr5ESF16JKOMXbxSN04AAKzKuZLB7GxrIKjKtK7J/C6oBZKBusJycKPjACBWgDxUA -L6QA/0EGHeACBQDipAkqXgKAAA+7ApuhCeowKaUF/QAAFDAJNQAJiAKYoy1gBo5iLzat7+4CBugF -AAAtZAb+wEYVpt0BAC1kBtEPxyvRD8CgW/9OiShrkYX//mwNoAoFAMCgwMoMnDT8QQYVr/4yAAAA -AGwQDBsW7A8CAOsABQjIBIAACQJhCQJh6wAFAMiBAAAJAmEJAmFkMKMZFrT0YAaokgCdAPRgBukS -AJ0A9GAESlIAnQBkMIEYGXT6IGgdoAk1AG2aIeuiByRIEwAA7aIGJGARAADrlgAlU+EAAOvNBCRA -IQAAnbAaGWr4JAAVoAllAG2aD+mCByRD8QAA6aYIJVARAAAeGWEZFvDsFoEZwgKAAAhIAgmIAvnf -5hWj6oUA0w9tCAqwqmSgaSni/3nAA2P/7ADAINEPxyLRDxoacyqggOsXPxrkAoAAnBLrFgolezGA -AOokAAnYBIAA/IBoHeCMBQDvHCAo8ASAAFgACtKg0Q8A6RYLKtzCgAD6IGYV7/yuAOkWDSrkwoAA -/CCmFa/8bgDHK9EPbBAEHBbrisjnFvwbmASAAPdABQCSAJ0AKHKu+gDiHeAJVQADuTn5AAUj4gCd -ABsaTylyrSuyfwuZAeSQkmVr/QAAncjA4u6UACTQQQAABiCGCgJjBgCGCgJh5DA2ZNDBAADyyGgd -4AhVAAoCZwNAhgoCZQhaEQqKApqRLyAGKHatBP8C/kDGHeACBQDRDwAAAAAAAPygABawDDUADc0C -nZErIAYsdq0EuwL6QMYd4AIFANEPwKBb/s4cFr2KyPlf+rCSAJ0A//3oDaAJBQDAkMDqDq40/4EG -Fa/9rgAAAABsEAgYFmrTD9MP6AAFCMgEgAAJAmEJAmFkMJD0YAQ6UgCdAGQwfxgY+vogaB2gCTUA -bZoh66IHJEgTAADtogYkYBEAAOuWACVT4QAA680EJEAhAACdsBgWnvox3gWgCWUAbZoP6YIHJEPx -AADppgglUBEAAB4Y5xoWduwWBxnKAoAACUkCCpkC+d/mFePqhQDTD20ICbCqy6kr4v97wAJj/+3A -INEPxyLRDwAAHBn5LMCAZM9w6iQACdgEgAD8gGgd4IwFAP4gaB2gDwUAW/+U0qDRD8cr0Q9sEATK -N280Ick+HBXt+jGUBePqhQBtCAqwqmSgtiiy/3jAAmP/7sAg0Q/HItEPGRni0w8pkIBkn9AqIAbx -QAQWEAYVABUWbYlYExZ99yAEQJIAnQArMq5ksJQbGdYqMq0rsn8LqgFkoIewnJxYiyAmpACWoSak -CCSkCQzqMCylBf1gABWwDDUADLsCm6OJIiggBgaZAukmAiRABQAAKCQGLyAGjiL2daYVr43VAP4A -AAc2/wEA/kDGHeACBQAO0jnRD44iLfqN/gAABzACBQAO0jnRD8cr0Q/AoFv+V4lY+T/7iJIAnQD/ -/ggNoAoFAMCgwPoPnzT+oQYV7/3OAAAAbBAElyPoFlIa1AKAAOgmAipKgoAACpkC6hZNGd4CgAAL -awKbIQqZAukmACEQQQAA0Q8AAGwQBBYV2xQYbuVikCGA2YAApCQkQH0iYoijRKQiCSIRolIiLIDR -DwAkYoeiQgkiEaJS0Q9sEAQZGZQoMgAECgYqNAb5AAQEd6IBAOg2ACUtGQAAaKNxExXWA6MKKDLk -AoQUBEoCC4AAKDLo1aD6QGgdoAsVAAuAAPh8yBWv+8UA+qAEBfAMpQDsuwIKUASAAAuAANEPGhW2 -K6KH+1IIFajCHQCsuwm7Eauqi6eLvo2wydT9YCgVoA4FAC62AO62ASnYBIAAC9AA0Q8AAGwQBAIK -R2ilKBMVttMPA6MKKDLkAoIU2iALgAAoMub7QGgd4Aw1AOy7AglQBIAAC4AA0Q9sEAQZFY8oIQMJ -STbgmREJ0ASAAOmIAgrgBIAA6CYBKVgEgABb/8PAINEPAGwQBCMlEpQrJSYQJyRQKCANixuMGo0Z -KSEHLSRc7CRdK1UCgAD6RUQd65kBAAqZAuklByQAgYAAjh2PHC8kIS4kINEP0Q8AAGwQBBgWmWQg -Q/oqlgWgBwUA+RAQFaAGBQBtKREAYAQICRvvlwZzMAUAALh30w8roYsrRQAqokanqqo6+oBEHa+q -gQD6gCQdoAIFANEPHBU6LcGLLUUALMJGrDz8gEQdr8yBAPyAJB2gAgUA0Q8AAABsEAQSGGknIHoo -IHsqIHwIdxHodwIMTgKAAAqZAhgXWPj3AAvyCQUACXcC+OAEBDh3HQD4gCYdoPjlAAh3ASdEACYg -fSZEAiUgfiVEAyIgfyJEBPKAph3gAgUA0Q8AAABsEAQoIA3JgishLdow/KBoHaa7AQBb/+LSoNEP -2jDrRAAK4ASAAFv/wtKg0Q8AAAAAAAAAbBAEyyfAUPf+Ah3vBgUA0w9tCA1yYA0CghTkIBxiqCEA -AGP/6XJwC7RV8AAYDaQiHQCxVQISFGUv99JQ0Q/AINEPAABsEAT2QFAV4BUFAPZAEBWgBAUA4yAD -KgEKgADiIAErvgKAAOczAgs2AoAABiIC4CIaCoEKgAAAMxoDIgLRDwAAbBAMlRYVGOLiUugpSASA -AJMdlhgoIhKXG5QX5DQABBVpgAArUhbzaiAN4AMFAJIV6RYEIlEhAAD6ISYVoAgFAPghRhWgBgUA -lhz2KhQFoAGqAIkciZjJmIscjLmZwC2yCP2gJhWgCgUAKrYIKrYJKrYLjhuKGokcKEIT6xIJJVAF -AADqFgok+IEAAJ+AmJmbmC9GE/XAEAiSAJ0A9cAPyRIAnQD6osgV4A0FAJ0csTP6YA8q4gCdAChi -fidS4qg4CYgRqHeKdy6pFGTv4ClwBfNBKBWgnGUAfJHSZC/PjnCPQH7xyIsVihQrshKbEIqgmhGJ -SomQmRKIeuwYphnoBIAA+QAIFaA7BQD4IGYVoApVAFgAn4wb+YAFcZIAnQD3gAUwkgCdAIsXiSKK -IwuZDIsW+AAiHaAOBQAJjjj7TwANcA8FAAqPOP/ACADiAJ0AjBxkz07AoCggOywgOisgOC8gOf2A -ABYwGQUA7IgCDd4CgADr/wINAQqAAOD/GgyBCoAAAIgaCP8CHBiDLnAFjXCII4ki+CAGFeAKVQD4 -ICYVoDsFAFgAeowcisIr+prrxAUldPmAAH6naowcx70LqwH7gEYV7/o2AI0b+aAIwtIAnQDAoCgg -OywgOisgOC8gOf2AABYwGQUA7IgCDd4CgADr/wINAQqAAOD/GgyBCoAAAIgaCP8CjRj/v/odYgCd -AIhAj3COHAj/DA9+Of4hhhWv/L4AGRSV+z/xNiIAnQBkoKjAsG0IEC36AHrQMQqKFOSgQmXYIQAA -Y//oi0CKcPtf9+RiAJ0AjhSNeo7gjdCMHA7dDA18OPwhhhWv+5IAx/B68Au0u/AAGA2kqh0AsbsK -GhRlr/f6IYgVr/z1AFrIS2P9vAAAAADAIIgazIuKG/tfYBWgCSUACpI5/DB4BaAKVQD+IUgVoDsF -AO8SCyloBIAAWAAy0Q8AAADyAAIdoAsFAPohRhXv/x4A//6cDaALBQAAAADAovwwWgWgOwUAWAAn -//6MDaASZQBsEAQvYQctYAcYFP78wQQVqv8BAPwCAATx3QEA6pkQDuwCgADtzAIP/wKAAAn/Agj/ -Ah0UvJ8gHxa6jmCUI50iD8wC7CYEL3YCgAAOPgL+QCYVoA4FAJ4lBwSJ/kDGFeC+BQCeJwIgi4tn -LWAM67IOLuwCgAANzALsJgQi4MEAAOwmByFQoQAAW/m3DDgRqCLRDwAAbBAKlhgbGAMYF+OXGSux -xiqCHimAguUWBy3eAoAAC6UI8yAH+6IAnQAL6jAaFy4PAgAson8IhgL9YAdTogCdAB0T+CumfxcX -9Cqifv2oKBXgDAUAWAB5m1HjVA8iyEEAAP7gCBWgHYUA8qHGHaAIxQD6oAYVoAIFAO5WAid4BQAA -/uAGFeAKxQBtqgUEAIYJAmEiVM/oFgIq0ASAAP4iABWgCYUA/iAmFaADpQDjVM4g+MEAAP4gBhXg -I0UAbZo3tIjoFgIvWASAAPmhzg2gGdUAYAASAAAAAAAAAOmKBn/YBIAA2DCYEquMLM3/LMI/7KY0 -JVARAAD6oGgdoQsFAFgBbB0XxihhQC3SorGI+eAABDjdHQB9iQQiZUDRDyhlQNEPANEPLqJ+5hea -F3AFAAD/T8YVr/wuAAAAAGwQCBwXuBoW3o8gIyAH+EMIFedFAQD0n8AV4A0VAAXVOS2QBIia/yAI -FaB7hQArlAUKiAIrJAX5IUYVoTMBAPIgBhXgClUA9CAmFeA7BQBb/536QGgdoBsFAO0cECngBIAA -Wsr87BegFQI5gACLIMDQLaQJDLsCm6CJKZmhGBPF7hIEKf8CgACo/+72ACIUeQAAKiIH+gAiHeAM -BQD7RAAVoA0VAFq/aMAg0Q/AINEP2iDsF44a2ASAAFrKy8Ag0Q8AAAAAAAAAbBAIIxYBIhYA5RYD -KdAEgADkFgIq2ASAAFgAzSgSAoIQA4MoBSIooyLioggNmASAANEPAAAAAABsEAjaIPZgaB2gLAUA -61QAAgc5gAB0KwvyAAId4AIFANEPAAAHTwTnyAwDlTmAAACABPrgBADRMp0ABi8Y9IsADv+fAQD9 -4AAHfy2BAAI0LgIzLPPDAA54AD0A70QYCdgEgADsSxl6UASAAKTa7aMPcdv9AAB8qwfq2ggB2/kA -AAyvDAL4LgL/LO/sKAx0AoAA7p4CD9AEgADs6xl/EASAAK7S7SMPd9P9AAB8Kwfi0ggH0/kAAOwi -DA2cAoAA46MCC4EKgADqNAAK2AqAAFgAknojFfpABjUiAJ0AAHEEAGga+wAFwuIAnQDyf+AV4AIF -ANEPAAAAAPRABULiAJ0ACV8E5JAPbIEKgAAAWxrjIhgJmAqAAPvgAAZ/24EADSQuDSIs84MADTgA -PQDjRBgJeASAAOpLGXpwBIAAq07r4w9xe/0AAHrrB+6+CAF7+QAA+88ADT+DAQANqS4NrSztzigM -5AKAAAyMAu7LI37QBIAAq8zrwxl20/0AAH7LEeDzEQbT+QAA80YACfACBQDRDwDzEfNGAAnwAgUA -0Q/AINEPAABkUVIOvwRk4VTuyQwPAQqAAOC7GgyCCoAA++AABnFKnQD7wAQA39uBAA1FLuCoGgyC -CoAADUQs+8AEANEmnQDoIgILGAqAAPWDAA84AD0A4lUYCngEgADuWxl60ASAAKta66MPcnv9AAB+ -qwfquggCe/kAAP9PAAw/UgEADYQsDYgu5MIoDEQCgADoVQIKcASAAOJbGXrQBIAAq1rrow9yc/0A -AHKrB+q6CAJz+QAA4qIMD/wCgAAP7wINJS4NJCz1gwANOAA9AONVGAoQBIAA6lsZevAEgACrXuvj -D3IT/QAAeusH7r4IAhP5AAD7zwANP4MBAA2pLg2tLO3OKAzkAoAADIwC7ss0ftAEgACrzOvDKnbT -/QAAfssi49z+KUQCgADoMwIPkASAANEPAHJDAnUzF/IAIh3gAgUA0Q8AIxHjowIPkASAANEPAPIA -Ah3gAgUA0Q/AsQW7LGP+pAuiDPvwAAb/ywEA//00DaAPFQAAAABsEAIDBV/0XQALf0KBAANLHA8C -AKtm+sDSDeAJBQCxmfJdAA34AD0A5pkYCzAKgACrZntrAimcAQVCHOkiCAsYBIAA0Q8AAABsEALg -QQQCZEcAAOMiGAmYCoAA0Q8AAAD8YAEBUAMFANEPAABsEALgQAQCZEcAAPJLAAnxIp0A0Q8AAADy -nwAJsAIFANEPAABsEAIC6jDRD2wQAswlA/AxYAAPAG8iBQPxMWAABW8jBQPyMQACANEPbBACzCUC -8DDRDwAAbyIEAvEw0Q9vIwQC8jDRD8Ag0Q9sEAIiCoAjCgBtKA4oN0AoN0QoN0goN0wjPQHRDwAA -AGwQAiIKgCMKAG0oDig3UCg3VCg3WCg3XCM9AdEPAAAAbBACJicA0Q9sEAIlJwDRD2wQAgIERaQz -Izw/A2MUbTkFJicAIixA0Q8AAABsEAICBEWkMyM8PwNjFG05BSQnACIsQNEPAAAAbBACAgRFpDMj -PD8DYxRtOQUlJwAiLEDRDwAAAGwQAtEPAAAAbBACAuQx0Q8AAAAAAAAAAAAAAAAgBpJwIAaVGCAG -lZAgBpUwAAAAACAGl/ggBpgAIAaKqAAAAAAAAAAAAAAAAAAAAAAgBocAIAaCaAAAAAAgBoJgIAaC -WCAGglAAAAAAAAAAAAAAAAAAAAAAIAZ+wCAGfrAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgBLKgIAS5qCAEunQg -BK2AAAAAAAAAAAAgBLvIAAAAAAAAAAAAAAAAIAS5HCAEuHggBL6QIAS8dCAEr4ggBLDgIASxvCAE -ubAgBK8oAAAAACAIIeAgCCJAIAMtKCADKoAgAykMAAAAAAAAAAAgAyskAAAAAAAAAAAAAAAAAAAA -AAAAAAAgAyY4IAPAzCADJ/QgAyTYIAMndCADKQQAAAAAIANCyCAIJSwgCCJ0IANEMCADNyAgAzFo -IAM0mCADMjAgAznAIAMt9AAAAAAgAzygIAM7FCADM4AgAzXwIAM9yAAAAAAgAyTYIAMwICADLTAA -AAAAAAAAAAAAAQIAAQAAAAAAAAAAAAABAAECAwQFAjIyAAAAAAAAAAAAAAAAAAACAAAAAAAAAAAA -AAAAAAAAAxAAAAAAAAAAAAAAAAAAAAAAAAH/AAAAAAAAAQAAAAAf/OEwAAAAAOAAAOABAAAAIAkD -yAAAAAEgCQH8AAAAAiAI/UgAAAABIAj6wAAAAAEgCPisAAAAASAI9qAAAAABIAj0DAAAAAEgCOcw -AAAAASAI7igAAAABIAjl+AAAAAEgCOXwAAAAAQAAAAAAAAAAAAEAAQAAAAAAAAAAAAAAAAQAAAAI -AIkGAAAAAAAAAAAEAAABCACJFAAAAAAAAAAABAAAAiABDLwAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAABAAAAAgAiQYAAAAAAAAAAAQAAAEIAIkUAAAAAAAAAAAEAAACIAEMvAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEAAAACACJBgAAAAAAAAAABAAAAQgAiRQAAAAAAAAAAAQA -AAIgAQy8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQAAAAIAIkGAAAAAAAAAAAEAAAB -CACJFAAAAAAAAAAABAAAAiABDLwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/AAAA -Dv8AAQAAAAAAAAoBAIEACgEAAQAKAQABAAoBAAEADgMBAQAe/4GBAB4CgQEAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAABGwQDAwEbAgMDARsDAwMBGwIBAQEfBIGBASv/gYEBKgGBgQEpAYGB -AR8DgQEBHwOBAQEs/4GBAT0CgQUBPP+FAAE8/4UAATkBBQUBPg8FBQEuBIGBARsCAQEADgKBAQEu -AoGBAA4CAAEADgKBAQAOAgEBARoBgYEBDgIBAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAHAMBAAAOAwEBAE8EAQEAXwQBAQA8BAEAAAAAAAAAbP8BAQBMBAEBAB4CAQEA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHwAAAAAAAAEAAAAAAAAAAgEAAAAAAAAE -AgAAAAAAAAcSAAAAAAAACAMAAAAAAAAPEwAAAAAAABAEAAAAAAAAHxQAAAAAAAAgBQAAAAAAAD8V -AAAAAAAAQAYAAAAAAACABwAAAAAAAMAWAAAAAAABAAgAAAAAAAIACQAAAAAAAwAXAAAAAAAEAAoA -AAAAAAgACwAAAAAADAAYAAAAAAAQAAwAAAAAABgAGgAAAAAAIAANAAAAAABAAA4AAAAAAIAADwAA -AAAAwAAaAAAAAADgABsAAAAAAQAAEAAAAAABgAAcAAAAAAHAAB0AAAAAAeAAHgAAAAACAAARAAAA -8tUSmPLVEpjy1RKY8tUSmPLVEpjy1RKY8tUSmPLVEpjy1RKY8tUSmPLVEpgDhRsYA4UbmPLVEpjy -1RKY8tUSmPLVEpjy1RKY8tUSmPLVEpjy1RKYAAAAAAAAAAAAAAAA//8ABQAGAAcACAAJAAoACwAM -AA0ADgAPABD///////8AAAAyAAAD6AAAACgAAE4gAAAAAAAD0JAAmJaAAA9CQACYloAAABwgAAAA -SwA9CQAAA9CQAJiWgAEBAAADAwICBQUEBAcHBgYAAAAyAAAD6AAAAGQAAMNQAAAAAAAD0JAD0JAA -AExLQAPQkAAAABwgAAAASwA9CQAAD0JAI8NGAAMCAQAHBgUECwoJCA8ODQwAAAAyAAAD6AAAAAoA -AAH0AAAAAAAAA+gAmJaAAA9CQACYloAAABwgAAAASwA9CQAAA9CQAJiWgAMCAQAHBgUECQkICAsL -CgoAAAAAAAAAAAAKABQAjACCAGQAWgCgAJYAeABuAFAARgA8ADIAKAAeAAoEzgSSCc4JkgKyBFYE -4gSmA7YEGgeyCVYGXgJ2CeIJpgdOAjoItgkaCBYGIgLGBGoAlgN6AXIHdgC+A94E9gS6BEIDogJi -BzoGDgCCA8oELgBuAFoDKgE2AeoDPgfGCWoBSgcSBZYIegbCAf4GcgKKA1IF5gW+CN4BmgfaCfYJ -ugl+B54JQgiiCQYBXgdiAk4HJgMWASIFgghmBaoIygkuCI4BDgVuBVoFRgbWCCoGNgISAcIG6gg+ -AOYGhgLaBH4CngQGBkoCJggCA2YAqgOOBfoARgHWBv4GrgXSAYYHigjyAwIIUgD6BTIBrgDSA/IH -7gAyBpoC7gUeAB4FCgAACcQJiATYBJwHqAlMCdgJnAisCRACvARgAWgHbATsBLACWAcwA8AEJAMg -ASwHvAlgBYwIcAZoAoAFtAjUCewJsAk4CJgHWAJEARgFeAjACSQFZAVQCCAGLAbgCDQC0AR0BkAC -HACgA4QBzAb0AXwHgAhIAPAAyAPoBpAC5AUABMQEiAKoBEwDrAQQBlQCbAdEAjAIDAYYAIwDcAC0 -A9QEOAOYBgQAeABkAFAB4AM0AUAHCAa4AfQDSAXcAZAH0Al0B5QI/AFUBxwDDAhcBaAIhAEEBTwG -zAIIAbgA3AZ8ApQD/Af4A1wF8AA8BqQFyAjoAvgFKAGkB+QAKAUUABQAAATJBI0JyQmNAq0EUQTd -BKEDsQQVB60JUQZZAnEJ3QmhB0kCNQixCRUIEQYdAsEEZQCRA3UBbQdxALkD2QTxBLUEPQOdAl0H -NQYJAH0DxQQpAGkAVQMlATEB5QM5B8EJZQFFBw0FkQh1Br0B+QZtAoUDTQXhBbkI2QGVB9UJ8Qm1 -CXkHmQk9CJ0JAQFZB10CSQchAxEBHQV9CGEFpQjFCSkIiQEJBWkFVQVBBtEIJQYxAg0BvQblCDkA -4QaBAtUEeQKZBAEGRQIhB/0DYQClA4kF9QBBAdEG+QapBc0BgQeFCO0C/QhNAPUFLQGpAM0D7Qfp -AC0GlQLpBRkAGQUFAAAJvwmDBNMElwejCUcJ0wmXCKcJCwK3BFsBYwdnBOcEqwJTBysDuwQfAxsB -Jwe3CVsFhwhrBmMCewWvCM8J5wmrCTMIkwdTAj8BEwVzCLsJHwVfBUsIGwYnBtsILwLLBG8GOwIX -AJsDfwHHBu8Bdwd7CEMA6wDDA+MGiwLfBPsEvwSDAqMERwOnBAsGTwJnBz8CKwgHBhMAhwNrAK8D -zwQzA5MF/wBzAF8ASwHbAy8BOwcDBrMB7wNDBdcBiwfLCW8Hjwj3AU8HFwMHCFcFmwh/AP8FNwbH -AgMBswDXBncCjwP3B/MDVwXrADcGnwXDCOMC8wUjAZ8H3wAjBQ8AAAAKAIwAbgCWAHgAPABQAKAA -ggBkADIARgBaACgAHgAUAAAAhwBpAJEAcwA3AEsAmwB9AF8ALQBBAFUAIwAZAAAAADAEAAAwEAAA -WQgAAFmkAAGUMAAAECQAABAwAAAQPAAAENwAAHssAAB7NAAB4owAAeaMAAHqjAAB7owAAfKMAAH2 -jAAB+owAAf6MAACNzAAAfnQAAI/cAAGRWAAAj/wAAHUcAAB1GAAAdRAAAHfgAAB35AAAd/QAAZw8 -AAEQdAABEIQAAJQIAACYXAAAlgwAAJYUAACWIAAAliwAANAoAACQCAACENwAAjDcAAJQ3AACcNwA -AZBUAABgHAABoNgAAZCQAAB34AAAd+QAAHf0AAB5eAAAefgAAZQEAAHjwAAB58AAAevAAAHvwAAB -88AAAffAAAH7wAAB/8AAAZQMAAAwAD///8AAADAIP///wAAAWRCsgAAAAABZrH4lAAAAAZQQH/// -/wABlDQAAAARAAGUCB////8AAZQ4AAAAEQAAECh/////AAAQLH////8AABA0f////wAAEDh///// -AAAQQA9EAAAAAHsoAB//4gAAezA//+7/AAB7OAAAAAEAAHtAP//u/wAAfCQAH//iAAHiiAAIAAAA -AeaIAAgAAAAB6ogACAAAAAHuiAAIAAAAAfKIAAgAAAAB9ogACAAAAAH6iAAIAAAAAf6IAAgAAAAA -jcj/////AACN0P////8AAH5wf7///wAAfnh/v///AAB+AALAAAAAAZFUAf///wABkZwB////AACP -2AB///0AAI/4/////QAAdRQAAAAHAAB1DAAAAAsAAHfcAAAAAwAAd/D/////AAGcOAABgEAAAZz4 -AAAAAwAAkAQAAAA+AAEQeAD///8AARB8AP///wABEIgAAAAAAACUBAAA//8AAJQQAAD//wAAmFQA -AAH/AACYWAAAAf8AAJYIAAAAAAAAlhAAH///AACWGAAf//8AAJYcAA///wAAliQAD///AACWKAD/ -//8AAJYwAP///wAA0CAAAAAHAADQJAAAAAcAAhDYAAAAAwACMNgAAAADAAJQ2AAAAAMAAnDYAAAA -AwABkFAAAAAvAAGg1AAAAYMAAZCMADgAAAABkJgAOAAAAAB5dAAAAC8AAHlwAAAABQAAefQAAAAv -AAB58AAAAAUgAw7UIAjS7CADDtggCOJQIAMO5CAI4XggAw7wIAjhKCADDwQgCOB4IAMPHCAI3xAg -Aw8sIAjd+CADDzQgCN3QIAMPSCAI3aggAw9YIAjdeCADD2QgCNxcIAMPbCAI3DQgAw+AIAjcACAD -D4wgCNqUIAMPlCAI2ewgAw+kIAjY6CADD7AgCNfYIAMPwCAI1tAgAw/QIAjVpAAAAAAAAAAAIAMP -6CAI0rQgAw/sIAjSOCADD/QgCNIAIAMP/CAI0cggAxAIIAjRkCADEAwgCNFYIAMQGCAI0SAgAxAc -IAjScCADECQgCNDoIAMQLCAI0LAgAxA0IAjN4CADEDwgCNBgIAMQSCAI0FggAxBQIAjQICADEFgg -CM/oIAMQYCAIz7AgAxBoIAjPeCADDqwgCMwIIAMQcCAIy9AgAxB4IAjLmCADEIAgCMtgIAMQkCAI -yyggAxCYIAjK8CADEKAgCMq4IAMQqCAIypAgAxC0IAjKaCADEMAgCMo4IAMQ2CAIyhAgAxD0IAjJ -6CADEQQgCMnAIAMRFCAIyZggAxEkIAjJcCADETQgCMlIIAMRRCAIySAgAxFUIAjI+CADEWAgCMjQ -IAMRbCAIyKggAxF4IAjIgCADEYQgCMdgIAMRiCAIxWwgAxGUIAjEiCADEaggCMRYIAMRsCAIxCgg -AxG8IAjD+CADEcAgCMPIIAMRxCAIw5ggAxHIIAjDbCADD1AgCMKAIAMR2CAIwlggAxHgIAjCMCAD -DrggCOVAIAMOwCAI5HAgAw6kIAjjwCADDswgCOMYAAAQISBCMGNAhFClYMZw54EIkSmhSrFrwYzR -reHO8e8SMQIQMnMiUlK1QpRy92LWkzmDGLN7o1rTvcOc8//j3iRiNEMEIBQBZOZ0x0SkVIWlarVL -hSiVCeXu9c/FrNWNNlMmchYRBjB212b2VpVGtLdbp3qXGYc499/n/tedx7xIxFjlaIZ4pwhAGGEo -AjgjyczZ7emO+a+JSJlpqQq5K1r1StR6t2qWGnEKUDozKhLb/cvc+7/rnpt5i1i7O6sabKZ8h0zk -XMUsIjwDDGAcQe2u/Y/N7N3NrSq9C41onUl+l262XtVO9D4TLjIeUQ5w/5/vvt/dz/y/G686n1mP -eJGIgamxyqHr0QzBLfFO4W8QgAChMMIg41AEQCVwRmBng7mTmKP7s9rDPdMc43/zXgKxEpAi8zLS -QjVSFGJ3cla16qXLlaiFifVu5U/VLMUNNOIkwxSgBIF0ZmRHVCREBafbt/qHmZe451/3fscd1zwm -0zbyBpEWsGZXdnZGFVY02UzJbfkO6S+ZyInpuYqpq1hESGV4BmgnGMAI4TiCKKPLfdtc6z/7Hov5 -m9iru7uaSnVaVGo3ehYK8RrQKrM6kv0u7Q/dbM1Nvaqti53ojcl8JmwHXGRMRTyiLIMc4AzB7x// -Ps9d33yvm7+6j9mf+G4XfjZOVV50LpM+sg7RHvAgCwAAH/+U0B//qiwAABOIH/+TnAAAC7gAAEAN -IAsAkCALACAgCwBwIAsAsB//lMwAAEEeAADwAwAAQQ4AAEBAAACgAAAAoCAAAEGIAAB//wAAQYYA -AIAAAABBgQAAqBkAAKgaAADDAAAAqBsAAKgcAACoFwAA//8AABAEAADlnwAAHxEAAO4JAAD//gAA -6v8gCwDgAAD/ACALASDhAF4AAA8ADyBQAAAAADDUAAD/6eECDgAAAEAAAAgACAAA5AAAAMQwAADE -MSALApAACYloAADEUCALAsAgCwLgIAsDAAAAIAAAAMRRAADAAQAAxFIgT/+AIAgLRCALYqAgC2MA -IAtiUAAAwAYACAACIAtjQAAAgNcAAweAAADEggAAQIIAAIQDIAtkMCALZTAAAICmAACAPSALY5AA -AIBAIAtkkCALZOAgC2PgIAs+AB//nCjhAHIA4QBiAAAAYkgABAAphhAAAAAAYkCAAAAAgBQAA4AW -AAOAEgBDgBHSA4AQAAUf/5uwIAbRkB//rVQgC4gA4AAAAOEAdgAgC4dwIAuHsCALPiAf/5LQAA9C -QOEAegAgCz6wIAs/ACALP2AAAA//P////yALP8AgC0AwIAtAcCALQLAgC0DwIAtBMCALQXAgC0Gw -IAtB8CALQjAf/5usIAMNQCADDOAf/5NU4QGaAB//nBz/wP//ABAAAB//rWQAAAgAAAYIAB//nfAA -AZ4M4QGeAAABnnQAAZ6sAAGe1AABnuwAAZ8UIAtCcCALQtAgC4mQIAuJICALiEAgC4iAIAuI0OEA -LgAgAw1QAACQAB//lPQALBQAgAAAgOEAWgCB8OCAIAAAAOEAVgAMAAAA//OAAAAMOABGAAAAPz// -/4CAAADz/////+D//wABAAAgCAAAIAgKJAAACyAgCAEA4QGSAOEADgAf/62cH/+bZAACAAAAfwBA -AAMAAACAAIAAwQDA//8j/wAAyAAQIAEg4QCKAOEAfgDhAI4APAAAAP//v/9QaOhH+P///wQAAACS -AAAA8ADwAJ+///sgAAAEH/+TUH/3//+AAAIA///v////gP//9/9/AAYAAP//8AD/AAAAAEkkkgAA -fhgIAQgBEAEQAQAAfkAgASABFRUVFYQhhCEQEBAQ4QGOAAAA/n8EBAGAzMzMzIiIiIhERERE4QDO -AOEAjgThAI4I4QCODOD//gCAAAEAIAgExAAAkAgAAAjAgAHEEcQRxBEA/wD/AEAAQP//P/8gC4pA -IAuKcP8P//8gC4oACAgICMyIRAAgC4qgREQAAMzMiIj/8P8AAAIAMyoqFRUf/6scH/+YeB//rNAf -/5zQH/+a1B//nZAf/5qcH/+tlAAA//0f/6qU4wACAOL//wAgC0RAIAjjGCALi5AAUAAAAKAAACCg -AADQAAAAIAuK0CALi2AAABAAIAMHmB//r5Af/6uUH/+AsB//q9Af/60AIAuNQCALRoAgC0cAIAtH -cCALjPAgC4ywIAuNECALSAAABAAAH/+A4B//gSAf/4FgH/+aDB//qhgf/5TU4QGWAOEB/gDhAl4A -4QI+AOECHgDhAeIA4QEOAOEAkgAIAAAA///w/x//qNAf/5uQ4QEOBOEBDgjhAQ4M//AAAAACgAb/ -+///IAtOECALTnAf/4HgH/+biB//mpAf/62YEAAAAP/w//8gAwrAH/+Y0AAAfkwA8ADwAADwACAL -TuAgC09AIAtPoOEALgjhAC4Q4QAuGB//lTiAAIAAH/+wkB//nAgf/5UIH/ziAAAAe2Af/N4A4QGY -AB//rWgf/6uIH/+pgCALT+AgC1BgIAuTsCALUBAf/5zEIAtQ4CALUKAgC5IwH/+a0CALUVAgC1GQ -ACgAACALlKAgC5QA37AAACALlEAf/6ugH/+rZP+AAAD//+AAIAtR0CALUgAgBs2EIAuR4CADCswf -/4HwH/+pfP//x/8gC5UAH/+c3CALlUAf/61YIAtSQB//q6Qf/7IsH/+yYB//q0QEAAAIEgAAACAL -jsAf/7EcgQAAAAAAMAAgC47wIAMKyB//sHwf/7M4H/+uaB//snhJAAAAIAuOECALjlAgC41gIAuN -wAAPA/8DEQAAAxUAAB//rlggBsuQIAtSgB//qsAf/5mwH/+crB//niAf/58kIACp2B//7tQf/+4k -H//v1B//qqQCAIIQAgACEAIAABABAAAAABoAAAD6xogAIAAAH/+rnCAGzIwgBsvkH/+CICALUwAg -C1LQIAtSoCALUzAf/5zUH/+avCALkXDhADYAH/+rLAAA+AAf/62g4QBGAB//mTQf/6z8ABBBBAAI -AAAgCAXEH/+rmCAGzSAf/5PQH/+aZCALk2AgC5MAIAuWAB//mwwgC1PQIAuVoCALlXAgC1NgIAuV -0CALkpAf/5sIH/+bAB//mwQf/6kYH/+pFB//gqAf/6qsH/+boB//m5wf/5uUH/+CwB//qqggAwgA -IAMJ6CAGzZAf/4LgH/+p0B//qcQf/6nIH/+pzB//qgAf/6n8H/+p+B//qfQf/6nwH/+p6B//qdwf -/6ngH/+p5B//gxAf/6lQH/+DoB//rbQgC1jAH/+b5CALWRAf/5u4IAtZQCALWXAf/4OwH/+bKAAA -JYAgC1mgIAtZ4B//g9Af/5lkH/+YzB//g9j//wAAA+f8GCALWhAf/4PgH/+qgCADCDQf/6p8D/// -/yADDXAf/5qIIAtacCAIChT///TwIAgJxP//9UAgCAiU///2cCAIB/QgCAiM///3EOEAagAAAICA -//8I+gAAQwQAAH0z///D/wCAAAD/AP8AH/+EMAEBAQEAAGQMH/+EUFWqVaoAAKqqWlpaWqWlpaUz -IhEAABEiM4gSAAMgAw3Q4QBmAAAAahj//39/gBAAAB//hHAAAGpgAAAhAQAAYoAf/4SAAAgAKQAA -dAQAAGJEAABiAAAAYtQf/4SQAABi2AAAYugAAGLcAABi+AAAYuQdzWUAAAAJwwAAYuwf/4SwAABi -8B//hMAAAGL0H/+E4AAAYvwAAgjVAABjAAABBGsAAGMEAAII1gAAYwgAAGMMH/+E8AAAYxAAAKLD -AABjFAAAYxgAAGMgAAGGoAAAYyQAAGMoH/+FAAAAYywAAGMwAAAnEAAAYzQAAGM4AABikAARERMB -fXhAAABqAAAAdQAf/4UQAABijAAAYagAAHRQAABOIAAAdBwAAGLAAABizAAAYsQAAGLI4QASACAL -XaDhAd4A4QHmAOEB6gDhAe4A4QHyAOEB9gDhAfoAH/+r6P/8f/8f/5NsAAB+6IAABwCAAAUAgAAG -AIAABAAP//AP//DwAN///gAf/MAAAACAYP//198gCSRAIAtd8B//k+AgCSUMIAMHkB//k2ggC14g -H/+tECAGzRgf/6woH/+rIB//rkAf/65gH/+U0x//q5AAlAAAIAwAAAAMAAAgBszgIAbMOB//k2AA -RAAA+AAD/x//mbgAAEAJCAAAAQAACcQf/5wM3q2+7yAIBYQAAmJaIAMOACALmiAgC15QH/+q8CAK -AAAACgAAIAtegOL//gAf/6swH/+YbCAKoAAf/600IArgQAAAAABsEAbApP36vAWgG0UAW6r3wFD3 -+rgFoAQFAPf6tgXgAJoAAAAAKmB89UAEdCIAnQDAoVugWRv9VbFV0w/6oAlEYgCdACtyf2S/2vP6 -ogXgAgUAKmB8bQgaACAECgwb/4DgB9DUnQB/1w6xIusruXGYBQAAY//eAAD6cBAVoAsVAPwgaB3g -DAUAW5TzLhkAZuAQ+kAEANAIFQAAiBoIRAIEBEcrcn+xIusjp3GYBQAAKWB89T/71SIAnQDAUPAA -dA2gBAUAACpgfHShccChW6AyG/0xsVX6oAWMYgCdACtyf2S/4fP6VgXgAgUAKmB8bQgaACAECgwb -/4DgB9DUnQB/1w2xIusrwHGYBQAAY//eACowgBz9IfwgaB3gG+UAW5TOLhEADu4UaOElK3J/sSLr -I7hxmAUAAC9gfHT5jcCk/fouBaAbRQBbqqnAINEPAAD6QAQA0AgVAACIGghEAv//IA2nRAEAAAAp -YHz1P/pcIgCdAPoAQh2gG0UA7P0JGmgEgABbqprHK9EPKmB8dKGs/IBoHeAKJQD9+gYFoBtFAFuq -kscr0Q8AbBAG/fn+BaAKRQDyICYVoBtFAFuqjBL88g8CAC0ifxb88uf8+BaCUYAA9MBoHeAEBQAs -cIBtCBkAQAQMCBvqUIAkfBiAAMyrsUTtSyJyqAUAAGP/3xz87P352gXgG+UAW5S7LSJ/sUTtQ8Zy -qAUAAPGkQA3gBAUA1WAscIBtCBkAQAQMCRvqUIAk/BiAAMyrsUTtSyJyqAUAAGP/3xz83PyAIh3g -G+UAW5SpLSJ/sUTtQ8ZyqAUAAPGkQA3gBAUA1WAscIBtCBIAQAQMCht/rxCxRO1LKXKoBQAAY//m -AAAAACpQgBz8y/oAIh3gHQUAW5SXLSJ/sUTtQ8ZyqAUAAPGkQA3gBAUA1WAscIBtCBIAQAQMCxt/ -vxCxRO1LKXKoBQAAY//mAAAAACpQgBz8uvoAIh3gDQUAW5SFLSJ/sUTtQ8ZyqAUAAPGkQA3gBAUA -1WAscIBtCBIAQAQMDht/7xCxRO1LKXKoBQAAY//mAAAAACpQgBz8qfoAIh3gDQUAW5RzLSJ/sUTt -Q8ZyqAUAAPGkQA3gBAUA1WAscIBtCBIAQAQMDxt//xCxRO1LKXKoBQAAY//mAAAAACpQgBz8mP35 -MgXgG+UAW5RhLSJ/sUTtQ8ZyqAUAAPGkQA3gBAUA1WAscIBtCBIAQAQMCBt/jxCxRO1LKXKoBQAA -Y//mAAAAACpQgBz8iP35EgXgG+UAW5RPLSJ/sUTtQ8ZyqAUAAPGkQA3gBAUA1WAscIBtCBIAQAQM -CRt/nxCxRO1LKXKoBQAAY//mAAAAACpQgBz8ePwvgh3gG+UAW5Q9LSJ/sUTtQ8ZyqAUAAPGkQA3g -BAUA1WAscIBtCBIAQAQMCht/rxCxRO1LKXKoBQAAY//mAAAAACpQgBz8ZvoDwh3gTQUAW5QrLSJ/ -sUTtQ8ZyqAUAAPGkQA3gBAUA1WAscIBtCBIAQAQMCxt/vxCxRO1LKXKoBQAAY//mAAAAACpQgBz8 -TfoAIh3gHQUAW5QZLSJ/sUTtQ8ZyqAUAAPGkQA3gBAUA1WAscIBtCBIAQAQMDht/7xCxRO1LKXKo -BQAAY//mAAAAACpQgBz8Pv34fgXgG+UAW5QHLSJ/sUTtQ8ZyqAUAAP34egWgCgUA+gAiHeANBQBb -k//9+HIFoAoFAP34cAXgCxUAW5P7/fhsBaAKBQD6ACId4B3lAFuT9v34ZAWgCgUA+gAiHeANBQBb -k/H9+F4FoAoFAPoAIh3gDZUAW5Ps/fhKBaAKBQD6ACId4A0FAFuT6P34QgWgCgUA/fhIBeALFQBb -k+P9+DwFoAoFAP34QAXgCxUAW5Pe/fg2BaAKBQD9+DoF4AsVAFuT2f34LgWgCgUA+gAiHeANlQBb -k9X9+BoFoAoFAPoAIh3gDUUAW5PQ/fgSBaAKBQD9+BgF4AsVAFuTy/34DgWgCgUA/fgWBeALFQBb -k8b9+AYFoAoFAP34DgXgCxUAW5PC/ff+BaAKBQD6ACId4A2VAFuTvf336gWgCgUA+gAiHeANhQBb -k7j99+QFoAoFAP336gXgCxUAW5Oz/ffeBaAKBQD99+oF4AsVAFuTr/331gWgCgUA/ffiBeALFQBb -k6r9984FoAoFAPoAIh3gDZUAW5Ol/fe8BaAKBQD6ACId4A3FAFuToP33tAWgCgUA/fe6BeALFQBb -k5z9964FoAoFAPoAIh3gLRUAW5OX/femBaAKBQD6ACId4A0lAFuTkv33oAWgCgUA+gAiHeANlQBb -k40tIn/TD/GkIA3gBAUA1WAscIBtCBIAQAQMDxt//w6xRO1LJ3KoBQAAY//mAAAqUIAc+7n6A8Id -4A0FAFuTfi0if7FE7UPIcqgFAADApP33gAWgG0UAW6k0/fdiBaAKBQD6ACId4A0FAFuTc/33VgWg -CgUA+gAiHeANBQBbk25kMdPyICgVoAQFAPf3ZAWgBQUA2hD6QGgd4AxFAFui2I8Q0w/s+6Ef8gKA -APfgBAQ43x0A5t0BDEYCgAD5xgAPN//BAP+mAA7wCgUA/6YADrALFQD8IAYV792BAFuTVv33JAWg -CgUA/CAkFeALFQBbk1H99x4FoAoFAPoAIh3gLZUAW5NN5EwBIqgRAADjU4JxEBEAABb7bv6QABaw -CkUA/fcaBaAbRQBbqQD99wIFoAoFAPoAIh3gDQUAW5M+/fbuBaAKBQD6ACId4A0FAFuTOv325gWg -CgUA/fbkBeALFQBbkzX99uAFoAoFAPoAIh3gDcUAW5Mw/fbaBaAKBQD6ACId4A0FAFuTK/320gWg -CgUA+gAiHeANlQBbkycb+0oPAgAtsn/xqnAN4AIFAAZjAixwgG0IGQAgBAwOG+owgCd8GIAAzKux -Iu0rJ3GYBQAAY//fHPtI+gPCHeANBQBbkxUb+znTDy2yf7Ei7SPBcZgFAABk0Fnz9mwF4AIFACxw -gNMPbQgSACAEDA8bf/8OsSLtKwdxmAUAAGP/5NEPKjCAHPs9+gPCHeBNBQBbkwIqMIAc+zn6A8Id -4A0FAFuS/hv7IS2yf7Ei7SOycZgFAADRDwAAAAD/+vQNoAQFAGwQBPxgwADf4qUA0Q8W+zsoYoQZ -+zsJiAL40IYVoBpFAFueFSpihCv68A8CAPtABAVwAgUA+tCGFaBjRQDBpFueDrEicyn1G/svAEoR -66oICtgEgABb/gxb/aHSoNEPAGwQBBj7KeglNClQBIAAWsMWZqAZHPsm+kQwFaALdQD+AAIdoQ0F -AFsmr9Kg0Q/SoNEPAABsEAQoICIb+x3TDwOJEauZK5KAHPsbDLsCK5aA6ZKAJAEpgAAe+xEt4oQf -+xYc+xEP3QIt5oQsJTQqICFax6HIrscr0Q9ax9xnr9jSoNEPAAAqICFax1fmoEltGASAACogIf32 -EgWgCxUA/ABCHeAOBQBbJo/aIFrC7+agJm0YBIAAKiAhHPsB+gPCHeANBQBbkqwqICEc+v76A8Id -4A0FAFuSqNIw0Q8AAAAAbBAI6UQACdAEgAD8oGgd5zIBAPwgphXgAgUA+iCGFaEFBQD4IGYV4BRF -APpgaB2gG+UA/CBoHeEMBQBbkm8uEQCxIvXD4B3vIgEAckvc+gCCHaAbRQDs+uQZaASAAFuoS8Ag -0Q8AihQY+uEc+uH8IKgV4AkFAPstAAwwG+UA6BYCKdAEgABbkoTAsJsR+mBoHaAb5QD8IGgV4QwF -AFuSfsAg+mBoHaAb5QD8IGgd4QwFAFuSUSwRAHXAHLEiAgJPckvewKL99ZYFoBtFAFuoL8Ag0Q8A -AAAA+mBoHaAb5QD8IEAV4QxVAFuSQyoRActxdqgyiRLo+r0RUBEAAKqZmRJ5i4iLEewSBCXoBQAA -DQtPmxH9YpINoA4FAP4gRhWv/boAdqjMwCHRDwDAov31ZAWgG0UAW6gVwCDRD2wQBMCk/fVcBaAb -RQBbqBD99VoF4BvlAPLgAAExDAUA7jQACVAEgABbJiftNAAJUASAAPwgAh2gG+UAW5JI7WQACVAE -gAD99UAFoBvlAFuSQ+1UAAlQBIAA/fUsBaAb5QBbkj7tRAAJUASAAP31MAWgG+UAW5I52iD99SoF -oBvlAPwAIh3gDgUAWyYP0Q8AAGwQBvQCgh3hBAUA8gACHacyAQD6YGgdoBvlAPwgaB3hDAUAW5IC -KBEAsSL1AWAdryIBAHJb3MAg0Q/AIdEPAABsEA4iFhAlFg9axz3qFgsoBAqAAPtATChSAJ0AKhIQ -Wsb76hYKIYwhAADGKtEPih/s+nUaXAKAAJscqrusuyywfiuwfwjMEey7AgVT+QAA+iGmFa+7AQDr -FgklGCmAAB36aYwc7cwIDUgEgAD/QwAH0A0FAB76ZS3AgA7dCS3Rfv2AIBWv3QEACR8UZPCIKMCA -HvpdDYkUCYgDDogJKIF+6sCBLu4CgAANjQP5gEAVp81BAOysAwfL/QAA/4AAhj/dAQDswX4u7gKA -AA8CAA8CAG2ZPOmAgCRACQAADc0D/Q/wFe+tAQD9QAAWOLodAAuZAw6ZCSmRfgyZA/ngAAT3yUEA -7NkDDO4CgAAOmQkskX4NzQMNDU+OGX3hF/30eAWgCiUA/iEoFaAbRQBbp5THK9EPAMCk/fRsBaAb -RQBbp5AjEhAc+i/TD/LgAAHwG+UA+mBoHaANNQBbkczs+igZ0ASAAPwiABXgG+UAW5Gf7PodGdAE -gAD8IkAV4BvlAFuRm+z6HRnQBIAA/CKAFeAb5QBbkZb6YGgdoBvlAPwiwBXhDAUAW5GRHPobLxEK -LhEJLREI+CFkFaAKRQD4IAYVoBtFAFunbtow/fQUBeAb5QD/9BAFoQwFAFslh9ow/fQOBaAb5QD8 -CCId4E4VAFslghz6Ce359RnQBIAA//PmBaAb5QBbJXwDOgL9864F4BvlAPwAAh2gDgUAWyV32jD9 -86QF4BvlAP/zoAWgDAUAWyVy2jD985oF4BvlAPwAAh2gDgUAWyVswED6YGgdoBvlAPwAAh3jDAUA -W5GMsURpTecc+e3t+dkZ0ASAAPoDwh3gDgUAWyVg7PngGdAEgAD8IgId4BvlAFuRgYofGfnkepsJ -xyvRDwAAAAAAAPQAAh2gFkUA+mBoHaAb5QD8IwAV4QwFAFuRTSwRDPSAIBWhDQUA/YbAHe9EAQB0 -a9b986gFoAolAPw7oh3gG0UAW6coKxELLBEILREJKhIQLhEKW/8QxyvRDwAAAAAAAOz5uhnQBIAA -/CACHeAb5QBbkV/6YGgdoBvlAP3zhgXhDAUAW5FbKhIQW/8mZKWo+mBoHaAb5QD8I0AV4QxVAFuR -LCgRDfEAKP/SAJ0AiR1kku6LH/t/QBXgBAUA+iHGFeABjgBkvdD/9tQNoA0FAACJHwSZDCmc/vUg -C7CSAJ0A9SANQRIAnQD1IA8hkgCdAPUgEfISAJ0A2jD98ugF4BvlAP/y5AWhDAUAWyUU+/M+BeAK -BQBaYn0qEg36gBQSogCdACoSEBz5mPwgAh3gC6UA/gAiHeCOBQBb/odko6js+YIZ0ASAAPyAYh3g -G+UAW5Eo+mBoHaAb5QD08AAGsQwlAFuRI/pgaB2gG+UA9eAABrEMNQBbkR6LHoUc9/L2BaAHBQDv -AgAKEASAAPqABHLiAJ0ApFWmVfAANA2gBgUAAAAAAIgeeCt0LVCCKFCDL1CBLlCA6IgRCdAEgADo -3QIP/gKAAP/GAA9wG+UA/iHkHa/dAQD8IcQd4QxFAFuRBPpgaB2gG+UA/CHkFeEMVQBbkP/6YGgd -oBvlAP3yygXhDAUAW5D753wBIRARAAD2wIAVoPvFAOtjinKoEQAA5HQKC7eCgAD6YGgdoBvlAP3y -sgXhDAUAW5DuKQr8+N/0g+IAnQApGgAGmQz5P/SQ0gCdAI0cHvlF2jD1oABGsBvlAP+gAEaxDFUA -7dCAIiAFAABbkN/aMP3yaAWgG+UA/OACHeEOBQBbJLVj/muNHB75NqTdrt0u0IEt0IDaMP3AABcw -G+UA/6YADrEMVQD0gEAVr90BAFuQztow/fJGBaAb5QD84AId4g4FAFskpGP+J4YcGPkl5GYICdAE -gAD4wABDMBvlAPzQUBXhDEUAW5DALmCBLWCA6O4RCdAEgAD/pgAOsBvlAP3gAAbxDFUAW5C46jQA -AiANAAD98hYFoBvlAPzgAh3jDgUAWySMY/3IAAAAhhwY+Q2kZqhmLmCDLWCC6O4RCdAEgAD/pgAO -sBvlAP3gAAbxDEUAW5ClLmCBLWCADwIA6O4RCdAEgAD/pgAOsBvlAP3gAAbxDFUAW5Cc6jQAAiAR -AAD98eAFoBvlAPzgAh3kDgUAWyRwY/1ZAAAAKhIQHPj5/CACHeALpQD+ACId4I4FAFv96GSjGuz4 -4hnQBIAA/IBiHeAb5QBbkIj6YGgdoBvlAPwAAh3hDCUAW5CD+mBoHaAb5QD8AAId4Qw1AFuQf4Qf -0w8PAgAkTP30TgAJMAYFAOQWESEIeYAA9fGuBeAHRQD2QAED8ARFAPpgaB2gG+UA/fG4BeEMBQBb -kG/6YGgdoBvlAPwjwBXhDFUAW5BDKREP9w4ADTe5AQALqgMFqgkqoX4IaBEKiAMIBk8GlgMGhk8F -ZgkmYX4sGgTtHBwsRgKAAPjXAAswG+UA+mBoHa9mAQBbkDEpEQ73DgANt6kBAAuqAwWqCSqhfgho -EQqIAwgGTwaWAwaGTwVmCSZhfgiIEfjXAAs3xAEA8YD8De9mAQD78WAF4AoFAFphjrRE9p/6NWIA -nQD0IigVoADmAAAA+gBCHaAbRQD98VYFoi2lAP6AaB3gDgUAW6X4KxELLBEILREJKhIQLhEKW/3g -xyvRDwAA2jD8IAIdoBvlAP4AAh2kDQUAWyQL+mBoHa3UkQD8IEIdoBvlAFuQK/pgaB2v0gEA/CBi -HaAb5QBbkCfaMP3wugXgG+UA//C2BaEMBQBbI/wqEhBb/e1koZOJHQkJQWSRu/UgEoCSAJ0A9SAU -ARIAnQD1IBZBkgCdACsRCywRCC0RCSoSEC4RClv9vIoZ90AFvCIAnQDAov3w9gWgG0UAW6XKxyvR -DwAAAAAAAADs+GAZ0ASAAPoDwh3gDTUAW5AF+mBoHaAb5QD8BUId4QwlAFuQAfpgaB2gG+UA/fDW -BeEMNQBbj/z6YGgdoBvlAP3wzgXhDAUAW4/3KhIQHPhc/CACHeALpQD+ACId4I4FAFv9S2Sip8cr -0Q8AAAAA/fC6BaAKJQD8PcId4BtFAFulpisRCywRCC0RCSoSEC4RClv9jscr0Q8AANow/fB8BaAb -5QD8CCId4E4VAFsjuRz4Ou34FBnQBIAA//AkBaAb5QBbI7TAQPpgaB2gG+UA/AACHeMMBQBbj9Ox -RGlN5xz4Lu34QRnQBIAA+gPCHeAOBQBbI6iNGosbx8sNyznrFgstkASAANEPAAAAAAAAAP3wbgWg -CiUA/FKCHeAbRQBbpX4rEQssEQgtEQkqEhAuEQpb/WbHK9EP0qDRDwAAAP3wWAWgCiUA/FwCHeAb -RQBbpXIrEQssEQgtEQkqEhAuEQpb/VrHK9EPAAAAAAAAAPpgaB2gG+UA/CQAFeEMVQBbj4EkERAZ -+Af3DgANt6QBAAuqAwmqCSqhfghoEQqIAwgKTwpEAwSETwlECSRBfiwaBO0cICxGAoAA+JcACjAb -5QD6YGgdr0QBAFuPbyYREBn39fUOAA23pgEAC6oDCaoJKqF+CEgRCogDCApPCmYDBoZPCWYJJmF+ -CIgRCGYD//ckDa9mAQAA+mBoHaAb5QD8JAAV4QxVAFuPWygQIQaJFAmIAxn34AmICSiBfghmEQaG -A//2VA2vZgEAAAAAAAD6YGgdoBvlAPwkABXhDFUAW49NKhEQGffT9w4ADje6AQAMuwMJuwkrsX4I -aBELiAMIBk8GpgMGhk8JZgkmYX4IiBEIZgP/9QwNr2YBAAAAAPpgaB2gG+UA/CQAFeEMVQBbjzkk -ERAZ97/3DgANt6QBAAuqAwmqCSqhfghoEQqIAwgKTwpEAwSETwlECSRBfiwaBO0cICxGAoAA+JcA -CjAb5QD6YGgdr0QBAFuPJyYQIQSIFAhmAxj3qwhmCSZhfghIEQhmA//zDA2vZgEAAAAA/e9yBaAK -JQD8QsId4BtFAFuk/isRCywRCC0RCSoSEC4RClv85scr0Q8AAAAAAAAAbBAE+gCiHaALhQDs96sZ -aASAAFuk8vPvUgXgxMUABCQo9GAAQb/0tQDqJAAJ2ASAAFtpe3ShAmWv7tKg0Q8AAGwQBvhAaB3g -AgUA4hYAJIBJgADRDwAAAPJAaB3gxIUAwKFbmkmxM3Q59fnvKgXgBRUA8+8oBeAGRQD2AeId4CgF -ACoKKCo2MCY2MyQ2MSU2MieWwCg2yCg2yRr3i/oAYh3gDDUA/qBoHaPthQDlNhEo+ASAAFpnOmah -hPQAYh2gDlUA+gDCHeAIhQAd94AtNiAc93zEoZrAKDYjIjYjJjY1xZgpNjYoNjfA9y82OCI2OSs2 -Oi42Oyc2PMHXLTY9KzY+KzY/KzZAwMwsNkErNkIqKgAqNkMmNkTBkCk2RSgKZCg2Ri86IC82RyU2 -SC42SS42SiQ2Sy0KEi02TCc2TSw6ACw2TvvuxgWgDAUA++7ABePthQD6YgYV4A4VAOv3Xhj4BIAA -WmcOZqDUGvdaG/da/H0CHeAMBQDu91gY+ASAAP5iBhWgDhUAWmcFZqCwGvdRG/dR/H0CHeAMBQD/ -7qAF4A4VAO82ECj4BIAAWmb8ZqCMGvdIG/dI/H0CHeAMBQD57pAFoA4VAOg2ECj4BIAAWmbzZqBo -Gvc/G/c//H0CHeAMBQD57oAF4A4VAOk2ECj4BIAAWmbqZqBE++5uBeAMBQD77nQFo+2FAPpiBhWg -DhUA6vcwGPgEgABaZuFmoB7E0C02NJUxHfcmLNLBBMwCLNbBwLLrNgEtEASAANEP0qDRD2wQBBn3 -KiiQgOqSISwAjgAAyKnIJ8CgW5900qDRD8Ag0Q8AAGwQBBP3IgIiCgMiCiIioNEPAAAAbBAEGPcd -AiMKCDMKIjKfIzKe/GAAEb8igQADIgLRDwBsEAQb9xYV9xT7cEgVr+wFAOiyfSHofQAADN0BDaoM -7KQBBACpgAAvsoEusn4P/jn+gAUqogCdAMBAwKD97hIFoAtlAFukPgIqCgWqCuSmnSIGWYAAHfcD -HPcE0w/8gABG8AUVAOOmoC74BIAAK8J2f7cQLsJwDO4Q7et3fvgEgAAO3wz/ZMAHkAIlAC7CcQzu -EH/rce7/DAX0YoAAwKH97eYFoAsFAFukJsYq0Q99t+sownIICF8MiBD/G/YN4A4lACKmni+mn8Cl -/e3SBaALBQBbpBvAINEPJLaC/V/61iIAnQACKgoFqgr1U6YVr/2eAAAALaaf/gACHaALBQD7U8YV -7/8WACWmni+mn//+3A2gDhUAAAAAAAAAAP3trAWgChUA+gACHe/9RQBbpATHJNEPAGwQDhP20Iog -0w8rMkILqihbbBEtMkKMIe3KKA0gBIAAW2wNLzJCjiLv6igNKASAAFtsCRj2xSYyQuhmKAJL/QAA -AJAE8+2EBeFGnQAc9sGNIO4iASzMAoAA7yICKsYCgADpiAIFK/0AAPimAAwwClUA+HyGFaALhQBb -o+Qc9raNI44kjyWLJpsQiieaEfhBCBXgC4UA+CBGFeAKVQBbo9sc9q6NKY4qjyuJLJkQ+EGoFaAK -VQD4ICYVoAuFAFuj044jGvahAFAE+kCoFeHWnQAOriwO3SyOJnurDAq7LPqDAA3wADIAAAALqywL -SyzuqxJ94ASAAB/2lA/vLP6DAA/wADYAH/aRDv8sD08sjiefFJ8VfqsNH/aMD+8s/oMAD/AANgAf -9okO/ywPTyyfFp8XhimFKo4oBGYoBFUofqsPH/aCD+8s/oMAD/AAPgAAAB/2fg7/LA9PLI4rnxif -GX6rDRf2eQfnLPaDAAvwADYAF/Z2DncsB0csjiwnFhB+qw4f9nEP7yz+gwAP8AA6AAAf9m4O/ywP -TyyfGp8bji0sFhErFhJ+qw8a9mjfcArqLPqDAA0wAD4AGvZk33AOqiwKSiyaHBf2E5odHvZkB/g2 -mB6YH/3ABtviAJ0AFPZhLTbtwKAqNuX8gAcbogCdAIwUKzbm/IAHm6IAnQCNFo4VLjbn/IAII+IA -nQCPGIgXKDbo/oAIq+IAnQCJGSk26faACUOiAJ0AJjbq9IAJ6+IAnQCKHiU26/rgCmuiAJ0Aixr6 -4Asb4gCdAI4fjRvsEgwvdAKAAA7dAi027PyAC0uiAJ0AjC6PHf59xhXgCQUAA50K7NbUIVgRAADr -sg4k4AUAAAPMCuvG1CFQIQAA6qIOJNgJAAADuwrqttQhQDEAAOiCDiTQDQAAA6oKKKbUwCDRDwDA -o/3sVgWgC4UAW6NTKxISLBIR/exKBe/8SgDAo+72JB5oBIAA/exIBaALhQBbo0r77D4F7/wiAI0U -/ew+BaAKNQD/7DQFoAuFAFujQx72GP4gphWv+9YAjRb97DAFoAo1AP/sJAWgC4UAW6M7H/YQ/iDm -Fe/7kgCNGP3sIgWgCjUA/+wUBaALhQBbozMY9gj4ISYVr/tOAN1g/ewUBaAKNQD/7AQFoAuFAFuj -K/fsAAWv+w4AAAAA3VD97AYFoAo1AP/r9AWgC4UAW6Mj9evwBe/6ugCNHv3r+gWgCjUA/+tABaAL -hQBboxwZ9Z34IeYV7/puAMCj7PX2HegEgAD/6zAFoAuFAFujFBr1lfohZhWv+hYAjRz9694FoAo1 -AP/rxgWgC4UAW6MMG/Xg+iGmFe/5/gBsEBIY9ekb9ecd9dCIgCqwfyuyIvggBhWgDwUAwOTp0nEl -g8GAAPlwAAZ7iQEArIj5AAAUO8uhAAjMAizWcqGoKIAADogCKNZ0LNJ2DswCLNZ2L9ZzwPgv1nob -9dT6IgAVoA5VAP+vZhWgbAUAW5yi6vW3EMBBAADyAAIdoBmFAA8CANMPbZoP6YIAJVARAADppj8k -QBEAANEPL9ZyKNJ2x5sJiAH5rsYVr/6mAAAAAGwQEBj1v9MPKIB9/et8BaAa9QDz63oF4A8VAPMA -BE/QBwUAiTZklBIuMdMoMnElMdcrMdkmMdsiMd2lvabd4t0IBAPZgAB+0XMvNfL9wCQb4gCdAAUP -RGX0UAsIRGWESgYJRGWURPNAIgiiAJ0ADeoMBe0MLTXW+nvkHa/dAQAL2QwpNdgGmQwpNdoKmQz4 -e8Qd75kBAPMvAA+wCgUA/nuEHeAB5gAAAC4x0yUx1ysx2SYx2yIx3aW9pt2i3Sc18v3AIHvk9QEA -ZfPfCwhEZYPZBglEZZPT80AegKIAnQAF6gwN6QwpNd/6esQdr9oBAAvfDC812Co11guuDAbuDC41 -2gLoDAmIDPh7xB2vngEAApoM+nuEHaAKBQAO3xEuMdgPAgDvxoQvd4KAAC7Ghysx3A6YEejGhS3f -goAAK8aGLzHc6Mb9L/+CgAAvxvzmoqptSASAACQycctO9oAdzdIAnQCwSPUAHjiiAJ0A2kBboXwb -9WQtsoof9WUsMdLv3QENdAKAAA7dAi22iiy2/imygRr1XwqZAim2gcCoW5ENFvVdFfVdK2KH/tDI -FeACBQDoYoItbUKAAP1vAA3//AUA7LsBDSAEgADqtAAEALGAAC5igw/+OX6zCPrQ5hXgAB4AAMCg -6aQABRC5gADpFhUkmDmAAPjO5hXgAwUAKmJ3wLgPAgDzQABFMAwFAFucsOJCCAGYBQAAdTnh8+p2 -BeACBQDmIdVpSASAABz1NivCgR31Oi76/g67AQ27AuvGgSDQgQAAW2f65qEnbRAEgADr9TQQ0IEA -AFpdb+ahFG0QBIAAGfUZKDJ2JzZ1/epMBaAKBQD5AAQEf/T1APhuxhWgHxUA0w9t+hjbQMDZfaMB -23DuzQQlUBEAAOvmACZgEQAAGvUgW2fbHPUg+gACHaAfFQBt+hTbQMKBeKMCB3sCK8YU6qwEJmAR -AAAa9RhbZ9Ec9RX6AAIdoAlFANMPbZoT20DA2X2jAdtwK8Yo6qwEJmARAAAa9Q5bZ8Yc9Qv6AAId -oA7FAG3qE9tAwvF/owHbcCvGLOqsBCZgEQAAGvUFW2e8HPUB+gACHaAIRQBtihPbQMCZeaMB23Ar -xjjqrAQmYBEAABr0/Ftnshz09/oAAh2gC8UAbboT20DC0X2jAdtwK8Y86qwEJmARAAAa9PNbZ6jm -IIVpSASAACUx1ysx2SYx2y4x0ycx3y8x8iQycSIx3ekWFCeBcYAAlhCXESIWAiQWA/3pzAWgCkUA -77QAD2gEgAD+oGgdoAtlAFuh7SISFNEPHPTflBP2IEYV4ApFAOIWAS34BIAA5hYAL2gEgADoMeQq -8ASAAPgghhWgC2UAW6HgKRIU0pDRD9KQ0Q8qYn0uYn7pYnslUD0AAOyqAQcEcYAALGJ8DJwMDOw2 -LmJ5yOuq23vDB/rPphXgABoAwKD5QGgd7/baACgyOWWL5ic2cSc18i4x0yUx1/oEAh3iAgUA+nsk -HeTGBQDmNdsi6B8AAOI13SbrgQAA/cxWDebvBQAFCETOjw3pDAXqDA+tDPx75B3v2gEA6jXWJsOB -AAD4ewQdr/F+AAAAAAAA/SBoHa/97gAAAADdUOIWACt4BIAA/2BoHaAKJQD96UQFoAtlAFuhq//y -CA2v6qUAAAAAlhHiFgIq+ASAAPogBhXgCiUA/ekyBaALZQBboaH/8WgNr/pFAPMgaB2gCgUA/eko -BaALZQBboZoiZnf/9EQNr/JFAAAA/IBoHeAKJQD96RoFoAtlAFuhkmP8bAAA/IBoHeAKJQD96RAF -oAtlAFuhjGP8VAAAbBAMFPSE9ABCHeAIZQAd9IIuKkAuRqqM0YvSitOJ1IfVlxWZFJoTmxKcEY3Q -nRAS9GIf9HokQoUiIH34IQYVoAYFAPQhJhXiNKEA5T42AXxYgAAB4gqCIAL/LMIg8+EAD7AAYgAf -9G0FPjYB5wqHcCIKgAf/LAL/Nvfo0gXgAjUA9eAM3hADNQD14Av3EAW1AJUa8iFmFeAYNQD47+Yd -oAmVACl0fhX0YRr0Xyxwf/rv0BXihLkA5PRXHEECgADoFgwvScKAAAmIAqy7GfRWLEKHC4sCCbsC -CswBDLsC+pDmFe4MBQD2pwgVoAtVAA8CANMP0w9tKi/icH8iICEAAONwfiKoIQAADGYBC2YCojIC -ggIJIgImVjYjQocKMwEDIgIiRocmUjgS9Dsa9CmIHPzABAawCRUACek2690CAKCBAADtVjgsycKA -APkGAAxwAyUA6fQ3ELChAADTD206LCMikOVCACEQIQAA52IAIiARAADpMwEDMBEAAABVEQWFAgV1 -AgUzAgozAiMmjhP0Khr0KSUywBj0KQhVAiU2wBv0HSKyuRT0JgQiART0JgLiAgQiAiK2uR30JC02 -2io23Co23io24sLADPw2CMwQLDbkKjbmJTLoGfQdGPQdCVUBCFUCJTboIjLAFPQaBCIBIjbAKrKs -HfQYHPQZDaoBDKoC+3WGFaACBQDRD5Uakxv27+YdoBm1APjvxh3v+i4AAJUbKHR+9u/mHaAKRQD6 -IUYVr/nWAAAAAGwQBBX0CfZACBWgIwUAbToGh1B2ewW4VcIg0Q+XICJQBNEPAGwQCJUV4hYCKmAE -gADm8/4Z0ASAAPhAaB3gAgUA7BYEJJgFAADqFgMjIIEAACdifw8CAA8CAAd6AluPXOs0AA0oBIAA -6nQACuAEgABbnHfkoBNjMCEAAORp0nEQIQAAwCDRDwAAAIwSsV2tzCrAAMXd/UAIXGAPBQDl9AAI -8ASAAPIAAh3gBgUA9ARCHaAn9QBtCBRkoHzJYXehL2hiTLHK3KAqoAB9oVBj/+R0qezmbAEmUAUA -AOrmACdwEQAA/UBoHa//ggAAAAAAAO/EACMwBQAA6swBLhgEgADq5gAncBEAAP1AaB2v/u4AdKmv -L8QA9YBoHe/+pgDKaWhhUmhiQsgxJzQAZF9U9KAGHaACBQDRD8gxJzQAZF9C9KAGHaACBQDRDwCO -E+bmACGAOYAAJzQAy1wY87Uf87UkVACo/68i0Q+LFfogKBWgDAUAW422ixT6IAgVoAwFAFuNsokT -5pYAIYA5gAAnNADIUSRUAGau6hvzphrzpquqqiLRDx3zo44THPOin+CtzKwi0Q9sEASLMCawACcK -AOhpSW3IBIAAZGBBC7kC+CACHaAKBQD8ASIdoC01AG0IKGhsFXxhEn1hNuiM/yVQBQAA5iQAIRAF -AACxd6t5JpAAaGlQZIBjZGBKY//QwEDkJAAkwAUAAPhgBhWgAgUA0Q8skAD9hSAE0AUFAKt75rAA -LbgEgABtCBTkYBhiqAUAACZwAbF36GkJa8gEgABj/+Sre6tZyYLA0O0kACTwBQAA7jYALRAEgADR -D8Yq0Q8AAGwQCFv+1eampm0QBIAAF/NwGvNuFfNw+ebYBeALFQD95soFoAQFABjzbCiWpSyWpCSW -px/zai+Wph7zaS6WqR3zaS2WqCyWqxjzaCiWqi2igh/zZh7zZ9MPD90BDt0CLaaCHPNkLKaGK6aH -KFI0KUpF6aalJDJZgAAS82D95r4Fr/P1APfmIAWgCUUAKMLwCYgCKMbwH/NaHvNbnvAsYsAd81oN -zAEsZsAvYtAo6sD54AQHsRhVAAj/Ai9m0B7zUy5m0Shi2B3zUhzzUg2IAQyIAihm2C5i2x/zUA/u -Ai5m2x3zTi0mNS9i0hzzTRjzTQz/AQj/Ai9m0i1i0i5KAA7dAi1m0i1i0hzzSCzAgMfuDt0B7WbS -LhBwAAAsYtMe80Md80MOzAENzAIsZtMjZvQjZvUvCoAtYt4e8z4PAgDTDw7dAS1m3iti3sDEDLsC -K2beKWLDGvM4+yAEBLUKBQAKmQIpZsMuYsEY8zQI7gEP7gIuZsEsYsId8zINzAIsZsIqIiwb8zD7 -QAQFdBsFAAuqAiomLC8iLRrzLhnzKxjzKxzzLAn/AQj/Av5FphXgC1UAW4r0GvMm/eZOBaALZQBb -ivAa8yP95kYFoAt1AFuK7RrzH/3mQAWgC4UAW4rpGvMc/eY6BaALlQBbiuYa8xj95jIFoAulAFuK -4hrzFf3mKgWgC7UAW4rfGvMUHPMWH/MU/kHGFeArBQBbitr75h4FoktFAPwAQh2gDSUAW4zi++YW -BaFLFQD8AAId4Pz1AFuM3fvmDAWhSxUA/AACHeD89QBbjNka8wH95gYFoOhFAPhBRhWgKzUAW4rG -GvL8/eX8BaArRQBbisMa8vj95fQFoCtVAFuKvxvy+ZssmyubLSlQRJkQLWLcnREsYtgMTFPsFgIk -pRmAAJkQ9SAmKJIAnQCZEPUgKxkSAJ0A9SAsUZIAnQDGKhnydGYj4i6QgGTkYcAgZiPXEvLmLyLU -GfLlGPLlCf8B+eYAD7Aq5QD+WoYV4At1AFtldSpxffFAHv6SAJ0A+gViHaALFQBbZWD6BWIdoCuV -AFtlbPoGQh2gCxUAW2Vb+gZCHaArlQBbZWf6BeIdoAsVAFtlVfoF4h2gK8UAW2Vh+gTCHaALFQBb -ZVD6BMIdoCuVAFtlXPoHQh2gS3UAW2VZ+gAiHeBqBQBbZUf6BaId4GoFAFtlVPoGwh2gCzUAW2VC -+gbCHaAr5QBbZU76BuIdoAsVAFtlPPoG4h2gO8UAW2VJ+gAiHeCqJQBbZTf6BSId4KolAFtlQ/oE -oh2gCyUAW2Ux+gSiHaALNQBbZT76B2IdoAslAFtlLPoHYh2gC2UAW2U4+gAiHeC6NQBbZSYrcYEi -Chj6QBf44gCdAPoKwh3gujUAW2Uv+gjiHaALFQBbZR0scYH8QBdwogCdAPoI4h2gO6UAW2Un+gjC -HaALFQBbZRUtcYEPAgAPAgD8QBa44gCdAPoIwh2gO5UAW2Ud+ggCHaBLxQBbZRr6BmIdoEvVAFtl -GPoIQh2gS/UAW2UV+gciHaBL5QBbZRL6CaIdoAsVAFtlABLyePoMIh3gStUAW2UMKGL+GfIgCYgC -KGb+LiKQLwovD+4CLiaQW/xW5qH0bRAEgAAc8ZjTDyzCf2TDlBvxnvtwEBXgCQUAbckMAJAECwwb -f8cBsZqxmRvyaBLyZi5xe/9foBXgDUUAD9o4/+TABeDuEQDu2jkNSASAAB7yXSiygC1xe8fLDIgB -6LaAJvywgAD1IBjgkgCdAPUgGbkSAJ0AaZQ8IlYoLlYpL1Yq9KTmFaAAwgAAAAAA9SAUyJIAnQD1 -IBkBEgCdAPUgGmISAJ0A9UAUYJIAnQD1QBihEgCdABvxlxryIxnxfSmmjCumkB3yQw8CACnWjCvW -kBzyQSnGjCvGkBjyQCmGjCuGkFv78OahDG0QBIAAFfI8GvIVDwIAKKJxG/II/2aIFe/s5QAMiAEo -pnHvFgMngPmAAMCgW3xOG/IApa0k1oGOEy7WgiTWgxnyLinWgC+yZ+8WBCeA4YAAwKFbfESlqSSW -gYoUKpaCJJaDGPIlKJaAGvIl/eRKBaBLBQBtuhEton+l2/2gBLQiAJ0AI7aAtKoucX5k4ZfA0Bry -Cvwf4h2gK3UAW4veGvIGG/IZHPIZW4nOGPHsGfIXKYZwGvIBHPIWHfIXH/IU/w8GFeJLBQBbi9PE -sMDDDLss+i4ADn/9xQDqcX4mYA0AAA3MAe67EQ5mAoAA/WYADbAMFQAMuwIc8czrxqUtAH4AAC1x -f8zULnGAZOIJ0Q8AAAAAAAD1cAYVr/22AC9SZ2X5sihxfmSCLSmipBzx+wyZAflUhhXv5oIAAAAA -+gWiHaALFQBbZGn6BaIdoCuVAFtkdmP8CwAAAAAAAAD6AEId4Lo1AFtkYWP89gAA+gjiHaALJQBb -ZF1j/QcAAPoIwh2gCyUAW2RZY/0eAAAAAAAAAC2SIWTbl8CgW5ml80BoHa/uRgDApP3jugWgC4UA -W56MjhCPEhrxxPggKBXgCBUACP82nxIKmQLpFgEvDEQAAMCk/eOmBaALhQBbnoEa8WDSoCxi2B7x -z40S7swBDusCgAANzAIsZtiLEBzxiY0RC7sLDLsL7WbcJdgHAAD7cAAV4EyFAFuYJ9ogW/pu80Bo -Ha/sCgAucX9l7mEvcYBl/lsocYFljlX/+VANoA3FAAAAJFYn+V/r4NIAnQAkVLAkVLMkVLYsUKwp -ULUrULItUK8kVK8kVLIkVLWtzKy7q5n4tYYd7/ViAMCk/eNWBaALhQBbnleIEh/xqY4RBIg2mBIP -7gL+ICYVr/xmABnxpfik5hXv9KYAwKT940QFoAuFAFueS/PiVgWv/YIAY/yCG/GfHPGdLFYn+qUG -Fe/0AgAuVij0pOYVr/OWAAAkVLAkVLYvUKwtULIuULUoUK8kVK8kVLWu3aj/L1Ss/LZGHe/zNgAA -IlYoLlYpL1Yq9KTmFa/yrgAAKXGBZZ3vKWLgG/GIGvGIC5kBCpkCKWbgL2LAGPC9CP8CL2bALWLY -HvERGvFeHPGBDt0C/NsGFeArBQBbiSPRDyxxf2XNyy1xgGXdxS5xgWXtv2P3aQBsEBgZ8Xcokj5k -hBTyAAId4A4FAP/i6AXgBAUA9gACHaAIBQD4IsYVoAUFAPQihhXgBwUA9iNGFeACBQDyIiYVoAcF -APYiZhWgAgUA9CJGFaAGBQD+I2YV4AQFAP4kBhWgDwUA/iKmFeAOBQD+I4YVoAUFABvxXRrxEiuy -hyqiXas7CbsRq6oqFiIooRKJq5kRmBWKruoWAijYBIAA6hYHKdAEgABbY8krEhEsEhItEhMuEhQv -EhUpEiLqFhctJBIAACYWJIoRIxYjiBQolRODFZqbhhAqkhqWnCOVEiaSECYWJSYSG6OD56cIAZv9 -AADoZf8jMAsAACNlACgSJYYRJJRRJZYRIxIcKpBQKhYYk5+oVSiQbqpEpjMqEhYmEhojFhwjkG+m -hiiQcCYWGqo6JhIgKhYWI5EwKpExpoavPy8WFSiRMqKiJhYgKpBtI5BsJpEzra0a8SKuPquLKKAF -IxIjrGzmEiQkfE6AACgSGCiUXvgjJhWgAC4AACiQXigWGSgSGSsWESwWEqhmKBIbLRYTKaI+7hYU -JEARAADoFhshmAUAAPh/9lPiAJ0AGfEMKZJAKhIXmhjqEhokhlmAAPgiyBXgAwUAbQi2JhYkFvEG -JmKJIxYmpjYT8QEjMv3oEiArNkKAAKYzIxYeJjBwJDRRJTYRqGgmMTAoFiAoMTGvbyYxMqKCKDEz -q2smMhqsjCgwbKdnJjBtro4oMG6tbSYwb6qKGPDuqWkmMhAogAUjMFAjFh2mVSYSJKNE4xImJHx6 -gAApFhYiFicoEh4iEh0iFh8ihF7yJOgVoABCACgSHikWFiiAXigWHxnw3SgSHymSQOhmCAGYBQAA -eTsHKRIWY/9CAABkIhwY8NgqFhoihX8rhYHshYMjgcGAAC8WFRnw0i4WFC0WEyyVgyuVgeKVfyvQ -BIAAW3sBLRITLhIU7xIVLTgEgAD94ZIFoABSAAArhYEihX8qFhoshYMc8MQqEhonxsMb8HYisX4c -8MDpsX8hDLmAACOxgCjAgS7EgAPYOejEgSSAmYAAKsSCLRIgLhIWLsSDLcSEKrF9/0KgBpANBQAY -8LEtxH0txHz9EGQd4AAeAGQhlBfwqSlyP+R2RiSJWYAAEvCn/iKmFeADBQAe8FsvIogu4l2vPwn/ -Ea/uLhYhLOESjestFgosFg4u4g7uFgsh0CEAAO4WECDYkQAAW2MR6RIhLXAEgADrEg4tDWIAACoS -HIgZjB0klFEllhEtkFArlRIslROYnJqfKJIQixr7IWYV4A8VAA/dN6hVLZRQL3AF+0AARXfdAQDt -RAgBmAUAAOoWHCf8MoAA/SvGHeAAHgAtkF4vcj+tZv5/+vPiAJ0AGfAxJXY8KBIVKZF9InInJHZB -9uhGFaCZMQAJgjnidicvEASAANEPKpJAZKDg/AACHeAOBQD2AAId4AwFAPIAAh2gDwUA9gACHaAE -BQD0AAId4AsFAPokBhXgCgUA+iLGFaAIBQD6AAIdoAsFAPgjhhWv9VoAACV2PCR2QSxyJyZ2QvIh -CBWg6jEADvw5LHYn0Q9lnmkosYFljmMjsYAqwIED2jn7kCYdr/nqAAAAZb3fZc3cZH42KhYaLxYV -GPBNLhYULRYTIoV/K4WB/RBkHa/3VgAAAGWeZymxgWWeYWU+Xh7wRCnhfSjheS3EfS3EfAmIDCnh -fy3lgy3mRAmIDPnQJB2v+PYAAAAAAAAA/AACHeAOBQD+AAId4AYFAPQAAh2gBQUA+AACHaAKBQD6 -JAYVoAkFAPgixhXgCgUA+COGFa/2sgDSoNEPbBAEGO/e0w8igXvANfJGAIXgBgUAF+8tKXJ/ypLk -8CQbKASAAG0IFSZEgCpyf+VcASVT/QAA5aMHciAFAABj/+MjgX15PxZ6PxMrgX7MvSyBf8zILYGA -zNMugYHI4NEPH/AVJvZmJvaZ0Q8AbBAEE/ASFPASIjF/BCIBIjV/0Q9sEATAINEPAGwQLOnwDREU -KYAA9EAUaJIAnQD0QBTBEgCdAPRAFioSAJ0AaCUFxirRDwAAHO+CLMB9GvAC6PACFnxMgAAjgcQH -MxH6YABBsAA2AAAjgcMHMxGqM/oAgh2gCxUA7O/6GWgEgADuVAAJ+ASAAFucjsCl/GBoHaALFQBb -nIsqMAAjFkT4MoAVoAUFAPFN8A3g9PUA9UAGvC/ipQD2AAId4AwFAPwpZhWgAOYAAAAAAGRxxysS -RYhxLBJG7RJHINBBAAALgADmofxtEASAACgSRCiAANVg8QhADeD59QB5gXwrHQHqHBAl2EEAAFv7 -3ygQEOoWTCKwBQAADwIA/xzQDeBctQB8iacoHJSopS1Qe8XtDwIAftmXLR0B6hwQJthRAADs3Bgm -6HEAAFv7a+ekAAUXIYAALhJLZeLMFO/Dj6F0+Yn4KIgVoAkVACkWSxnvugOIDPkjphWv/dYAAGYh -ZBvvtsCh+3GGHaABMgAAwGD4oABCv+KlAPoAQh2gCxUA7O+zG2gEgABbnEjAovoAIh3gCQUA+K+m -HeAIpQDoVHwg4EEAAFucQBvvpMCh6rSMKQkSAAAf76Ev8h38AAIdoA4FAP5OAAxwBBUA6oQABAhx -gADt9w55wASAAI4w7EQAAcARAAAKGhTLoYmA7MwCJVv9AAAPAgAPAgBtuRPrggEmYAkAAO6eCARA -IQAAiYCuvu6CAS9QBIAAqpio7vOAAQXxjwEA5IBBYMgHAAAf74P7YAgV4A0VAPopBhXgCkUA6KoM -BMiBAAD5AABEcAkFANMPbakH6YQAJEAFAAAsEkgt9Iyuzi72HtEPH+9z/+PGFaANFQAt9IzRDyUS -TPgygBWv4qUA+KAAQr/7vgAZ7wkANRH4oABB//b6AAAAFe9tGO6QADMRpTX4YABBv/amABXvaRjv -aQAzEaU1+GAAQb/2WgAAACUSTCgclPigAEK/+qYAwJEptIzRDwAAAP/88A2gDgUA+968BeAKZQD8 -ACId4A4VAPhgABKwHwUA45IcKuAEgABbeRHAcAfkFgECACUWSf3epgWgClUA/ClGFaALBQBbm+EW -700PAgAPAgAGAIaWEBbvTCoKBfRgaB2gCwUA5AwAC2AEgABbm9f73ogFoBsFAFudcwfkFvoAwh2g -CwUA/AACHaANBQD+AAIdoA8FAFt49igwACkK//kABOxiAJ0ALBJJE+8s+95oBeAKZQD/3aIF4A0V -APJjiBXgDhUAW3jqwHAH5BYBAgDApfwpSBWgCwUAW5u8Gu8r6O8oGcgEgADTD22qBQgAhgkCYSoK -BfzAaB2gCwUAW5uzGu8fG+6+W51QB+QW+gDCHaALBQD8AAIdoA0FAP4AAh2gDwUAW3jSY/xMwKL9 -3jAFoAsVAFubpf/1fA2v4qUAGO8VCCCH8gSoHe/y5QDRD2wQBBXvEdMPJFIhI1IgIlIi993uBa/3 -9QD0YABBsAgFAPJAAEFwBAUAbSlbImKHI1Lf4kIIAiAFAAAJIhGiMigkISgkICglEyglEpgsmCso -JhAoJhEoJFEoJFAoJF4oJF8oJSonJHYoJTEoJTIoJTMoJhooJGwoJG0oJG4oJG8oJHAoJHUoJTnR -DwAAbBAOJBYQFO7uIhYRiUaIQIpFjESNQ45Cj0GfEZ4SnROcFJoVmBCZFohHmBeESOQWCCnYBIAA -5CQAAQ0RgADs7uERjNGAAP/dvgWgDZUALSYRJcLsL+Lr/ZzoFa8IRQD4oABCv/0FAA1VAepUAAYA -yYAALuLoD/45flMLH+7S9f2GFeAAHgAAwKDlpAAFC1GAAOzuThKNkYAAJUYS6xYNKLAEgAD4gKgV -4AcFAPgh5hXgAwUAiB2FYAhVKPKCSBWgaEUACFUs+mAIANZVHQD0IcYV4VWdAOciCArQBIAAW4nc -Hu64lRwv4uwo4ugp4uf77wAPv/uFAOv/AQ1oBIAA6vQABICpgAAp4usJmDl48wf/3YYV4AAaAMCg -5aQABQORgABkULrlJgAq0ASAAPohiBXgDAUAW5WFix8sEhCOHu4mAymBCoAA/ECGFaANFQDrJgEu -6AqAAO0mBi9+goAAr7ubH+zsCAXbAQAAmyLsFhAmY/0AAJwlKkIRtGbjPAEjuHEAAPp/+WOiAJ0A -wCDRDyXi4izi4yji4eni4CKoHQAA61UBBgE5gAAImAwIzDYp4t7l3wgEgPGAAH/DFv/cRhXv/YYA -AAAAAAAAAP0gaB2v/34A//0oDaAFBQDBJtEPwKD92/QFoAtlAFubAfRABhXv8kUA0Q8Z7nMa7nIl -kuIqouEskuPpkuAiqD0AAA1VAR3ubAqaDO3S3iYCSYAACsw25NAWYtAHAAAqrPx6wwsc7mX7nEYV -r/lqAAD/+UQNoAUFAAAAAMCg/B+CHeALZQBbmuf0gkYV4ALFANEPAAAAAAAA/SBoHa/+6gBsEAhb -Yxgc7cIX7lQswH0jCgH672gV4AVVAPjwiBWgzAEADDU55boIDSAEgADmfNAtVkKAAPsAAEU3VQEA -W2MGKiYZK2KHKnKEq1sJuxGrqlti/CsiGSomGvqBng3gDAUA/EOmFaAAQgB7SwgEvQwNbRQtJh0V -7jP7TwAO8A5FAO3cASlQBIAA/ENmFeALxQAW7Y0Z7ewsJIwuJI8uJIolJhQjJI0rJhX6UgYd4Agl -ACgkjikmFvbbSBWgCeUA+FJGHeAYBQD4UmYdoAvVAPpSJh3vZoEAJiYX9kMGFaALBQBt6hIvoJAA -8QQAPhrl4Qh1UAUAALG7wLQLDkcuJIr1wAxqEgCdAP3cLAWgClUA/dwaBeA7BQBbmpsrIhkqIhoL -qgyxqgpqFOmkAAUNsYAACgxfZMJ8KgogCY1XZNKACc5TZOKFCe9RZPKM5hYEJUP9AAAJijsnChEH -pzbpIh0j0/0AAAChBAA2GulpCAMz/QAA5iYcJMv9AAApJh5bYq7VoFtiuApaDLGqCmoU6aQABRK5 -gAAKC19ksenCoAmMV2TB7AnNU2TR8QnuUWTh+LCvCfo7Hu2v6iSIK4EKgADlEgQp0AqAAOckiSVT -/QAAKiYfKSCItpkAkQTo4tkp+AqAAOgmICf7/QAALyYhHO3dLuLaLyIfLSIgKSIhmRAoIIj4ICYV -oApVAPhRMBWgOwUA6BYCL/6CgABbmloc7dMvIhouIhkoIhuYEC0iF50R+kMIFeAKVQDrFgIqaASA -APQgZhXgOwUAW5pPHO3IKCIeLyIdLiIf/EOIFeAJlQD4IGYV4fsFAJsR+iBGFeAKVQD4IAYVoDsF -AFuaQgprEewiHSFRQQAAW/7DwCDRDwAAAP3bcAWgClUA/dtWBeA7BQBbmjgqIJAtIhTTDwChBOA+ -Gg0CCoAADQkZZJE2LCIVsMvgsAQHS/0AAPifAAz/+vUAbQgKCRkU5JARZVAFAABj/+7AoPYghhWv -+boAAMEEAD0a69z/LYIKgAD6nwAN//n1AG0ICgsbFOSwDGTIBQAAY//uAAAAAADsJJApWASAAOqf -DAZwDQAA7iSTJlAFAADqJJEmQAkAAP5Rhh3gCgUA6CSSJ+gFAADtJI0nwAkAAOgkjif4DQAA/lHm -HeAORQDTD23qEi2wkADRBAA8GuXBCHXYBQAAsarApAoOR/5RRh2v9hoAAKkR//hEDaAaBQAImRH7 -XwAVr/gyAAyZEftfgBWv+B4AAAAOmRH7X8AVr/gCAACpEf/1/A2gGgUAAAAImRH7XwAVr/XiAAyZ -EftfgBWv9c4AAAAOmRH7X8AVr/WyAAAAAAAA//cgDaAKBQAAAADAov3avgWgCwUAW5nh/dq8BaAK -VQD92p4F4DsFAFuZ3GP9AwAAbBAIGOy9Fu1OKIB9K2LHKmLQ6bsRCagEgADrqggEfEiAACqtASqs -gFt+FWAACgAAKq0DKqyAW34SGeySLZIR7mIEJoFRgADAIIRii2GPY45klBGSEvogBhXgClUA/dqC -BaA7BQBbmcHRDwAAABrsqMrkfqMij2PK+3+jKYthy7B7oy6EYvCAcA3gSQUAdJsv//70Da/ipQCE -Yothj2P//rwNr+KlAIRii2H//owNr+KlAIRi//5kDa/ipQAAAAAA6+w9ERiRAACTKeMmCiEgsQAA -JCYL9EGGFaJKBQBbduX3QGgd4osFAPQgxhWiSgUAW3bgi2KaFfdjAA1wiwUAWAZe5GICJQupgADl -FgQiAcGAAPVAaB3gBAUA+qBoHa/79QD92EgF4kwFAFgGN44puK2d4Z6ik6OdKYxi5XUIAiAFAAB8 -Q9OHFYph90MADXCLBQBYBknVoOtiASUISYAAy7LyIMgV4AQFAPqgaB2v+/UA/EgCHaKNBQBYBiOM -K7irm8GcopOjmyuLYeV1CAIgBQAAe0PT2rBbiA0kYuwuYufdoPqPAAo/9QUA5UQBBwDBgAApYuso -YugJmDl4Qwf03YYVoAAaAMBAZEGQ5+xfEgYhgADkJg4qUASAAPrAKBXgDAUAW5O5imNbh/gkYuzq -YuctaASAAA1EDOVEAQUAyYAAKWLrKGLoCZg5eEMI9N2GFaAAHgAAwEBkQXxkQRTkJhAqUASAAPrA -aBXgDAUAW5OmimJbh+bBsFgGD5ov5GICJQHRgAD6gGgd4AwFAFuTnophW4fewbBYBgiaLetiAS0C -3gAAhGId7AePY/7AiBWv8kUA/aIoFe/3agCLYR3sAY9j/sCIFa/yRQD9oigV7/cOAADAoPzgaB2g -C2UAW5kzHev5lC6EYothj2P+wIgVr/JFAP2iKBXv9moAwMBbk4KKZFuHwiRi7C5i5+pEDA1oBIAA -5UQBBwDxgAApYusoYugJmDl4Qw303YYVoAAyAAAAAAAAAMBAZEEGZEDa5CYRKlAEgAD6wIgV4AwF -AFuTbusSBClQBIAAW/4zG+vaLbIRwMHqzTgNEASAAP1iJhXv9GoA3HD6AAIdoAtlAFuZCx3r0SQm -EIRii2GPY/7AiBWv8kUA/aIoFe/z5gAkYuIqYuPpYuAiID0AAOVEAQUGkYAALGLhDJwMDKw2LmLe -yO6k2nrDCvrcRhWv+QoAAAAA//jcDaAEBQAkYuIqYuPpYuAiID0AAOVEAQUE8YAALGLhDJwMDKw2 -LmLeyeKk2nrDDvrcRhWv+VoAAAAAAAAAAP/5HA2gBAUA3HD6AAIdoAtlAFuY4h3rpyQmEYRii2GP -Y/7AiBWv8kUA/aIoFe/xUgAkYuIqYuPpYuAiID0AAOVEAQUB6YAALGLhDJwMDKw2LmLeyeGk2nrD -DfrcRhWv+zIAAAAAAAAA//r4DaAEBQD9IGgdr/zeAP0gaB2v/a4A/SBoHa//MgBsEAYZ66cV7DjT -DymQfSpSxyhS0ORdAS1WQoAA6ogIBPzQgAAqjQH7UAAVoIYFAFt8+SxChytS0AnMEay75roIDSAE -gABbfPD6jwALMAC+AAAAAAAqjQP7UAAVooYFAFt87S5Chy1S0AnuEa7d5toIDSAEgABbfOQKRgwv -UucoUuwGbQruUugu70KAAP0PAAx/9wUA54gBB4CxgAAvUusP/jl+gwj4vYYVoAAeAADAgOSEAAQM -cYAA6OuOEhRRgAAa7AeUI/bAAga0nR0A6gAFDu9CgABtmQIEAmEoUuwrUucvUusNiAzniAEFgKmA -AC5S6A/+OX6DB/i9hhWgABoAwIDkhAAEDHGAAGRBHeQmBCpQBIAA/aBoHaALBQBbkj0PZBHaQFuH -EyhS7ClS55QQ6ogMDWgEgADniAEEgMGAACpS6ylS6AqpOXmDB/i9hhWgABoAwIDkhAAEC7GAAGRB -G+QmASpQBIAA+iAIFeAMBQBbkr/aYFuG/yhS7CtS5+qIDA1oBIAA54gBBYDBgAAqUuspUugKqTl5 -gwf4vYYVoAAaAMCA5IQABAsRgADNR8Cg/daeBaALZQBbmFb0QEYVr/JFANEPAADkJgIqUASAAPrA -aB3gDAUAW5KlHuvNKVIW+EKGHeAPBQAvJif+ReYV4G1FAPxKhB3gDDUA/ErEHaQLBQD6RoYV4AgV -ACgkoCglVSglVy4mMe4mMinQBIAAWlS1wCDRDwAAAADAoP3WYAWgC2UAW5g39ECGFa/yRQDRDyhS -4i9S4+5S4CRAPQAA54gBB4hhgAApUuEJ6QwJ/zYqUt7Iq6jaevMH+rxGFaAAGgDAgPUAaB2v+P4A -wKD91jgFoAtlAFuYI/RAJhWv8kUA0Q8oUuIvUuPuUuAkQD0AAOeIAQeGEYAAKVLhCekMCf82KlLe -yKuo2nrzB/q8RhWgABoAwID1AGgdr/j+AAAoUuIvUuPuUuAkQD0AAOeIAQeEcYAAKVLhCekMCf82 -KlLeyKuo1HTzB/S8RhWgABoAwID1AGgdr/leAChS4i9S4+5S4CRAPQAA54gBB4LZgAApUuEJ6QwJ -/zYqUt7Iq6jaevMH+rxGFaAAGgDAgPUAaB2v+a4AwKD9AGgdoAtlAFuX8PRAZhWv8kUA0Q8AAAD/ -wGgd7/v2AP/AaB3v/R4A/8BoHe/97gD/wGgd7/66AGwQJBvrZPogaB2gTAUAW5GWG+th+igAFaBM -BQBbkZLr618Q0f0AAPtAIBWgjAUAW5GOFutbEuqn9gACHeADJQAkYb7aQFuWzv1f4BWgG/UADLsM -60UGfWAEgACxrPggaB2gDgUA/PgAErApBQDgzBEL2gKAAOy7AgDR/QAA7BxAJVAFAADrSwIDuAUA -AOsm+SIjYQAAbZo76YEAJEAJAADrogAlUBEAAO3BACZgCQAACUko5e8CB3AFAAALmSzjmTUO3MKA -AOuZAg/cAoAAC5kCKSb37wIAAzAJAAD4//sF0gCdAMAg0Q9sEAYb6y7TD9MPK7J/DwIA8WhADeAH -BQAW6yr11lQF4A0FAPwgJhXgAwUALmB98gAiHaAPhQDvfwIHAiGAAJ8Q6nQACVgEgABbkorUoPwg -CBWgC/UAW415iREFSwr4YABE8IoFAAqZAim2gChgfbEz6DPMcRAFAAAb6xErsn+MEew8CAMwBQAA -7BYBI7gFAAD68vYN4AMFANEPAABsEBQZ6erTDymSf+cUAASjKYAAG+nv+3AQFeAIBQBtmQwAgAQL -DBt/xwGxirGIHuqX81+gFeAPRQAD+jj6LgAOsVQFAP3QBhXgAwUA2jBbdASxM3Q59Rrq9Rvq9Rzq -9v/V7AWgCAUA9gACHaBJBQDTD22aJAqJCimdBJaQDokKKZ0ElpAMiQopnQSWkOuJCgRABQAAKZ0E -lpBbc8z51dAFoAqFAA8CANMPbaoH5oYwJEATAAAY6b7TD9MPKIJ/0w8PAgDxA9AN4AMFABTq2BXq -ZyZGwiZGwyZGxCZGxeZGxinQBIAAW3OPKkLAGemwKZJ/BaoC6kbAIZgFAADpM9FyIIMAAPnUxAWg -CoUADwIA0w9tqgfmhoQkQBEAABrqycCA91XmFaJbhQBtuhYmpsYmpscmpsgmpskmpsropsUkQAUA -ACV8EPigaB2gGgUADwIAbaoH5oYAJEARAAD0EQIdoAMFAOtUAAnQBIAAW3NbIzwBdDnuGemNKZJ/ -89VkBeAEJQDxI1AN4AgFABvqqBzqrm0IISqygAyqAiq2gBnpgymSfwOKCuSmjSRABQAA6YsKddiD -AABj/9cAAADxI2AN4AUFABjqmhvpgtMP0w8rsIBtCBzodh0qggqAAPkEACWgy50A84AR/9IAnQCx -VXlbCmP/3AAAG+l2K7CA7XxgLfYCgAAf6o8PAgAi8oAV6o8FIgEC7gIu9oAc6o0swtb/kAAWP/4F -AOY2iyZgPQAADswBDBwMLHYcAcEAGOqGI4CAL4CBx+7yYACB8GZFAO9lDAmdwoAABjMsDjMBDz8o -9GMACfAFZQAG/ywF+wEGMywOMwEDQzfzYABF//OFAAP/AfvgAEVwCTUA78YAJEANAADvRAAGYBEA -APXAaB2gDgUAbZpJ6YCAJEANAACq7uvWACboEQAACZkJ6YB+LNXCgAAGqiwEqgEJqygJaQwGuywJ -qSgDugEFuwEGmSwEmQHqxgAmYBEAAAn5N6m7q6qb0PvAAEQ2DwUAePsowJBtCB8sfGAMnAqLwLGZ -CQlB7bz+JZQxAADtxgAkQ/kAAHj7A2P/2QD/BbIN4AkFANMPbQgfLHxgDJwKi8CxmQkJQeiyDGXo -CQAA7cYAJEAJAAB/iwNj/9cALXxgG+o6j9DvtoQm8BEAAB/qPI7g7vaEJuAhAAAe6jqMwOzmhCbQ -MQAAG+o4iqAqtoQochyNgBrqLbSMjMAtpogd6jC4i4uwLNaIHOovvImJkCvGiBrqLSmmiC5yHBrp -zY/jLOIALeICLuIB/G4ADjP/HQD38AAXs90dAPugABaz7h0A790CD3aCgAAOzAL9hgAOcCuVAFuB -iMAg2iBbciqxImkk9R/o7A8CAC/yf/HhoA3gAwUA+mBoHaXr5QBbcoUS6OUiIn+xM3Iz6cAg0Q8A -2lD86gAVoAsFAFuVMShyHSxxKCyGiuno2xPZSQAAKrEAK7EB6ZJ/LVQCgADrqgICqAUAAOqGiSRA -gwAA+L/rA+IAnQAb6NkrsIBj/Ydj+7YAbBAIFOnDF+lKHOlZKEGY89KMBeAS9QDuQXkmYcEAAOVB -fSQMaYAAK0F/JkGBKkGDpb2m3ard/cAbQ+T1AQDz86wN5IsBAPMTLA3klgEAZZMq+kAZOKIAnQAF -6AwN4gwiRYUoRXwLjwwG/wz+kAQd74gBAAuJDClFfgL/DP6QhB3v/wEACvkM6UWCLEeCgAAiQX7o -NoQpF4KAACI2hyJBgg7/Ee82hSkXgoAAIjaGLEGC7zb9LmeCgAD8f4YVoAIFAGYitSJCRMsp9kAX -vdIAnQCwKPMAGCiiAJ0AAioCW5UpLTKKLEF4590BDXQCgAAO3QItNoosNv4pMoEb6Q4LmQIpNoEq -QXj303IFoAIFAOXpuBUBqYAAYAAKAAAAAAAqQXh6KyIvMr3m/wEJRwKAAAj/Ai82vSU2vFuEnuSv -32EQBQAAxy7RDwqrChrpJimhfus2jSSN0YAALDKBHemmDcwBLDaBIkJGJ0GFKkGDJkGBK0F/LkF5 -L0GYJUF9IjaM4kJEJ40BgACWEJcRkhMc6ZrvtAAPaASAAOoWAirwBIAA+gCCHaALZQBblfvAINEP -KzKEKkF4KUF5C6oMCioUKkV9CpkMKUV8JjKEKDKHJUF8CGYMBiYUJkV/BlUMJUV+LjKHLzKFD+4M -Di4ULkWBLDKFLkF5LTKGJUF9K0F/DcwM9pAkFa+sEQAqRYOlvfegAEa/zBEArNj5wA/jpPUBAGXx -zgsIRGWByAYJRGWRwvpADfiiAJ0ALEGYqtkF7wwvRXwJ6Qz4kKQd798BAAveDO5FfiYIIYAABusM -K0WACbkM+JCEHe+ZAQAKmwwrRYIO2BEiQX7oNoQpF4KAACI2hy9Bgg6eEe42hS//goAALzaGLEGC -7jb9LmeCgAD8f4YVoAIFAGYg0ikygXuWVygyiggIVfsABADQAhUAACIa4kZEIXIRgAD2QAuV0gCd -ALAp8yAMAKIAnQDaIFuUqi4yii1BeOfuAQ18AoAAD+4CLjaKLTb+KzKBHOiPDLsC+nAmFe/4DgDA -gPiIhhWv9+YAKaF/ZZ5DK6GAZb49LKGBZc43Y/5AHOk2khPnFgIt+ASAAOYWAC9oBIAA6hYBKvAE -gAD4kUQVoApFAPgghhWgC2UAW5WRwCDRDwv4DAaIDChFgAqMDAnMDPyQhB2vmAEACp4M/pBEHa/7 -5gDRD+1UAAt4BIAA6hYALfAEgAD6AEIdoAtlAFuVgP/0mA2v4qUAAAAAlhEc6HObEOoWAir4BIAA -+gBCHaALZQBblXf/9AQNr/JFAPoAQh2gC2UA7OhrGWgEgABblXBj/SkAAPoAQh2gC2UA7OhmGWgE -gABblWpj/RHdUP7AaB3gCiUA7BYALfAEgAD90LQFoAtlAFuVYv/6RA2v4qUAAJYRHOhVqt2aEusW -ACr4BIAA+gDCHeAKJQBblVn/+bANr/JFAPoAQh2gC2UA7OhNGWgEgABblVJj/LEAAPoAQh2gC2UA -7OhIGWgEgABblUxj/JkAAGwQBhno5Bro5CiQBCgUBCmSACkWAFv9YBXo4RroevyvRBWhSwUAW4BB -GujdDwIADwIAKqF/80AXvpECBQD70OIFoUsVAFuANfXQEgWgykEALFRUK0LTZrL+LlIbLVIaH+jR -rtj54BgbogCdAMd/KVIY7UbEJJbZgAApRsYsUhzm6EkWE/mAAClSHmSSdItfwDH9YuBBUAoFAG0I -CrGqAKEEAD0ae9sEY//uAAAtUMIZ6L0oUhEqVhILmSwNiCzpVhQkQ/kAAChWEyxGyIhfLlDCoe4u -4AAtUhHoRs0vcIKAAA7dAilSEimc9e1GzizMAoAALULbHuisKFIeDt0BDZkCKUbbKEbKjl4tUMOh -3S3QAClSEO5Gyy7oQoAADZkCLlIhLVIgKUbMrtj54BJzogCdAC9SERjonSpQwi5QwyxSEKiqqO4u -4IAqoIAb6JmvzK6qrKooUMMvUhAuUhH4uFAV4ByFAAz/LAzuLAnuLAj/LOpmGy/8AoAAD+4CLkbH -CqoRqtotRsUtsoAM3SzqZhgu7oKAAK2qK7KBDLss6mYZLd6CgACrqipmGhrogvxAAh3gDAUA/gAi -HaALJQD6wmYV4A8FAFpXSuahwW0QBIAAI2YTxLArZiErUiUpUiQc5/Af6A0LeTgpViQqUiUoUiSq -iOn22yRD/QAALlInK1ImKPbcDns4K1YmLVInKlImrarr9tclU/0AAClSKS5SKCr22Al+OC5WKChS -KS1SKKjd7sb1Juv9AAAqUigtxvYrUikpUiirmer23yTL/QAAKFIrLVIqKfbgCH04LVYqLlIrK1Iq -rrvtxvcl2/0AAClSKivG+CpSKyhSKqqI6fbjJEP9AAAuUi0sUiwo9uQOfDgsViwtUi0rUiytu+z2 -4SXb/QAAKlIvKFIuK/biCng4KFYuKVIvLlIuqe7o9uknc/0AAC726ixC8B3oPA3MAixG8CpC8Bvo -OguqAipG8ClCwilWNyhC2ChWOC9C2S9WOS5C2y5WOi1C8C1WOyxC7CxWPNEPwCAnRsYnRsgiRs0i -Rs4nRsoiRssiRswiZhsiRscnRsUnZhgnZhn2w0YV7/qyAPvPagWhSxUAW394AqwC+89iBaFLFQBb -f3lj/PAAAAAA9pjGFe/0ogAd51gs0H3A5A7MAv2vph2v88oAwKH90CgFoAtlAFuUbcYq0Q/Aof3Q -IgWgC2UAW5RpxirRD8Ch/dAcBaALZQBblGXRD2wQBhnnKfvOuAWv+PUAmJCYkZiSmJOYlJiVmJaY -lyuih//QBgXou4EA6xYAIMARAAAv8of90AAF6P+BAO+GACDwIQAALdKH+8/4BejdgQDt5gAg4DEA -ABLn+SuyhykgOvPOvAXou4EAm8DKkOifEAz0AoAA7+4CDO4CgAANnQIO3QIsIDstNsEsNsIpIDod -5+wuIDuPEIoRqe7g+REPegKAAOn5Ag92AoAACekCCakCDZkCKTaxiBIPAgAPAgDsEgMsRAKAAAj/ -Ag/uAg7MAg3MAiw2shvnKCuyhy8ygirKcfpcAATyu8kA65k3BcAJAADq/wEEyAkAAO+IEQzOQoAA -CYgCCP8CLzaCGufOHec1/HBIFaAOFQAuJMD4RKgV4IsFAP2ABAZwSAUADLg56KSAJIE5gAApNqEv -IhHx4ZAN4AQFAPqAaB3gCgUAW3CwKCIRsUTTD3hD6ikiJ8qRKTaiKiIU8UGQDeAEBQD6gGgd4AoV -AFtwpisiFLFE0w97Q+opIinKkSk2oywiE/GBkA3gAwUA+mBoHeAKJQBbcJwtIhOxM9MPfTPqLiIS -8cFwDeADBQD6YGgd4Ao1AFtwlC8iErEzfzPswCDRDwBsEAQa557TDyqifys66AuqLCit/SiM4G6I -Cisal/tgCkqiAJ0AKgpkE+eWDwIA+m/EHaALFQBbcNgU55L6b+QdoAIFANogW3DL2iBbcMPA0PyA -RhXgAwUA6iQACdgEgABbcKixM2k77yIsAeRMECknVAAA881IBeAHBQD2AKIdoCwFAAcCR/7/oBXg -CwUA78s4CVAEgABbcJXAiAh4AggIRyg2UiUyUwUkEfRuAAowBQUA5TZWIigHAAAa53P6AEId4AwF -APaYABSwDVUA9yYADLAOFQD4awYV4A8FAFpWKuaggW0QBIAAsUR1SczCwCsKACs2WCd8AeoyWCkD -SgAA+P/7+1IAnQAf5yEe52D9znYF4AsFAPoAAh2gFAUABLwCDAxHLDZSCokUAJkRDZkCKTZTCwhH -7wAFBdgFAADpMlMsRwKAAO6ICAVQIwAA+ROoFaCJBQBtmgIIAmFptr5mIAJbcJjRDwAAAC3qcK2t -btgFLgrPeusK//qwDaA6JQAAAAAv+jivr274BMWHeosH//pQDaAalQD/+jANoAqlAGwQBBvmUv5A -aB3gDhUADwIAKrJ2f6cQLLJwDMwQ84zyDaAPBQAMLwz/RYAHkA0lACyycQzMEH/LYOz/DAV0eoAA -+gAiHaALBQDs5kEZaASAAFuTdMYq0Q99p+UusnIODl8M7hB/49mdMP6ABhXgDiUA/EBoHeAKVQD9 -zGwFoAsFAFuTaMAg0Q+SQP5gBhXgDgUA/kBoHe//bgCfQJ4w//88DaAOFQAAAGwQBBfnEQk1EeVF -Agk3goAAp2aVYNEPAGwQBPJABhXlRgUA+AACHe/MBQDpJRQhWIEAAP1gBAWwigUA5aU6AWDBAADs -JgwqQASAAPxBphWgNPkA42g5BdkBAACbKesmCCFQ4QAA6CUVKtgEgABbW34DZDnrVAAKUASAAFtw -ggptFC0lAtEPAABsEAQa5vDiooYpaASAACiigSmihfxPAAlws00A4rIBBACpgAAoooIJmDl4Iwfz -UMYVoAAaAMAgzyMionwson2jIumieiET/QAA4rIBBgHZgAAronsLmwwLyzYsonjIy60sfLMH/U+G -FaAAGgDAIMgh0Q8AwKD9zCwFoAtlAFuTHdEPAAAAAAAA+yBoHe//OgBsEAYY5ovTDyiCI/EEwA3g -AgUAFOZxE+aGJEKKIzLfpCQJRBGkM4Q3hE4rGlD6gGgdoAwFAFuNYvphxhWgSwUA+ogAFaAMBQBb -jV0Y5nmaPw8CACiCI7EieCO3G+Z1K7Ig8XlQDeACBQAT5oPAwJwT6zB9KVAEgABbjewb5m2NEyuy -IArdN+0WAyEQBQAA6yPecZgFAACOExzmZu3CISdwBQAAnhMswiKdEKvd/YAARnANBQDtFgImCgGA -APPM4AXgggUA9cyKBeANBQD8ICYV4AImAAAvQFAuRFGu/u4WAC9QBIAA/uAIOqIAnQAd5lAe5jqP -E/dPAAxwCgUAbYkuKOKKp6sp0t+riOhCACxmQoAArJmYmCaUDSWUDK+oKJQ34rsCBVAFAAADiAor -hoCIE6iomBMa5j4V5iiJEiyiISuiIOqiIiTIBQAAmRKsu6uq+yAFGqIAnQAlUoca5jUkEgIqot8F -RAjuEgAqJkKAAApECCZADQ7nAuVADCN6wYAAF+YVKBIBJ3KKCHcI6EIAK75CgACnpyV0DOZ0DStY -BIAA6HYIKtAEgABbjaCIEepAUC1IBIAAKXQ36ERRJEAFAADoFgEoBAqAAPdf+zlSAJ0AJxIAB6wI -50RRJlv9AADrFgAt0ASAAPr/+AviAJ0A//zsDaAKBQDRD8DQ/CBmFe/6WgBsEATm5kwZaASAAPfM -lgXgClUA/cyUBaALBQDmZgAjI9EAAOR2fynwBIAA5HaAKT6CgADmZgEroASAAFuSifzeqBWvyQUA -CTMB8uEACfACBQDrYvIhgzmAACpi9C5i8O1i8yVQ/QAACaoB5KQABgBRgAANuwwLyzbjqggHAIGA -AHqzCPrehhWgAB4AAMBAZEDCZEEf5TQAAYDhgACSTuJGDypQBIAAWk7SJVzA5V/sYiEBAADy7wAK -f8kFAOpi/iICeYAAL2L5LGL9BKoM6aoBB4CpgAArYvoMyzl7owf638YVoAAaAMCgyqhkoJ7jRAAC -APGAANSgkk7iRg8qUASAAFpOuyM8wOU/7GIhAQAAwCDRDwArYvIqYvQsYvUuYvD7R+AVr80FAO2q -AQYAaYAALWLzDbsMC8s2yO+krHyzC/zehhWv/poAAAAAAP/+aA2gCgUAJGL+L2L58o8ACn/IBQDo -RAEHgPGAACli/Shi+gmYOXhDDfTfxhWv/F4AAAAAAAAA//wkDaAEBQD6AAIdoAtlAOzlKhpoBIAA -W5IwwKH9y84FoAtlAFuSLcck0Q8AAAAA/GBoHeAKBQD9y8QFoAtlAFuSJsCh/cu+BaALZQBbkiPH -JNEPbBAUGOU00w8ogX8iFhv8YGgdoIUFAPggAAQwAwUA+K0ACb/69QDsFgUp2ASAAFtvWNKg+gAC -He/69QBbb1UqFhn6SAIdoAsFAFtvUSoWGPv/4h2hCwUAW29OKhYX+//iHaELBQBbb0oqFhb7/+Id -oQsFAFtvRyoWFPoAAh3v+vUAW29DKhYT+gACHe/69QBbb0CaHvoAAh3gSgUAW288mh37/+IdowsF -AFtvOSoWEvogAh3iSgUAW282KhYR+rACHeJKBQBbbzIqFhD6cAId4koFAFtvLyoWD/oAAh3v+vUA -W28rKhYM+gACHe/69QBbbygqFgv6AAId7/r1AFtvJJoa+//iHacLBQBbbyGaGfv/4h2nCwUAW28e -KhYI+//iHacLBQBbbxqaF/v/4h2giwUAW28Xmhb7/+IdoQsFAFtvFNag+gACHe/69QBbbxDXoPv/ -4h2jiwUAW28N1aD6AAId7/r1AFtvChTlfC4SGY1MLxIXjEsO3SiOTgwrKJsUD+4orbsvEhiNTQ/d -KC8SFK7dLkIQrbuNTw/uKC8SFg/dKC8SEq7dLkISrbstQhEP7igvEhMP3SgvEhGu3S5CFq27LUIV -D+4oLxIQD90ojx6u3S5CIa27LUIUD+4ojx8P3SiPHa7dLkIirbstQh4P7iiPHA/dKI8art0uQiCt -uy1CHw/uKI8bD90ojxiu3S5CJK27LUIjD+4ojxkP3SiPFq7dLkImrbstQiUP7iiPFw/dKK7drbsu -QiktQigH7igG3Siu3a27LkIsLUIqBe4oCt0ort2tux3lR5YRLdF/lxKVE33LDoUU+iAGFaAARgAA -AAAAAPogBhWgBQUA9WAARXCLBQBYAlzZoOekAAgECoAA+0BKkBIAnQBkUEWPSw8CAA8CAPHjUA3g -BgUA6hYcLSgEgAD6oGgdr/v1APxgaB3v/PUAW/4XWk2/iEvlJQgDMAUAAA8CAHhj2SkSHAJnKKeX -iBXTDw8CAGSAaSUSBSiKAA8CAAhVAQJVLCUWGgUlKPqgaB2giwUAWAI7+0BFMBIAnQApEhoPAgDj -FhUkgcGAAMBQ5jQADRgEgAD6YGgdr/v1APzAaB3v/PUAW/35Wk2hKhIa4yMIAqgFAAAPAgB6Wdgj -EhUrEhssCoDjFhUltkmAAPIAAh3gBQUAJkLipWaTYC5CyIhLfjMJroj4YAdbogCdAC5CyYlMfjMJ -rpn4YAlL4gCdAC5CyopNfjMJrqr6YAo7ogCdAC5CyytCDtMPfjMKDrsI+mALC+IAnQAuQsyNT34z -Ca7d/GAL++IAnQAuQs0vQhB+Mwmu//5gDOPiAJ0ALkLOKEIR0w9+MwmuiPhgDbuiAJ0ALkLPKUIS -fjMJrpn4YA6j4gCdAC5C0CpCFtMPfjMJrqr6YA+7ogCdAC5C0itCFX4zCa67+mAQ4+IAnQAuQtMt -QhR+Mwmu3fxgEgviAJ0ALkLRL0IT/mATQ6IAnQCu//5gEvLgGFUA+MCGHaAA8gAAAAAAAOp0AAnY -BIAA/CKoFeAJBQD4wIYd7/z1AFv9p/ZAAEP//PUA/UAGFaALBQCbZ1pNSiwKgC0SG+xVCAGYBQAA -/H/19WIAnQBgBXMAAAAAAAAA6nQACdgEgAD9/+IdoA4lAP7Ahh2gDQUAW/2TLxIZ+sDmFaCMBQD3 -4ABD//7mAAAA6nQACdgEgAD4AGIdokwFAPjAhh2gDQUAW/2HKRIY+sDmFaCMBQD3IABD//4mAAAA -+mBoHe/89QD6AIIdoQ0FAOpkBCvQBIAAW/17KxIX+sDmFaCMBQD3YABD//1mAAAA6nQACdgEgAD8 -AKIdoQ0FAPzAhh2v/PUAW/1vLRIW+sDmFaCMBQD3oABD//ymAAAA6nQACdgEgAD9/+IdoA4VAP7A -hh2hDQUAW/1jLxIU+sDmFaCMBQD34ABD//vmAAAA6nQACdgEgAD9/+IdoAhlAPjAhh2gDQUAW/1X -KRIT+sDmFaCMBQD3IABD//smAAAA+mBoHe/89QD6AmIdow0FAOpkBCvQBIAAW/1LLRISmmeLrveg -AEPwjAUA92AGFa/6VgAAAAAAAADqdAAJ2ASAAP4DIh3iTAUA/sCGHe+eZQD+wKYdoQ0FAFv9OygS -EfrA5hWgjAUA9wAAQ//5ZgAAAPpgaB3iTAUA+gLiHaWNBQD6wIYdr5kFAOlkBSvQBIAAW/0tKxIQ -+sDmFaCMBQD3YABD//iGAAAA+uBoHaAdZQD8wIYd74xVAOxkBSnYBIAA/EgCHaONBQBb/R+OH/rA -5hWgjAUA98AAQ//3qgAuQtcvQiHTD34zCa7//mAJE+IAnQAuQtgoQiJ+MwmuiPhgCfuiAJ0ALkLU -KUIe0w9+MwmumfhgCtPiAJ0ALkLVKkIffjMJrqr6YAu7ogCdAC5C1itCINMPfjMJrrv6YAyT4gCd -AC5C2S1CI34zCa7d/GANe+IAnQAuQtovQiTTD34zCa7//mAOU+IAnQAuQtsoQiV+MwmuiPhgDzui -AJ0ALkLcKUIm0w9+MwmumfhgEBPiAJ0ALkLdKkIofjMJrqr6YBD7ogCdAC5C3itCKX4zCa67+mAR -4+IAnQAuQt8tQix+Mwmu3fxgEsviAJ0ALkLhL0Iq/n/nc6IAnQCu//5/5yLiAJ0A6nQACdgEgAD9 -/+IdoBi1APjAhh2gDQUAW/zTiRD6wOYVoIwFAPcgAEP/8t4A+mBoHe/89QD8AAId4AqFAOpkBCvQ -BIAAW/zHix76wOYVoIwFAPdgAEP/8ioAAAAA6nQACdgEgAD8AAId4AyVAPzAhh2gTAUAW/y7jR36 -wOYVoIwFAPegAEP/8WoAAAAA6nQACdgEgAD9/+IdoA6lAP7Ahh2gDQUAW/yvjxz6wOYVoIwFAPfg -AEP/8KoAAAAA6nQACdgEgAD9/+IdoAi1APjAhh2gDQUAW/yjiRv6wOYVoIwFAPcgAEP/7+oAAAAA -+mBoHe/89QD8AAId4ArFAOpkBCvQBIAAW/yXixr6wOYVoIwFAPdgAEP/7yoAAAAA6nQACdgEgAD8 -AaIdpw0FAPzAhh2v/PUAW/yLjRn6wOYVoIwFAPegAEP/7moAAAAA6nQACdgEgAD9/+IdoA7lAP7A -hh2nDQUAW/x/jxj6wOYVoIwFAPfgAEP/7aoAAAAA6nQACdgEgAD9/+IdoAj1APjAhh2nDQUAW/xz -iRf6wOYVoIwFAPcgAEP/7OoAAAAA+mBoHe/89QD6AgIdoI0FAOpkBCvQBIAAW/xnixb6wOYVoIwF -APdgAEP/7CoAAAAA6nQACdgEgAD8AiIdoQ0FAPzAhh2v/PUAW/xbjRH6wOYVoIwFAPegAEP/62oA -AAAA6nQACdgEgAD9/+IdoB4lAP7Ahh2gDQUAW/xPjxL6wOYVoIwFAPfgAEP/6qoAAAAA6nQACdgE -gAD9/+IdoBilAPjAhh2jjQUAW/xDiRP6wOYVoIwFAPcgAEP/6eoAKkIj9pQCHeKTBQDxR9AN4AUF -ABbi8CZihyJC4qZWCWYRpiKGJ4ZuLAoADwIA6yESI1GBAABbieGLK+NqCA1ABIAA+EFGFaAMBQBb -idwrIhDnaggNSASAAPhBphXgDAUAW4nW+koQFeAMBQDqbQctaASAAO0mEiVSwQAAW4nPKiYTK0Ij -sVUPAgB7U4YsQiTxh5AN4AUFABbizyZiiCJC4qZWCWYRpiKGJ4ZuwMDrIRIjUYEAAFuJwYsr42oI -DUAEgAD4QUYVoAwFAFuJvCsiEOdqCA1IBIAA+EGmFeAMBQBbibb6ShAV4AwFAOptBy1oBIAA7SYS -JVLBAABbia8qJhMrQiSxVQ8CAHtTiixCJfGHYA3gBQUAFuKvJmKJIkLiplYJZhGmIoYnhm7AwOsh -EiNRgQAAW4mhiyvjaggNQASAAPhBRhWgDAUAW4mcKyIQ52oIDUgEgAD4QaYV4AwFAFuJlvpKEBXg -DAUA6m0HLWgEgADtJhIlUsEAAFuJjyomEytCJbFVe1ONwCDRD40VHOLwKULzL0LyKEL04xYVKvAE -gAD57wAP8ApFAPnvAA+wC2UAW48q8iKoFe/dzgDAof3FyAWgC2UAW48lxyTRD2wQBBri1+KifClo -BIAAKKJ7K6J98kAAQXDDTQDponohE/0AAOLCAQWCoYAACJgMCLs2KaJ47S4IBIB5gAB+swf/T4YV -oAAaAMAgziYiooYrooEpooUNIgziwgEFgLGAACiiggmYOXgjCPNQxhWgAB4AAMAgyCzRDwAAAAD7 -IGgd7/7KAMCg/cV2BaALZQBbjwDRDwBsEAgc4rwW4rySFJMVKWCIKGCJLWCELmCFL2CGJGCHreev -d5QQmRGYEqR3qXf44ABDsApVAPYgZhXgC2UAW47vZHHtHOKu+CCIFaAKVQDyYABHsAtlAO8WBilo -BIAA6P8MCfAEgABbjuXqHBAg2FEAAPwIAh2gTQUAW2vlKmCEhBTkZhQtAJ4AAPAAsA2gDAUAAAAA -AAAAAPogqBXgRQUAW5Ar/OBoHeAMBQBbj2P1YEAGcNVNAA3MASpghSxmFaTE5GYWLQCeAADwALAN -oAoFAAAAAAAAAAD6IKgV4EUFAFuQG/zgaB3gDAUAW49T9WBABXC1TQALqgH1QAnG0AkFAClmSSpg -hv0n4BWvzQUADcwBLGYXpMTkZhgtAG4AAPAAmA2gCQUAAPogqBXgRQUAW5AH/OBoHeAMBQBbjz/1 -YEAE8KVNAAqZASpghylmGaSU5GYaLQCeAADwALANoAkFAAAAAAAAAAD6IKgV4EUFAFuP9/zgaB3g -DAUAW48v9WBABPClTQAKmQEqYIgpZhuklORmHC0AngAA8ACwDaAJBQAAAAAAAAAA+iCoFeBFBQBb -j+f84Ggd4AwFAFuPH/VgQATwpU0ACpkBKmCJKWYdpJTkZh4tAJ4AAIcW8ACwDaAKBQAAAAAAAPog -qBXgRQUAW4/X/OBoHeAMBQBbjw+HFvVgQAVwtU0AC6oBHOI96mYfKWgEgADkrwgJ8ASAAP4ghhXg -C2UA/u8AD/AKVQBbjnOCFNEPKmYXlBRbjWv7QAQA0AkVAOQSBCzICoAA+MkmFe/6zgAAAABsEBCT -HhXhxBfhyOLhqxlIBIAAmRQrcmYmUpAqUoksUogtUofoUo8tVkKAAOpqCA5mQoAA7GwIDu5CgACt -bS0WEvwiZhWvwwUA6hYULEZCgADoZggNgI4AAC5ymc7m+CHIFeACDgDAoFtrr6KpL5KA0w9n8Att -CAUokoBngAJj//MpcpnJnMChW2unoqkqkoDTD2egC20IBSuSgGewAmP/8ylymS9yZhzhqhvhqitW -pvq1BhXgCiUAKlatLFauqf8vVqer9PS1JhWgDQUA5FasJ/j9AADz4AQH8A4VAFtrWokeK3JmKZw/ -A5kB6VahJaFJgAAuUqAvUqIJ7gwP7gyx7Q7tOx7h6g0dEg7dNGbUci8KZA/fLP/gAQfwClUA7OHk -H/cCgADuFgcv/4KAAP4gphXgCwUAW44bW2t9E+GqLjLELzLAJDK/KDK+KTK9KjK8LDK6LTK5KzK7 -LVZ3rcwtMsEsVnisuytWeauqLDLDKlZ6qpkrMsIpVnupiCoyzChWfKhEKTLNJFZ9pP8oMs4vVn6v -7iQyzy5Wf67dLzLQLVaArcwuMtEsVoGsuy0y0itWgquqLDLTKlaDqpkrMtQpVoSpiCoy1ihWhahE -KTLXJFaGpP8vVocoMtqv7v6xBhWgBAUAJFZ2rt0tVomtzCxWiqy7K1aLq6oqVowKmQgpVo0JiAgo -Vo9biMEqFhBbiL8pUqIkUqMtUqD5L+AV744FAO6ZAQIAaYAAL1KhD90MDU02KFKeyI8JqBGomHjT -CPi0RhWgAB4AAMCQ6RYPJJbRgACMH2TDQCxWkC0y0SRSiSpShyhSiOtSjyomQoAA5MQIDVZCgADq -yQgMRkKAAOjICA3eQoAAq8ubGegWCC7uQoAA+CJIFaStHQBtqQUIAIYJAmH6IkgVpr0dAFtrGS0y -0tMP0w/pEggu7kKAAPgiaBWkrR0AbakFCACGCQJh+iJoFaa9HQBbaw4tMtPTDwndEfgiiBWkrR0A -bakFCACGBAJh+iKIFaa9HQBbawUtMtjTD+kSCS7uQoAA+MBoHaStHQBtqQUIAIYJAmH6wGgdpr0d -AFtq+yZSoCpSo5oa+s8ACzAEBQAE5BYBAgDbYFuPOATkFsChW2rulhAU4VsvEgr9wrAFoAuFAPoi -JhWgDRUA5P8IDXAEgAD+IWYV4ApVAFuNjMCw2bAqEhGMG6KiLCaBJiaCKyaDCeQWmRwBAgAoIoME -6jArGgJbatUI6jAtIojtFg0mgKmAAATqMPoiKBWhCyUAW2rOCOowjhwO5BYEigxbasPYoP4hqBWg -ClUA/cJ2BaALhQDvIogrJ0KAAAhELPQgBhWgDRUAW41uFuE0KTK5KlKg+rQoFeAMBQAsVqMsVqEL -qgzqVqAkivGAAC5R5cDTftAXJfrA8gBCHaAvBQD+IMYV4ABmAAAAAAAA8gACHa/FBQDyIMYVoAJl -AIQVKhIQGOEfixcoNqXoNqYkQDEAACg2qCg2qVv7MIsWimUCuwjrqigKWASAAFv6teagnG0QBIAA -W/oxLGLzZMBAKmL5LGL6JGLyHuEPK2L4HeEPL2L1rrsNuwErZvQrZvcL/wwEtAz1gABGMA4VAOxm -+if4/QAA9eAEB/ANBQBbamIvcmZk8JHAgCli6syY8ADkDaAIBQAAACNi7ipi8Cti66gz7GLxIZj9 -AAAFMwH6bwAP8A0FAONm7Cf4/QAA9eAEB/AOFQBbalDYMIkUmJBbiONbjq/RDypymWWr1P/vuA2g -DQUAAAApUqwrUqcJrBEMmQzumQEFgMGAAC5Sqy1SqA7tOX2TB/i1hhXgABoAwJD4IeYV7/PyAChy -mWWPZ/nATAWv/ZoAAAAl+sD6IggVoAsFAFv66f/78A2gAgUAAAAAwKX9waQFoAtlAFuNBf3ANAXv -7fIA/UgAFrALZQD9wXQFoAoFAFuM/hzgyvgh6BXgChUA+LIGFeALZQBbjPnHJNEPAAAAbBAGW2p9 -FuCwJmF/BqY3W2poHOC/G99hF+C/HeC/GOACFOCKCm83KIF+/egAF7AOFQD+g2YV4AUFAOWAH2az -0QAAGt/50w8PAgAvoX/ooYAvgGYAAOmhfSQMuYAAW2pQ+77iBeQMBQD7gAkzoD71APmAaB3gChUA -CZ0P/6ACBr/OBQAO3QEtRhkc36QpQhn7niYVoA8lAOzfQRyKegAAGeCeKJB8KpB9LMCACFg3Cog3 -KpB+KZB/DA1A+wBABDDsEQD5AEAEcAoVAPkAIBWgnAkA/U0ADHDMGQD57QAMcA01AP+tAAwwCUUA -DJg5Koz9Cpg4GuCHLkDDL6B8KaB+LKB9D183JaB/DP83Cf83Bf835UDCJ/gFAAAP7jcvQmn4oEAC -t+4BAP6YZh2nVQEA5UTCJ4QpgACMTopPpu8MOCwKLSwv8ICo2wZaCPtQEBWgEoUAAtksAo4sC6oI -Cv8IAvIsD+4I7pkIC+AEgAD4QABBcAtlAPxQABEwClUA4hYALHAEgABbjJXyhCYVoAIFANEPHOAC -6sMPfkgEgAD/+1wNoAolAAAAAOqzKX3IBIAA//sQDaAKNQAoQpyMTupCDyx71gAADLw2Cro2nE76 -geYVr/2qABzfjfuACLOiAJ0A2cD/+kgNoApFANKQ0Q8AAO+hgSToHoAAZPERKNB8KdB9KtB+CFg3 -CYg3KdB/LbCACog3+QBABHACJQD5ACAVoJ0BAPnNAAxw/REA+Y+QFeA9CQDyTQAMcA41AP/NAAxw -CkUA8phQFeDdGQANqDktwH3pWTcEW/UAAAuoOCVAwyrAfwgzN/uP0BXnMwEApjINmTcLmTcKmTfi -IIAkyAUAAAlVN/KYRh3nVQEA5l8ICW1CgAD/8BAV690dAPSYZh3gFYUABdgs6t82H/VCgAD6geYV -q+4dAAXpLK3joyKi/wX1LA+ZCOmICAvgBIAA+KAAQrALZQDqRg4qroKAAPQgBhXgClUAW4w99IQm -FeACBQDRDxzfuXrDCdnA//XoDaAKVQAZ3x3/9bwNoAoFANJQ0Q8AAABsEAwU30Mc4AAS3pUT366O -x4XGhsWIxCnAAuvBACDQQQAAK6UA6aQCIPiBAACY8JbxJfYC/+BmFaANNQAX3/QuIizmQqQmYIEA -AOjAAiDYwQAAKLQC/YAEFaAKFQDstQAgyEEAAPLABAXxZnEA+MAARPAFBQD7QgAK8AiFAOUkqSDg -gQAA+SAQFeAKdQDpJKgqgQqAAG2KDyvBByslVe67CHZj+QAAsKrHr+zfGh3wCoAALiYtLkKkGd/W -K8F/LyCpLCFV6e4BDUVCgADo7gIPgQqAAO5GpC5gCoAALCYt+6ANMOIAnQAvIkYtcnQLPznvJkYm -kdmAAPWgEuCSAJ0A9aAUARIAnQD1oBUJkgCdAPWgFhISAJ0A9aAXGpIAnQD1oBgjEgCdAPWgGUOS -AJ0A+GFgFaAKRQD7AAQA0AMVAPxgAQHQC2UA7N+zGfAEgABbi936SMgVoDj1AO7eqRD4wQAA/sAA -R/GTHQDqkzkKggqAAPnVyBXhM50A6uKrIYXxgAAqIivTDwOqLFuKyhffXihynw6IEfsABADQBfUA -4KsaCqgKgAAsQoT6RcgVr/j1AAhVAwxcAQy7AitGhAOqLFuKvC1ynw7dEQDRBACrGixCveneixDQ -wQAAqmoqoAAMXAEtkqsMuwIrRr0skq4pkq0N3Qn9gACGMDv1AOqZKA7ugoAA/G4ADv/KBQDrmQsG -6P0AAOrdAQ5mgoAA/EgGFePMHQDqmQEGYP0AAArMASwmQvhIhhXgAgUA0Q8v8AAu4q0JmQnqqgkM -zoKAAP1QABUzmR0A+SfgFeOqHQD/wwAPf88FAO+ZAQVQ/QAAD6oBKiZAKSZCCO4LD+4B/kiGFaAC -BQDRDwAAKyIu+kVoFaQMBQAMuzcrJi4LqjdbaR3q314dKASAACUmKymiQSUmLiV2cOV2ciSOKYAA -K6F/CZwJD8wR+7v0BaLMHQCsu6tbC6o2KiYrKiYuW2kOLiIuHN9P/EVoFeALZQDl6AwNeASAAPgg -BhWgCkUAW4tzKiIrW2kE6iIuLUgEgAApJitbaQEqJi4b3n8FrQwsIistdnMrsX8Fygz67iYVr/by -ACVCgyoKePRgAALwC2UA9KFAFeAc9QBbhxLtcnQtGASAAP5IyBXv93IAJUKDKgqYDwIA9GQAAvAL -pQD0oUAV4Bz1AFuHB+1ydC0YBIAA/kjIFe/2wgAlQoMqCrj0aAAC8AvlAPShQBXgHPUAW4b97XJ0 -LRgEgAD+SMgV7/YeACVCgyoK2PRsAALwGyUA9KFAFeAc9QBbhvPtcnQtGASAAP5IyBXv9XoAJUKD -Kgr49HAAAvAbZQD0oUAV4Bz1AFuG6O1ydC0YBIAA/kjIFe/01gAlQoMqGgz0dAAC8Bu1APShQBXg -HPUAW4be7XJ0LRgEgAD+SMgV7/QyACVCgyoaJA8CAPR4AALwCwUA9KFAFeAc9QBbhtPtcnQtGASA -AP5IyBXv84IAJUKDKho49HwAAvALVQD0oUAV4Bz1AFuGye1ydC0YBIAA/kjIFe/y3gAqco4Fqgkq -Jiv6RcYVr/lCAAAAbBAGGd3T4t7iGTgEgAAokhLmInQkAPmAACIKAN1g/sEIFaAKVQD9vbYFoDsF -AFuLAtEPACYiiisihfhQyBXvKMUA+MAAQz/6BQDqZgEFgLmAACsiiQu5OXljCfZRRhWgACIAAADA -YGRgY+YWASMFMYAA2mD8GoIdoAsFAFuEro0RGt4j/E6GFeFLBQBbdebqEgEtcASAANtw7DQACmgE -gADupggq8ASAAFvyHvlAaB3gCxUA6rk5DRAEgADpFgAlA2GAAMDwCb84Zf9P0Q8mIoArIoEoIn/p -In4jMD0AAOpmAQWFCYAACJgMCLs2KSJ8Km0B5JAVZVNRAAB6sw36UAYVr/2iAAAAAAAAAP/9aA2g -BgUA/buABaAKBQD8GoId4AtlAFuKxMck0Q8AihHrdAAJ4ASAAO1EAArwBIAAW/DjHd2D/iAIFeAL -BQDzQGgdoA4VAOzSEilIBIAA880ADLAKFQAPqzgC7Djs1hIl+vGAAMCACag4ZY6hY/9OAAAAAAAA -APsgaB3v/ZYAbBAMGd6CKyAMGN1uKpLbKZLS6IINJbSxAAAb3YfrsH0szkKAAKmpI50B4zyAJfwc -gABgAAIjnQPxAYAN4AIFANEPqbMJMxHzQABB//+2AAAAAAAAG95u0w/rsgkp0ASAAFtS3R3eauvS -CC1gBIAA7NbgKdAEgABbUtgf3mTr8gctcASAAO723ynQBIAAW1LSGN5fGd5eK4L3KobeKILy+3oA -Je/8BQAMuwHqtAAEANmAACyS9imS8wzJOXmzChzeU/ue5hXgABoAwKDipAAFComAAO3dbREMyYAA -Fd5N4lY9KdAEgABbbq7ipAAJ0ASAAFturgKuDLHq9cAQcBIAnQAf3dwY3kSfG/4ACB3gCcUAbZoC -CAJhFt5CF904KlUjKlU7KlVTG90gHN4/Et47+bx6BaAPBQD+poYd7/71AC5UNi5UZi5Ulv64xh2g -CTUAKVQ3KVRnKVSXKVTHKVTEmBzyIcYVoI0FAPwhRhXgBBUA9KyGHaANJQAtVJQU3f4S3ifqxfMk -Q0EAAPghJhWvmgEAC5kCKRYNKEKeix73ACYpUAUFAClCnSuyv4wbC50B+yAllmIAnQDsAAUOyASA -AAkCYQkCYYwcHt4YiB0a3heS0P5gCBXgGQUAmdOW1CfVDJrSmNX94AAXsAglAPnmAA+wOyUA/6Am -FeAKVQD/1WQVoA0FAFuKKcCy+pOmFeAKBQBlX4LipAAFA3mAANEPABnd+yqS7SuS7sfA6ZLrJVA9 -AADsqgEFjomAABzd9CzC7AycDAy7Nh3d8S3S6cjfLK0wfLMKHt3t/d2mFaAAGgDAoPNAaB2v+bYA -AAAAAAAA+gACHaALZQDt3e4e4ASAAFuKCmP+VAAAGN3hiInBIAgiNuo0AAlYBIAAW1JPGdzJ6pYL -LQBGAADHJNEPG93YKrL3K7LyAi0R/U8ADX/8BQDsqgEFgPmAABzd0S7C9izC8w7sOXyjCx7dzfve -5hWgAB4AAMCg5KQABQk5gABkoWof3LX5/oId4AIFAASSOOT2DCEBQYAA0Q8AAADAo/27kgWgOyUA -/7lyBaANFQBbieP/93QNoAoVAAAAF93DEt3DFt3GFN3EG93C6xYIIyghAAAqQi4mJn8soQImJoAl -JoHlJoImBXmAAFpFcB/dMS/yei5CKqr/Cf8Rr+4o4Af48BAV4PrFAAqIAf+7aAXniAEACYgCKOQH -nxSN4Irn7iaDINhBAAD9oAAWsA4VAP+mAA6wDBUA7RYFJVCBAABaTkSIGLF34iwwIzDBAADoaYFy -qMEAANowW23+66QACdAEgABbUgEZ3ZUPAgAPAgDqlgkp0ASAAFtt9v1AaB3gOyUA/bswBaAKVQBb -iawa3YuKqWWgZ8ck0Q8A+yBoHe/47gAZ3X8qku0rku7HwOmS6yVQPQAA7KoBBYRJgAAc3XgswuwM -nAwMuzYe3XUu4unJ4KrefrMMH91y//2mFaAAIgAAAMCg5KQADXTOAADAoP25FAWgC2UAW4mRY/6E -G91o67IKKdAEgABbUdgd3WQf3Wv9uuoFoDslAO3SCi1wBIAA//BmFaAKVQBbiYUf3WMv8oP//oId -oAIFAA/iOMgr0Q8A+yBoHe/+DgAAABXctyJS2+VS3CnQBIAAW1HAGN1X6oZJKdAEgABbUbgc3VPA -kCnGTS3CSSrGSi3GSw2rDPOvAA62ux0A+4DmFebdHQDtxkwp0ASAAFtRtB7dSOrmBi0AXgAAxyTR -DwAAABzdT+3iSSl4BIAA/8lIFaAKVQD0IAYV4GgFAPggJhWgOyUAW4lbH906HN1GLfJM/+loFaAK -VQD/4OgV4DslAFuJVMAg+7kSBaFLBQBbdE0Y3S8qhoL7uQoFoUsVAFt0SSwaAAysAvu5AgWhSxUA -W3RI/gfiHawMBQD6AMIdoAkFABjcCilGvSlGvClGvilGwylGwilGyClGyilGzylGzilG0ClG1ClG -1ilG4SlG4ClG5ilG6CxG2yxG3fycZhWgDxUAL0bMKkbRKkbnLkba/puGFa/99QD8l+YV4As1ACtG -xvyYphXgCwUA+pyGFeAbtQD6mkYV4B0FAC1G3h7bp5+NGt0SKkbV/piGFaAfpQAvRsAd3J/9uhgF -oBjlAChGuvyZZhWgGBUAKEbYLUbJ/bfSBeP/9QD+nEYV4A71AC5G6S3SwhndAilG19EPjhrTD//f -4BWgDxUA7hYKJygFAAAF9Tn539yIUgCdAPoAoh2gOyUA/CEoFaANBQBbiQX/7dgNoBoFAGwQBBXb -yChSFfEAsA3gAgUA0Q8AAAAAAPu4ZgWhSxUAW3P2FNzqHdzq/oRkFaACBQD5r4gV4Q8FAOrcKh1g -BIAA/4YADnDuIQD+TQAMsBMFAPmvhhXhSxUAW3PsI0biHNzdGNzXGdxoG9trHdzXIkboHtzULkbZ -/JtmFewCBQAiRt8iRuHynOYVr//1AC9Gw/6ZJhXgOvUAKkbeKkbgK0bIKUbN+JnmFaP59QD4nMYV -4Aj1APidphWgGxUA+puGFeAKBQAqRsEqRsAqRsIqRscqRsYqRswqRs4qRtMqRtIqRtQqRtgqRtoq -RuUqRuQqRur6nYYVoA9lAP6aphXgK+UA/p1mFeAS5QDyl8YVoAIVAPKaBhWgH6UA/piGFeAftQD+ -msYV4Ao1APqZRhWgCkUAW4i28qKmFaACBQDRDwAAbBAE9bfaBaH6xQAKCz8T3KIpQt8JWRQpNhwo -QuEIaBQoNh0kQuMEVBQkNh4S23giIt4CAkDycgYdoAIFANEPAGwQBPW5LAWgAgUAIkZFIkZEIkZD -IkZCI0JLI0V8I0V9I0V+8o/kHeMzHQAjRYAjRYEjRYIjRYPRDwAAAGwQBhXcihvcihTch/O5DAXg -BgUA+7jOBaAdBQDqFgAiOA8AAOJMCCpIBIAA6DQACVAEgAAc2wnTD23aIOuGYSRAwQAALIW0KYZR -6YZSJMjBAAAqhlPqhlQlUMEAAP247AWgCwUAK1Z/69xzE1BJAABbUN3r3G8TMAUAAPwgCBWgHQUA -4i0DIiAPAADjPQMjuA8AAOVdAyO4gQAA5VwgIZiBAADkTCAhEIEAAOokAApIBIAA7wIACcAEgAD8 -v/utIgCdABXbwPO4sgWgAwUA97i2BaMkBQDnXDwiq5sAABvbuShSQSuyjPrwKBWgedUACYgoqzvo -JoQt3kKAAOuqCAtYBIAAW4S/jBCkIuwpz3GYBQAAwCDRDwBsEAQU3EkqQnXztjYFoAkFAPp8AAU0 -CAUAbYoKDJsQ6yb7JMgFAABkoFFpoU4jQnYqQmBbZdRbhzvq2ygdKASAAFuHOP5nIAXQLQUAHNw4 -G9w4A35A0w/uyzkB5CiAAB/ayA+7Ano3BRja0Qi7Ans3EhnboflmAA3wADIAwCDRDwAb3Cz+YYAH -EAyFAB7bQNMPDrsC/mRAB1AJRQAf3CfTDw8CAO+7AgH4SIAAKEB9DwIA0w9/jwINuwJ/NwIJuwIM -uwIrJvwFpgwEYxAjJv0a3BsKOgIqJv4jJv8pLQSJkBrbTBvcGP24LAWvPfUADZkB65kCAWgTAAD5 -oAYV4DuFAFtzDerbQxtDAoAA5G8RC2cCgADsbAILdgKAAO/uAgtqAoAA7T0CC3wCgAAI/wIP3QIO -3QL9hgAOcDuVAFty/ihCXPagABMwMwUA0w/xApAN4AUFABjat9MPDwIACGYC3GDq2ysZ2ASAAFty -8ylCXLFV6VPqcZgFAADAMPpgaB2gCwUA/AACHaANBQBbUGWxM2k+5yMKANowW1BUsTNpO/UqIsEb -24kLqgL6WCYVoAIFANEPbBAEwCDRDwBsEAgW2+IS2m4oYiP7t8IFoAQFAPwAAh3gDBUA5IMkYyuj -AAAqFgEtFgUd29rsFgQlUVEAACoWAu0WAybxUQAALhYAF9srJ3KLI2Ldp0cJdxEHMwgnMgcncg4r -YiH66gAVoAwFAFuCHPpjphWgDAUA62IhI9HBAABbghf6Y8YVoAkFACl2JCl2JSdi2MHhLjQEp0eX -MCdQgPoAIh3gHQUA/gCiHe/89QD2wAAEderlAP0CgCZU5wEA+GSGHeAY9QD4ZCYdoAA+ACs0JP5k -Jh2l6uUAGNuxJDQiLlBQKTUcKzQtLDRwKTQgKTUdKTUeKTUgKTRFKTQqKTQrKTRmKTYfKjUZKTQ0 -LzQpKTQsKTQ1KTRDKTU0+G3mHeTuAQAI6AqIgC00bCs0be40IywAIoAAAAAZ24P4Y+YV4M8FAP5j -xB3gGAUA+GQEHaDKBQBbYyQrMR4KugL6Y8QdoARyAAAAAG9DCywiuB3abg3MAiwmuPqAaB2gCwUA -W2LDYAFx+bbgBaAPhQD+ZAQd4M4FAC41Hvhj5hWgygUAW2MRKTEeCpkC+GPEHeADQgAuUIiLEv3D -QAHQCoUA+gBCHaAbhQDs23caaASAAFuHbWABIis2H/pkBB2gAooALlCIjRH9w0AC0AyFAPoAQh2g -G4UA7NtsGmgEgABbh2FgAPQtNh/8ZAQdoAHSAAAAAI4UyO1bYrrqFgUtCeoAAMDwnxQuUIiIEP3D -YARQCSUA+gBCHaAbhQDs21waaASAAFuHUGAAsAAoNh/4ZAQd4AC+AAAAAAAAjBP8Y+YVoMoFAPpj -xB2gC4UA+mQEHeDKBQBbYt0tMR4K3QItNR7aMFtifQoKTSo1HFti1y4xHAruAi41HP5jpB2v7gEA -7jUfKdAEgABbYm7qFgUtBWoAACwxINpA+mRwFeXMAQBbYezqFgUtBKoAAPpgaB2gCxUAW2FR6hYF -LQQSAAADOgJbYEopMRx7nzB8nz1+l2/6gGgdoAslAFtiZi1iIyItIOVcASIgBQAA/J/qC+IAnQBg -AD4AAAAAAAAA+oBoHaAbBQBbYltj/9EAAPqAaB2gC4UAW2JXY//B+gBCHaAbhQDs2xwaaASAAFuH -D2P/q8DgnhUf2fAv8H17/weCFdEPxirRD1tgBoIV0Q9sEBQe2s4V2xIc2xAr4i0q4iwp4i4twX4o -UiEvwXyfEigWEp0RLMGALVIZnRScEC7i0i4WFquqLFIbLBYVqpkrUh36IoYV4AcFAPqj6BWgAgUA -6hYTJJ3BgAD4IKYV78YFAP+1+AWgDQUA/CBmFe/y9QD+IuYVoAsFABjaRR/aryiCh4oUJPLrqHjv -8hUsRkKAAKhEg0cpEhb/tVAFoA0FAOMyDieBWYAAHdnFLEEwnDOZMqnJL+IU6RYWJUj9AAAGmQF/ -0xL+YIYV4ABSAAAA/IYEHe//VgAAL0IanzSZNShQmOgWGyQDMYAAK1IaKkBsmh6bH1uIHvwjaBXg -DAUAW4dVAqoB/AAiHeAMBQAM3DkK2jkMqgLmvgEFASmAACwSFy0SD/4hyBWgCiUA/iNoFeALBQBb -hrnwADANr+ulAP5gxhWgCwUAZrK+iTUqEhWINg8CAOmICAVQ/QAABqoBKjYH71CZJED9AAAGiAEo -FgTvFhong0GAACtSHCpAbSoWDCsWDVuH+vwjSBXgDAUAW4cxAqwB/gAiHaANBQAN7TkM7DkNzALm -vwEGASmAACwSFy0SDf4hiBWgCiUA/iNIFeALBQBbhpXwADANr+ulAP5hBhXgCwUAZrIuijcpEhSM -OA8CAOrMCATI/QAABpkBKTYJ6FCaJmD9AAAGzAEsFhXoFhkkA0GAACtSHipAbioWCisWC1uH1vwj -KBXgDAUAW4cNAqoB/AAiHeAMBQAM3DkK2jkMqgLmvQEFASmAACwSFy0SC/4hSBWgCiUA/iMoFeAL -BQBbhnHwADANr+ulAPxhRhXgCwUAZrGeiTkuEhOIOg8CAOmICAdw/QAABu4BLjYL71CbJED9AAAG -iAEoFhTvFhgng0mAACtSICpAbyoWCCsWCVuHsvwjCBXgDAUAW4bpAq8B+AAiHeAIBQAImDkPnzkI -/wLmuQEHgSmAACwSFy0SCf4hCBWgCiUA/iMIFeALBQBbhk3wADANr+ulAPhhhhXgCwUAZrEOLjIL -jTwqEhKPEq7d7hIBJVD9AAAGqgEqNg0sUJvqFhwm6P0AAAbdAe0WEyYEOYAALFCcK1IiKkBwKhYG -KxYHLBYQW4eM/CIIFeAMBQBbhsMCrQH+ACId4A4FAA7+OQ39OQ7dAuoSHCaBeYAALBIXLRIH/iDI -FaAKJQD+IggV4AsFAFuGJ4o9/mHIFe/rpQD+IiYV4ABKAAa4ASgWEfhhxhWgCwUAjRCOEY8S/CBo -FaAANgCNEIwTiT4pFhGcPyhBOSg2EC81JClBMS41Jik1JayMnBOvn58SKEEyLTUoKDUnKUEzro6e -ESk1KSgSEa2dnRCoqOkSBSRA/QAABogB6BYSI7gFAAD4/+OlYgCdANKw0Q/RD2wQBhrY6/m0IAWg -CXUADwIA0w/TD22qB+mGwCRAEQAAG9oLK7I6FtoKF9oH8WE8DeADBQBgAHAAG9oFK7I6sTN7O2QU -2U8S2gEkQociIvmkNAlEEaQiJSEHJCETKiAM+kGwFeNVYQBbgNspIQcoIRIGmQH1JgAMsAsFAOkl -ByR9yYAA50wKCu4CgAANqgJtCBIuIRLqxsAl2AUAAO67mHZgEQAAY//mAMDw7xYAJYapgAAY2Vv4 -IEYVoACKABvZ4yuyOowQjRKxzOwWACboBQAAnRL7gAVi4gCdAIgQGdkojhIf2dopkocu4H0v8vmp -iAmIEfngAEewCgUA7xYBLwDOAABj/7yLEtMPDwIAK7B96zupedAEgADqEgElGAUAANMP6qAMKdgE -gABbhBUloQfkoRMtEASAACsgDftBkBWjVWEAW4CkKSEHKCESBpkB+IYADPALBQDpJQckfVmAAOdM -CgruAoAADaoCbQgSLiES6sbAJdgFAADuu4p2YBEAAGP/5h/Zso/14tmzF4OhgAAd2S/t0p8m4BMA -ACzChysiXK3M5NmqHmZCgACsuyOxB4RGKrAM+2GwFeMzYQBbgIUd2aON1fGjcA3gCwUA50wKCfYC -gAD/RgANN/31AG0IGnTTHB/ZmirGwI/15EwBJdgFAADvuwl2YBEAAGP/3gAAKCF+b4QB0Q8a2ZTA -lSmmv9EPAABsEAZb8YzmodptEASAAFvxFuahz20QBIAAE9mLFdiW0w8oMk6YUQ8CAFvwKOahtG0Q -BIAALTKw+7FWBa/+9QDTDw7dCfezBAWm3R0ALKLZCt0R0w/6digV5cwBAA3MAiym2Slid+um5SzO -AoAAK6KQCwtHC5kCKaaQW+755qFkbRAEgABb7djmoVltEASAABjYIy8yTQ8CAAj/CBjYUS+Gq1vt -qvOyDgXgAgUA/f6CHeCIBQD0AAIdoAc1ACkynixiUQ8CAPcgB5nSAJ0AKjKdDKsB/UAHLiIAnQD6 -AAIdoAwVAPwAAh3gCQUA+CAmFeAOBQD4IEYV4AgVAPggBhWgDwUAWkluJzad6dgLGQa+AAAe2Fcd -2U8t5i0rUnnHxwy7AStWeS2S0x7YfBrZShjZSvuw7AXv//UA+6YADrBMBQDtltMkU/8AAG3KESmi -f6ud/yAEPCIAnQAv1oC0qikKRm2aD+mCfyRAIQAAKoJ+q5kqloAa2ErTDyqggP1CwEFQDCUALVJ2 -DN0CLVZ2K1J+DLsCK1Z+KmKtxr/7QAQFcBsFAAuqAipmrVuGyR3YJSzSgsDhDswCLNaC0Q+wiP8A -IBXgChUA7685BEgFAADp0jgP91YAAGP/LwAAAPWwBhWv/fIA0Q8AAGwQBB3ZHCzSIMvLKMz/CMoB -6MAaflgEgABtCAywqemqAQ1YBIAAebACY//sD7sRHtkSL8wf+8+GHeX/HQD/z6Yd4AoFACrkfvm7 -yBWgigUACAA/W4PqCgE/0Q8AbBAiW/i65qSAbRAEgAAa2QQqrRUsotsrotototesuyyi1i6i1K3M -LaLTL6LSrt0uotEiotCv7i+izyOizqL/IqLNJKLMoyIjosIlosOkMySiwSeivyaixKVEJaLAKaK9 -KKK8plUmor6piCmiuadmJ6K6p5knorsqotipd6h3p2amVaVEpDOjIqL/E9jmr+6u3a3MLTKn/WAA -RbAJBQD+bogV78cFAPtAAEVwBYUA5NjcFVD9AADnqgEOsASAAOo2AyegcYAAKzDtZNQL8WGcDeMv -HQAlMXzdkPagABKwDwUA9SEACvACggAsMPMrMPQtMPAuMPEvMPIqMPWt6a+ZmhKbEZwQrJmrmRzY -aKqZ+CWGFeALZQD4IGYV4ApVAFuEpSoSLMyoxKDwAOgNoA8FAMCx+iVmFeALhQBbhfMuMO0tEizT -Dw8CAP+gAEawDAUAW4UnKBIr+WBAB7CITQD54AQHsEoFACgxfPiAMBXgDYUAD90MDSUo6pkCDEMC -gAAIVTYpRAEPKCj6AKIdq+WhAP2xTAWriKEA+CAGFaALZQBbhIQGMhTqJAAJWASAAFpQKisw7Ckx -e/yAMBWgDoUA+88ADrCOBQDkt5NsywKAAA0rKAm7NtawDswCLEQB/bEmBauCoQD6ICYVoA8FAPoA -oh2r66EA/iAGFeALZQD4IEYVoA8FAFuEa+pkAArYBIAAW/dx5qJzbRAEgABbYe0pMt/vMnQlaP0A -AAfdAe02ZiSAUYAADJ4RLjbiFdf7ZPc0LFF/JjIsKUKEGtcoiDMrQoIqosYJiAgpQoALqgkrMiYK -mQgJiAgqMuILZggIZggK0gjmIggGaCiAAAsiDAoiDPoAoh2gC2UA7NhqGWgEgABbhEjqHCApWASA -AFv13eah6G0QBIAAHNgRFtfiGNcLiRga2GAlMnQf19zomQgFU9EAAOkWCCK16YAAKPF/8QAN/pIA -nQAvQobulAAHgLGAAK+e/9/gFaCPTQAI7gEuRoWv7ihCiCtChC1Cgikw7u5CgCd4/QAAB/8BL0Z/ -r+6mmSmQgI8zLkaBrt0tRoOtuytGhy4yZquIr4gtMhotFjcoNmWo7p4yDZkoKRYur+77IFmwEgCd -ACk2KK3l/L/gFeD9TQAP3QHtNicsqASAAC8w7yQyGab/L/CArV4E+SiZGfsgWXASAJ0AKTYqLxYb -pO7/3+AVoIRNAAjuAe42KSzoBIAALxIbKDIaKjDu/6AARLAbhQAE3iwL7SzuNhskoP0AAAdEAaaq -CFgsKDYcKqCAC4ksroWqVaX/C/Usr92tmfigAELwClUA/LAAErALZQDlFgAsaASAAFuD8iQ2KyU2 -LCoyJisy4qRcLDbhrLv7b+AV74wFAAy7ASs2JauqW2D90Q+l7f2/4BXgxU0ADc0Bf9tmKDI/f49g -GNdMnhgtMO8O/gwI7jam3S3QgA7+DK5e6TIqJ3P9AAAOzgEF3SguNin9IE7S4gCdAK5e7TYqJ3P9 -AAAOzgEuNikoQAHApP2v5AWgKQUA+QYADHALZQDoRAEv6ASAAFuDy9EPAAAAAO0ypyK9OYAA6dQA -Brz5gAAoMO34JaYV49UdAO0WNSwGjgAAKDF8+gACHeAPBQD3AAAUMAoFAPlBAA0wBUoAAADm1AAG -tTmAAAb7Nws7FOsWKC3QBIAAWk9cLDDzKzD0LTDwLjDxLzDyKTD1reiviJkSmxGcEKyIC4gIHNdo -6YgIDRAEgAD4JEYVoApVAPggZhWgC2UAW4OjKTDtAlsM5jDsLegEgAD7IEjgEgCdACkWHyoSIvjA -AEbwDAUALBYh7a0IDfAEgADtFiAtKi4AAP8gaB3gCgUA+iRmFaAIFQD4JWYVr/j1APgkphWgFW4A -ACsw9Cww8y0w8C4w8S8w8iow9a3pr5maEpsRnBCsmauZHNdDqpn4JSYV4AtlAPggZhXgClUAW4N/ -KhIpzKbwANANoA8FAMCx+iVmFeALhQBbhM4uMO0tEinTD/+gAEawDAUAW4QDKBIr0w/5YEAHsIhN -AAj/ASwxfC5AAfwmqBXgC4UA/28ADfBIBQAI7gIL2ijuRAEuYwKAAAyqNhzXgyoWNv+jAAx76qEA -/WBoHeALZQD4IgYVq4ihAPggBhWgClUAW4NcKRI2ZJU8HtbFKTYqLjYprp4pEhAtEi3v1vMUgFGA -AC42LSk2LivydirydwuqDPomZhWjvR0AKxYqWk72LjDsKTF7/CZoFaANhQAK3Qzk5PpsywKAAC4S -Kg3uKAnuNi9AASgKgAj/Ai9EAcDw+iAmFaAJBQD+IeYVq7yhAP2utAWr7qEA+iBGFeAKVQD4IAYV -4AtlAFuDNokfyJkc1qApNiisXCw2Jy0yJ2TQqC0yKWTQoi0WNBzXUP5lSBWgClUA/iTGFaALZQBb -gykvMO8oMiQlMhmm/y/wgC4SJi0SNAX/KAjuDP/AJPLiAJ0ALzYqpd7/3+AVoIVNAAjuAe42KS/o -BIAAHNc9rt8vNiMtMicuMij+JkYVoApVAPwk5hXgC2UAW4MSLzDuJTIapv8v8IAuEjIF/yj/wCOS -4gCdACgSJy82KKWI+R/gFaCVTQAJiAEoNicqMi3IpCsyLlv0BS9ChuUSCCeAsYAAr1X0v+AV4I9N -AAhVASVGha9VKEJ/JVw/51UBBB7JgAAoQoMpQoIlRoHllQgEHrGAAClCiMiUKkKHZKPUKzIniDOV -MiwyZqWFJTZl5cUIBYPhgAApMilkkHEc1rgvMhkuMiopMO4oMhotMiimmSmQgAjdLA/uLC42Gy8w -767YqYim///wEBXgGYUACessCdosqP8J+SyvuwuqCAqZCO02HCzOgoAA+CSGFeALZQD4IAYV4ApV -AFuC0ygSJOg2LCKo/QAAB1UBJTYrqFUrMuIlNuEqMialu/tv4BXvjAUADLsBKzYlq6oqFjhbX9ov -MinuEjgn3zmAACUyGf//2yqiAJ0AJDDvpkQkQICeGCwyKgVNKC42Kf2AGlriAJ0ALTYqLxYYpej5 -H+AVoJVNAAmIASg2KSkyGigyKCwyKyow7gXeLP5jZhWgG4UAC+0spqrs1nsWKP0AACqggAmILAdV -ASg2HAuJLK6Pqv+vTwv0LA/dCA2ZCPiAAEJwClUA/JAAEjALZQDkFgAsaASAAFuCnSU2KyQ2LC0S -GP5lKBWgCkUA/a14BaALZQBbgpbRD8BgCWY29aAnLBIAnQDbYP/hmA2gDQUAKDKnZYjE/+RQDaAC -BQAoMqfpFgssSgYAAB7V9O2UAAyQBIAA7NarHKgEgAD5zwAPcApVAP4hxhWgC2UAW4KAL0KGyfCv -JfS/4BXgj00ACFUBJUaFr1UpMiQqMuIrMmaMMy1CiC5ChC9CguVCgCLA/QAAB4gBKEZ/qFUoMOwl -RoGl/y9Gg6/uLkaHJTImrt2dMq3MLDZlrLsrNuGrqio2I6qZ+S/gFe+KBQAKmQEpNiXpVQgMAFYA -ACkw7WSWZJUcKzD0LDDzLTDwLjDxLzDyKjD1remvmZoSmxGcEKyZq5kc1hWqmfghphXgC2UA+CBm -FeAKVQBbglIiMO4lMhoqMOymIiIggCoWEeUiKA0lngAA9kfgDeAPBQAiFi4oMO34I+YVoBOmAAAA -AAD6I6YV4AkVAPglZhXv+fUAKRYlW4OVLRIgLBIhW4LMLBIr0w8MvDcrEiUuEh0vEh8MuwErFiPt -EiMv0ASAAO0WHi9YBIAAW4OILRIgLBIhW4LALBIeLRIjLxIrKBIlrS0PvzcI/wGt/Q1dDCkxe+Rj -TmzLAoAAKhIoCtooCao21qArMnRksvAuQAEoCkAI7gIuRAEpEigoMXySE5wR/yMACvvqoQD9IwAN -sApVAP2sfgWrmaEA6RYELEMCgAD4oQAKu7uhAPogRhXrlaEA+CAGFeALZQBbgg9j9owV1XkW1Xhj -9oMAAAAA/6rsBa/rKgDA4AnuNvWgGjwSAJ0A/+wIDaANBQAvQoAlRn/14ABC//CGAChChCVGg/UA -AEL/8JIAJUaH+KAAQv/wkgAa1iEuNirtNikq4ASAAOusBC/oBIAAW175LTIq/mUoFa/tegAAABrW -GO42KCrgBIAA6RInL+gEgADpNiclWBEAAFte7mP7hgAa1g8vFhguNinrrAQq4ASAAFte6CQw7yUy -GS0yKqZE9JAQFa/ysgAs8nYq8ncNWzcLOxQrFhoMqgwqFhVaTYEsMPMrMPQtMPAuMPEqFhwvMPIq -MPWt6a+ZmhKbEZwQrJmrmRzVjfsgAESwC2UA+CXmFeAKVQDpFgMmKYEAAFuByC8SLy4w7CwSHCow -7foj5hWgC4UADLsM7bQABRMJgAD7wABEsAgFACgWF++ZCA3oBIAA6RYWL4FGAAD+IiYVoAsFAPoj -JhXgChUA+iVmFa/69QD6JKYVoAEGAAAAAAArFhL+IiYVoAwVAPwlZhWv/PUA7BYlL9AEgABbgv4t -EhYsEhdbgjYvEisuEiUtEhIPvzcP7gEuFhkqEh8oEhnoFhMu2ASAAFuC8y0SFiwSF1uCKykSGSgS -HC8SK6mIKRIlLhIRD783Cf8B+eAARDANhQAI3QwpMXsrEhPk4a9sywKAAC4SGg7eKAnuNigydGSB -bilAASoKQAqZAilEAS4WFCoSGigxfJsR66UoCuAEgADoEhwsWwKAAA+qKJgTC6o2KhYx+iKoFeuq -oQD6IAYVq6WhAPogRhWr7qEA+3QABfAKVQD6IIYV4AtlAFuBdSkSMWSRACk2Kh7U3SUWMC42Ka6e -LRIw6RIUJoBhgAAtNi4uNi2u3mSY3y42J/hlBhXv42YAAAAoMqdkjRQpQAErCoALmQL4gCYd7/Qi -AAAa1YgvFhjrrAQq4ASAAFteYi8SGP5lKBWv2IoA22D/ziwNoA0FAMDw//K8DaAMBQDAYAlmNvWg -EnwSAJ0A2mD/8rgNoA0FAAAuNicb1Xf9oGgdoAgFAOg2KCzoBIAAW15OHNUWJTIo/GToFe/TDgAA -AAAa1WvuNikqYASAAPwhKBXgCQUA6TYqJVgRAABbXkIc1QskMhktMioqMO8uMiklMiimqiqggPoj -ZhWv0uIAAP/fLA2gDQUAHtSe9CYGFe/8GgAsMqdkzpYoQAEpCoAJiAL4gCYdr/oqAAAAAAAAAP4A -Ah3gCgUA+iJmFa/5LgDA4AnuNvWgDrwSAJ0A//k0DaANBQCLHo0cLBILLzDt/iPmFeAIFQAoFisN -zAwMuwhbgm0vEh8uEhGNHa/u/6AARrAMBQBbgaIoEisiFi75YEAHsIhNAAj/AfPgDFqiAJ0AjRwi -Ei71oABG8OVNAOI2KCbr/QAADt0BLTYnLzDvLhIfJTIZpv8v8IAvFhvl/ygPAKYAAPHwkA3gDAUA -/EAARvABMgCfGSoSH4sejBv8QABG8A4VAC4WK50aDcwMrLtbgkkuEh+NHf+gAEawDAUAW4F/LhIr -jRqPGf9gQAYw7k0ADswB/4AF2uIAnQAvNiql3v/f4BWghU0ACO4B7jYpL+gEgAAc1LEvEhsoMhoq -MO7/oABEsBuFAAXeLAvtLO42GySo/QAAB1UBpqoIKCwoNhwqoIALiSyugqoiov8L8iwP3QgNmQj4 -QABBcApVAPxQABEwC2UA4hYALGgEgABbgM0lNisiNiyMG4sepSoKzAysu1vxydWgHdQxddsKLkAB -wvAP7gIuRAEY1C31H57S4AIFAMck0Q/aYP/p1A2gDQUArS0a1OMtNinsNiov6ASAAOusBCrgBIAA -W127JTIZLTIqKTDvLjIpIjIoppkpkID4I2YV7/zCAAAAAAAAAAD/8jANoA0FAIocKjYnGtTR7FQA -CWgEgADvNiglWBEAAFtdqSIyKC0yJysw7foj5hXv+bIAAAAAAGwQBtog+iBoHeA81QBbbbEY1MWJ -ECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW22nGNS8iRAign8Kkjvihn8tEASAANEP -AAAAbBAKHtS2i+OI5YnkjOIt4gEtFgEsFgIpFgQoFgXrFgMpUASAAO7iACooBIAA/iAGFaA71QBb -bubjpAAFAfGAAC+gAOnUpxeD2YAAJhwY9CEGFeAEBQDSEIUgBVoCW27q61QADTgEgADqNAAL4ASA -AFt8BcinuCJ2Kd3GKtEPo3wrwADCnOmxCn5QBIAAZb/kYAABscrpIgElfwmAACugANOg5JQCDf2G -AAAsCv98SSce1IyNGP+gAEawAgUAItSA0Q+VGPigAEfwBAUA9fAGHaACBQDRDwAAEtSCjxii//Xw -Bh2gAgUA0Q8AAABsEAbaIPogaB3gPNUAW21i6NRIHQCSAACCEKhI4oSALRAEgADRD9Kg0Q8AAGwQ -Btog+iBoHeA81QBbbVfp1G8dAKoAAIIQBEgJqYjihH4tEASAANEP0qDRDwAAAGwQBtog+iBoHeA8 -1QBbbUvp1GMdAKoAAIIQBEgJqYjihH0tEASAANEP0qDRDwAAAGwQBtog+iBoHeA81QBbbT/p1Fgd -AKoAAIIQBEgJqYjihIAtEASAANEP0qDRDwAAAGwQBtog+iBoHeA81QBbbTPp1E0dAKoAAIIQBEgJ -qYjihH0tEASAANEP0qDRDwAAAGwQBtog+iBoHeA81QBbbSfp06IdAKoAAIIQBEgJqYjihIAtEASA -ANEP0qDRDwAAAGwQCh7UOYvjiOWJ5IziLeIBLRYBLBYCKRYEKBYF6xYDKVAEgADu4gAqGASAAP4g -BhWgO9UAW25k5aQABQRpgAAvoABk8Ib2IwAV4AQFAPIhBhXgAU4AuCJ3IW2DIA8CAA8CANowW25m -6zQADTAEgADqVAALYASAAFt7gWWv16VsK8AAwpzpsSd+UASAAGW/xekiASUBqYAAK6AA1aDklAIF -gLmAAPIgaB2v/soAAAAA+4AgFa//cgAd1A2MGK3M9ZAGHaACBQDRD8Yq0Q8f1Af+gABH8A4FAP/w -Bh2gAgUA0Q8AAGwQCCYKACYWAOYWASlQBIAA9iBGFaBbtQBbbjLjpAAFDiGAAMCw/iIAFeBa1QD1 -4Ggd4Ai1AG2KHKO+LeAA6tEcfeAEgADk0C9l2AUAAO30ACf4BQAA/mFgFaAMtQDqHBAnGAUAAOXJ -CAjYBIAA9yAGHaAMBQBbbMj6YGgdoDvVAFtuGOOkAAUK4YAAihDAwA8CAOWgNWDoQQAAGdOa69Ma -GlZCgAAKmQgpnQPrAAUEygEAAAkCYQkCYQkCYQkCYQkCYQkCYQkCYQkCYfoFgh2gC7UA0w9tuhej -zivgAHqxF+SxA2ZgBQAA69QAJugFAAD+YWAVoAy1AOocECcYBQAA5c0IANgRAAD3oAYdoAwFAFts -oWag1hLTvdogW23/3KDrJAAJ0ASAAFt7HMyn8AB8DaAHBQAAEtO22iBbbffcoOskAAnQBIAAW3sU -ZaCUwHH6YGgdoCvFAFtt4vFIQA3gDAUA+CIAFeAOtQDTD23qFSugAOSwEWVQBQAAK5QA7MwBJMgF -AADAyyocEOXPCADYIQAA9+AGHaAMBQBbbH7tEgAtAloAAIsRGNOaDUkL7BICLM8CgACpiP0QZh3g -AkUA54SFLgEKgAD7CGQd4AkVAOKEgCzICoAA6YSELRAEgADRDwDGKtEPxqrSoNEP0qDRDwAAbBAM -H9OIi/SI9uLyCSlQBIAA5PIIKhgEgACF94n1jPON8o7xnhGdEpwTmRWVFyQWCCIWCSgWBisWBC/y -AP4gBhXgO9UAW22q5qQABQIxgAAooADAkOrSnRQFOYAACZQC4xYMIJChAADTEIUwDwIADwIABVoC -W22s61QADTgEgADqZAAL4ASAAFt6x8inuDNyOdfGKtEPpnwrwADC3O2xCn5QBIAAZb/kYAABscrp -MgElfwmAAC6gANag5JQCD31WAAAb0oKKHPtAAEVw//UAf0E+GdKAJKSA/oGgB9AKFQAskX8KzAIs -lX9+RyAtkX/A5A7dAv0v5B3gAgUA0Q8AAPpgAEewAgUAIvSA0Q/AINEPwCDzUAYdoAIFANEPAAAA -bBAG2iD6IGgd4DzVAFtsHRjTPokQIoJ/CpI74oZ/LRAEgADRDwAAAGwQBtog+iBoHeA81QBbbBMY -0zWJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2wJGNMsiRAign8Kkjvihn8tEASA -ANEPAAAAbBAG2iD6IGgd4DzVAFtr/xjTI4kQIoJ/CpI74oZ/LRAEgADRDwAAAGwQBtog+iBoHeA8 -1QBba/UY0xqJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2vrGNMRiRAign8Kkjvi -hn8tEASAANEPAAAAbBAG2iD6IGgd4DzVAFtr4RjTCIkQIoJ/CpI74oZ/LRAEgADRDwAAAGwQBtog -+iBoHeA81QBba9cY0v+JECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2vNGNL2iRAi -gn8Kkjvihn8tEASAANEPAAAAbBAG2iD6IGgd4DzVAFtrwxjS7YkQIoJ/CpI74oZ/LRAEgADRDwAA -AGwQBtog+iBoHeA81QBba7kY0uSJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2uv -6NLaHQCyAACJECKCgAkiKOKGfi0QBIAA0Q/SoNEPAABsEAbaIPogaB3gPNUAW2ujGNLPiRAign8K -kjvihn8tEASAANEPAAAAbBAG2iD6IGgd4DzVAFtrmRjSxokQIoJ/CpI74oZ/LRAEgADRDwAAAGwQ -Btog+iBoHeA81QBba4/moB9tEASAAOo0AApYBIAA/KBoHaB9BQD+IAgV4A4VAFgWFdEPAAAAbBAG -2iD6IGgd4DzVAFtrgeagH20QBIAA6jQAClgEgAD8oGgdoG31AP4gCBXgDhUAWBYH0Q8AAABsEAba -IPogaB3gPNUAW2tz5qAfbRAEgADqNAAKWASAAPygaB2gbeUA/iAIFeAOFQBYFfnRDwAAAGwQBtog -+iBoHeA81QBba2XmoB9tEASAAOo0AApYBIAA/KBoHaBt1QD+IAgV4A4VAFgV69EPAAAAbBAG2iD6 -IGgd4DzVAFtrV+agH20QBIAA6jQAClgEgAD8oGgdoG3FAP4gCBXgDhUAWBXd0Q8AAABsEAbaIPog -aB3gPNUAW2tJ5qAfbRAEgADqNAAKWASAAPygaB2gfSUA/iAIFeAOJQBYFc/RDwAAAGwQGBvSbPog -aB2gnAUAW3fa+kBoHaA71QBbbIvipAAFDXGAACigACMWJiUWJeQWJCQEOYAA9C/gFaAlxQD0giAV -oAkFAPgk5hXgAW4AuGb0wAvcIgCdACdiAAd6AltsiOt0AA0YBIAA6iQACeAEgABbeaNlr9aiNy1w -AOXRMXvwBIAAZd/G6WIBJwohgAAqEico4ADqmgIPEASAAOoWJyQA6YAA9iBoHa/+vgAAAAAA/uAg -Fa//SgDAsCsWJyoSJiwSJfokiBXgXYUA/iToFeAORQBYFZksEif5op4F4A4lAA8CAP+BoAfQDRUA -L5HjDv8CL5XjKBIn/wGgB1AKhQArkeMKuwIrleMsEif/gaAHEBMFAC+R4wP/Ai+V4ygSJ9MP0w// -AaAGkCIFACqR4wKqAiqV4ysSJ9MP0w95tw0skeTTDw8CAA3MAiyV5C8SJ9MP0w949w0okeXTDw8C -AA2IAiiV5SoSJ3enCCuR5Q67AiuV5RjSESoSJCwSJy+CfysagAvLAQuvOe+GfyZYNIAALJHmDcwC -LJXmLRInddcIL5HmDv8CL5XmKBIndIcIKpHmA6oCKpXmKxInc7cTLJHmAswC/TzEHaACBQDRD8Yq -0Q/AINEPAABsEAraIPogaB3gPNUAW2rF5qC9bRAEgAAb0B0rsID8IgAVoA8VAPoAAAPwDkUA9iEG -FeAJJQD5YAQD8A2FAPFgBLfQBiUAnxTxYAWnkgCdANrwnxQMrwqW8O62AQVQBQAA7rAOd/gRAACx -qu72ACf4EQAADbwBfbAH7fYAJVAFAABkoEyJEP8iQAfQDwUAixiIFMDx+wIAD/AAEgB+lwrw69AN -4AglAAj/An2XBWRgxw7/AnyXBWTAdg3/Atow60QACuAEgAD8C6Id4A4VAFgVJNEPAAAAAAD/YYAH -kAoFAGP/bgAAAAAA7rYBBfSwgADfwP/9zA2gCgUAAAAAAAAA7rYBBfUQgADa8P4igBXv/W4AAAAA -AAAA7bwBBfLUgAAvHBD//UgNoAoFAAAAAAAA+iIAFeAJNQAKmS4LmQqJkP8mAA///eIA7bwBBfEQ -gADa8P4igBXv/I4AAAAAAAAA+CIAFaALFQAKuy4IuwqLsP9mAA///NoA+CIAFaAOJQAK7i4I7gqO -4P/GAA///J4A++BoHa/7wgBsEAbaIPogaB3gPNUAW2pf5qAfbRAEgADqNAAKWASAAPygaB2gbYUA -/iAIFeAORQBYFOXRDwAAAGwQBtog+iBoHeA81QBbalHmoB9tEASAAOo0AApYBIAA/KBoHaBtZQD+ -IAgV4A4lAFgU19EPAAAAbBAG2iD6IGgd4DzVAFtqQ+agH20QBIAA6jQAClgEgAD8oGgdoG1FAP4g -CBXgDiUAWBTJ0Q8AAABsEAbaIPogaB3gPNUAW2o15qAfbRAEgADqNAAKWASAAPygaB2gbSUA/iAI -FeAOJQBYFLvRDwAAAGwQBMAg0Q8AbBAG2iD6IGgd4DzVAFtqJeagN20QBIAA6jQAClgEgAD8oGgd -oG0FAP4gCBXgDiUAWBSriBAa0KLxAPAN4EsFACmhfwuZAimlf9EP0Q8AAABsEAbaIPogaB3gPNUA -W2oR5qAfbRAEgADqNAAKWASAAPygaB2gXcUA/iAIFeAOFQBYFJfRDwAAAGwQBtog+iBoHeA81QBb -agPmoB9tEASAAOo0AApYBIAA/KBoHaBdRQD+IAgV4A4lAFgUidEPAAAAbBAG2iD6IGgd4DzVAFtp -9eagH20QBIAA6jQAClgEgAD8oGgdoF0FAP4gCBXgDhUAWBR70Q8AAABsEAbaIPogaB3gPNUAW2nn -5qAfbRAEgADqNAAKWASAAPygaB2gTQUA/iAIFeAORQBYFG3RDwAAAGwQBtog+iBoHeA81QBbadnm -oB9tEASAAOo0AApYBIAA/KBoHaAtxQD+IAgV4A5FAFgUX9EPAAAAbBAG2iD6IGgd4DzVAFtpy+ag -H20QBIAA6jQAClgEgAD8oGgdoC1FAP4gCBXgDiUAWBRR0Q8AAABsEAbaIPogaB3gPNUAW2m95qAf -bRAEgADqNAAKWASAAPygaB2gLRUA/iAIFeAOFQBYFEPRDwAAAGwQBtog+iBoHeA81QBbaa/moB9t -EASAAOo0AApYBIAA/KBoHaAtBQD+IAgV4A4VAFgUNdEPAAAAbBAG2iD6IGgd4DzVAFtpoeagH20Q -BIAA6jQAClgEgAD8oGgdoF3lAP4gCBXgDhUAWBQnGdB+KJB9wKEKiAIolH3RDwBsEAZoMQPGKtEP -2iD6IGgd4DzVAFtpjujP/R0AygAAiRCoSCKAfQkiNuKEfS0QBIAA0Q8A0qDRD2wQDBzQtcffnRSL -wYjDicIpFgIoFgMrFgHswgApUASAAPwgBhWgW7UAW2rO4qQABQrRgAAqoAAuCmB662wvCnp682bT -EPQiABXgN6UAhDDaQFtq0utEAA0wBIAA6iQAC2AEgABbd+3Ko7gzdTne8gACHaADBQD4ACId4AoF -APMiAA0wCAUACpg4zY9gAPuibCvAANrA92APXGIAnQBlv8hgAeIAAAAAAAAAwDD8AAIdoF3VAPok -ABXgBAUA9WBoHeAOtQDTD23qF6LOKuAAfaEX5KAvZmAFAADqtAAl2AUAAP5BYBWgDLUA6hwgJxAF -AADlzwgA2MEAAPXgBh2gDAUAW2lF+kBoHaA71QBbapXipAAFA7mAACugAPFhwA3gLfUACqwCbQgN -fbFiK8AB5LAIZmAFAABj/+sAiBRkgFDAwPgkABXgCrUAbaoVKiAA5KARYRAFAAAqlADszAEkyAUA -AMDLpcv6JAAVoAwFAOS0ACDY0QAAW2koCv5Q/AAiHeAMBQAO3DhlwKrGKtEPlBTAwPokABWgD7UA -bfoXos4r4AB9sRfksJtmYAUAAOukACVQBQAA/kFgFaAMtQDqHCAnEAUAAOXICADY0QAA9QAGHaAM -BQBbaRDxTIgN4Gr5AMDA+CQAFeAKtQBtqhUqIADkoBFhEAUAACqUAOzMASTIBQAAwMuly/okABWg -DAUA5LQAINhBAABbaQD6ACId4AwFAAa8OP+WEA3gmvkAwNAJvThk31TJM2g7VcHhfjE0wCDRDwD/ -/kANr+qlABjPIoIcqCIoIoCJFP4hqBXv+vUACpkDCYgBCP8C/lAGFeACBQDRDwAazwaLHIwUjR1b -aN3AINEPscrSoPJgKBXv96oAGs8CixyMFI0dW2jWwCDRDwAAAAD6ACIdoAkFAAapOGWfgmP+1AAA -AGwQCvpAaB2gO9UAW2oo46QABQh5gAD2IGgdoAUFAPIiABWgBwUA9AWCHaAKBQD+IgAVoAi1AG2K -HKOsK8AA5LEcfWgEgADksGJlUAUAAOvkACdwBQAA/GFgFaANtQDqHBAg2IEAAOLZCAYYBQAA9yAG -HeAMBQBbaLpmoC/qEggiqAUAAOpkACMwBQAA/LPAgVAKBQAcz+QrEAEtEAAtxHz7j6Yd4AIFANEP -AAD4YGgd4AoFAPoiABXgDrUA0w9t6hwukADdoOqsASzgBIAA5OAUZMgFAADutAAl2AUAAPxhYBWg -DbUA6hwQINiBAADi3wgGGAUAAPfgBh3gDAUAW2iYZqAg9L/7oJIAnQCxXf2fjAWgCkUA+gAiHeAO -JQBbe3rGKtEP0qDRDwAAAGwQBvpAaB2gO9UAW2nd46QABQeRgAD1n3QF4AYFAPIgaB2gBwUA9AWC -HaAItQD8IGgdoAoFAG2KHKOtK9AA5LEcfXAEgADksJZlUAUAAOvEACZgBQAA/GFgFeAOtQDqFAAG -mAUAAOLpCArYBIAA9yAGHeAMBQBbaG/xROQN4A4FANkQ/GBoHeAKtQDTD22qFCrQAMmm6pQAJ3AF -AADt3AEkyAUAAPxhYBXgDrUA49wBKNAEgADi7QgK2ASAAPegBh3gDAUAW2hbZqAc5VwEIzAFAAD4 -3/q6UAi1ANKg0Q8A//5UDa/qpQBoZO/dYP2fCgWgCiUA+gAiHeAORQBbezfGKtEPwCDRD2wQBvpA -aB2gO9UAW2mb46QABQfRgAAVz3ryIGgdoAYFAPYAAh3gJMUA8ABYDaAJtQC0VfbAIBWgCLUA+MAG -TCAJtQD+IGgdoAoFAG2aHKOsK8AA5LEcfWgEgADksKFlUAUAAOvkACdwBQAA/GFgFaANtQDqFAAK -2ASAAOLdCAYYBQAA96AGHeAMBQBbaCj/WfQN4A0FAAM8AvggaB3gDrUAbeoUKsAAyabqlAAm6AUA -AOzMASTIBQAA/GFgFaANtQDqFAAK2ASAAOLfCAYYBQAA9+AGHeAMBQBbaBRnr1HAi3hhI91g/Z6O -BaAKJQD6ACId4A61AFt698Yq0Q8AAAD//igNr+qlANKg0Q/AINEPbBAG+kBoHaA71QBbaVfjpAAF -B3GAAPWblgXgBgUA8iBoHaAHBQD0BYIdoAi1APwgaB2gCgUAbYoco60r0ADksRx9cASAAOSwlmVQ -BQAA68QAJmAFAAD8YWAV4A61AOoUAAaYBQAA4ukICtgEgAD3IAYd4AwFAFtn6fFE5A3gDgUA2RD8 -YGgd4Aq1ANMPbaoUKtAAyabqlAAncAUAAO3cASTIBQAA/GFgFeAOtQDj3AEo0ASAAOLtCArYBIAA -96AGHeAMBQBbZ9VmoBzlXAQjMAUAAPjf+rnQCLUA0qDRDwD//lQNr+qlAGhj791g/Z4EBaAKJQD6 -ACId4A41AFt6scYq0Q9sEAgfzv2L9Ij24vIHKVAEgACJ9YzzjfKO8Z4RLRYCLBYDKRYFIhYHKBYG -KxYEL/IA/iAGFeA71QBbaQrkpAAFAbGAAMJ84hQAAKiBAACDIA8CAA8CAAM6AltpEOs0AA0wBIAA -6kQAC2AEgABbdivIp7gidSnXxirRD6RsK8AA57EKflAEgABlv+ZgAAGxyujO2xV/GYAAiSHAIAqS -OfMQBh2gAgUA0Q9sEAz6QGgdoDvVAFto7OSkAAUIeYAA9iBoHaADBQD3nZwF4AIFAPQFgh3gCgUA -8ACwDaALtQAAjhzFp/9AB2qiAJ0A/uAHK6AKBQAuZQDjPAEjMAkAAPRgB2WQC7UALRwgbbocpKwr -wADlsRx9cASAAOSwMmVQBQAA69QAJugFAAD8gWAVoA61AOscMCDQgQAA6u0IBiAFAADzoAYdoAwF -AFtnc2evj/iAaB3gCgUA+iQAFeAOtQDTD23qHC2QAN6g6qwBLOAEgADk0BRkyAUAAO20ACXYBQAA -/IFgFaAOtQDrHDAg0IEAAOrvCAYgBQAA8+AGHaAMBQBbZ13xRogN4Aj1APh/+XwiAJ0AsT39nSYF -oApFAPoAIh3gHgUAW3o+xirRDwAAAAAAAAD6AIIdoAsVAOzOixnoBIAAW3o2xirRDwAAABrNt/gg -aB2gGQUAbZoP6YEAJVAJAADppb0kQAkAAMAg0Q/SoNEPbBAG2iD6IGgd4DzVAFtnPeagF20QBIAA -iBAbzYMICUfotH4kqCeAAGiTAdEPxirRDwAAAGwQBtog+iBoHeA81QBbZzAYzbuJECKCfwqSO+KG -fy0QBIAA0Q8AAABsEAgZzmYPAgAPAgCIkSgWAemSAClQBIAA+CAGFeA71QBbaHTjpAAFB4GAAMBQ -5xQAAJBBAAD2AAIdoCTFAPAAPA2gC7UAALFV9KAFuVALtQD8IgAVoAoFAG26HKOtK9AA5LEcfXAE -gADksI9lUAUAAOvEACZgBQAA/GFgFeAOtQDnWwoGmAUAAOLtCADQQQAA96AGHaAMBQBbZwP/WnQN -4A4FACkcEPxgaB3gD7UA0w9t+hQq0ADJpuqUACdwBQAA7dwBJMgFAAD8YWAV4A61AOdbCgaYBQAA -4ugIANBBAAD3AAYdoAwFAFtm7/9VdA3gBSUA0qDRDwAAAAD//nANr+qlABvNkokRjBAstIDptUUt -EASAANEPxirRD2wQBtog+iBoHeA81QBbZt/moBRtEASAAIkQG80lCQhH6bR/JBgbgADRD8Yq0Q8A -AGwQBtog+iBoHeA81QBbZtMYzhGJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2bJ -GM4IiRAign8Kkjvihn8tEASAANEPAAAAbBAIGc4CDwIAiJGYEemSAClQBIAA+CAGFeA71QBbaA7j -pAAFB4GAAMBQ5xQAAJBBAAD2AAIdoCTFAPAAPA2gC7UAALFV9KAFuVALtQD8IgAVoAoFAG26HKOt -K9AA5LEcfXAEgADksI9lUAUAAOvEACZgBQAA/GFgFeAOtQDnWwoGmAUAAOLtCADQQQAA96AGHaAM -BQBbZp3/WnQN4A4FACkcEPxgaB3gD7UA0w9t+hQq0ADJpuqUACdwBQAA7dwBJMgFAAD8YWAV4A61 -AOdbCgaYBQAA4ugIANBBAAD3AAYdoAwFAFtmif9VdA3gBSUA0qDRDwAAAAD//nANr+qlABvMzIkR -jBAstH3ptUQtEASAANEPxirRD2wQCPpAaB2gO9UAW2fN46QABQqJgAAXzHP3l8QFoAQFAPQFgh3g -CgUA+AFiHeAMBQD8IKYVoADaAAAZy9oEHxQH/wot8q7H7w6YAwjdAf1mAA7wCgUA7fauIiAFAAD0 -gAf7UAm1AIgVZYD13RBtmhyjrivgAOWxHH14BIAA5LC3ZVAFAADr1AAm6AUAAP5hYBWgD7UA2hDj -7AEg2EEAAPHgAEdwDQUA/cAGHeAMBQBbZlHSoOcgWGnwBIAA2RD+AAId4Ai1AG2KFCvgAMm265QA -J/gFAADu7AEkyAUAAP5hYBWgD7UAsePrHBAo0ASAAPHgAEbwCQUA+aAGHeAMBQBbZjzmoFptEASA -AMDhLhYFGszRjhQqon8OqygsOugMuyx7Yxvyn/iX0gCdABnNc/lgABW//CoAAP/94A2v4qUAH81v -/ZreBaALFQAK/yz8gGgd4ApFAFt5EfuXJAXv/x4A0Q/RDwAAAGwQDhvNZvogaB2gTIUAW3K++kBo -HaA71QBbZ2/ipAAFBAGAACigAA8CAA8CAGSAb/YpABWgBwUA8iBoHeAANgAAAAAAuDN2MVaEMNpA -W2dw60QADSgEgADqJAAK4ASAAFt0i2Wv3aJcKsAAwtztoTd+WASAAGWvy+kyASWBIYAALrAA0rDn -lwIPfX4AAC8aDH9wDBLNQ/ZP5hXgAgUA0Q/GKtEPAAAAAPuAIBXv/zIAbBAE+kBoHaA71QBbZ0fi -pAAFANmAABPNN9owW2dR3KDrNAAJUASAAFt0bciixirRDxjNMRrNMiKCfxnMcAoiAQkiAvMP5hWg -AgUA0Q8AAABsEBIbzSv6IGgdoGwFAFtyfvpAaB2gO9UAW2cv5KQABQOBgAAooAAPAgAPAgBkgJn2 -LAAVoAcFAPIgaB2gADYAAAAAALgidiFGgyDaMFtnMOs0AA0oBIAA6kQACuAEgABbdEtlr92kXCrA -AMLc7aFPflgEgABlr8vpIgElgKGAAC6wANSw55cCD31+AABgADvGKmYgMhrNBy0SGC+hfn38Bi2l -f9EPAAD/T+QVoAsVAP2aBAWgCkUAW3iexirRDwD7gCAV7/7SANEPwHAnFhj//vwNoAIFAABsEBAb -zPX6IGgdoGwFAFtySPpAaB2gO9UAW2b55KQABQPRgAAooAAPAgAPAgBkgIf2LAAVoAcFAPIgaB2g -ADYAAAAAALgidiFQgyDaMFtm+us0AA0oBIAA6kQACuAEgABbdBVlr92kXCrAAMLc7aE/flgEgABl -r8vpIgElgPGAAC6wANSw55cCD31+AAASzNP2T8Qd4AIFANEPGczQKJF++S/EHa/ipQDRDwAAAAAA -APuAIBXv/xIAG8zJwKD7b8QdoAIFANEPAGwQBi0gAOs0AApgBIAA+qBoHaA/1QD/pSYN4AYFAMU7 -c9Ef3iBtCBXk0EhjMAUAAC3gAe/RDHdwBQAAc9EEY//jAAAXzLabEvwgJhWgAwUA6hYAI6BBAAAl -cn/bIOxkAArQBIAAW3PiyKy4d+R56HGYIQAAxirRD9pQW2a9dqnpGstVGMynqjp4oejrEgIlQC8A -AIiFjBHtEgApUASAAAuAANKg0Q8AAGwQBtxA6iAAKugEgADyIGYVoAcFAP5gaB2gP9UA76EqeRgE -gADFK3KhIAM7Am0IFeSgSGO4BQAAKrAB76EMddgFAAByoQRj/+MAABbMjJ4S/CAmFaACBQDtFgAj -KUEAACRif9sw7HQAClAEgABbc7bIrLhm5WnocRAhAADGKtEP2kBbZpF3qekayykYzH2qKnih6OsS -AiVAKwAAKIIxjBHtEgAp0ASAAAuAANKg0Q8AbBAG3EDqIAAq6ASAAP5gaB2gP9UA4hYDKRgEgAD/ -RQYN4AIFAMVLdKEeAzsCbQgV5KCYYRAFAAAqsAHvoQp12AUAAHShAmP/4xXMYJ0SnBH3mMIFoAcF -AP4gBhWgADoAuGblYWZzuCEAACRif9sw7CQAClAEgABbc4hlr+LaQFtmZnKp2hrK/RjMVA8CAKp6 -eKE4ixBpsRcsEgEtOv99yQ4ey2ov4oAu4n8P7gguFgHrEgAlQCcAACiCJYwR7RICKdAEgAALgADS -oNEPxirRD2wQBtxA6iAAKugEgAD+YGgdoD/VAOIWAykYBIAA/0UmDeACBQDFS3ShHwM7Am0IFeSg -RGEQBQAAKrAB76ELddgFAAB0oQNj/+MAFcwxnhIWzC+cEfwgBhXgBwUAJGJ/2zDsJAAKUASAAFtz -V8ituGblaehzuCEAAMYq0Q8A2kBbZjJyqegayskYzCOqenih5+sSAiVAIwAAKII9jBHtEgAp0ASA -AAuAANKg0Q8AAAAAbBAEwCDRDwBsEAQjIAb0QPAVoAoVAFgEu/OUxAWv9bUA9UAHPGAGBQAazA8D -SQwmJoLkOx15xgKAAPsAAEQwGvUAbZkNKYJACpkC6YZAJEAHAAAsIsAdzAUNzAIsJsApIscbzAMa -zAMLmQEKmQL4WOYV4AQFAMChW2z7JEwBaUn0/ljoFaAEBQDAoVts97FEaUn1FMv5JCbKLwpO/kaG -FeAEBQDAoVts8LFEaUn1+EaIFaAEBQDAoVts67FEaUn1KRoA+EjmFeAEBQDAoVts5rFEaUn1+kjo -FaACBQDAoVts4rEiaSn1wKNYBIZ1oRz5l7wFoAIFANMPbTkNJoZAJoZ85oZ+JEAHAADRD8cl0Q9s -EAQTyiQZy9QIKBGpiCmCQSiCUSQyghXL1eVEAQkVgoAABCIC8nBGFaACFQDRDwBsEBgcyhiKJiYg -BiggB/gkRhWgCxUAKcKCC5kCKcaC+MAqaqIAnQAUy8AnEiIIbRGk3QZ3DG15Ii7SQSzSQw7oCXyL -Ew7uEXzrCP+PAAywAB4AAMCQKdZDLd0B+iMGFaADBQCmNdpQW//aZKDU4lsJCuYCgACkzC3CUS21 -HsCgKsZRKrUnKrUw6rU5IZgFAAB3Oc8Yy6svEhjAwCwWGfngBAewDhUA/iKGFeANBQAP7TgtFhUe -yewt4oIjEhkfy58rEiKmM+/dAQn9goAAD90C7eaCK1AEgABYAunAoP2XMgWgCwUAWAFwZqBW6xIi -K1AEgABYAuPAoP2U2gWgCwUAWAFpZqA7IxYTWAFIZKSlGspn/CJoFaALBQD6JGYV4AMFAPokJhWg -CQUA6RYgLmaCgAD1gAEGMAUFAPwj5hWgAI4AxyvRD7FVLhIjLRIgDwIA7uwBIZhBAADuFiMug94A -ACkSH+WWUiTwEQAA5eZSJOghAADl1lIk4DEAAOXGUiTYQQAA5bZSJNBRAADlplIkwGEAAOWGUiT4 -cQAAJfZS6xIiK1AEgABYArYsEiHH/+/MAwnQBIAA7BYhKdgEgABYATpmr3xYARllr3rAkfgkBhXv -/d4AAAAA4xIhL18CgAArFh4rFhL8ImgV4AkFAPgjphXgDwUA/iFmFeAOBQD+IuYVoAUFAPQjZhXg -DgUALhYaAtgJ6BYWLu4CgAD1oABGsAwFAPwiBhXgBQUA/aomFaAA3gAAAAAA9KAFYZIAnQArCgHr -FhsiqAUAAC4SHC0SHSwSGi7sEO4WHibIBQAA6RYdLgS2AAD6JEgV7//1AO8zAwtQBIAAWAKCKxIe -3DDrFhwt0ASAAFgBCGautFgA52WvpIobDwIADwIA9V/1HdIAnQAtEhsrEhaxrA3KOSuxHiwSF+oW -Cy3fwoAA/WAXQ6IAnQCxzCwWFy4SEC0SFisSIizmUezVJytQBIAAWAJo//2cDaAFBQApEh0rEhYq -EhfqtSckyAUAAB/LE43yjvGL9IjwjPOK9ZoVnBOYEJsUnhH8IEYV4A4FAJ4eLRITKxISiPboFgYs -5wKAAOy7CA7ugoAABN0KLRYfj/efF9Ow6xIiK1AEgABYAk3aMOzK/RnYBIAAWADUZq3m8iGmFeAM -hQD5lfAF4AsFAPoiJhXgCgUA6hYPKegEgADpFiEumASAAO0SHyjwBIAA0w9tyiIv0lIoCn/5/+1E -IgCdAIngCQlA6fkIB3ARAADp1lIm6BEAAOsSIitQBIAAWAIxLBIh8iGGFe/69QDqzAMJ2ASAAOwW -ISnQBIAAWACz7RIfLWsSAADyIGgd4AUFAIsw0w/1YAxgkgCdAOM8BCKoBQAA6VjoZugRAACNEPgh -ABWgDgUA/gAiHeAMBQD94gAOcAk1APwgKBXgzAEADwIAbZog+QAIFeAKBQAN/jjtggEkQCEAAAzr -AfniAA1wDgUAC6wBDf44jRx84Ar+IcYV4A4VAC4WDy4SEYkf/aIAFeAMhQDu7AEumASAAO4WEST4 -MYAAgx2PHgzoEagz6zQAB/WBgAArEiIpEhXpFggrUASAAFgB9yUSIceP6FUDCdAEgADrNAAK4ASA -AFgAe+UWJC1kGgAAJRIWKRIUDwIAJVEe5JBlYqvBAACTGiMSJCgSECsSIuWGUStQBIAAWAHlixr6 -ISYV7/n1AAkzA+w0AA3QBIAAWABpZqw5WABIZKCxLBIWLMEe78wRAqgFAAD1gAUD4gCdAI4ZjRjj -FiQncEEAAO4WCib9QYAA6xIiK1AEgABYAc8pEhYPAgAqkTkokSeqiAgYEioSEC8SGSimUeiVMCf4 -BQAALxYZ9//aRWIAnQDrEiIrUASAAFgBwcAg0Q8A7RYlKtAEgABYABDtEiUtc04AAMDgnjAr0lKw -vAvLOPuqRhXv+VYAACISFvxE5B2v8rUA0Q8TyT3/8JANoAsFACgSFvUHJB3v/cYAAGwQBOjKXxFB -Q4AAwGDlyKIZAQqAAP0AAQFQA0UAbToYKVKECSkBKlKJ6ioBAzARAADqmSJyqBEAABzIlyrCJfpA -BAUwCwUA+4SmFeeqAQDxQJAN4AIVAMAg0Q8A0Q8AAGwQBBvIjdewJrKIx48IZgP34AADMAIFAChy -hPpAgBWgBQUA+PEoFeAMRQDTD23KJQAgBAYNGe/XGnEQBQAAAFAE+J8AD3H4nQD+4AAH9+4BAH/p -H7hVJ3wE6au7bRAEgAAisiXy4AABMAMFAOO2JSEAQYAAwCDRD8Ah0Q9sEAQZyG7TDySWhCSWhSSW -hiSWhyKWgCOWgSiSg/uURAWgDAUA+gAiHePthQD7BgAMcA4VAPkwZhWgDwUAWjgW+AACHe/yVQAK -kjvRDwAAAGwQFCQgByUgBiIWGnRbORnKCAJaCeVMDArGAoAA+QAARHALBQBtyRspgkEppULrhkEl -UAkAAOulSiRABwAAK6VTK6VcCQpPmhAZyEjAwSuSggy7AiuWgvSgJWqgCgUAIhIAKhYPBU8MLxYX -GchAKJKCIxIPGsn0BTMI6ogBCdWCgADqiAIKWASAAOiWgirQBIAAWAE9wKD9k9oFoAsFAFv/xGak -oupUAApYBIAAWAE2wKD9kYAFoAsFAFv/vWakh9pQ4xYRKlgEgABYAS8oEhopEhEPAgAPAgAImAko -FhsogULAoCoWHRrJ0uPIshzOAoAADwIACpkI+COGFeAKBQD6IwYVoAYFAPkoJhWgBwUA6lQAClgE -gABYARvHn+kzAwtQBIAA62QACeAEgABb/6BmpBRb/4DsEhslIJGAACzBQg8CAA/MESzMEPOAH9Oi -AJ0AsSIuEh0vEhwtEhj2wgAVoAcFAOL2QSdIBQAA6RYdJvz5gAAnCgD4IYYV4AYFAOYWGSy3AoAA -6lQAClgEgABYAP3Hj+gzAwtQBIAA62QACeAEgABb/4Jmo5xb/2Lko2ZjuAUAACwSHCsSGy0SGSzC -QSuxXfbCABWgGgUA7LsMBugFAAD7QBt74gCdAO0WGSvCnAAAKRIbHsmdIpVdiOCK5IvjjOLt4gEg -+EEAAJ3xnPKb85r0mPCJ5Zn1iOaY9u7iByrQBIAA7vYHKlgEgABYANmIHCcSGah37MmNG58CgADq -NAAJ2ASAAFv/XWajByISHCIiT7Eilx0ax8j5kwoF4AsFACsWFvlRBhXgBgUA6lQAClgEgABYAMcZ -x8DaMP2QogWgCAUA6JaIKdgEgABb/0tmosHqVAAKWASAAFgAvh7HthzJbu3JdBnQBIAA7eaIKdgE -gABb/0Jmoppb/yHXoBnHrhzIP+jJbRnQBIAA6JaIKdgEgABb/zlmonlb/xn3QBSeYgCdALEiLBIW -LRIcsWnmlAABmEEAAOLWTyZ7iYAAHcgwnR4sEhzAgCjGTy8SEf4hqBWgCgUAKhYTGseXrp4uFhD5 -UQYVoAsFAOjJRB93AoAA6xYSJ3BBAADuFhQv/oKAAAj/Cv4iphXgD4UA6RIVIPBBAABt+iIvkkco -Cn/54A+UIgCdAIrgCgpA6voIB3ARAADqlkckyBEAAOpUAApYBIAAWACEgx4r+v8LMwMrEhTsNAAN -0ASAAFv/COMWDi0NogAAIxwQ9iKoFeAGBQCMMA8CAPWADBCSAJ0A53wEIzAFAADpaOdhmBEAACgc -EImA/gACHaAPFQD9ACgV4AwFAOn8OARAIQAA/AAABjAJNQBtmiD5AAgV4AoFAA3+OO2CASRAIQAA -DOsB+eIADXAOBQALrAErEhQqEhIN/jgM7QH+ImgVoAwVAP2NAA1wD4UA6hYSJdhBAADrFhQncAUA -AO4WEyV4QYAALxIc+qBoHaAGBQDi9k8qWASAAFgATScSECMSE6czJxIODDMR8mIAFe/49QDodwMJ -0ASAAOs0AAvgBIAAW/7NIxYe5hYfLQYqAADiZAAJsASAACMSHCMyQSM88CgSHNpQ44ZBKlgEgABY -ADjHn+l3AwtQBIAA62QAC+AEgABb/r1moIdb/pxkoLGwM2QwrOQvyWMwQQAAKRIbDwIADwIAKZFL -LBIbIsFdqSICEhItEhyKHysSFyLWQeLFVCVQBQAAKhYP+1/bPWIAnQDqVAAKWASAAFgAHcAg0Q8A -KRIcKJJB+R/gFaAHBQD5KCYVr/IyANpgW/5oZa55wKCaMClyR7CZ+OjmFe/5tgAAxyXRDywSHCkS -HSsSG+LGQSTIBQAA82ukHa/wXgAAAADpbAEhU/0AAPNNAAk/9f4AKxIbAwlP+WlkHe/9dgAAAGwQ -BOTIqRlGAoAA8kNyDe369QACOQwEiAhtmQ0pgnwKmQHphnwkQAcAAMChW2me4jkMCUYCgAD1AABE -MgoFANMPbZoNKYJ8CpkC6YZ8JEAHAAAqCgFbaZTAINEPbBAK5iAGKSAEgAD2QPAV4Ao1AFgBNccr -80AIlCIAnQDzjbQF4AoVAPXgAh3gDQUALTaCLTaIKDKCCogCKDaC9sAL+uIAnQAcyIadFSQWAgZ+ -DJ4WLzKChRUpEgIM/wEGVQjpWQgKrYKAAPXmAA/wBAUA/nBGFeAFBQCZESWUIYgR9QVGHaAKFQBY -ARfzQAT0IgCdACkyJAxKEfqmAA0/CwUAC5kBCpkC+GSGFeAKNQBYAQ1yoXfqZAAL2ASAAFv/uc1a -zUjA0C02gC02gRzIbyw2hBvIbys2hSw2his2hygygxrIZPoAIh3gDAUA+AAiHePthQD5BgAMcA4V -APhwZhWgDwUAWjZXZqAiKjIl4qcgciAFAAD4n/st0gCdAOkSASKoBQAA+L/6glAEBQDHJdEPixWN -FuzIShXYBQAAmxX9f/iFYgCdAI4W+CBIFaAPFQD+IGYV4AUVACUWBPjAAEQwCgUA+CAGFa8FBQBt -6UCEEqappJQrQCovQCGr/g4ORy5EM3lrHihAIClAKQ+EDIgU+y8ADPAPBQAJ+DmJE5gUBPk5mRNk -oK0MvDYN7TexqokUZJDVihPTD+QSAiUGcYAAp2oKGhKqSiygKiqgISsyJAzMEQysAgW7AQy7Ais2 -JPRkiBWgChUAWAC/81/59CIAnQDAwy4yJMDw9CAABbOEIQDl7gEEQ/0AAOj/NQXYCQAA7Ls0D/8C -gAAPvwIP7gIuNiQsMjotMjsNzAz7YEAVoMwBAAyqAwoKQKq6sqoqNjgpMkj9ZCBBUpkBAKm6+1/g -FaAAZgCNECzQKv2mcBXv/T4AAAAAAAAA2pAqNkjA4P5wRhWgCjUAWACb81/1dCIAnQDAINEPAAAA -AP2vAA0//P4AbBAOGMftIyAG5CAHKNAEgAD2QHAV4AsFAPxAqBXgDDUA84xoBaAJlQBtmg4pgkGZ -oOuGQSRABwAAtKoZx/EYx/HAoComI46DhYLmggEg+MEAAJbxlfKe84iAmPANWkHsqjYA2MEAAAuq -CoqgDfVQ/rgAErBqCQD80AATMIoBAP8QABQwqhEA6FUCDVXCgAAKZgL2pgAKsIgFAAhYAgyIEQmI -AvhCBhWgBgUAwKFbaMKxZmlp9fpCCBXgBgUAwKFbaL6xZmlp9RrGDxvGD/x9Ah3gDAUA/igAFeAO -FQBaNbzxUhgN4BwFAPxEZhWgBgUAwKFbaLEmbAFpafT8RGgV4AYFAMChW2issWZpafXAoVtoqhbH -vRjHqNMPDwIAiIAax7v5j0oF4AxFAPoAgh3j7YUA+wYADHAOFQD5IAYVoA8FAFo1o2agueQ7HnnO -AoAAG8eaA0oMC5kIbakNLJJhKZ0B84AE/tIAnQAubQSO4MeL6O4BA3gTAACe8MDQ/ERmFeAKFQBb -aIwTx54MXxED/wL+QgYV4AMFAMChW2iHsTNpOfX4QggVoAMFAMChW2iCsTNpOfUaxdMbxdT8fQId -4AwFAP4oABXgDhUAWjWBZqAz+47yBaAJhQDpJiMowASAAPIAAh2gCZUA0w/TD22aD+mCACRAEQAA -6aZBJVAHAADRD2V/Qscr0Q8AAABsEAQjIAckIAYYx2gZxbfkMwwKLgKAAPigAEE/5AUA0w9tOg0j -IkAEMwHjJkAhEAcAACiSwBrHcwqIAfk4BhWgAgUA0Q8AAABsEAQUxafCMCpCAg8CAAoKQsinaKEF -aKMCaaUX80bGDa/1tQBkIJFoITFoI19pJRhgAPQAsDNkMOrAoVtoSmP/xmQw38ChW2hHi0ILC0Lr -Ke9xm/0AAMAg0Q8AAPVABbqSAJ0AwMH8gCYVoAUFAMChW2g8sVVpWfX8gCgV4AUFAMChW2g4sVVp -WfVj/7tppVPA5P6AJhWgBQUAwKFbaDGxVWlZ9f6AKBXgBQUAwKFbaC2xVWlZ9WP/j8ChW//RdaFi -wFCVQcChW2gnsVVpWfX4gCgVoAUFAMChW2gisVVpWfVj/2TAoVv/xnWhNykKAviAJhXgBQUAwKFb -aBqxVWlZ9fqAKBWgBQUAwKFbaBaxVWlZ9WP/MgDAo1v/ufVf+iViAJ0AxyvRD8CjW/+1daHzwLP6 -gCYV4AUFAMChW2gJsVVpWfX8gCgVoAUFAMChW2gFsVVpWfVj/u8AAABsEAYWxVDoWRAKQ8KAAOmI -AgnPAoAACYgCGccSCCgCCYgC+MIGFaACBQDAoVtn9rEiaSn1+sIIFaACBQDAoVtn8rEiaSn1+4qI -BeAMBQD+ACIdo+2FAOrFPxj4BIAAWjTw+gACHe/ytQAKsjvRDwAAbBAKG8b9giWIs4myirGaEZkS -KBYD+2AIFeAMVQDrFgAhXByAACwWAwKNUQHdCo3Q+43mBaADNQD8IAAGct0dAOfdEQ5mQoAA/YYA -DnOLBQBYAaoUxSDAUCVGIytCOyu8+/NggAXwCjUA+kAABfAMJQD/aAAVsA2lAFv/xcioxyvRDwAA -AAAAAPoAYh2gCwUA/ABiHaANpQBb/71lr9/9jaIFofIpAOP/NgDIQQAACf8Ki8GKwojDmJOakpuR -jMCckC/yAAL9UA/dEf4BAAdwCjUA/dAAFzC/AQD/cAAVsP8RAO27Ag/9woAA/8YAD3AMFQD/ZgAN -sA2lAFv/pWWvffIAAh2gBvUAwKFbZ6OxInYp9cCIJ0I6KkJBeotA/0egB9CaAQCaGZkY/UBAFaAa -BQAMqjT6iCYVoAIFAMChW2eWsSJpKfX8iCgV4AIFAMChW2eRsSJpKfWLGYoYq6rAyHrDDyqs+/AA -MA2iqgEAAAAAAAAKGkLsQiAj6/EAAPoAYh2i6gEA+9gAFzK9AQD/YAAVsN0ZAO67Ag7vgoAA/WYA -DfDMiQD7gAAWMA2lAP1mAA2xDAUA/WYADbAMBQBb/3RlrrnAIMChW2dzIiwBdin0+gCiHaALBQD8 -AAIdoA0FAFv/a2WulsAgwKFbZ2siLAF2KfT0hoYV4AIFAMChW2dmsSJpKfX+hogV4AIFAMChW2dh -sSJpKfUSxnrymOYVoAIFAMChW2dcIiwBaSn0+JjoFaACBQDAoVtnV7EiaSn1wKFb/vzHm3mhOBXE -oSpSwQOqAvq4JhWgAgUAwKFbZ06xImkp9fq4KBXgAgUAwKFbZ0qxImkp9cDI/IRmFaACBQDRD8cl -0Q8AbBAYhiSHJoUlHcZdGsZcG8ZdiNOJ0ozRnBGZEpgTjdCdEFgBiwWaQfVAIUFSAJ0A+gACHaAL -BQAFzEdbZyzSoPuMpAWhCwUAWAGB+4ygBaALFQBYAX/7iPwFoAs1APx9Ah3gDDUA/gAiHaAPBQBa -NC5mo+j7jI4FoCsFAFgBdB/GJMDgKvIeK+oH+0AEBXH7BQALqgIq9h6I8Snq2wmIAZjxnvIu9gMa -xjv/4IYVoAt1AFgBZxvEZBnGOCuyyYKSjZSPk+iSASDwQQAAmOGf4+3mBCDgQQAA88BGFaK7MQAM -uwqJkOnmACsXwoAA67IAKVAEgABaPUTqxikdWASAAFgBUxvEqAd6QwuqCSqhMAeLUSsWJApqKOG7 -Cg2ngoAA67IALVeCgABaPTfoXxR9GASAAAoJQPgAIh2gAwUACYM4qjPqxhcZ2ASAAFgBQX83AbEz -6sYUGdgEgABYAT3qxhIZ2ASAAFgBOiMSJPuMIAWgCwUAWAE2HMYO/4wcBaALdQD6AKIdoAVlAAbM -LOzrB3roBIAACq0CLnpS/cDyDaXftQAN2wLA2P3g0g2k6BUA3bD9ANINoAuVANvQGsX/WAEkHMX+ -jcGOwu/CAyDYwQAAn7Oesp2xjMCcsKtL67IAKVAEgABaPQjvpwZ9WASAALGrGsXzWAEW/YvmBeC3 -cQDrOwkA4QEAAAy7Co7Wj9eK1YnUiNOYw5nEmsWfx57Gj9KO0S7GAS/GAi3SAJ3A67IAKVAEgABa -PPQWxATTDytiPCxiNxrF4ay7WAEBHMXgjcGOwu/CAyDZgQAAn7Oesp2xLMIALLYAC0sI67IAKVAE -gABaPOXANAOpN++XB3zQBIAAKpwBwH4HqzQaxdFYAPDrxdEZUASAAFo82wOpN++XBnzQBIAAsZoF -qzQaxctYAOjrxcoZUASAAFo809OgJmI7/sEgD9CWAQB/pwGxo8iTfz8BsTP7i4QFoBsFAAO7NFgA -28Az68W/GVAEgABaPMYGqwMLC0CrqxrFvFgA1fuLdgWiCwUAWADSHMW5jcGOwu/CAyDZwQAAn7Mu -tgIttgEswgAstgCrS+uyAClQBIAAWjy1A6k375cGfNAEgACxmgWrNBrFrFgAwuvFqxlQBIAAWjyt -KQoKCak375cHfNAEgAAqnAHDvgurNBrFpFgAuPuLSAWgSwUAWAC1+4tEBaALJQBYALPAZevFoBlQ -BIAAWjydBqk375cGfNAEgACxmgejNOrFmhnYBIAAWACp6sWYGdgEgABYAKYcxZaNwe7CAiDZ/QAA -78IDJdgFAACfs56ynbGMwJywC0sI67IAKVAEgABaPInAkwmpN++XBnzQBIAAsZoFqzQaxYhYAJXr -xXcZUASAAFo8gMCcCak375cGfNAEgACxmgerNBrFgFgAjOvFfxlQBIAAWjx376cGfVgEgACxqxrF -e1gAhfuK9gWiCwUAWACCGsV5G8V5WACAwCDRD2iiCGijD2P72tEPAMCg/+9IDaALFQDAof/vIA2g -CxUAbBAEFcQApSUoUoAp+v8JOQMJiAEISAL4sAYVoAIFAMChW2YesSJpKfX4sAgVoAIFAMChW2Ya -sSJpKfXRDwAAAGwQBCsiBBrFXlo8UhTFFPNAaB3g1gUA9oNGFaACBQDAoVtmDiIsAWkp9PiDSBWg -AgUAwKFbZgmxImkp9cChW2YHGsUf+gCCHeAMRQBb/9wpKtH4g0YV4AIFAMChW2X/IiwBaSn0+oNI -FaACBQDAoVtl+7EiaSn18gACHaBVBQDAoVtl9iIsAXUp9CsK1/qDRhXgAgUAwKFbZfEiLAFpKfT8 -g0gVoAIFAMChW2XssSJpKfXAIMChW2XpIiwBaSX0LQrV/INGFeACBQDAoVtl4yIsAWkp9P6DSBWg -AgUAwKFbZd+xImkp9cChW2Xc9oNGFaACBQDAoVtl2bEiaSn1/oNIFeACBQDAoVtl1LEiaSn1wKFb -ZdIiCtHyg0YVoAIFAMChW2XOsSJpKfX4g0gVoAIFAMChW2XJsSJpKfX0DIId4AIFAMChW2XFsSJ1 -KfUaxQ36GEId4IwlAFv/mWQwDCIKAMChW2W9sSJzKfXzhhYF4AIFAPAAOA2gNSUAwKpbZbexInUh -EYlGf5fwfJftKTISfpfnwCDRD8cl0Q9sEAQUw4kEJAjykAYV4AIFAMChW2WrsSJpKfX4kAgVoAIF -AMChW2WmsSJpKfXRDwBsEAYTw5YUwvDjMk8h49sAACzCVh3DRPxAphWiMx0A8kCGFeTMAQANzAqM -wJwmGsTjGcTj+JkoFaALlQArJAeJkPggBhXiiAkAKCQDAYgIKIAA+EDGHaALNQBb/93AoVtljPuJ -sAWgGwUAW//Z6sTRGdgEgABaO8QbxNQLOyzqxNMV2AkAAFv/0vWE/AXgAgUAwKFbZX+xInUp9SwK -AfyQBhWgAgUAwKFbZXkiLAFpKfT8kAgV4AIFAMChW2V1sSJpKfX0DIId4AIFAMChW2VwsSJ1KfXA -YNJgJkaAwKFbZWyxImkp9f6QCBWgAgUAwKFbZWexImkp9fWJbAXgAgUAwKFbZWOxInUp9fuJZAWh -CwUA/CACHaPthQD+ACIdoA8FAFoyYfFO+A3gAgUAJTrowKFbZVexInUp9cDy/pgGFeACBQDAoVtl -UrEiaSn1+JgIFaACBQDAoVtlTSIsAWkp9MAgwKFbZUoiLAFpJfQpCgP4mAYV4AIFAMChW2VFIiwB -aSn0+pgIFaACBQDAoVtlQLEiaSn1wCDAoVtlPbEiaSn1HcQ7j9Ei6tsC/wGf0ZbSJtYDltSM1fp9 -Ah3uzpUA/4AEBjEjHQDs1gUpUASAAFo7bX+nAbGq+4kABa+6AQBb/3vrxG8ZUASAAFo7Z3+nAbGq -+4j0Ba+6AQBb/3X7iPAFoMuFAFv/cvuI7AWh+0UAW/9vwCDRD8cl0Q8AAAAAbBAEHcJ5G8J5DS0I -/6BoHeACFQAqsnZ/pxUssnD3gAAWMAkFAO3LW374BIAADN8M/0TAB5AOJQAssnEMzBB/y0zs/wwF -dGKAAMCh/YTSBaALBQBbb5zGKtEPfafrKLJyCAhfDIgQf4PfnjCfQP5gCBWgClUA/YS+BaALBQBb -b5HAINEPmTD8gAYV7/+OAJIw/oAGFe//ZgBsEAQcwlH8Q+AV7+4FAA7dASrCgujCfSHYfQAADrsB -/U8ADXC7TQDqsgEEAIGAAC/CgS7Cfg/+OX4rEMAgwKD9hIYFoAtlAFtvedEPIsaCerj3Y//nAAAA -bBAEGcQ15kwRCdlCgADsuwIK1sKAAAp6AgkpCwuqAiqWQCgcIIiAAGoRCogCKJZB0Q8AAGwQBltq -9/OF1AWgCQUA9YOUBeADFQDkw30dcASAAPYBoh3gBrUA+rAGHaAIhQBtihIAkAQOChvvpwd02AUA -ACsmf7GZ88AIB9IAnQDAMPPAC3eSAJ0A88AO/1IAnQDzwBJ/EgCdAPPAFe7SAJ0A88AYPpIAnQDz -wBqmUgCdAHjnVSoaMP2DkgWgC7UAW2r26kXQLWAEgAD6YAAEt7oBAPdhRg3gDuUAfrECab4OL0AB -KAoIDwIACP8CL0QB9yDmDaOsAQBpqgwrQAHBwNMPDLsCK0QBLlCAHMP0LSJ/IyaAKVCBK1CCKlCD -KFCE4LsRDMoCgADrmQINVgKAAAqIAgmIApgQKlCFL1CGK1CHKVCI4P8RDVICgADvqgIN3gKAAAuZ -AgqZAukWASn4BIAA+LIwFaALBQD4IEYVoApFAFtvGNEPAAAAAAAqCnD9gy4FoAvFAFtqxRzBlP1A -aB3gGyUA/JkkHeCKRQBbar8qVIErQckjVJHzQGgd4AgFAPixJh2nqwEA90GGDeczAQDAnnmhAmmu -DipAASwKCA8CAAyqAipEAf6wEBWjqwEA90ATDCIAnQD1QBLNEgCdAGP+jgAqCpD9gvIFoBsFAFtq -pxzBdv1AaB3gG2UA/JlEHeCqRQBbaqEqVIIrQcrysUYd4A8lAP6yJh3n6gEA/mAAQberAQB3oQfA -jnihAmmuCilAAcCoCpkCKUQBCwpD90AQFCIAnQD1QA/VEgCdAP6wEBWv+J4AAAAAAAAAKgqw/YK2 -BaAbRQBbaogcwVj9QGgd4BulAPyZZB3gykUAW2qDKlSDK0HL8rFmHef6AQD+YABB8A41AP6yJh2n -qwEAd6EHwI54oQJprgopQAHAqAqZAilEAQsKQ/dADMwiAJ0A9UAMjRIAnQAuUIDTD/Hf7c8SAJ0A -KgrQ/YJ6BaAbhQBbamocwTr9QGgd4BvlAPyZhB3g6kUAW2plKlSEK0HM8rGGHeAPRQD+siYd5+oB -AP5gAEG3qwEAd6EHwI54oQJprgopQAHAqAqZAilEAQsKQ/dACYwiAJ0A9UAJTRIAnQAuUIDx3+pe -0gCdACoK8P2CPgWgG8UAW2pN+pmkHae6AQDnsQx9YASAAMDefbECab4KLkABwPgP7gIuRAEKCEP3 -AAeUIgCdAAwJQ/UgBz0SAJ0ALlCA8d/oDpIAnQAqGgj9ghYFoAsVAFtqOPqZxB2nugEA57EMfWAE -gADA3n2xAmm+DS5AAcD4DwIAD+4CLkQBCghD9wAFbCIAnQAMCUP1IAUVEgCdAC5QgPHf5aZSAJ0A -Khoc/YHqBaALZQBbaiP6meQdp7oBAOexDH1gBIAAwN59sQJpvgouQAHA+A/uAi5EAQoIQ3aBawwJ -Q2iaZS5QgGP8bCpAAcGwC6oC+oAmHa/2fgAsQAHB0A3MAvyAJh2v9/oALkABwfAP7gL+gCYdr/me -AChAAcGQCYgC+IAmHa/7PgAqQAHBsAuqAvqAJh2v/EYALEABwdANzAL8gCYdr/1aAC5AAcHwD+4C -/oAmHa/+OgAAAABsEAoZwKzAIOccASiYBIAA6pCAILAJAAD1gnYF4AQFAAAgBAoIG3+HUPpAaB2g -CwUAW2ksmhjrNAAL4ASAAPzAaB3gDgUAW2kTKzAAihgtMAEsMQEFqgvo3RAN2QKAAO27Ag5NAoAA -CUkCC5kCKabAGcCRscysRCqQgLQz5mwEIRAFAADpKJhjuBEAAPNABm/f8jUA80AIF5IAnQDzQAmv -UgCdAPNAC1cSAJ0A80AM7tIAnQDzQA6WkgCdAPNAEC5SAJ0A80AR1hIAnQAawZgqon/xSEAN4AcF -ABbBlfAhJhXgAwUALGB98YVADeACFQDqdAAJWASAAFto+tigjhkp4AAq4AEu4QEFiAvoqhAMyQKA -AOqZAg99AoAAD08CCf8CL4bALWB9LuwB7kQIAZgFAADtM7pxEAUAABrBfCqif4sZ5mwBJdgRAADr -FgkjuAUAAPrx9g2gAwUA0Q8AKgp8/B/iHaAbRQBbaZ7twq8VY/0AAO6vEQ5ngoAACvw4LtKQGcBM -0w8C7gEqkIAOzAIs1pDxX/g3kgCdACoKnPwf4h2gG4UAW2mP7sFoFWv9AADuqBEO74KAAAqNOC/i -kBnAPQL/ASqQgA/dAi3mkPFf9p9SAJ0AKgq8/B/iHaAbxQBbaYDvwpIVc/0AAO6pEQ93goAACp44 -KPKQGcAu0w8CiAEqkIAI7gIu9pDxX/T3EgCdACoK4Pwf4h2gCwUAW2lx6MKEFXv9AADurBEP/4KA -AArPOCuCkBnAHwK7ASqQgAv/Ai+GkPFf817SAJ0AKhoA/B/iHaALRQBbaWLrwnYVQ/0AAO6tEQxH -goAACtg4LLKQGcAQ0w8CzAEqkIAMiAIotpDxX/G2kgCdACoaFPwf4h2gC5UAW2lT7MJoFVv9AADu -rhEN34KAAArrOC3CkBnAAQLdASqQgA27AivGkPFf8B5SAJ0AKhoo/B/iHaAL5QBbaUTtwloVY/0A -AO6rEQ5ngoAACrw4LtKQGr/y0w8C7gEqoIAOzAIs1pDxX+52EgCdACoaPPwf4h2gGzUAW2k17sJM -FWv9AADuqBEO74KAAAqNOC/ikAL/AQ/dAv3SBhXv9nIAbBAEGMBcFcAEE8BF+Q+wFaAGFQD5hH4F -7/f1APoBoh3gEhUA8wALL9AEBQD1I+YVoBp1APUjxhWgHDUA9TAGHaABGgAAAAAAAGvWAmvUFGjY -EWjZDmjaPn0iAnvaBX2iGnzSFy5ShABBBABtGgDdEQffAw/uAQ7dAi1WhLFE6EsqYZgJAAAtMZDT -Dw09RGjR0mvUtGrSsdpAW2jQ+gLiHaAL1QD//1ANoBw1APOAOgWgAwUA9gKCHeAmdQDwALwNoBQF -AAAKOkRoolBoqnl3oQJpoQr6YGgdoAsVAFtoj+M8ASIj/QAA5ECGYRAJAAAqIZB2qc8YwB8ogloZ -wFAI6FEBiBEJiAIpUpYcwgIMmQEJiAL4ssYVr/8iAADaMFtoqGWvui8hkB3B+v2wEBWi/wEA++AE -ANAOFQAA7hoOzAL9sAYdr/5uANowW2idZa+OKiGQG8HxCgpCq6srsIALC0RbaHodwevAz/2wBh2v -/coAW2l1W2lrH8HmKvYeK/YfLlKH/rDmFaACBQDRD8Ag0Q8AAABsEAYawJcbwEQcv+L4AAIdoBkF -ANMPbZoVC4kCKcb56cL5JVAJAACxiAkJTSmlvRbAbyZhwhjAbOPAJRs1woAAqGb7g6IFoAsVAPwA -Ih2gDaUA+EACHaAOBQD4Z0YVoA8FAFovbRm/3iiQfuLByBsgBIAA94OOBeALFQD7aQAMMAUFAOiU -fi0UigAAlhCLQopBKzY7iUAqNjwpNj0awbv6ACId4AwVAP64ABMwDaUA9sYADHAOBQD4Z0YVoA8F -AFovVmaiUvuDYAWgCxUA/AFCHeAOBQDyxgAOMA8FAPxnRhWgDBUAWi9M5qIsYqgFAADpXpliIDEA -AI0Q94NMBeAFBQDiwaUW6BsAAO0WAC0QUgAADdQCi0KKQSs2O4lAKjY8KTY9GsGZ+gAiHeAMFQD+ -uAATMA2lAPLGAAwwDgUA+GdGFaAPBQBaLzRmocr7gxwFoAsVAPwBQh3gDgUA9sYADnAPBQD8Z0YV -oAwVAFovKuahpGKoBQAA6V6ZYiAxAACNEMBQ5r9zFugbAADtFgAtDEIAANTQjUSMQy02OytCAiw2 -PCpCASs2PSlCACo2Pik2PxrBdfoAIh3gDBUA/rgAFDANpQD3BgAMMA4FAPhnRhWgDwUAWi8Q5qE4 -YqgFAADkTBQq9sgAAIQQ93/ABaAFBQAtTQqN1CxNCozDLTY7K00Ki7IsNjwqTQqKoSs2PSlNComQ -KjY+KTY/GsFb+gAiHeAMFQD+uAAUMA2lAPcGAAwwDgUA+GdGFaAPBQBaLvbmoNhiqAUAAORMFCrm -mAAAhBD3f1YFoAUFAC1NDC3SJCxNDCzCIy02OytNDCuyIiw2PCpNDCqiISs2PSlNDCmSICo2Pik2 -PxrBQPoAIh3gDBUA/rgAFDANpQD3BgAMMA4FAPhnRhWgDwUAWi7b5qBvYqgFAADkTBQq5oQAAB6/ -SC7gfe/nXX0QBIAAEr8yKCLgLyLg+4JkBeOYIQD5ICAV48jhAP2AIBWjiEEA6IwBLmECgADr/wEM -RgKAAOyIAgzPAoAACf8CCP8C7ybgLRAEgADRD9Kg0Q/SoNEP0qDRD9Kg0Q8bvxwpsuAtsuD4cAAH -c8lBAOzMAidwCQAA4O4RDmYCgAD/hgAOM/khAO7BFBf4CQAADP8R/4YADnOZAQDu3QEEyAkAAA2Z -AgyZAim24NEPAAAAAABsEAQUwQoTv6f1ghIF4AgVAPiQBhWgAgUA2iBbZ7wKCUFpkSPqKREFcIKA -AAMAh6WZCQJhCQJhCQJhCQJh+kBoHaALBQBbZ62xImkoyypCgBvA+QuqAvqQBhWgAgUA0Q9sEARb -/LYavxcsooUdwPP9gAQGcC0FAA3MAiymhSmilxu/hwuZAimmlxi/PR+/FCiAgP//4h2gCQUA+Vdm -Fe/61QD9AwARUAmFABjAz22aDSmCkAqZAemGkCRAEwAALvYgLvYhLvYiLvYjLvYkLvYlLvYm/+Tm -FaACBQDRDwAAAGwQCAIqAltJVJQQHL/A/EBoHeALhQDvNAANMASAAP7AaB2gClUAW2v1wIAVvzml -ZSNWgSRWgihWgwjkFpgUAQIAI1KDA+ow+sBoHaELJQBbST4K6jAnUojIfNpgA+owKxoCW0k5Cuow -iBQI5BYDqgxbSS3YoO0kAAvwBIAA/X9KBaAKVQDvUogqT0KAAAiZLPggBhXgC4UAW2vYwCDRDwBs -EAQTwK79fIoFoA0VAPpgaB2gGBUAbYoKK6AFeyACf7divKoawJouwIApoIAtpHj/UDAV4BvlAHmz -BQ3uAi7EgC2ggv9htg3gCSUAKMCACYgCKMSAKKCD/WG2DeAPRQAuwIAP7gIuxID5YpYNoAqFACnA -gAqZAvmQBh3gAgUA0Q/AINEPjqAcwI79wBAV4AuFAP/AMBWgCiUAW2uw2TD+AiId4PrlANMPbfoN -KJAFCogB6JQFJMgxAADHK9EPAABsEAYoIAD6QGgdoIklAOmBCHEQEwAAxy7RDyasFvLAB7qiAJ0A -FMB4E8B3kxHzgO4F4IUFAPogBhWgAbIAvDN0MVUoMAUFiAHpMAQsd8QAAHeZ6dpg+mAIFeAMJQBb -Zyb8AAIdr+ulAArLOGa/zo0RLDEDiDLacO3MCANYDQAAC4AA8UqoDeAPFQAuMAXTDw/uAi40BSdg -AuPAXRPADQAAqGZya3LbMPrAaB2gDCUAW2cS48BSFQBpgAD2wFAV7/4SAACJELRqeptdCWkMtJn/ -IgAH0AoFAIsQ6rAAJdgFAACbEAkbFMq4iBAtgADsgAEly/0AAOrdCARACQAAbZkOKYAArcrsgAEk -QAkAAKqdDcoIHsA/KuR9KgqAW/+J0qDRDwAAAAAAAP//mA2gCgUAjjAcwDj9wBAV4AolAP/AMBWg -C4UAW2tVY/9JAGwQBBnAMiqSfymSgAmrEauZ+yAGFaAYtQAolARbZgDAoFtl+Vtl9FtlusAg0Q8A -AGwQBPN/HAXgqaUA9ADCHaAFBQAFCT8FBj8FBz8FCj8ECD8JBD8FBT/4augVoIoFAAgAP9MPW2o1 -GMAcG8AZCgE/7cAYGvAEgAD+A+Id4AoVAPtvph2gDIUA5bR+JtGhAADstHwmydEAAPwBoh2gCwUA -bco+7oZhJeBZAADphmAkQIEAAOmGVybogQAA7oZWJdgFAADqhlUkyIEAAOqGVCVQgQAALtRgLtRh -LtYZD8w2LNRi9G+GHaANJQAtNH1bZdAYveUfv/oev/oIAIcPAmEPAmEOAmEOAmHRDwBsEAQYvoMi -giDA+Pt7IAWgDQUA8FOQDe/+9QDW0PYAAh3gBAUA+gAiHeAJ1QBtCEMsgtYlgt+sbAnCEaJVLlR2 -KlUpl1wkVRMmVCIrVCArVCEtVA0mVAwvVAWcUClUBCxREoVbI4IgrETldwgDMAUAAHNrA2P/tQAi -giFkII0Vv9b1/+IdoAkFAPAA5A2gBgUAAACCyyTEDCvEDSbEIpfMKsUp/47GHa+DBQAjxCAjxCEj -giGwmeJ3CAMwBQAA42tKddgFAAAjgtcsgt+jYwkyEaLM84AGFeAC5QAixATvxAUs/Y4AAClQfvSA -IBWgCxUA5Z+eYqgFAABtCAwpUH6xROWfjmKoBQAAY//sKYIiy5f1exYF4AYFAPgA4h3gB/UAbQgi -LILYK4LfrGwJzhGuu5ywJbYWLbQNKbQMJ7QEKoIisWZ6awJj/9bAINEPAAD3oGgd7/ySAGwQBBi+ -Jhy/ohK/nhu/oB+/ni8m7vpdphXgChUAKib0KybvKybyLCb1+F4GFaANBQD4XmYVoA4VAFtH1Sgi -HCkiEioiEysiESwiFC0iEI4vjy6DLYQshiqHKYUrJybHp2YnIh0mJsimVSUmyaVEJiIeJCbKpDMl -Ih8jJsuj/yQiIC8mzK/uIyIhLibNrt0vIiItJs6tzC4iIywmz6y7LSIkKybQq6osIiYqJtGqmSsi -Jykm0qmIKCbTKiIqqHf2WoYV4AkFACkmxqdmJibVplUlJtalRCQm16QzIybYo/8vJtmv7i4m2q7d -LSbbrcwsJtwMuwgrJt0LqggqJt9bZVXoIu4taASAAOoi8y7uQoAA/aPgFe/uBQAO3QH9TwANf4sF -AOujAQQAkYAALyLyLiLv0w8P/jl+OxrAMMCg/XpmBaALZQBbamnJNPJcBhXgAgUA0Q8jJvN7qO9j -/90AAADyXAYV7/JFANEPAABsEAQav0kWvSQSvMQdvk4Yv0fyT+gVoAuVAPV+SgXgDOUA6YxUJDqx -AABtKRYkUIAEBERrQhVmQBInZpzlXAEjMFEAAMAg0Q8AAAAA4lC4IhURAADvULgiHL0AAO5QuCIk -cQAAa0cCa0UIe0EFdMLLakrI/NOGFe//FgAAaeO8+tOGFa/+5gBp9bH404YV7/66AAAAaSik+NOG -Fa/+hgAAbBAEFb2VFr0AGrzB835CBeAEBQAkpoEkpoMkpoAkpoIoMHHBkOmmiiR8zoAALVJN/3n2 -BaDfVQAP3SgfvoAO3SwoYsAPiAEI2AIoZsAuYuAP7gEO3QItZuAsYuAbvwr9fhoFoAoFAFv+H8Ch -/XsOBaALBQBb/htb/fvmobZtEASAABm9KCoKCCuSj/puBh3juwEAKzRwKJKKG77/HL7SCogCKJaK -+BECHeAIBQBtmg0MiQsrlkDklkEkQAUAAARKAlv90OahbG0QBIAAW/zv5qFhbRAEgAAsMHF/xxH0 -r0QdpAoFAPqvBB2gAD4AAAAkVXoavJgqVXgkVXokVXv0sOQdouodAP6vJB2gLQUALVV9W/x55qEc -bRAEgAAvUk0XvSv4DIId4Ag1AAn/LAj/LP73hhXgCgUAW2UX5qD1bRAEgAAqMHF/pwobvJ7AwSy2 -wCuywPIAAh2gCgUAW2UMyaEdvs4PAgAt0p/I1ioKAFtk8NKg6rxEGQXiAAAsCv/+bjAV4A5FANMP -beoMK6CAsar9YAU9IgCdAMCQ8eAFL9IAnQAYvr8odsAodsASvDMVvF33fXgF4AMFACkgfAAwBAkJ -G3+fTbEz5V0gKae4AAAkZnIrYnb6UBAVr/y1AAy7AfrOxhXgAwUAADAECg0bf9cY+mBoHaALBQBb -ZKv8YGgdoAv1AFtfmioggLEzaTjYYAAVAC5SgAfuAu5WgCnQBIAAW2SqY/+dAFtknFv7ZcAg0Q8A -0Q8AAAAAAAD//WwNp5sdABi+mS9STQj/LC/8/g8fFOowjC/+woAA/yYAD/AIhQAI/wLvdsAlJDEA -APlf+dHSAJ0AKXLAxqL7IAQEsApVAAqZAvj4BhXv/IoAAABsEAgSvHYoIH0TvoT5fQoF4+qFAOYi -MSR8eIAAJjZ+JjZ/KTaACmosKiYh+kQGFaACBQDRDwAqGmz8P+IdoBslAFtlNfVAaB3gG7UA+i2C -HaAc9QBbZTDAtPVAaB2gDPUA9CCGFeF6BQBbZSvBsvVAaB3gDBUA9CBmFaF6BQBbZSb1QGgdoBul -APovgh2gDDUAW2UhF7x/Gb5jDwIAB1sJK7Gw5mwKDWgEgADkQUpuV8KAAAusLCYiMfugCADRaoUA -/J8ADrAbBQD8b8YV4fz1AFtlEfVAaB3gG5UA+i0CHaAc9QBbZQzBvvVAaB2gDPUA9CBGFeFqhQBb -ZQfBsPVAaB3gDBUA9CAmFaFqxQBbZQL1QGgdoBvFAPovgh2gDDUAW2T9B1kJKZGw5msKDWgEgADk -QN9t38KAAAm8LPugCADResUA/J8ADrAb5QD8b+YV4AwVAFtk8PVAaB3gG0UA/D/iHaF6BQBbZOv1 -QGgdoBvVAPouAh2gHPUAW2TnKwoC90BoHaAM9QD0IAYVoXpFAFtk4fVAaB2gG0UA+i6CHaAMFQBb -ZNwsIjEbvh/2gACE8A0VAAXVOQXLOeu6Cg1wBIAA6ZGwLVfCgADrMn8nAymAAAmtLOwyfi7vgoAA -/HAGFePthQANuywNzCwsJiH6RAYV4AIFANEPjhSMEwfuCQnMCSzBfi7hwAysLA7MKAvMLGP+oB++ -A44SjBEH7gkPzAkswX4u4cAMvCwOzCgJzCxj/wiIEB+9+ywyfgeICQ9vCS/xfvkYBBWj64UAC8ws -D68sCP8oKDJ/Cf8s7CYhL/+CgAAvNoALiCz4RAYVoAIFANEPbBAGwKD6AQId4Az1AFtkpRi96gGi -Cg8CAIuBLIIALBYAKxYB6IICLWgEgADoFgIlGc+AACIiABO7t/BF6A3kCAUAcoJu8miIFaQKBQBb -ZJL9QGgdoQkFAOokAA5ABIAADwIA0w9tmhLpggAkQBEAAAkJjummACVQEQAA+4BoHaQLBQBbanXi -NkQhAKGAANogW/0r0qDHnnkhYNEP0Q/HK9EPAMCi/XuKBaALhQBbaMvHK9EPIjJEGruyW2R32CD7 -QGgd4QwFAG3KEY2w7Q0WBdgRAADthgAkQBEAACtKAFtqXuI2RCF9wYAAAioCW/0U56+hbRAEgABj -/0nAov17YAWgC4UAW2i10Q8AAGwQBBO7gQ8CAPJoaBWgCgUAW2Re/UBoHaCJBQDqJAAOQASAAA8C -ANMPbZoP6YIAJEARAADppgAlUBEAAPuAaB2iCwUAW2pB4jZDIQBJgADAINEPAMcr0Q9sEAYYu5cZ -vZYeuxUdvZYtlhn/I0YVoAsFAPsmxB3gCkUAKpRuHL2Qx/APzAEshrYcvY8ogq4pkuWZEPggJhWg -jwUAW2iNwCDRDwAAbBAEGb2IF72IKpKBKnbV+TBIFeAKRQAqdjUqdjQqdjgqdkIqdj74+sYV4AgF -APjqRhWgA4UA8uUGFeAIFQAodkAodjsXu10Su10buxUjcH0iIoH9eGIFpAQFAPIAAAd0DQUA7ts5 -ATwmgAArxX7RDxK8Kh+7Cwg1AvTvph3gVQEABU85LyV+0Q8AAABsEAQbvWcavWcYvWfAwOq2fyVR -AwAA+w/mFaCLhQBbYrcduy0cvWLs1kMmYAsAACzWRNEPbBAEGrvQ66zQIQ1ZAABoIm7kkIRkkAUA -APxCYBFQBBUAYAA4aWQFBagIJ4YAckss6jQAClgEgABbZqnoYRRiIAUAAOWpCAsXdAAA9yAEHe// -egClq/dgBh3v/1IA0Q8AK6J7KaKEo7sJuxHrmQgDDd0AAGhidmlk46Wcl8DRDwAZu8CpOSmQfWhA -NuSQZWIQBQAAckOZY//DLLKHKaKEo8zpzBECIAUAAOyZCAMMbQAAaGIdaGQk8p/7q6IAnQBj/5pl -n0tj/5SlmPcABh3v/6YApZr3QAQd7/9+AKWb92AGFe//VgClnCfEANEPpZ0n1QDRD9EPAAAAAAAA -AAAAACADDmAMwAAGIAasPCADDmQIwAAMIAasPCADDmggwAAQIAarxCADDmwGwAA4IAatoCADDnAI -wAA8IAasPCADDnQCwABAIAatoCADDngIwABEIAasPCADDnwIgABIIAaszCADDoAYgABcIAaszCAD -DoQYgABsIAaszCADDogYgAB8IAaszCADDowYgACMIAaszCADDpAYgADcIAaszCADDpQYgADoIAas -zCADDpgYgAD0IAaszCADDpwYgAEAIAaszCADDqAIgAE8IAaszGh3X2JjbTg0MzRfY2hlY2tyYW06 -IFN0YXJ0CgAAAAAAUEhZIHByb2Nlc3NvciBub3QgcnVubmluZywgc3RpbGwgaW4gcmVzZXQgZm9y -IDVtcywgcG9ydF9iaXRfbWFwPSV1IAoAAAAAAAAAAAAAAABQSFkgRlcgaGFzIGJhZCBDUkMsIG9r -X2NyYz0ldQoAAFBIWSBmaXJtd2FyZSBsb2FkIHN1Y2Nlc3NmdWwhCgAAaHdfYmNtODQ4MzRfbG9h -ZHNlcXVlbmNlOiBTdGFydGVkCgAAAAAAAAAAAAAAAAAAaHdfYmNtODQ4MzRfbG9hZHNlcXVlbmNl -OiBVcGxvYWQgaW1hZ2UgdG8gUEhZIG9uLWNoaXAgbWVtb3IKAAAAAGh3X2JjbTg0ODM0X2xvYWRz -ZXF1ZW5jZTogZG9uZSBsb2FkaW5nIGltYWdlIChpID0gJXUpCgAAAAAAAAAAAABod19iY204NDM0 -X2xvd3Bvd2VyWyV1XTogZW5hYmxlPSVkCgAAAAAAAAAAAAAAAABod19iY204NDM0X2xvd3Bvd2Vy -WyV1XSwgZmFpbGVkIHRvIHNldCAzMC4weDQwMUFiaXQgNyBzaW5jZSAzMC4weDQwMEUgYml0PTEg -YWZ0ZXIgNW1zLAlyZWc9JXgKAABod19jbDQ1X2luaXRbJXVdIGFjYXBzICUjeAoAAAAAAGh3X2Ns -NDVfdXBkX3NwZF9hZHYgJSN4CgAAAAAAAAAAaHdfYXExMjAyX2xpbmtfdXBbJXVdIHVwCgAAAAAA -AABwWyV1XSBQSFkgT1ZFUkhFQVRFRCAtIGZvcmNlZCBwb3dlciBkb3duICh0ZW1wPSVkKQoAAAAA -AAAAAAAAAAAARkxBU0ggbm90IHJlYWR5OiBpICV1IG52clJlZyAlI3gKAAAAAAAAAAAAAAAAAAAA -QVFfRkxBU0hfUmVhZHkgLSBUaW1lb3V0ICgxKQoAAABBUV9GTEFTSF9SZWFkeSAtIFRpbWVvdXQg -KDIpCgAAAAlBUV9SZXR1cm5Db250cm9sT2ZGTEFTSAoAAAAAAAAAZ2F0aGVyX3Rhc2tzX3RvX3R4 -X2xpc3Q6IHRhc2sgaW4gdXNlIFsldV0KAAAAAAAAZ2F0aGVyX3Rhc2tzX3RvX3R4X2xpc3Q6IGlk -eCBbJXVdLCB0YXNrIGZpZCBbMHgleF0sIHRhc2sgc3RhdGUgWzB4JXhdLCB0YXNrIGNvbm4gWzB4 -JXhdLCB0YXNrIGZmbGFncyBbMHgleF0sIGNvbm4gZmlkIFsweCV4XSwgZGRwIFslZF0KAAAAAAAA -AAAAZ2F0aGVyX3Rhc2tzX3RvX3R4X2xpc3Q6IHRhc2sgWzB4JXhdLCBzdGF0ZSBbMHgleF0gb24g -Y29ubiBbMHgleF0gbm90IHZhbGlkIHRvIGdhdGhlciwgc2tpcHBpbmcKAAAAAAAAAAAAAAAAAAAA -AGdhdGhlcl90YXNrc190b190eF9saXN0OiB0YXNrIFsweCV4XSwgc3RpbGwgcXVldWVkIG9uIHR4 -IHBlbmRpbmcgbGlzdC4gUmVtb3ZpbmcgaXQuCgAAAAAAAAAAAAAAAGdhdGhlcl90YXNrc190b190 -eF9saXN0OiBjb25uX2ZjLT5mbG93Y19mbGFncyBbMHgleF0sIGxpc3RfZW1wdHkgWzB4JXhdLCBh -ZGRfdGFza19jb3VudCBbMHgleF0KAHRvX3R4X2xpc3Q6IG5vIHRhc2sgdG8gY2xvc2UgZm9yIGNv -bm4gWzB4JXhdLCBiYWlsaW5nIHRvIHJlY292ZXJ5IHN0YXRlIFsweCV4XQoAYXV0aGVudGljYXRl -X3RhcmdldDogS0VZX0NIQVBfUkVTUCAtIFsweCV4JXgleCV4JXgleCV4JXhdCgAAAAAAAGF1dGhl -bnRpY2F0ZV90YXJnZXQ6IEtFWV9DSEFQX1JFU1AgLSBbMHgleCV4JXgleCV4JXgleCV4XQoAAAAA -AABhdXRoZW50aWNhdGVfdGFyZ2V0OiBJbmNvcnJlY3QgcGFzc3dvcmQKAAAAAAAAAABDSEFQX0M6 -IGRpZ2VzdCBleHBhbnNpb24gZXJyb3IKAENIQVBfTjogVGFyZ2V0IHVzZXJpZCBtaXNtYXRjaAoA -Q0hBUF9SOiBkaWdlc3QgZXhwYW5zaW9uIGVycm9yCgBpU0NTSSBTZWMtcGFyYW1zIHJlY2VpdmVk -aGF2ZSBlcnJvcnMhIQoAAAAAAAAAAABUYXJnZXQgbW92ZWQgdGVtcC4gY29ubiAleCwgc2VzcyAl -eAoAAAAAAAAAAAAAAABMb2dpbiBGYWlsZWQhIS4gY29ubl9mYyBbMHgleF0sIHNlc3NfZmMgWzB4 -JXhdLCBzdGF0dXNfY2xhc3MgWzB4JXhdCgAAAAAAAAAAAAAAAFByb3RvY29sIEVycm9yIGNiaXQg -JWQgdGJpdCAlZCBjc2cgJWQgbnNnICVkCgAAAHJlY3Zfbm9waW46IGN0cmwgdGFzayBhbHJlYWR5 -IHBlbmRpbmcKAAAAAAAAAAAAAG9mbGRfcnhfZGF0YTogYWllZSwgaXNjc2kgY29ubiBbMHgleF0g -Zm9yIHNlc3MgWzB4JXhdLCB0eXBlIFsweCV4XSB0cmFuc2l0ZWQgaW4gdG9lIG1vZGUuIEtpY2tp -bmcgcmVjb3ZlcnkgCgAAAABvZmxkX3J4X2RhdGE6IGNvbm4gdGlkIFsweCV4XSwgcnhfZGF0YS0+ -c2VxIFsweCV4XSwgcnhfZGF0YS0+bGVuIFsweCV4XSwgcnhfZGF0YS0+c3RhdHVzIFsweCV4XQoA -AAAAAAAAAAAAAAAAAAAAb2ZsZF9yeF9kYXRhOiBjc2sgeyBpZCBbMHgleF0sIGNzb2NrX29mZnNl -dCBbMHgleF0sIGRsZW4gWzB4JXhdIH0KAAAAAAAAAAAAAAAAAABhY3RfZXN0OiB0Y2JfZmMgWzB4 -JXhdLCBmbG93Y19mb2lzY3NpX2Nvbm5fZmxhZ3MgWzB4JXhdCgAAAAAAAAAAYWN0X2VzdGFiOiB0 -Y2JfZmMtPmZsb3djX2J1ZiBbMHgleF0sIHRjYl9mYy0+Zmxvd2NfdHlwZSBbMHgleF0gdGNiX2Zj -LT5mbG93Y19zdGF0ZSBbMHgleF0sIG5wYWdlcyBbMHgleF0sIGZsb3djX3RwX3NuZF9tYXggWzB4 -JXhdCgAAAAAAAAAAAAAAAAAAYWN0X2VzdGFiOiBhdGlkIFsweCV4XSwgdGlkIFsweCV4XSwgb3Ag -WzB4JXhdLCByY3ZfaXNuIFsweCV4XSwgc25kX2lzbiBbMHgleF0sIGNzb2NrLT5mbG93Y19zdGF0 -ZSBbMHgleF0sIHRjcF9vcHQgWzB4JXhdLCB0Y2JfZmMtPmZsb3djX2lkIFsweCV4XSAKAAAAAAAA -AAAAAAAAAGNza19mYy0+Zmxvd2NfY3NvY2tfY29va2llIFsweCV4XSAKAAAAAAAAAAAAAAAAAGNo -bmV0X3F1ZXVlX3htaXQ6IGZjLT5mbG93Y19pZCBbMHgleF0sIGJ1Zl9sZW4gWzB4JXhdLCBidWZm -ZXJlZCBbMHgleF0sIGZpZm8ubnVtX2J5dGVzIFslMHhdCgAAAG5ldGlmX2RvX2RoY3A6IHdyLT5w -YXJhbS52bGFuaWQgWyV1XSwgbDJkZXZfZmMtPmZsb3djX25ldF9sMmRldl92bGFuZGV2IFsweCV4 -XQoAbDNpbjRfZGV2X2NvbmZpZzogd3ItPnBhcmFtLnZsYW5pZCBbJXVdLCBsMmRldl9mYy0+Zmxv -d2NfbmV0X2wyZGV2X3ZsYW5kZXYgWzB4JXhdCgAAAAAAAAAAAAAAAAAAbmV0X2wzaW40X2Rldl9j -b25maWc6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGFkZHJlc3MgYWxyZWFkeSB1c2VkIGJ5 -IHBvcnQgJWQKAAAAAAAAAAAAAAAAAAAAbmV0X2wzaW40X2Rldl9jb25maWc6ICBhZGRyIFsweCV4 -XSwgbWFzayBbMHgleF0sIGd3IFsweCV4XSwgcmVmX2NudCBbMHgleF0gaW4gdXNlCgAAAAAAAAAA -AAAAAAAAd3JoX2NobmV0X2lmY29uZjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgbDJkZXZf -ZmMtPmZsb3djX3R5cGUgWyUweF0sIGlmY29uZl93ci0+c3Vib3AgWzB4JXhdCgAAAAAAAAAAAAAA -AAAAAHdyaF9jaG5ldF9pZmNvbmY6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIHVua25vd24g -c3Vib3AgWzB4JXhdCgAAAAAAAAAAAAAAAAAAd3JoX2NobmV0X2lmY29uZjogbDJkZXZfZmMtPmZs -b3djX2lkIFsweCV4XSwgcmMgJWQKAAAAAAAAAAAAAAAAAG5ldGlmX2lwX2NvbmZsaWN0X3RpbWVy -X2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBpbmRldmN0eHQtPnN0YXRlIFslZF0sIGlu -ZGV2Y3R4dC0+cmV0cnlfY250IFslZF0KAAAAAAAAAABuZXRpZl9pcF9jb25mbGljdF90aW1lcl9j -YjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgaW5kZXZjdHh0IFsweCV4XSwgaW4gZnJlZSBz -dGF0ZQoAAAAAAAAAAABjbWRoX2NobmV0X2lmYWNlOiBmYyBbMHgleF0sIGZjLT5mbG93Y19pZCBb -MHgleF0sIGZjLT5mbG93Y190eXBlIFsweCV4XSwgcCBbMHgleF0sIGxlbjE2IFsldV0sIGxvYyBb -MHgleF0KAAAAAAAAY21kaF9jaG5ldF9pZmFjZTpsMmRldl9mYyBbMHgleF0sIGwyZGV2X2ZjLT5m -bG93Y19pZCBbMHgleF0sIGwyZGV2LT5mbG93Y190eXBlIFsldV0sIGwyZGV2X2ZjLT5mbG93Y19u -ZXRfbDJkZXZfZmxhZ3MgWyUweF0KAAAAAABjbWRoX2NobmV0X2lmYWNlOiByMlswXSAldSByMlsx -XSAldQoAAAAAAAAAAAAAAABjbWRoX2NobmV0X2lmYWNlOiBsMmRldl9mYy0+Zmxvd2NfbmV0X2wy -ZGV2X2ZsYWdzIGNoYW5nZWQgZnJvbSBbJTB4XSB0byBbJTB4XSwgcmMgWyVkXQoAAAAAAAAAAABj -aG5ldF9sMmRldl91cF9tYl9jYjogcmMgWyVkXSwgcG9ydCBbJXVdLCBzdGF0ZSBbJXVdLCBjb29r -aWUgWzB4JXhdCgAAAAAAAAAAAAAAAGRoY3BfcHJvY2Vzc19jYjogbDJkZXZfZmMtPmZsb3djX2lk -IFsweCV4XSwgZGhjdHh0LT5zdGF0ZSBbJTB4XSwgZGhjdHh0LT5ydHJ5X2NudCBbJXVdCgAAAAAA -AAAAAGRoY3BfdGltZXJfY2I6IERIQ1BESVNDT1ZFUiBzZW50LCBidXQgbm8gcmVwbHkgZnJvbSBh -bnkgcG9zc2libGUgc2VydmVyIG9uIHRoZSBuZXR3b3JrLiBSZXRyeWluZyBhZ2FpbgoAAAAAAAAA -AABkaGNwX3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBzZW5kaW5nIERIQ1BE -SVNDT1ZFUiBmb3IgZGhjdHh0IFsweCV4XSBvbiBwaWQgWyVkXQoAAABkaGNwX3RpbWVyX2NiOiBs -MmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBESENQT0ZGRVIgcmVjZWl2ZWQgZm9yIGRoY3R4dCBb -JXhdIHBpZCBbJWRdCgAAAAAAAAAAAABkaGNwX3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQg -WzB4JXhdLCAgREhDUEFDSyByZWNlaXZlZCBmb3IgZGhjdHh0IFsleF0sIHBpZCBbJWRdCgAAAAAA -AAAAAABkaGNwX3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBkaGN0eHQtPmlw -YWRkciBbMHgleF0KAAAAAAAAAAAAAAAAAAAAAGRoY3BfdGltZXJfY2I6IHN0YXJ0aW5nIHRpbWVy -IGZvciBsZWFzZSBbJXVdIHNlY29uZHMKAAAAAAAAAAAAAABkaGNwX3RpbWVyX2NiOiBsZWFzZSB0 -aW1lIG9mIFsldV0gc2Vjb25kcyBleHBpcmVkLCBzZW5kaW5nIHJlbmV3IHJlcXVlc3QKAAAAAAAA -AGRoY3BfdGltZXJfY2I6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIG5vIHJlcGx5IGZyb20g -ZGhjcCBzZXJ2ZXIsIHRpbWluZyBvdXQKAAAAAAAAAAAAAAAAAAAAAGF1dGhfbmVnb19zZWN1cml0 -eTogc2VuZF9mbGFnIFsweCV4XSwgYXV0aF9wb2xpY3kgWzB4JXhdCgAAAAAAAABhdXRoX25lZ29f -c2VjdXJpdHk6IEtFWV9DSEFQX1JFU1AgLSBoYXNoWzB4JXgleCV4JXgleCV4JXgleF0KAAAAYXV0 -aF9uZWdvX3NlY3VyaXR5OiBLRVlfQ0hBUF9SRVNQIC0gaGFzaFsweCV4JXgleCV4JXgleCV4JXhd -CgAAAGF1dGhfbmVnb19zZWN1cml0eTogS0VZX0NIQVBfUkVTUCAtIGVycm9yIGVuY29kaW5nIHRv -IGhleAoAAAAAAABhdXRoX25lZ29fc2VjdXJpdHk6IEtFWV9DSEFQX1JFU1AgLSBlbGVuIFsweCV4 -XQoAAAAAAAAAAAAAAAAAAAAAYXV0aF9uZWdvX3NlY3VyaXR5OiBLRVlfQ0hBUF9DSEFMIC0gZXJy -b3IgZW5jb2RpbmcgdG8gaGV4CgAAAAAAAGF1dGhfbmVnb19zZWN1cml0eTogS0VZX0NIQVBfQ0hB -TCAtIGVsZW4gWzB4JXhdCgAAAAAAAAAAAAAAAAAAAABsb2dvdXRfdGltZWRvdXQ6IGxvZ291dCBy -ZXF1ZXN0IHRpbWVkb3V0LCBwb3NzaWJsZSBuZXR3b3JrIGlzc3Vlcy4gRm9yY2VmdWxseSBicmVh -a2luZyBwYXRoIGZvciBzZXNzIFsweCV4XQoAAAAAcGluZ190YXJnZXQ6IHBpbmcgdGltZW91dCwg -a2lja2luZyByZWNvdmVyeSBmb3Igc2VzcyBbMHgleF0KAAAAAGNzb2NrX2ZhaWxlZDogY3NrX2Zj -LT5mbG93Y19pZCBbMHgleF0sIGNza19mYy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBzZXNzX2ZjLT5m -bG93Y19pZCBbMHgleF0sIHNlc3NfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwgZXZ0IFsweCV4XQoA -AAAAAAAAAAAAAHJjIFslZF0sIGNza19mYyBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhd -CgAAAAAAAAAAAAAAAAAAAAByZWNvdmVyeV90aW1lb3V0OiBzZXNzIGlkIFsweCV4XSBzdGF0ZSBb -MHgleF0sIHJjb3VudCBbJWRdLCBmbGFncyBbMHgleF0KAAAAAAAAAHJlY292ZXJ5X3RpbWVvdXQ6 -IHNlc3MgaWQgWzB4JXhdIGluIGxvZ291dCwgYWJvcnQgdGhlIGNvbm5lY3Rpb24KAAAAAAAAAAAA -AAAAAAAAcmVjb3ZlcnlfdGltZW91dDogc2Vzc19mYy0+Zmxvd2NfZm9pc2NzaV9zZXNzX2ZsYWdz -IFsweCV4XSwgY29ubmVjdGlvbiByZXF1ZXN0IHBlbmRpbmcsIGJhaWxpbmcgb3V0CgAAAAAAAAAA -AAAAAGZvaXNjc2k6IFJlY292ZXJ5IHRpbWVkIG91dCBhZnRlciBbJXVdIHJldHJ5LCBiYWlsaW5n -IG91dAoAAAAAAABUQ1AgY29ubiBlc3RhYmxpc2htZW50IGZhaWxlZCAlZAoAAAAAAAAAAAAAAAAA -AABkaXNjb3ZlcnlfZGF0YTogc2VzcyB7IGlkIFsweCV4XSwgZmxhZ3MgWzB4JXhdLCBidWZmZXJl -ZCBbJXVdLiB9CgAAAAAAAAAAAAAAAAAAAGRpc2NvdmVyeV9kYXRhOiBzZXNzIHsgaWQgWzB4JXhd -IH0sIHVscHR4Y2ggWyV1XSBubyBjcmVkaXRzIGF2YWlsYWJsZSwgcmVzY2hlZHVsaW5nIHJlcXVl -c3QuCgAAAEludmFsaWQgb3Bjb2RlIDB4JXggaW4gY3RybCBwYXRoCgAAAAAAAAAAAAAAAAAAAERE -UCBlcnJvciBbMHgleF0sIGFib3J0aW5nIGNvbm5uIFsweCV4XQoAAAAAAAAAAHJ4X2RhdGFfZGRw -OiBSZXNwb25jZSByZWNpZXZlZCBmb3IgdGFzayBbMHgleF0gd2hpbGUgaW52YWxpZCB0YXNrIG9y -IGNvbm5lY3Rpb24gc3RhdGUuIHRhc2sgc3RhdGUgWzB4JXhdLCBjb25uIHN0YXRlIFsweCV4XSwg -Y29ubiBmbGFncyBbMHgleF0KAGlzY3NpX2hkcl9yeDogUmVzcG9uY2UgcmVjaWV2ZWQgZm9yIHRh -c2sgWzB4JXhdIHdoaWxlIGludmFsaWQgdGFzayBvciBjb25uZWN0aW9uIHN0YXRlLiB0YXNrIHN0 -YXRlIFsweCV4XSwgY29ubiBzdGF0ZSBbMHgleF0sIGNvbm4gZmxhZ3MgWzB4JXhdCgAAAAAAAAAA -AAAAAAAAAABpc2NzaV9oZHJfcng6IEludmFsaWQgdGFzayBzdGF0ZSAweCV4IGZvciB0YXNrIDB4 -JXgsIGl0dCBbMHgleF0sIG9wYyBbMHgleF0KAAAAAHByb2Nlc3NfdG1mX3Jlc3BvbnNlOiBidWZm -ZXJlZCBbMHgleF0sIGlzdGFza19mYy0+Zmxvd2NfYnVmLT5zY2hlZF9ub2RlLm5leHQgWzB4JXhd -LCBpc3Rhc2tfZmMgWzB4JXhdLCBpc3Rhc2tfZmMtPmZsb3djX2lkIFsweCV4XQoAAAAAAAAAAAAA -AAAAAHByb2Nlc3NfdG1mX3Jlc3BvbnNlOiB3ciBvcCBbMHgleF0sIHRtZiBvcCBbMHgleF0KAAAA -AAAAAAAAAAAAAAByZXR1cm5fcGVuZGluZ190YXNrOiBjb29raWUgWzB4JTA4eF0sIFsweCUwOHhd -CgByZXR1cm5fcGVuZGluZ190YXNrOiBkZWxheSBwcm9jZXNzaW5nLCBjb25uIGZsYWdzIFsweCV4 -XQoAAAAAAAAAcmV0dXJuX3BlbmRpbmdfdGFzazogRG9uZSBzZW5kaW5nIHRhc2sgZXJyb3IgdG8g -aG9zdCwgdWxwdHhsZW4xNiBbJXVdCgAAAAAAAAAAAAByZXR1cm5fcGVuZGluZ190YXNrOiBkZXF1 -ZXVlIHRhc2sgWzB4JXhdLCBzdGF0ZSBbMHgleF0gZnJvbSB0eF9saXN0CgAAAAAAAAAAAAAAAHJl -dHVybl9wZW5kaW5nX3Rhc2s6IGFsbCB0YXNrcyByZXR1cm5lZCwgcmVjb3Zlcnkgc3RhdGUgdHJh -bnMgdG8gWzB4JXhdCgAAAAAAAAAAY2xlYXJfZGRwX21hcDogaXN0YXNrX2ZjIFsweCV4XSwgaXN0 -YXNrX2ZjLT5mbG93Y19pZCBbMHgleF0gYnVmZmVyZWQgJXUKAAAAAAAAAABjbGVhcl9kZHBfbWFw -OiBpc3Rhc2tfZmMtPmZsb3djX2ZvaXNjc2lfdGFza19ucHBvZCAldSwgbnBwb2QgJXUsIHBwZGFk -ZHIgWzB4JXhdCgAAAAAAAAAAAAAAAAAAAABjbGVhcl9kZHBfbWFwOiBhbGwgcmV0dXJuZWQgdGFz -a3MgZGRwIGNsZWFyZWQsIHJlY292ZXJ5IHN0YXRlIHRyYW5zIHRvIFsweCV4XQoAAHdyaF9mb2lz -Y3NpX25vZGU6IG5vZGVfd3ItPmZsb3dpZF9sZW4xNiAyIFsleF0KAHdyaF9mb2lzY3NpX2NoYXA6 -IGlkX2xlbiBbJXhdLCBzZWNfbGVuIFsleF0KAAAAAHdyaF9mb2lzY3NpX2NoYXA6IHRndF9pZF9s -ZW4gWyV4XSwgdGd0X3NlY19sZW4gWyV4XQoAAAAAAAAAAAAAAABzZXNzaW9uX2Jsb2NrOiBzZXNz -X2ZjLT5mbG93Y19pZCBbMHgleF0sIHNlc3NfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwgY29ubl9m -Yy0+Zmxvd2NfaWQgWzB4JXhdLCBjb25uX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIGNza19mYy0+ -Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPmZsb3djX3N0YXRlIFsweCV4XQoAAAAAAAAAAAAAAAAA -AABzZXNzaW9uX3VuYmxvY2s6IHNlc3NfZmMtPmZsb3djX2lkIFsweCV4XSwgc2Vzc19mYy0+Zmxv -d2Nfc3RhdGUgWzB4JXhdLCBjb25uX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNvbm5fZmMtPmZsb3dj -X3N0YXRlIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNza19mYy0+Zmxvd2Nfc3Rh -dGUgWzB4JXhdCgAAAAAAAAAAAAAAAABzdGFydF9sb2dvdXQ6IFNlc3MtaWQgWzB4JXhdIGFscmVh -ZHkgbG9nZ2luIG91dC4KAAAAAAAAAAAAAAAAAAAAcGVlcl9jb246IGNza19mYyA9PiBmbG93aWQg -WzB4JXhdLCBmbG93Y19idWYgWzB4JXhdCgAAAAAAAAAAAAAAAGFsbG9jX3Nlc3M6IGxvZ2luX3Jl -dHJ5IFslZF0sIHJlY292X3RpbWVvdXQgWyVkXQoAAAAAAAAAAAAAAAAAAABmb2lzY3NpX2N0cmw6 -IHN1Ym9wIFsweCV4XSwgc2Vzc190eXBlX3RvX2VybCBbMHgleF0sIHNlc3NfdHlwZSBbMHgleF0K -AAAAAAAAAAAAAGZvaXNjc2lfY3RybDogcmVjZWl2ZWQgYmxvY2tlZCBmcm9tIGRyaXZlciwgdHJp -Z2dlcmluZyByZXR1cm4gdGFza3Mgbm93LgoAAAAAAAAAd2F0Y2hkb2cgY21kIGhhbmRsZXIgKHRp -bWUgJXUgYWN0aW9uICV1KQoAAAAAAAAAeGdtYWNbJXVdIHNldHRpbmcvdW5zZXR0aW5nIGhzcyBy -ZXN5bmMgYml0CgAAAAAAV0FUQ0hET0c6IGRldmljZSBzaHV0ZG93bgoAAAAAAABXQVRDSERPRzog -cG9ydFsldV0gcGF1c2Ugd2F0Y2hkb2cgdGltZW91dAoAAAAAAABXQVRDSERPRzogYnlwYXNzIHRp -bWVvdXQKAAAAAAAAAFdBVENIRE9HOiBGTFIgLSBub3QgaW1wbGVtZW50ZWQgeWV0CgAAAAAAAAAA -AAAAAFdBVENIRE9HOiB0ZW1wZXJhdHVyZSBvZiAlZEMgZXhjZWVkcyB0aHJlc2hvbGQgb2YgJWRD -CgAAAAAAAAAAAABmaWx0ZXI6IHBvcmdyYW1taW5nIHRpZCAldSAobGUgdGNhbSBpbmRleCAldSku -Li4KAAAAAAAAAAAAAAAAAAAAZmlsdGVyOiByZXF1ZXN0aW5nIGNvbXBsZXRpb24uLi4KAAAAAAAA -AAAAAAAAAAAAbDJkZXZfc2VuZF9wb3J0X2V2ZW50OiB3ciBbMHgleF0gcGVuZGluZyBvbiBwb3J0 -IFslZF0sIGN1cnJlbnQgdHJ5IFslZF0KAAAAAAAAAABGQ09FIEZyZWU6IHN0aWxsIHlpZWxkZWQg -d2hlbiBmcmVlaW5nLi4uZmxvd2NfaWQgJXggZmxvd2NfZmxhZ3MgJXggCgAAAAAAAAAAAAAAAEZD -T0UgQlAgV1IgRVJSOiBXUiB3aXRoIGNvb2tpZSAleCV4IGVycm9yZWQgYmFjayAKAAAAAAAAAAAA -AAAAAABwb3J0ICVkIHNldCBwZmNfZW4gPSAweCV4CgAAAAAAAHBvcnQgJWQgc2V0IHBmY19lbiA9 -IDB4JXgKAAAAAAAAZXRzX3NldF9jZmdfaWVlZVsldV0gdW5rbm93biBUU0EgYWxnIGZvciBwcmlv -ICV1OiAldQoAAAAAAAAAAAAAAEZDb0UgRERQIGZhaWxlZCA6IG94X2lkIDB4JXggcnhfaWQgMHgl -eAoAAAAAAAAAAEZDb0UgRERQIGZhaWxlZCA6IERkcFJlcG9ydCAweCV4IERkcFZhbGlkIDB4JXgK -AEZDIHhjaGcgYWxsb2MgZmFpbGVkOiBhdmFpbCAlZAoAZmNvZSBub3RpZnkgOiBVcGRhdGUgbmV3 -IERDQlggdmFsdWVzIFZJIHN0YXRlIDB4JXggcHJpIDB4JXggc2NoZWRjbCAweCV4IGRjYnhfZG9u -ZSAweCV4CgAAAAAAAAAAZmNvZSBub3RpZnkgOiBGQ0YgZmxvd2lkIDB4JXgsIHVscGNoIDB4JXgg -CgAAAAAAUFJMSSBSc3AgdGltZWRvdXQgOiBmbG93Y19pZCAweCV4IG94X2lkIDB4JXggcnhfaWQg -MHgleCAKAAAAAAAAAGNhbm5vdCBhbGxvY2F0ZSBvZmZsb2FkZWQgZmlsdGVyIGNvbm5lY3Rpb24K -AAAAAGNhbm5vdCBhbGxvY2F0ZSBvZmZsb2FkZWQgZmlsdGVyIElQdjYgY29ubmVjdGlvbgoAAAAA -AAAAAAAAAAAAAABkaXNwYXRjaF9kZWZlcnJlZF9jbGFzc19jbGFzc19zaGFwaW5nWyV1OiV1XTog -bGlzdF9lbXB0eQoAAAAAAAAAbG9vcGJhY2sgYnVmZmVyIGdyb3VwWyV1XSBpcyBkaXNhYmxlZAoA -AAAAAAAAAAAAaW52YWxpZCBidWZmZXIgZ3JvdXBbJXVdIGNvbmZpZ3VyYXRpb246IG10dSAldSBs -d20gJXUgaHdtICV1IGR3bSAldQoAAAAAAAAAAAAAAABmYyAldSB2ZiAldSBnb3QgaXZmPTB4JXgs -cmFuZ2U6ICUjeC0lI3ggKCV1LyV1IHVzZWQpCgAAAAAAAAAAAAAAVkkgJXUgY2Fubm90IGdldCBS -U1Mgc2xpY2U6IE5vIG1vcmUgc2xpY2VzIGF2YWlsYWJsZSAodXNlZCAldS8ldSkKAAAAAAAAAAAA -AAAAAABwZm4gJXUgdmZuICV1IHdpdGggcG9ydCBtYXNrIDB4JXggY2Fubm90IGFjY2VzcyBwb3J0 -ICV1LCByZXQgJWQKAAAAAAAAAAAAAAAAAAAAAHBmbiAldSB2Zm4gJXUgY291bGQgbm90IGFsbG9j -YXRlIHZpaWQsIHJldCAlZAoAAHBmbiAldSB2Zm4gJXUgY291bGQgbWFwIHZpaWQgIDB4JXggdG8g -Zmxvd2MsIHJldCAlZAoAAAAAAAAAAAAAAABwZm4gJXUgdmZuICV1IGNvdWxkIG5vdCBhbGxvY2F0 -ZSB1d2lyZSBmdW5jICVkIG1hYyBhZGRyLCByZXQgJWQKAAAAAAAAAAAAAAAAAAAAAG1paV9mb3Jj -ZV9zcGVlZFsldV06IHJjYXBzIDB4JXgKAAAAAAAAAAAAAAAAAAAAAG1paV9wZG93blsldV06IHBv -d2VyZG93biBlbiAldQoAcG9ydFsldToweCUwMng6MHglMDJ4XTogdW5rbm93biBhY3Rpb24gMHgl -eAoAAAAAcG9ydFsldToweCUwMng6MHglMDJ4XTogdW5rbm93biByZWFkIGFjdGlvbiAweCV4CgAA -AAAAAAAAAAAAAAAAAGNwbF9lcnJfbm90aWZ5OiB0aWQgJXUgY3BsIDB4JTA4eCUwOHgKAAAAAAAA -AAAAAGNwbF9lcnJfbm90aWZ5OiB0aWQgJXUgY3BsIDB4JTA4eCUwOHggMHglMDh4JTA4eAoAAAAA -AAAAAAAAAAAAAABjcGxfZXJyX25vdGlmeTogdGlkICV1IGxlbiAldQoAAEZDT0UgRnJlZTogc3Rp -bGwgeWllbGRlZCB3aGVuIGZyZWVpbmcuLi5mbG93Y19pZCAleCBmbG93Y19mbGFncyAleCAKAAAA -AAAAAAAAAAAAc2NzaV9hYm9ydDogRW50ZXJpbmcgQWJvcnRfdGFzaywgYnVmZmVyZWQgWyV1XQoA -c2NzaV9hYm9ydDogcmMgWzB4JXhdIHJlZiB0YXNrIG5vdCBvdXRzdGFuZGluZwoAc2NzaV9hYm9y -dDogaWRhdGEtPm9wIFsweCV4XSwgZmxhZ3MgWzB4JXhdLCBmdW5jIFsweCV4XSwgbHVuX2lkeCBb -MHgleF0KAAAAAAAAAABzY3NpX2Fib3J0OiB3ci0+aXFpZCBbMHgleF0sIGlzdGFza19mYy0+Zmxv -d2Nfc2dlX2lxaWQgWzB4JXhdLCBpc3Rhc2tfZmMgdGFzayBmbGFncyBbMHgleF0KAAAAAABzY3Np -X2Fib3J0OiBjb25uIFsweCV4XSwgY21kc24gWzB4JXhdLCBzZW50X2NtZHNuIFsweCV4XSwgbWF4 -X2NtZHNuIFsweCV4XSwgaXR0IFsweCV4XQoAAAAAAAAAAABhYm9ydC9jbG9zZSBXUiB3aXRoIGNv -b2tpZSAweCVseCB3YXMgaXNzdWVkIG9uIHNzbiAweCV4IGluIHdyb25nIHN0YXRlIDB4JXgKAAAA -AGFib3J0IFdSIG9uIHNzbiAweCV4IGRpZCBub3QgZmluZCBXUiB3aXRoIGNvb2tpZSAweCV4JXgK -AAAAAAAAAABjbG9zZSBXUiB3aXRoIGNvb2tpZSAweCVseCBvbiBzc24gMHgleDtkaWQgbm90IGZp -bmQgV1Igd2l0aCBjb29raWUgMHglbHgKAAAAAAAAAGFib3J0IFdSIG9uIHNzbiAweCV4IHdhcyBp -c3N1ZWQgb24geGNoZyAweCV4IHdpdGggcnhfaWQgMHgleCBpbiB3cm9uZyBzdGF0ZSAweCV4CgAA -AAAAAAAAAAAAAAAAAHNjc2lfbHVyOiBFbnRlcmluZyBMVVIgaGFuZGxlciwgYnVmZmVyZWQgWyV1 -XQoAAHNjc2lfbHVyOiBpZGF0YS0+b3AgWzB4JXhdLCBmbGFncyBbMHgleF0sIGZ1bmMgWzB4JXhd -LCBsdW5faWR4IFsweCV4XQoAAAAAAAAAAAAAc2NzaV9sdXI6IHdyLT5pcWlkIFsweCV4XSwgaXN0 -YXNrX2ZjLT5mbG93Y19zZ2VfaXFpZCBbMHgleF0sIGlzdGFza19mYyB0YXNrIGZsYWdzIFsweCV4 -XQoAAAAAAAAAc2NzaV9sdXI6IGNvbm4gWzB4JXhdLCBjbWRzbiBbMHgleF0sIHNlbnRfY21kc24g -WzB4JXhdLCBtYXhfY21kc24gWzB4JXhdLCBpdHQgWzB4JXhdCgAAAAAAAAAAAAAAZGNieF9jZWVf -ZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX0xJTktVUAoAZGNieF9jZWVfZmVhX3NtWyV1 -XSBGZWF0dXJlWyV1XSBTRVRfTE9DQUxfUEFSQU1FVEVSUwoAAAAAAAAAAAAAAGRjYnhfY2VlX2Zl -YV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9OT19BRFZFUlRJU0UKAAAAAAAAAAAAAABkY2J4 -X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfUEVFUl9OT1RfQURWRVJUSVNFX0RD -QlgKAAAAAAAAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVS -RV9QRUVSX05PVF9BRFZFUlRJU0VfRkVBVFVSRQoAAAAAAAAAAAAAAAAAZGNieF9jZWVfZmVhX3Nt -WyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX1VQREFURV9PUEVSX1ZFUlNJT04KAAAAAGRjYnhfY2Vl -X2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9QRUVSX1VQREFURV9PUEVSX1ZFUlNJT04K -AAAAAAAAAAAAAAAAAAAAZGNieF9jZWVfZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX0dF -VF9QRUVSX0NGRwoAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVB -VFVSRV9DRkdfTk9UX0NPTVBBVElCTEUKAAAAAABkY2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVb -JXVdIEZFQVRVUkVfVVNFX0xPQ0FMX0NGRwoAAAAAAAAAAAAAZGNieF9jZWVfZmVhX3NtWyV1XSBG -ZWF0dXJlWyV1XSBGRUFUVVJFX1VTRV9QRUVSX0NGRwoAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9z -bVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9GRUFUVVJFX0RJU0FCTEVECgAAAAAAAABkY2J4X2Nl -ZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfRVJST1JfQ0hBTkdFCgAAAAAAAAAAAAAA -RmVhdHVyZSAldSBzeW5jJ2Q9JXUgKGVycm9yICV1KQoAAAAAAAAAAAAAAAAAAAAAY2huZXRfbDJ0 -X3VwZGF0ZTogbDJkZXZfZmMgWzB4JXhdLCBsMmRldl9mYy0+Zmxvd2NfaWQgWyV1XSBsMmRldl9m -Yy0+Zmxvd2NfZmxhZ3MgWzB4JXhdLCBpbnRmIFsweCV4XQoAAAAAAAAAAAAAAGNobmV0X2wydF91 -cGRhdGU6IGwyZGV2X2ZjLT5mbG93Y19pZCBbJXVdIGFscmVhZHkgc2NoZWR1bGVkCgAAAABjaG5l -dF9sMnRfdXBkYXRlOiBpbiBkZWxheWVkX3Byb2Nlc3NpbmcsIGwydGVudCBbJTA4eF0KAAAAAAAA -AAAAY2huZXRfYXJwX3VwZGF0ZV9jYWNoZTogYXJwIGlwNCBlbnRyeSBmb3VuZCAKAAAAY2huZXRf -YXJwX3VwZGF0ZV9jYWNoZTogYXJwIGlwNiBlbnRyeSBmb3VuZCAKAAAAY2huZXRfYXJwX3VwZGF0 -ZV9jYWNoZTogYm90aCBpcDQgYW5kIGlwNiBhZGRyIGNhbm5vdCBiZSBudWxsCgAAAGNobmV0X2wy -dF91cGRhdGU6IGwydF91cGRhdGUgcmVxdWVzdCBzZW50IGwydGVudCBbJTA4eF0sIGwydGVudC0+ -aWR4IFslZF0sIGwydGVudC0+dmxhbiBbJWRdCgAAAG5ldGlmX3Byb2Nlc3NfZGhjcDogbDJkZXZf -ZmMtPmZsb3djX2lkIFsweCV4XSwgcHJvY2Vzc2luZywgb3B0X2xlbiAldQoAAAAAAAAAAAAAY2hu -ZXRfZGhjcF9yZWN2OiB2bGFuaWQgWyV1XSwgbDJkZXZfcGlkX2ZjLT5mbG93Y19uZXRfbDJkZXZf -dmxhbmRldiBbMHgleF0sIGwyZGV2X2ZjIFsweCV4XQoAAAAAY2huZXRfZGhjcF9yZWN2OiBsMmRl -dl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBkaGN0eHQtPnN0YXRlIFslZF0sIG1hbGFjaW91cyBkaGNw -IHJlY3YgZm9yIG5vIHJlcXVlc3QKAAAAAAAAAAAAAAAAAGRoY3R4dC0+c3RhdGUgOiAlZAoAAAAA -AAAAAAAAAAAAbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgQmFkIERIQ1AgY29va2llIHJlY2ll -dmVkLCBhYm9ydGluZwoAAENvdWxkIG5vIGFsbG9jYXRlIHBjYiEhIEZyZWVpbmcgZmNmICEhIQoA -AAAAAAAAAHZuX3BhcnNlIHVua25vd24gc3ViY29kZSAldQoAAAAAdm5fcGFyc2UgdW5rbm93biBk -dHlwZSAldQoAAAAAAABpZ25vcmluZyBmaXAgcmVjdiBmb3IgcGNiIGZsb3c6JXggaW4gb2ZmbGlu -ZSBzdGF0ZQoAAAAAAAAAAAAAAAAAZmlwX3ZuMnZuX3JlY3ZfZXJyIAoAAAAAAAAAAAAAAABDb3Vs -ZCBub3QgYWxsb2NhdGUgZmxvd2MhISEhCgAAAENvdWxkIG5vdCBhbGxvY2F0ZSBTQ0IgZmxvd2Mh -ISEhCgAAAAAAAAAAAAAAAAAAAENvdWxkIG5vdCBmaW5kIHJpZ2h0IHNjYiBmb3IgbG9nbwoAAAAA -AAAAAAAAAAAAAGlnbm9yaW5nIGZpcCByZWN2IGZvciBmY2YgZmxvdzoleCBpbiBvZmZsaW5lIHN0 -YXRlCgAAAAAAAAAAAAAAAABDb3VsZCBub3QgZmluZCByaWdodCBzY2IgZm9yIGZsb2dpCgAAAAAA -AAAAAAAAAABwb3J0IDB4JXgsIHN0YXRlIDB4JXgsIHJldHJ5IG5vdCBzdXBwb3J0ZWQKAAAAAABG -bG9naSByZXNwIHJjdiB3aXRoIHVua25vd24geGNoZyBveF9pZCV4IHNpZCAlMnglMnglMnggZGlk -ICUyeCUyeCUyeAoAAAAAAAAAAAAAAE5fUE9SVCAweCV4JXgleCByZWplY3RlZCBQTE9HSSB3aXRo -IHJlYXNvbiBjb2RlICV4CgAAAAAAAAAAAAAAAABBQlRTIHdoaWxlIGF3YWl0aW5nIFBSTEkgUnNw -OiBmbG93Y19pZCAweCV4IG94X2lkIDB4JXggcnhfaWQgMHgleCAKAAAAAAAAAAAAAAAAAEFCVFMg -ZmFrZSBSc3A6IGxvYyAweCV4IG94X2lkIDB4JXggcnhfaWQgMHgleAoAAEZDIGZjYiBhbGxvYyBm -YWlsZWQ6IGF2YWlsICVkCgAARkMgZmNiIGFsbG9jIHhpZDolZCBmbG93aWQgJWQKAABsbGRwX3J4 -X3BrdF9oYW5kbGVyWyV1XSBkcm9wIHByZS1pbml0IChjb3VudCA9ICV1KQoAAAAAAAAAAAAAAAAA -JXgleCV4IFJlY2lldmVkIExPR08gZnJvbSAleCV4JXggCgAAAAAAAAAAAAAAAAAAY2Fubm90IGFs -bG9jYXRlIFBPRkNPRSBmaWx0ZXIgY29ubmVjdGlvbiBmb3IgeF9pZCAleCAKAAAAAAAAAAAAAEZh -aWxlZCB0byBwb3N0IHhjaGcgZXJyOiBzc25pIDB4JXggY29va2llIDB4JWx4IHJ2YWwgJXggCgAA -AAAAAAB0Y3BfcmVsZWFzZV90aWQ6IHRpZCBbMHgleF0sIGZsb3djIGZsYWdzIFsweCV4XSwgYnVm -ZmVyZWQgWzB4JXhdCgAAAAAAAAAAAAAAAAAAAHRjcF9yZWxlYXNlX3RpZDogc2l6ZW9mKHRjYl9m -Yy0+Zmxvd2NfZm9pc2NzaV9jb25uKSBbJXVdLCBieXRlcwoAAAAAAAAAAAAAAAAAAAAAYWN0X29w -ZW5fcnBsOiBhdGlkIFsweCV4XSwgdGlkIFsweCV4XSwgdGNiX2ZjLT57IGlkIFsweCV4XSwgc3Rh -dGUgWzB4JXhdLCB0eXBlIFsweCV4XSB9LCBjcGxfb3AgWzB4JXhdLCBzdGF0dXMgWzB4JXhdCgAA -AAAAAAAAAABhY3Rfb3Blbl9ycGw6IGNza19mYy0+eyBpZCBbMHgleF0sIHN0YXRlIFsweCV4XSwg -Y3NvY2tfZmxhZ3MgWzB4JXhdIH0gCgAAAAAAAAAAAGFjdF9vcGVuX3JwbDogcmVjdmQgbmVnIGFk -dmljZSBbMHgleF0KAAAAAAAAAAAAAHNlbmRfYWJvcnRfcnBsOiBjc2tfZmMtPmZsb3djX3R5cGUg -WzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgdGlkIFsweCV4XSwgdWxwdHhjaCBbJXVd -LCBidWZmZXJlZCBbJXVdCgAAAAB3cmhfb2ZsZF90Y3BfY2xvc2VfY29uX3JlcGx5OiB0Y2JfZmMt -PmZsb3djX2lkIFsweCV4XSwgdGNiX2ZjLT5mbG93Y190eXBlIFsweCV4XSwgbGVuMTYgWyV1XSwg -bG9jIFsldV0KAAAAAAAAAAAAd3JoX29mbGRfdGNwX2Nsb3NlX2Nvbl9yZXBseTogcnBsLT5vcF9U -aWQgWzB4JXhdLCBycGw+c3RhdHVzIFsweCV4XSwgcnBsLT5zbmRfbnh0IFsweCV4XSwgcnBsLT5y -Y3Zfbnh0IFsweCV4XQoAAHRjcF9hYm9ydF9ycGxfcnNzOiB0aWQgWzB4JXhdLCBzdGF0dXMgWzB4 -JXhdCgAAAHRjcF9hYm9ydF9yZXFfcnNzOiB0aWQgWzB4JXhdLCBzdGF0dXMgWzB4JXhdCgAAAG9m -bGRfYWJvcnRfcmVxX25lZ2FkdlsldV06IHdyIDB4JTA4eCBjcGxfYWJvcnRfcmVxIERFTElWRVJF -RAoAAABob3N0X3dyWyV1XTogd3IgMHglMDh4IGNwbF9hYm9ydF9yZXEgc3RhdHVzIDB4JXgKAAAA -AAAAAAAAAAAAAAAAcGt0c2NoZWRfY2xfcmxbJXU6JXVdOiBtb2RlIHwgdW5pdCB8IHJhdGUgMHgl -MDZ4IG1pbiAldSBtYXggJXUgcGt0c2l6ZSAldQoAAAAAAABwYXJhbV9jaG5ldFsweCV4OjB4JXhd -OiBjaG5ldCAweCV4IHJlYWQgJXUgcGYgJXUgcmV0ICVkCgAAAAAAAAAAcGFyYW1fZG1hcVsweCV4 -OjB4JXhdOiBkbWFxIDB4JXggcmVhZCAldSBwZiAldSByZXQgJWQKAAAAAAAAAAAAAE1DWyV1XSBp -bml0X3N0YXRlX21hY2hpbmUgMHglMDJ4CgAAAAAAAAAAAAAAAAAAAE1DIGluaXRpYWxpemF0aW9u -IG5vdCBjb21wbGV0aW5nLCBNQyBjdXJyZW50IGluaXQgc3RhdGUgaXMgMHglMDJ4CgAAAAAAAAAA -AAAAAAAATUNbJXVdIF9od19tY19pbml0X21jCgAAAAAAAAAAAABwaHk6IGZhaWxlZCB0byBhbGxv -Y2F0ZWQgbWVtb3J5IGZvciBwaHkgZncgZmlsZSwgcmV0ICVkCgAAAAAAAAAAaHdfbGVfZmlsdGVy -X2N0dXBsZTogdHVwbGUgJXUgbm90IHNwZWNpZmllZCBidXQgcmVxdWlyZWQgZm9yIG1hc2sgMHgl -eAoAAAAAAAAAAABod190cF90Y3Bfc2V0dGluZ3NfdzogdGltZXJfcnMgJXV1cyB0aW1lc3RhbXBf -cmVzICV1dXMgZGVsYXllZGFja19yZXMgJXV1cwoAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBk -YWNrX3RpbWVyICV1dXMgbXNsICV1dXMgcnh0X21pbixtYXggJXUsJXV1cyBwZXJzX21pbixtYXgg -JXUsJXV1cwoAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBrZWVwX2lkbGUsaW50dmwgJXUs -JXVzIG1heHJ0dCAldXVzIGluaXRzcnR0ICV1dXMgZmlud2FpdDJfdGltZXIgJXV1cwoAAAAAAGh3 -X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIGRhY2tfdGltZXIgZnJvbSAldSB0byAldQAAAAAA -AAAAAABod190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyBtc2wgZnJvbSAldSB0byAldQBod190 -cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyByeHRfbWluIGZyb20gJXUgdG8gJXUAAAAAAAAAAAAA -AAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgcnh0X21heCBmcm9tICV1IHRvICV1AAAA -AAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIHBlcnNfbWluIGZyb20gJXUg -dG8gJXUAAAAAAAAAAAAAAABod190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyBwZXJzX21heCBm -cm9tICV1IHRvICV1AAAAAAAAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcga2Vl -cF9pZGxlIGZyb20gJXUgdG8gJXUAAAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBw -aW5nIGtlZXBfaW50dmwgZnJvbSAldSB0byAldQAAAAAAAAAAAABod190cF90Y3Bfc2V0dGluZ3Nf -dzogY2FwcGluZyBpbml0X3NydHRfbWF4cnR0IGZyb20gJXUgdG8gJXUAAAAAaHdfdHBfdGNwX3Nl -dHRpbmdzX3c6IGNhcHBpbmcgaW5pdF9zcnR0X2luaXRzcnR0IGZyb20gJXUgdG8gJXUAAGh3X3Rw -X3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIGZpbndhaXQyX3RpbWVyIGZyb20gJXUgdG8gJXUAAAAA -AABsZSBjb25maWd1cmF0aW9uOiBuZW50cmllcyAldSByb3V0ZSAldSBjbGlwICV1IGZpbHRlciAl -dSBhY3RpdmUgJXUgc2VydmVyICV1IGhhc2ggJXUKAAAAAAAAAAAAAABsZSBjb25maWd1cmF0aW9u -OiBuZW50cmllcyAldSByb3V0ZSAldSBjbGlwICV1IGZpbHRlciAldSBzZXJ2ZXIgJXUgYWN0aXZl -ICV1IGhhc2ggJXUgbnNlcnZlcnNyYW0gJXUKAAAAAAAAAAAAAAAAaHdfc2dlX3F1ZXVlX2Jhc2Vf -bWFwWyV1XTogZXhjZWVkZWQgbnVtYmVyIG9mIGVncmVzcyBxdWV1ZXMsICV1CgAAAAAAAAAAAAAA -AAAAAABod19zZ2VfcXVldWVfYmFzZV9tYXBbJXVdOiBleGNlZWRlZCBudW1iZXIgb2YgaW5ncmVz -cyBxdWV1ZXMgd2l0aCBmcmVlbGlzdCBhbmQgaW50ZXJydXB0LCAldQoAAABod19zZ2VfcXVldWVf -YmFzZV9tYXBbJXVdOiBleGNlZWRlZCBudW1iZXIgb2YgaW5ncmVzcyBxdWV1ZXMsICV1CgAAAAAA -AAAAAAAAAAAAAGNmX3BhcnNlOiBmaWxlIG1lbXR5cGUgMHgleCBtZW1hZGRyIDB4JXggbWFwcGVk -IEAgJXA6CgAAAAAAAAAAAABjb25maWd1cmVkIHdpdGggY2FwcyBuYm18bGluayAweCUwOHggc3dp -dGNofG5pYyAweCUwOHggdG9lfHJkbWEgMHglMDh4IGlzY3NpfGZjb2UgMHglMDh4CgAAAAAAAABu -ZXQgVkkgYWxsb2NhdGlvbiBmYWlsZWQgZm9yIGZjX2lkICV1IHdpdGggZXJyb3IgJWQKAAAAAAAA -AAAAAAAAbmV0IFZJIG1hYyBhZGRyZXNzIHByb2dyYW1taW5nIGZhaWxlZCBmb3IgZmNfaWQgJXUg -d2l0aCBlcnJvciAlZAoAAAAAAAAAAAAAAAAAAABuZXQgVkkgcnhtb2RlIHByb2dyYW1taW5nIGZh -aWxlZCBmb3IgZmNfaWQgJXUgd2l0aCBlcnJvciAlZAoAAAAAbmV0IFZJIHJzcyBpbmRpcmVjdGlv -biB0YWJsZSBwcm9ncmFtbWluZyBmb3IgZmNfaWQgJXUgZmFpbGVkIHdpdGggZXJyb3IgJWQKAAAA -AABuZXQgVkkgcnNzIGNvbmZpZyBjb21tYW5kIGZhaWxlZCBmb3IgZmNfaWQgJXUgd2l0aCBlcnJv -ciAlZAoAAAAAbmV0IFZJIGNvbW1hbmQgZmFpbGVkIGZvciBmY19pZCAldSB3aXRoIGVycm9yICVk -CgAAAAAAAAAAAAAAAAAAAHByb2dyYW1tZWQgSFcgdGFnbSBbMHglMDh4XSwgSFcgcGdzeiBmYWN0 -b3IgWzB4JTA4eF0sIEZPaVNDU0kgdGFnbSBbMHglMDh4XSwgcnRhZ20gWzB4JTA4eF0sIG1heHN6 -X2JpdHMgWyV1XSwgc3pfYml0cyBbJXVdLgoAAAAAYmFzZSBbIDB4JTA4eF0sIGxsaW1pdCBbMHgl -MDh4XSwgdWxpbWl0IFsweCUwOHhdLCBzaXplIFsldV0sIG1heF90eHN6IFsldV0sIG1heF9yeHN6 -IFsldV0sIGlvc2l6ZSBbJXVdCgAAAAAAAAAAAG5wcG9kcyBbJXVdLCBpZHhfbWFzayBbMHglMDh4 -XSwgaWR4X2ZpcnN0IFsldV0sIGlkeF9sYXN0IFsldV0sIHNjc2lfcGxkX3NpemUgWyV1XSwgQUxJ -R04oc2NzaV9wbGRfc2l6ZSwgMTYpIFsldV0sIHBwZF96b25lcyBbJXVdLgoAAAAAAAAAAAAAAAAA -AGZvaXNjc2lfaW5pdDogZm9pc2NzaV9pbml0X2RvbmUgWyV1XSwgZGV2LnJlcy5mb2lzY3NpX250 -YXNrcyBbJXVdLCBkZXYucmVzLmZvaXNjc2lfbnNlc3MgWyV1XSwgZGV2LnJlcy5uY3NvY2sgWyV1 -XSwgZGV2LnJlcy5mb2lzY3NpX25pbml0IFsldV0sIHJjIFslZF0KAAAAAAAAAABjaF9jbF9yYXRl -WyV1LyV1XTogY2FwcGVkIGNsYXNzIHJhdGUgZnJvbSByZXF1ZXN0ZWQgJXUgdG8gY29uZmlndXJl -ZCAoZWZmZWN0aXZlKSBjaGFubmVsIHJhdGUgJXUKAAAAAAAAAAAAAAAAAAAAY2hfY2xfcmF0ZVsl -dS8ldV06IGluY3JlYXNlZCBkZWZpY2l0X2luY3IgZnJvbSByZXF1ZXN0ZWQgJXUgdG8gcmVxdWly -ZWQgbWluIG9mICV1OyByYXRlICV1IChlZmYgJXUpIGRlZmljaXRfbWF4ICV1CgAAAAAAAAAAAAAA -AABwa3RzY2hlZCBjaGFubmVsICV1IHNldHMgc3BlZWQgKGZyb20gJXUpIHRvICV1IGticHMKAAAA -AAAAAAAAAAAAbmV0X2wyZGV2X25vdGlmeTogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgcG9y -dCBbJWRdLCBldmVudCBbMHgleF0sIHVscHR4Y2ggWyV1XSwgY2xhc3MgWzB4JXhdLCB2cHJpbyBb -MHgleF0sIHZpZCBbMHgleF0sIHZpX3JlYWR5IFsldV0KAAAAAAAAbmV0X2wyZGV2X25vdGlmeTog -cGdpZCBbMHgleF0sIHByaW8gWzB4JXhdLCBjaCBbMHgleF0KAAAAAAAAAAAAAGZjb2Ugbm90aWZ5 -IDogRkNvRSBMSU5LVVA6IHBvcnQgMHgleCwgZXZlbnQgMHgleAoAAAAAAAAAAAAAAAAAAABmY29l -IG5vdGlmeSA6IEZDb0UgTElOS0RPV046IHBvcnQgMHgleCwgZXZlbnQgMHgleAoAAAAAAAAAAAAA -AAAAZmNvZSBub3RpZnkgOiBEQ0JYIDogcG9ydCAweCV4LCBwcmlvcml0eSAweCV4IHVscHR4Y2gg -MHgleCBjbGFzcyAweCV4CgAAAAAAAAAAAABkY2J4X3RpbWVvdXRbJXVdCgAAAAAAAAAAAAAAAAAA -AHBvcnRfY21kX2hhbmRsZXI6IHVua25vd24gdS5kY2IudHlwZSAweCV4CgAAAAAAAHBvcnRbJXVd -IGxpbmsgZG93biAoJXUpIChsc3RhdHVzICUjeCkKAAAAAAAAAAAAAGkyYyBlcnJvciBjYXVzZWQg -YnkgbW9kdWxlIHVucGx1ZwoAAAAAAAAAAAAAAAAAAHNlbmR0byBwZW5kaW5nOiB3cl9wZW5kICVw -IGZvciBwb3J0ICV1LCB3YW50IHRvIHNlbmQgdG8gcG9ydCAldQoAAAAAAAAAAAAAAAAAAAAAcG9y -dFsldV0gdXBkYXRlIChmbG93Y2lkICV1IHJjICV1KQoAAAAAAAAAAAAAAAAAcG9ydF9zZXRfbG9v -cGJhY2sgcG9ydCAlI3ggY3VycmVudCAlI3ggbW9kZSAlI3gKAAAAAAAAAAAAAAAAAAAAAHBvcnRb -JXVdIHNwZWVkIHVwZGF0ZTogJSN4CgAAAAAAcG9ydFsldV0gYmVnaW5uaW5nIGRlYm91bmNlCgAA -AABwb3J0X2xpbmtfc3RhdGVfaGFuZGxlclsldV0gcG93ZXJpbmcgZG93bgoAAAAAAABwb3J0X2xp -bmtfc3RhdGVfaGFuZGxlclsldV0gcG93ZXJpbmcgdXAKAAAAAAAAAABwb3J0X2xpbmtfc3RhdGVf -aGFuZGxlclsldV0gdW5rbm93biBzdGF0ZSAoc3RhdGUgPSAlI3gpCgAAAAAAAAAAcG9ydF9saW5r -X3N0YXRlX2hhbmRsZXI6IFNvbWV0aGluZyB3ZW50IHRlcnJpYmx5IHdyb25nLiByZXQgPSAlZAoA -AAAAAAAAAAAAAAAAAABsZSBpbml0aWFsaXphdGlvbjogbmVudHJpZXMgJXUgcm91dGUgJXUgY2xp -cCAldSBmaWx0ZXIgJXUgYWN0aXZlICV1IHNlcnZlciAldSBoYXNoICV1CgAAAAAAAAAAAABsZSBp -bml0aWFsaXphdGlvbjogbmVudHJpZXMgJXUgcm91dGUgJXUgY2xpcCAldSBmaWx0ZXIgJXUgc2Vy -dmVyICV1IGFjdGl2ZSAldSBoYXNoICV1IG5zZXJ2ZXJzcmFtICV1CgAAAAAAAAAAAAAAaHdfdHBf -aW5pdDogdGNiIHJlZ2lvbiAoc3RhcnQgMHglMDhzIHNpemUgJXUpIG11c3QgYmUgaW4gZmlyc3Qg -MjU2TUIgb2YgTUEgbWVtb3J5CgAAAAAAAAAAAAAAAAAAaHdfdHBfaW5pdDogcGdtbmd0IHJlZ2lv -biAoc3RhcnQgMHglMDhzIHNpemUgJXUpIG11c3QgYmUgaW4gZmlyc3QgMjU2TUIgb2YgTUEgbWVt -b3J5CgAAAAAAAAAAAAAAaHdfdHBfaW5pdDogVFAgcGdtbmd0IGluaXRpYWxpemF0aW9uIGRpZCBu -b3QgY29tcGxldGUKAAAAAAAAAAAAAGJ1Zm1faW5pdDogbiAldSBidWZsbDY0aW50X3NpemUgMHgl -eAoAAAAAAAAAAAAAAGJ1Zm1faW5pdDogbm90IGVub3VnaCBtZW1vcnkgdG8gYWxsb2NhdGUgaW50 -ZXJuYWwgYnVmbGw2NCBidWZmZXJzCgAAAAAAAAAAAAAAAAAAYnVmbV9pbml0OiBub3QgZW5vdWdo -IG1lbW9yeSB0byBhbGxvY2F0ZSBidWZsbDY0IGJ1ZmZlcnMKAAAAAAAAAG1lbV9pbml0X2J1Zjog -bm90IGVub3VnaCBtZW1vcnkgdG8gYWxsb2NhdGUgZmxvdyBidWZmZXJzCgAAAAAAAABtZW1faW5p -dF9idWY6IG5vdCBlbm91Z2ggbWVtb3J5IHRvIGFsbG9jYXRlIHRjYl9jYWNoZSAob2ZmZXJlZCAl -dSB0cnlpbmcgdG8gdXNlICV1IGF2YWlsYWJsZSAldSkKAAAAAAAAAAAAAAAAAAAAbXBhcnRpdGlv -bl9vdGhlcnM6IHN0YXJ0IDB4JTA4eCBzaXplICV1ICh1bnVzZWQgJXUpCgAAAAAAAAAAAAAAAG1w -YXJ0aXRpb25fb3RoZXJzOiBzdGFydCAweCUwOHggc2l6ZSAldSAodW51c2VkICV1KQoAAAAAAAAA -AAAAAABtZW1faW5pdDogRURDIG92ZXJjb21taXR0ZWQgYnkgJWQgYnl0ZXMKAAAAAAAAAABtZW1f -aW5pdDogbm90IGVub3VnaCBtZW1vcnkgdG8gYWxsb2NhdGUgZmxvdyB0YWJsZQoAAAAAAAAAAAAA -AAAAY3hjbmljX2RldmljZV9pbml0OiBjeGNuaWMgWzB4JTB4XSwgY3hjbmljLT5maWx0ZXIgWyUw -eF0KAAAAAAAAAHBvZmNvZSBpbml0IGRvbmUKAAAAAAAAAAAAAAAAAAAAUG9ydFsldV06IFVua25v -d24gU0dNSUkgc3ViLXR5cGUgJSN4CgAAAAAAAAAAAAAAUG9ydFsldV06IFVua25vd24gQlRfWEZJ -IHN1Yi10eXBlICUjeAoAAAAAAAAAAAAAUG9ydFsldV06IFVua25vd24gQlRfWEFVSSBzdWItdHlw -ZSAlI3gKAAAAAAAAAAAAcG9ydF9pbml0WyV1XTogcG9ydCB0eXBlIDB4JXggaXMgbm90IHN1cHBv -cnRlZAoAbXBhcnRpdGlvbl9pbml0OiBtb3ZlZCBwbXJ4X3N0YXJ0IGZyb20gMHglMDh4IHRvIDB4 -JTA4eCB0byBtYWtlIHJvb20gZm9yIExFIEhBU0ggYW5kL29yIFRQIFRDQnMKAAAAAAAAAAAAAAAA -AAAAAG1wYXJ0aXRpb25faW5pdDogbW92ZWQgcG1yeF9zdGFydCBmcm9tIDB4JTA4eCB0byAweCUw -OHggKEVEUkFNKQoAAAAAAAAAAAAAAAAAAAAARVEgcGZuICV1IHZmbiAldTogZGVzdHJveWluZyBl -cWlkICV1IHdpdGggcGVuZGluZyBXUihzKSAobnVtX2J5dGVzICV1IGFuZCBmbGFncyAweCUwOHgK -AAAAAAAAAAAAbDJkZXZfZmMtPmZsb3djX2lkIFsldV0sIGwyZGMtPnBmbiBbJXVdLCBsMmRjLT52 -Zm4gWyV1XSwgbDJkYy0+bHBvcnQgWyV1XSwgbDJkZXZfZmMtPmZsb3dpZCBbJXVdIGwyZGMtPnR4 -X2NoIFsldV0sIGRldi52cGQucG9ydHZlYyBbJXhdCgAAAAAAAAAAcG9ydHZlYyBbJXVdCgAAAGwy -ZGV2X3ZpX2ZzbTogbWIgWzB4JXhdLCBkZWZlcnJlZCwgc3RhdGUgWzB4JXhdLCBwb3J0IFsweCV4 -XQoAAABsMmRldl92aV9mc206IHZpaWQgWzB4JXhdIHBvcnQgWzB4JXhdLCBtYWMtaWQgWyUwMng6 -JTAyeDolMDJ4OiUwMng6JTAyeDolMDJ4XS4gCgAAAAAAAAAAAAAAAAAAAABsMmRldl92aV9mc206 -IHNnZV9lcWlkIFsweCV4XSwgc2dlX2lxaWQgWzB4JXhdLCBzZ2VfZXFjciBbMHgleF0sIHJzc19z -eiBbMHgleF0KAGwyZGV2X3ZpX2ZzbTogbDJkZXZfZmMtPmZsb3djX25ldF9sMmRldl9tdHUgWyV1 -XSwgbWJfc2NyYXRjaCBbMHgleF0sIHBvcnQgWzB4JXhdCgAAAAAAAAAAAAAAAAAAAGwyZGV2X3Zp -X2ZzbTogdmlpZCBbJWRdLCB2aV9mYy0+Zmxvd2NfdmlfZmxhZ3MgWzB4JXhdCgAAAAAAAAAAAABs -MmRldl92aV9mc206IHBmbiBbMHgleF0sIHZmbiBbMHgleF0sIGwyZGV2X2ZjLT5mbG93Y19pZCBb -MHgleF0sIGxwb3J0IFsweCV4XSwgdmlpZCBbMHgleF0sIGZsYWdzIFsweCV4XQoAAAAAAAAAbDJk -ZXZfdmlfZnNtOiBFcnJvciBmcmVlaW5nIFZJLCByYyBbMHgleF0KAAAAAAAAbDJkZXZfdmlfZnNt -OiBwaWQgWzB4JXhdLCB2aWlkIFsweCV4XSwgbWJfbG9jIFsweCV4XSwgbWJfb3JpZ1sweCV4XSwg -bDJkZXZfZmxhZ3MgWzB4JXhdLCByYyBbMHgleF0KAAAAAAAAAAAAAAAAAEFoIGhhLi4uZG91Ymxl -IGZyZWUgb3hfaWQgMHgleCwgcnhfaWQgMHgleAoAAAAAAEhvc3QgUFJMSSBSZXNwb25zZSB0aW1l -ZG91dDogb3hfaWQgMHgleCByeF9pZCAweCV4CgAAAAAAAAAAAAAAAABGQ09FIEZyZWU6IHN0aWxs -IHlpZWxkZWQgd2hlbiBmcmVlaW5nLi4uZmxvd2NfaWQgJXggZmxvd2NfZmxhZ3MgJXggCgAAAAAA -AAAAAAAAAEZDIHhjaGcgZnJlZSB4aWQ6JWQgZmxvd2lkICVkCgAAcGZuICV1IHZmbiAldSB2aWEg -Y29tbWFuZAoAAAAAAABzY2hlZF9pb3F0eF9icF9wcmlvcml0eTogaGFzICV1IGVudHJpZXMgb25s -eSwgcmVxdWlyZXMgJXUgZW50cmllcwoAAAAAAAAAAAAAAAAAAHRwX2JhY2tvZmY6IHBhcnNlZCAl -ZCBpbnN0ZWFkIG9mICV1IGVudHJpZXMKAAAAAHRwX3RpbWVydmFsczogcGFyc2VkICVkIGluc3Rl -YWQgb2YgJXUgZW50cmllcwoAAHRwX3RpbWVycmVzOiBwYXJzZWQgJWQgaW5zdGVhZCBvZiAldSBl -bnRyaWVzCgAAAHRwX210dXMgaGFzICV1IGVudHJpZXMgb25seSwgcmVxdWlyZXMgJXUgZW50cmll -cwoAAAAAAAAAAAAAAAAAAAB0cF9tdHVzWyV1XSBpcyAldSBieXRlcyB3aGljaCBpcyBub3Qgc3Vw -cG9ydGVkCgBjb25maWd1cmF0aW9uIGZpbGUgcGFyc2VyOiBzZ2UgdGltZXIgdmFsdWVbJWldIGlz -IHRvbyBsYXJnZSwgY2hhbmdpbmcgZnJvbSAldSB0byAldXVzZWNzCgAAAAAAAABmaWx0ZXJtYXNr -IDB4JXggaXMgbm90IGVxdWFsL3N1YnNldCB0by9vZiBmaWx0ZXJtb2RlCgAAAAAAAAAAAAAAaHdf -bGVfY2xpcF9oYW5kbGVyOiByZW1vdmVkIHBvcz0ldSAoPWlkeCAldSkKAAAAaHdfbGVfY2xpcF9o -YW5kbGVyOiBhZGRpbmcgdG8gcG9zPSV1ICg9aWR4ICV1KQoAbW9kdWxlWyV1XTogcG9ydCBtb2R1 -bGUgaW5zZXJ0ZWQgYW5kIHJlYWR5CgAAAAAAbW9kdWxlWyV1XTogcG9ydCBtb2R1bGUgcmVtb3Zl -ZAoAAAAAAAAAAAAAAAAAAAAAbW9kdWxlWyV1XTogdW5rbm93biBtb2R1bGUgaWRlbnRpZmllciAw -eCUwMngKAAAAbW9kdWxlWyV1XTogZ3BpbyAldSB0cmFucyAxMEcgMHglMDJ4IDFHIDB4JTAyeCAo -bGVuZ3RoICV1KSBjYWJsZSAweCUwMnggKGxlbmd0aCAldSkgbW9kdWxlX3R5cGUgMHglMDJ4CgAA -AAAAAAAAAG1vZHVsZVsldV06IGdwaW8gJXUgdHJhbnMgMTBHIDB4JTAyeCAxRyAweCUwMnggKGxl -bmd0aCAldSkgY2FibGUgMHglMDJ4IChsZW5ndGggJXUpIG1vZHVsZV90eXBlIDB4JTAyeAoAAAAA -AAAAAABmbHJfcGZ2Zl9mc21bJXU6JXVdOiB1bmtub3duIHN0YXRlICV1CgAAAAAAAAAAAABodyBw -ZiBiaXRtYXAgMHglMDJ4IHZmaWQgYml0bWFwIDB4JTA4eDoweCUwOHg6MHglMDh4OjB4JTA4eAoA -AAAAYWZ0ZXIgdmZpZCBmaXh1cCwgdmZpZCBiaXRtYXAgMHglMDh4OjB4JTA4eDoweCUwOHg6MHgl -MDh4CgAAAAAAAHRpbWVyIHF1ZXVlICV1IGxvc3QgYSB0aWNrISBuZXh0ICVwIGxhc3QgJXAgbnVt -ZSAldQoAAAAAAAAAAAAAAABmbHJfdGltZXJfc3RhcnQ6IGZsb3djX2lkICV1ICVwIGJ1ZiAlcAoA -AAAAAAAAAABwY2llOiBucGYgJXUgKHBmYml0bWFwIDB4JTAyeCkgbnZmICV1IChwZiAwLi43IDB4 -JTA4eCUwOHgpIHZmc3RyaWRlICV1CgAAAAAAAAAAAGZhaWxlZCB0byBmaW5kIHRoZSAlYyVjIFZQ -RCBwYXJhbWV0ZXIKAAAAAAAAAAAAAGZhaWxlZCB0byBwYXJzZSB0aGUgJWMlYyBWUEQgcGFyYW1l -dGVyCgAAAAAAAAAAAGZhaWxlZCB0byBzdWNjZXNzZnVsbHkgZmluZCBDaGVsc2lvIFZQRAoAAAAA -AAAAAGxvZyBpbml0aWFsaXplZCBAIDB4JTA4eCBzaXplICV1ICgldSBlbnRyaWVzKSBmd3JldiAw -eCUwOHggcGNpZV9mdyAweCUwOHgKAAAAAAAAZ2F0aGVyX3Rhc2tzX2Zvcl90bWY6IGlkeCBbMHgl -eF0sIHRhc2staWQgWzB4JXhdLCBjbWQtaWQgWzB4JXhdLCBhY3RpdmUgdGFza3MgWzB4JXhdLiBj -b25uLWlkIFsweCV4XSwgY21kIGNvbm4taWQgWzB4JXhdLCB0YXNrIGNvbm4taWQgWzB4JXhdCgAA -Z2F0aGVyX3Rhc2tzX2Zvcl90bWY6IEludmFsaWQgdHlwZSBbMHgleF0sIGJhaWxpbmcgb3V0LgoA -AAAAAAAAAGdhdGhlcl90YXNrc19mb3JfdG1mOiB0YXNrIGlkIFsweCV4XSwgc3RhdGUgWzB4JXhd -LCBsaWR4IFsweCV4XSwgY29va2llIGhpIFsweCUwOHhdIDogbG8gWzB4JTA4eF0KAAAAAAAAAAAA -AAAAAABnYXRoZXJfdGFza3NfZm9yX3RtZjogcmMgWzB4JXhdLCBbMHgleF0gdGFzayBnYXRoZXJl -ZCBmb3IgdG1mIHR5cGUgWzB4JXhdIHByb2Nlc3NpbmcuCgAAAAAAAAAAAABzY3NpX2RhdGFfb3V0 -OiBjb25uX2ZjIFsweCV4XSwgc3RhdGUgWzB4JXhdLCBzZXNzX2ZjIFsweCV4XSBpbiByZWNvdmVy -eS4gU2tpcHBpbmcgaXN0YXNrX2ZjIFsweCV4XSBmcm9tIFRYLgoAAAAAc2VuZF9hYm9ydF9yZXE6 -IGNza19mYy0+Zmxvd2NfdHlwZSBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCB0aWQg -WzB4JXhdLCB1bHB0eGNoIFsldV0sIGJ1ZmZlcmVkIFsldV0KAAAAAGh3IHJlZ2lzdGVyIG9wZXJh -dGlvbiBub3QgY29tcGxldGluZywgcmVnIDB4JTA4eCBtYXNrIDB4JTA4eCB2YWx1ZSAweCUwOHgg -KHJlZyAweCUwOHgpCgAAAAAAAAAAAE1ESU8gQ0w0NTogZmFpbGVkIHRvIHNldCB1cCBNTUQgYWRk -cgoAAAAAAAAAAAAAAE1ESU86IGZhaWxlZCB0byB3cml0ZQoAAAAAAAAAAAAATURJTyBDTDQ1OiBm -YWlsZWQgdG8gc2V0IHVwIE1NRCBhZGRyCgAAAAAAAAAAAAAATURJTzogZmFpbGVkIHRvIHJlYWQK -AAAAAAAAAAAAAAAJQVFfVGFrZUNvbnRyb2xPZkZMQVNIOiAxZS5jMDAxPSUjeCAxZS5jNDUwPSUj -eCAxZS5jNDUxPSUjeCAxZS4xMDA9JSN4CgAAAAAAAAAAAEFRX0FQSV9Xcml0ZUFuZFZlcmlmeUZs -YXNoSW1hZ2UgLSBJbWFnZSBpbnRlZ3JpdHkgY2hlY2sgZmFpbGVkIChjYWxjICUjeCB2YWwgJSN4 -KQoAAAAAAAAAAAAAAAAAAEFRX0FQSV9Xcml0ZUFuZFZlcmlmeUZsYXNoSW1hZ2UgLSBJbWFnZSBp -bnRlZ3JpdHkgY2hlY2sgcGFzc2VkCgBBUV9BUElfV3JpdGVBbmRWZXJpZnlGbGFzaEltYWdlIC0g -VGltZW91dCB3YWl0aW5nIGZvciBmbGFzaCBpbnRlcmZhY2UgKCV1KQoAAAAAAEFRX0FQSV9Xcml0 -ZUFuZFZlcmlmeUZsYXNoSW1hZ2UgLSBUaW1lb3V0IHdhaXRpbmcgZm9yIGZsYXNoIGludGVyZmFj -ZSAoJXUpCgAAAAAAQVFfQVBJX1dyaXRlQW5kVmVyaWZ5Rmxhc2hJbWFnZSAtIFRpbWVvdXQgd2Fp -dGluZyBmb3IgZmxhc2ggaW50ZXJmYWNlICgldSkKAAAAAABBUV9BUElfV3JpdGVBbmRWZXJpZnlG -bGFzaEltYWdlIC0gVGltZW91dCB3YWl0aW5nIGZvciBmbGFzaCBpbnRlcmZhY2UgKCV1KSAocHAg -JSN4IGFwICUjeCkKAAAAAABBUV9BUElfV3JpdGVBbmRWZXJpZnlGbGFzaEltYWdlIC0gVGltZW91 -dCB3YWl0aW5nIGZvciBmbGFzaCBpbnRlcmZhY2UgKCV1KQoAAAAAAEFRX0FQSV9Xcml0ZUFuZFZl -cmlmeUZsYXNoSW1hZ2UgLSBUaW1lb3V0IHdhaXRpbmcgZm9yIGZsYXNoIGludGVyZmFjZSAoJXUp -CgAAAAAAQVFfQVBJX1dyaXRlQW5kVmVyaWZ5Rmxhc2hJbWFnZSAtIEVycm9yIG9uIGJ1cm5pbmcg -RkxBU0ggKGNyYzE2IG1pc21hdGNoKQoAAAAAAABzZW5kX2Nsb3NlX3JlcTogY3NrX2ZjLT5mbG93 -Y190eXBlIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNza19mYy0+dGNiX3N0YXRl -IFsweCV4XQoAAABzZW5kX2Nsb3NlX3JlcTogY3NrX2ZjLT5mbG93Y190eXBlIFsweCV4XSwgY3Nr -X2ZjLT5mbG93Y19pZCBbMHgleF0sIHRpZCBbMHgleF0sIHVscHR4Y2ggWyV1XSxidWZmZXJlZCBb -JXVdCgAAAAAAb2ZsZF90Y3BfZG9fYWN0aXZlX2Nsb3NlOiBjc2tfZmMgWzB4JXhdLCBjc2tfZmMt -PmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT50Y2Jfc3RhdGUgWzB4JXhdCgAAAAAAb2ZsZF90Y3Bf -ZG9fYWN0aXZlX2Nsb3NlOiBjc2tfZmMgWzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwg -Y3NrX2ZjLT50Y2Jfc3RhdGUgWzB4JXhdCgAAAAAAb2ZsZF90Y3BfZGlzY29ubmVjdDogdGNiX2Zj -LT5mbG93Y19pZCBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2stPnRjYl9zdGF0 -ZSBbMHgleF0KAAAAZGVjb2RlX2Jhc2U2NF9zdHJpbmc6IGRsZW4gWyVkXQoAAAAAAAAAAAAAAAAA -AAAAZGVjb2RlX2hleF9zdHJpbmc6IGRsZW4gWyVkXQoAAABmb2lzY3NpX3ZhbGlkYXRlX2xvZ2lu -X3N0YWdlOiAtIDEKAAAAAAAAAAAAAAAAAABhc3luY19wZHU6IGxvZ291dCByZXF1ZXN0ZWQgYmxv -Y2tpbmcgc2Vzc2lvbgoAAABhc3luY19wZHU6IHNlc3MvY29ubiBkcm9wIHJlcXVlc3RlZCBibG9j -a2luZyBzZXNzaW9uCgAAAAAAAAAAAAAAY3BsX3R4X3BrdDogdmxhbmlkIFsweCV4XQoAAAAAAABu -ZXRfbDJkZXZfZmluZF9ieV9hZGRyOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBsMmRjLT5s -cG9ydCBbJXVdLCBsMmRfZmMtPmZsb3djX2lkIFsweCV4XSwgbDJkYy0+aW40X2Rldi5pbl9hZGRy -LmFkZHIgWzB4JXhdLCBhZGRyIFsweCV4XQoAAABuZXRfbDJkZXZfbXR1X2NvbmZpZzogbDJkZXZf -ZmMtPmZsb3djX2lkIFsweCV4XSwgbXR1ICV1CgAAAAAAAAAAY3BsX3R4X3BrdDogdmxhbmlkIFsw -eCV4XQoAAAAAAABlbmNvZGUgaGV4IHN0cmluZzogZGxlbiBbJWRdCgAAAGNobmV0X2ZpbmRfbDJ0 -X2VudHJ5OiBkYWRkciBbJTA4eF0sIFsweCUwOHhdLCBsb2NhbCBuZXR3b3JrIFslZF0KAAAAAAAA -AAAAAAAAAAAAbDJ0ZW50IFslMHhdLCBsMnRlbnQtPmlkeCBbJWRdCgB0Y3Bfc2VuZF9hb3Blbl9y -ZXE6IGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwg -YnVmZmVyZWQgWyV1XSwgcmVzX2NudCBbMHgleF0sIGlxX2lkeCBbMHgleF0KAAAAAAAAAAAAAHRj -cF9zZW5kX2FvcGVuX3JlcTogY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNza19mYy0+Zmxvd2Nf -c3RhdGUgWzB4JXhdLCBubyB2YWxpZCBsMnRfZW50eS4gRGVsYXlpbmcgYW5vdGhlciByZXRyeSBm -b3IgMSBzZWNvbmRzLgoAAAAAAAAAAAAAAAAAAGFvcGVuX3JlcTogaHdfbGVfZmlsdGVyX2N0dXBs -ZSBmYWlsZWQKAAAAAAAAAAAAAG9mbGRfdGNwX3NlbmRfYW9wZW5fcmVxOiBjcGxfcmVxLT5GaWx0 -ZXIgWzB4JTB4XSwgY3R1cGxlc1swXSBbMHgleF0sIGN0dXBsZXNbMV0gWzB4JXhdCgAAAAAAAAAA -AGNzb2NrX2FsbG9jOiB0eF9jaCBbMHgleF0sIGxwb3J0IFsweCV4XSwgY29va2llIFslMDh4XQoA -AAAAAAAAAABjc29ja19hbGxvYzogYXZhaWxhYmxlIFsldV0sIG5jc29jayBbJXVdLCBwb3M6YXRp -ZCBbMHgleF0sIGNza19mYyBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBzcG9ydCBb -JXVdCgAAV0FUQ0hET0c6IE5vIHRlbXBlcmF0dXJlIHNlbnNvciBhdmFpbGFibGUuCgAAAAAAd2F0 -Y2hkb2cgY21kIHJlZnJlc2ggKGFjdGlvbiAldSkKAAAAAAAAAAAAAAAAAAAAV0FUQ0hET0c6IEFj -dGl2YXRpbmcKAAAAAAAAAAAAAABXQVRDSERPRyAtIEVuYWJsZSBhY3Rpb24gJXUgdGltZSAldQoA -AAAAAAAAAAAAAABXQVRDSERPRyAtIERpc2FibGUgYWN0aW9uICV1CgAAAFdBVENIRE9HOiBEZS1h -Y3RpdmF0aW5nCgAAAAAAAAAAcG9ydFsldV0gc2V0IFBBVVNFIFBBUkFNUzogcHBwZW4gJXUgdHhw -ZSAlI3ggcnhwZSAlI3gKAAAAAAAAAAAAAG1wc19saW5rX3VwWyV1XSBhY2FwcyAlI3ggKDgwMi4z -ICUjeCkgKyBscGFjYXBzICUjeCA9PiAlI3gKAAAAAABmb2lzY3NpIGNvbm5fZmMgWzB4JXhdLCBm -bG93Y19zY2hlZGNsIFsweCV4XSwgaW5nX2NoIFsweCV4XSwgZWdyX2NoIFsweCV4XQoAAAAAAGwy -ZGV2X25vdGlmeSB3aXRoIHVua25vd24gZmxhZyBbMHgleF0KAAAAAAAAAAAAAEZDb0UgRkNCIGxp -bmtkb3duOiBpb19yZXEgMHgleCV4IGlxaWQgMHgleCBmbG93aWQgMHgleCBvcCAweCV4CgBjYW5j -ZWwgZmNiOiV4IHNjYjoleCBzdGF0ZToleAoAAFJERVYgbXNnIGZsb3djOiV4IHN0YXRlIDB4JXgg -ZXZlbnQgMHgleAoAAAAAAAAAAHZuMnZuOiBwb3J0IDB4JXggZGlkOjB4JXgleCV4IFVQCgAAAAAA -AAAAAAAAAAAAAHZuMnZuOiBwb3J0IDB4JXggZGlkOjB4JXgleCV4IERPV04KAAAAAAAAAAAAAAAA -AGZjX3NlbmRfYWxsb2NfY3BsOiBmYWlsZWQgdG8gc2V0dXAgZmlsdGVyIGN0dXBsZQoAAAAAAAAA -AAAAAAAAAABmY29lX2NvbXB1dGVfY3R1cGxlIDB4JXg6JXgKAAAAAGNvbXB1dGVfY3R1cGxlKCk6 -IGZhaWxlZCB0byBzZXR1cCBmaWx0ZXIgY3R1cGxlCgAAAAAAAAAAAAAAAAAAAABmY29lX2NvbXB1 -dGVfY3R1cGxlIHZsYW4gJXggdmlpZCAleCBwb3J0ICV4IG1wc19pZHggJXgKAAAAAAAAAAAAQXBw -bHkgQVBQOiBwb3J0ICVkIHByaW9yICVkIHNlbGVjdCAlZCBwcm90b2NvbElEIDB4JTA0eAoAAAAA -AAAAAGNoX2NsX3JhdGVbJXUvJXVdOiBjYXBwZWQgZGVmaWNpdF9pbmNyIGZyb20gcmVxdWlyZWQg -JXUgdG8gJXU7IHJhdGUgJXUgKGVmZiAldSkgZGVmaWNpdF9tYXggJXUKAGZjX3NlbmRfYWxsb2Nf -Y3BsOiBmYWlsZWQgdG8gc2V0dXAgZmlsdGVyIGN0dXBsZQoAAAAAAAAAAAAAAAAAAABmY29lX2Nv -bXB1dGVfY3R1cGxlIDB4JXg6JXgKAAAAAGNvbXB1dGVfY3R1cGxlKCk6IGZhaWxlZCB0byBzZXR1 -cCBmaWx0ZXIgY3R1cGxlCgAAAAAAAAAAAAAAAAAAAABGQ29FIEZDRiB0aW1lcjogZmxvd2Mgc3Rh -dGUgMHgleCwgcG9ydCAweCV4ICxmY2YgMHgleCwgZmxvd2NfaWQgMHgleAoAAAAAAAAAAAAAAHdv -cmthcm91bmQxMzcyMzogZGV0ZWN0ZWQgV1IgQCAweCUwOHggb2Ygc2l6ZSAldSBieXRlcywgZHJp -YmJsaW5nIGl0IGluICV1IGJ5dGVzIGF0IGEgdGltZQoAAAAAAHJpX3dyX2luaXRbJXVdOiBtc3Mg -JXUgaXMgbm90IDgtYnl0ZSBhbGlnbmVkCgAAAGNvcmVfcHJvZ3JhbV90Y2I6IHRpZCAlI3ggdF9z -dGF0ZSAlI3ggcmN2X2FkdiAweCUwOHggcmN2X3NjYWxlICUjeCB0eF9tYXggJSN4IHJjdl9ueHQg -JSN4IGF0aWQgJSN4CgAAAAAAAAAAAAAAAAAJb3B0MCAlI3gleCBvcHQyICUjeCBpcHY2ICUjeCBm -bGFnc190aW1lciAweCUwOHgKAAAAAAAAAAAAAAAAAAAAb2ZsZF9jb25uZWN0aW9uX3dyOiBjb25u -ZWN0aW9uIHdpdGggNS10dXBsZSBscCAweCUwNHggZnAgMHglMDR4IGxpcCAweCUwOHglMDh4IHBp -cCAweCUwOHglMDh4IGZpbHRlciAweCUwOHggZXhpc3RzIEAgTEUgaW5kZXggJXUKAAAAAAAAAAAA -AAAAAAAAb2ZsZF9jb25uZWN0aW9uX3dyOiBjb25uZWN0aW9uIHdpdGggNS10dXBsZSBscCAweCUw -NHggZnAgMHglMDR4IGxpcCAweCUwOHggcGlwIDB4JTA4eCBmaWx0ZXIgMHglMDh4IGV4aXN0cyBA -IExFIGluZGV4ICV1CgAAAAAAAABvZmxkX2Nvbm5lY3Rpb25fd3I6IGNvbm5lY3Rpb24gd2l0aCA1 -LXR1cGxlIGxwIDB4JTA0eCBmcCAweCUwNHggbGlwIDB4JTA4eCUwOHggcGlwIDB4JTA4eCUwOHgg -ZmlsdGVyIDB4JTA4eAoAAAAAb2ZsZF9jb25uZWN0aW9uX3dyOiBjb25uZWN0aW9uIHdpdGggNS10 -dXBsZSBscCAweCUwNHggZnAgMHglMDR4IGxpcCAweCUwOHggcGlwIDB4JTA4eCBmaWx0ZXIgMHgl -MDh4CgAAAAAAAAAAAAAAAElRRkxJTlQgcGZuICV1IHZmbiAldTogaXFlc2l6ZSAldSB0b28gc21h -bGwKAAAAAElRRkxJTlQgcGZuICV1IHZmbiAldTogaXFpZCAldSB0b28gbGFyZ2UgKG1heCAldSkK -AAAAAAAAAAAAAAAAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGlxaWQgJXUgbm90IGFsbG9jYXRl -ZAoAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGZsMGlkICV1IHRvbyBsYXJnZSAobWF4ICV1KQoA -AAAAAAAAAAAAAAAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBmbDBpZCAldSBub3QgYWxsb2NhdGVk -CgAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBmbDFpZCAldSB0b28gbGFyZ2UgKG1heCAldSkKAAAA -AAAAAAAAAAAAAElRRkxJTlQgcGZuICV1IHZmbiAldTogZmwxaWQgJXUgbm90IGFsbG9jYXRlZAoA -AElRRkxJTlQgcGZuICV1IHZmbiAldTogZmwxaWQgJXUgaXMgdmFsaWQgYnV0IG5vdCBmbDBpZCAl -dQoAAAAAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGZsMWlkICV1IGlzIHZhbGlkIGJ1dCBoZWFk -ZXIgc3BsaXQgZmVhdHVyZSBpcyBub3QgZW5hYmxlZAoAAAAAAAAAAAAAAAAAAABod191bHB0eF93 -b3JrYXJvdW5kX3ByMTY5NDlfZW5hYmxlZF9wZjogcGYgJXUgZW5hYmxlZCAldQoAAAAAAAAAaHdf -dWxwdHhfd29ya2Fyb3VuZF9wcjE2OTQ5X2VuYWJsZWRfdmZpZDogdmZpZCAldSBlbmFibGVkICV1 -CgAAAEVRIHBmbiAldSB2Zm4gJXU6IGNyZWF0aW5nIEVUSCBlcWlkICV1IHdpdGggcGVuZGluZyBX -UihzKSAobnVtX2J5dGVzICV1IGFuZCBmbGFncyAweCUwOHgKAAAAAAAAAEVRIHBmbiAldSB2Zm4g -JXU6IGNyZWF0aW5nIENUUkwgZXFpZCAldSB3aXRoIHBlbmRpbmcgV1IocykgKG51bV9ieXRlcyAl -dSBhbmQgZmxhZ3MgMHglMDh4CgAAAAAAAEVRIHBmbiAldSB2Zm4gJXU6IGVxaWQgJXUgdG9vIGxh -cmdlIChtYXggJXUpCgAAAEVRIHBmbiAldSB2Zm4gJXU6IGVxaWQgJXUgbm90IGFsbG9jYXRlZAoA -AAAAAAAAAGh3X2NpbV90cF93b3JrYXJvdW5kMTM3MjNfZW5hYmxlOiBwb3J0ICV1IHByb3RvY29s -IDB4JXggZW4gJXUgY3VycmVudCAweCV4IHdvcmthcm91bmRfcHIxMzcyMyAweCV4IG5leHQgMHgl -eAoAAABwb3J0X2JsaW5rX2xlZF9yZXN0b3JlCgAAAAAAAAAAAHBvcnRfYmxpbms6IGJsaW5rZHVy -PTB4JXggYmxpbmtfcmVmY250CgAAAAAAAAAAAHBvcnRfYmxpbms6IAlibGlua19yZWZjbnQ9MHgl -eAoAcG9ydF9ibGluazogCWJsaW5rX3JlZmNudD0weCV4CgBtaWlfYWR2X2ZjWyV1XTogcmNhcHMg -MHgleAoAAAAAAG1paV9hZHZfc3BlZWRbJXVdOiByY2FwcyAweCV4CgAAbWlpX2luaXRbJXVdOiBh -Y2FwcyAweCV4CgAAAAAAAABwb3J0WyV1XTogZ2F2ZSB1cCBmaXhpbmcgZXJyb3JzISEhCgAAAAAA -AAAAAAAAAABtaWlfYW5yZXN0YXJ0WyV1XTogYWNhcHMgMHgleAoAAGh3X3hnbV9wb3J0X2xwYmsg -cG9ydCAldSBwdHlwZSAlI3ggYWN0aW9uICUjeAoAAHBvcnRfY21kX2hhbmRsZXI6IHVua25vd24g -dS5kY2IudHlwZSAweCV4CgAAAAAAAHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IGwxY2ZnLCBpbnZh -bGlkIHJlcXVlc3QsIHBjYXBzIDB4JXggYWNhcHMgMHgleCByY2FwcyAweCV4CgAAAAAAAAAAAAAA -AAAAAHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IGwxY2ZnLCBwY2FwcyAlI3ggYWNhcHMgJSN4IHJj -YXBzICUjeCBtY2FwcyAlI3gKAAAAAAAAAAAAcG9ydFsldToweCUwMng6MHglMDJ4XTogbDFjZmcs -IG1kaSBpc3N1ZSBwY2FwcyAweCV4IGFjYXBzIDB4JXggcmNhcHMgMHgleAoAAAAAAABwb3J0WyV1 -OjB4JTAyeDoweCUwMnhdOiBsMWNmZywgY2Fubm90IGZvcmNlIG5vL211bHRpcGxlIHNwZWVkKHMp -LCBwY2FwcyAweCV4IGFjYXBzIDB4JXggcmNhcHMgMHgleAoAAAAAAAAAAAAAAAAAZXRoX2Zsb3dj -X2hhbmRsZXJbMHgleF06IGZsYWdzIDB4JTA4eCBudW1fYnl0ZXMgJXUgc2NoZWRjbCAweCV4IC0+ -IDB4JXgKAAAAAAAAAABzY3NpX2NtZDogcmVjZWl2ZWQgVE1GIG9wIFsweCV4XSBmdW5jIFsweCV4 -XSBvbiBjb25uIFsweCV4XSB0aHJvdWdoIGNvbW1hbmQgcGF0aC4KAAAAAAAAAAAAAAAAAABzY3Np -X2NtZDogY29ubl9mYyBbMHgleF0sIHN0YXRlIFsweCV4XSwgc2Vzc19mYyBbMHgleF0gaW4gcmVj -b3ZlcnkuIFNraXBwaW5nIGlzdGFza19mYyBbMHgleF0gZnJvbSBUWC4KAAAAAAAAAAAAc2NzaV9j -bWQ6IGlTQ1NJIGNvbW1hbmQgc2VxdWVuY2Ugd2luZG93IGNsb3NlZC4gY29ubiBbMHgleF0sIG9w -IFsweCV4XSwgIGNtZHNuIFsweCV4XSwgc2VudF9jbWRzbiBbMHgleF0sIG1heF9jbWRzbiBbMHgl -eF0sIGl0dCBbMHgleF0KAAAAAAAAAAAAc2NzaV9yZWFkOiBjb25uX2ZjIFsweCV4XSwgc3RhdGUg -WzB4JXhdLCBzZXNzX2ZjIFsweCV4XSBpbiByZWNvdmVyeS4gU2tpcHBpbmcgaXN0YXNrX2ZjIFsw -eCV4XSBmcm9tIFRYLgoAAAAAAAAAAHNjc2lfcmVhZDogaVNDU0kgY29tbWFuZCBzZXF1ZW5jZSB3 -aW5kb3cgY2xvc2VkLiBjb25uIFsweCV4XSwgY21kc24gWzB4JXhdLCBzZW50X2NtZHNuIFsweCV4 -XSwgbWF4X2NtZHNuIFsweCV4XQoAAAAAAAAAAAAAAAAAAAAAc2NzaV93cml0ZTogY29ubl9mYyBb -MHgleF0sIHN0YXRlIFsweCV4XSwgc2Vzc19mYyBbMHgleF0gaW4gcmVjb3ZlcnkuIFNraXBwaW5n -IGlzdGFza19mYyBbMHgleF0gZnJvbSBUWC4KAAAAAAAAAHNjc2lfd3JpdGU6IGlTQ1NJIGNvbW1h -bmQgc2VxdWVuY2Ugd2luZG93IGNsb3NlZC4gY29ubiBbMHgleF0sIGNtZHNuIFsweCV4XSwgc2Vu -dF9jbWRzbiBbMHgleF0sIG1heF9jbWRzbiBbMHgleF0sIGl0dCBbMHgleF0KAAAAZGNieF9jb250 -cm9sX3NtWyV1XSBDT05UUk9MX0xJTktVUAoAAAAAAAAAAAAAAAAAZGNieF9jb250cm9sX3NtWyV1 -XSBDT05UUk9MX1VQREFURV9EQ0JYX1RMVgoAAAAAZGNieF9jb250cm9sX3NtWyV1XSBDT05UUk9M -X1BFRVJfTk9UX0FEVkVSVElTRV9EQ0JYCgAAAAAAAAAAAAAAAGRjYnhfY29udHJvbF9zbVsldV0g -Q09OVFJPTF9VUERBVEVfT1BFUl9WRVJTSU9OCgAAAAAAAAAAAAAAAAAAAABkY2J4X2NvbnRyb2xf -c21bJXVdIENPTlRST0xfUFJPQ0VTU19QRUVSX1RMVgoAAABkY2J4X2NvbnRyb2xfc21bJXVdIENP -TlRST0xfQUNLX1BFRVIKAAAAAAAAAAAAAABkY2J4X2llZWVfdmFsaWRhdGVbJXVdIGVycm9yIChv -dWkgJSN4IHN1YnR5cGUgJSN4IGxlbiAlI3gpCgAAAAAAZGNieF9jZWVfdmFsaWRhdGVbJXVdIGVy -cm9yCgAAAABwcm9jZXNzX2RoY3Bfb3B0czogcm9vdCBwYXRoIGxlbiBbJWRdIGJ5dGVzCgAAAABu -ZXRpZl9wcm9jZXNzX2RoY3Bfb3B0czogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgTVNHX1RZ -UEUgWyVkXSwgZGhjdHh0LT5zdGF0ZSBbJWRdCgAAAAAAAAAAAABpY21wX3JlY3Y6IGwyZGV2X2Zj -LT5mbG93Y19pZCBbMHgleF0sIHBpZCBbMHgleF0sIGljbXAgdHlwZSBbMHgleF0KAAAAAAAAAAAA -AAAAAEFCVFMgQUNDIGF3YWl0aW5nIFBSTEkgUnNwOiBmbG93Y19pZCAweCV4IG94X2lkIDB4JXgg -cnhfaWQgMHgleCBpcWlkIDB4JXgKAAAAAAAAcG9ydCAweCV4LCBzdGF0ZSAweCV4LCBjb21tYW5k -IGZhaWxlZCByZXRyaWVzIDB4JXgKAAAAAAAAAAAAAAAAAGFycF9yZWN2OiBpcGlkIFsweCV4XSwg -aW5fYWRkci5hZGRyIFsweCV4XSwgc2lwIFsweCV4XSwgcmlwIFsweCV4XSwgYXJwX29wIFsweCV4 -XQoAAAAAAAAAAAAAAAAAAGNobmV0X2FycF9yZWN2OiBpcCBjb25mbGljdCBkZXRlY3RlZAoAAAAA -AAAAAAAAAGNobmV0X2FycF9yZWN2OiBwaWQgWyV1XSwgdmxhbiBbMHgleF0sIGFycCBvcCBbMHgl -eF0sIHNpcCBbMHgleF0sIHJpcCBbMHgleF0KAAAASW52YWxpZCBkaWQ6eCUyeCUyeCUyeCByY3Zk -IG9uIHBvcnQ6JWQuRHJvcGluZyBmcmFtZQoAAAAAAAAAAAAAAHJjdDp4JXggc2lkOnglMnglMngl -MnggcmN2ZCBvbiBmbG93YzolZC5Ecm9waW5nIGZyYW1lCgAAAAAAAAAAAABjc29ja19mcmVlOiBz -aXplb2YoY3NrX2ZjLT51LmNzb2NrKSBbJXVdLCBieXRlcwoAAAAAAAAAAAAAAAAAAAAAR290IENP -Tk5fRVhJU1QgZm9yIHhpZDoweCV4LCB0YWc6MHgleCwgcmV0cnlpbmcuCgAAAAAAAAAAAAAAAAAA -AGh3X3VscHR4X3dvcmthcm91bmRfcHIxNjk0OV9lbmFibGVkX3BmX2lxOiBpcSAldSBlbmFibGVk -ICV1IChwZiAldSkKAAAAAAAAAAAAAAAAY3NvY2tfcGVlcl9jbG9zZTogY3NrX2ZjLT5mbG93Y19p -ZCBbMHgleF0sIHRjYl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPmZsb3djX3N0YXRlIFsw -eCV4XSwgdGNiX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0KAAAAAABjc29ja19wZWVyX2Nsb3NlOiBj -c2tfZmMtPmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0ZSAgWzB4JXhdCgAAAAAA -AAAAAHRjcF9jbHNfYWJydF9ycGw6IHRjYiB0aWQgWzB4JTA2eF0sIGZsb3djX3R5cGUgWzB4JXhd -LCBjcGxvcCBbMHgleF0gCgAAAAAAAAAAAAAAY2hfcmF0ZVsldV06IGNhcHBlZCB0aWNrIGZyb20g -cmVxdWlyZWQgJXUgdG8gc3VwcG9ydGVkICV1OyByYXRlICV1IChlZmYgJXUpIGRlZmljaXRfaW5j -ciAldSB0aWNrICV1CgAAAAAAAAAAAAAAAHBrdHNjaGVkX2NoX3JsWyV1XTogY2hhbm5lbCBybCBu -b3QgYXZhaWxhYmxlIGluIGNvbmp1bmN0aW9uIHdpdGggZmxvdyBzaGFwaW5nCgAAcGt0c2NoZWRf -Y2hfcmxbJXVdOiByYXRlICV1IG1heCAldQoAAAAAAAAAAAAAAAAAcGt0c2NoZWRfY2xfd3JyWyV1 -OiV1XTogd2VpZ2h0ICV1CgAAAAAAAAAAAAAAAAAAZXFfcGFyYW1zWzB4JXg6MHgleF06IGRtYXEg -MHgleCByZWFkICV1IHBmICV1IGVxaWRfYXBpICV1IHJldCAlZAoAAAAAAAAAAAAAAAAAAABod19t -YV9hZGRyX3RvX21lbV90eXBlX29mZjogTUEgYWRkcmVzcyAweCUwOHggaXMgbm90IG1hcHBlZAoA -AAAAaHdfbWFfYWRkcl90b19tZW1fdHlwZV9vZmY6IE1BIGFkZHJlc3MgMHglMDh4IG1hcHMgdG8g -dHlwZSAldSBvZmZzZXQgMHgleAoAAAAAAABtZW1fbWFsbG9jX3RlbXA6IGZhaWxlZCB0byBhbGxv -Y2F0ZSAldSBieXRlcywgcmV0dXJuaW5nIE5VTEwKAAAAbWVtX21hbGxvYzogZmFpbGVkIHRvIGFs -bG9jYXRlICV1IGJ5dGVzLCByZXR1cm5pbmcgTlVMTAoAAAAAAAAAAGxlIGNvbmZpZ3VyYXRpb246 -IGhhc2ggbW9kZSByZXF1aXJlcyBhdCBsZWFzdCAxNiBlbnRyaWVzLCBuaGFzaCAldQoAAAAAAAAA -AAAAAAAAbGUgY29uZmlndXJhdGlvbjogaGFzaCBtb2RlIHJlcXVpcmVzIGF0IGVudHJpZXMgdG8g -YmUgYSBwb3dlciBvZiAyLCBuaGFzaCAldQoAAABsZSBjb25maWd1cmF0aW9uOiByZXF1ZXN0ZWQg -JXUgdGNhbSBlbnRyaWVzIGJ1dCBvbmx5ICV1IGF2YWlsYWJsZSAobnJvdXRlICV1IG5jbGlwICV1 -IG5maWx0ZXIgJXUgbnNlcnZlciAldQoAAAAAbGUgY29uZmlndXJhdGlvbjogdGNhbSByZWdpb25z -IG11c3QgaGF2ZSBtdWx0aXBsZSBvZiAzMiBlbnRyaWVzLCBucm91dGUgJXUgbmNsaXAgJXUgbmZp -bHRlciAldSBuc2VydmVyICV1CgAAAAAAAGh3X3RwX3RjcF90dW5pbmdzOiB0dW5pbmcgZm9yIGNs -dXN0ZXIgZW52aXJvbm1lbnQKAAAAAAAAAAAAAAAAAABod190cF90Y3BfdHVuaW5nczogdHVuaW5n -IGZvciBMQU4gZW52aXJvbm1lbnQKAABod190cF90Y3BfdHVuaW5nczogdHVuaW5nIGZvciBXQU4g -ZW52aXJvbm1lbnQKAABod190cF90Y3BfdHVuaW5nczogbWFudWFsIHR1bmluZwoAAAAAAAAAAAAA -AAAAAABfaHdfY2ltX2ZsYXNoX21lbWNweTogbWVtY3B5WCBzdGFydAoAAAAAAAAAAAAAAABfaHdf -Y2ltX2ZsYXNoX21lbWNweTogZHN0IDB4JTA4IG9mZnNldCAweCUwOHggc2l6ZSAldSwgd2lkdGgg -b2YgJXUgaXMgbm90IHN1cHBvcnRlZAoAAAAAAAAAAAAAAABfaHdfY2ltX2ZsYXNoX21lbWNweTog -bWVtY3B5WCBlbmQKAAAAAAAAAAAAAAAAAABjb25maWd1cmF0aW9uIGZpbGUgcGFyc2VyIGVuY291 -bnRlcmVkIGVycm9yIEAgbGluZSAldToKAAAAAAAAAAAAaHdfaTJjX3RyYW5zYWN0aW9uOiBuZGF0 -YSAldSBhZGRyX29wIDB4JXggZGF0YVswXSAweCV4IGRpZmYgJXUKAGh3X2kyY190cmFuc2FjdGlv -bjogbmRhdGEgJXUgYWRkcl9vcCAweCV4IGRhdGFbMF0gMHgleCBkaWZmICV1IGRwb3MgJXUgY29u -dCAldSBmYWlsZWQgd2l0aCBlcnIgJWQKAAAAAAAAAAAAAAAAAABpMmMgdHJhbnNhY3Rpb24gZmFp -bGVkIHRvIGNvbXBsZXRlCgAAAAAAAAAAAAAAAABIT1NUIFBBR0VfU0laRSBbMHglMGx4XSB0b28g -c21hbGwsIG1pbiBbMHglMGx4XSByZXF1aXJlZAoAAAAAAAAAcGFnZSBzaXplIFslbHVdIG1pc21h -dGNoCgAAAAAAAABQQUdFIHNpemUgJWx1IHVuc3VwcG9ydGVkLCBkZHAgZGlzYWJsZWQKAAAAAAAA -AABIb3N0IHBhZ2Vfc2l6ZSAlbHUsIGRkcF9pZHggJXUKAEZDb0UgRERQIGluaXQ6IGZjb2UgbGxp -bWl0IDB4JXgsIGZjb2UgdWxpbWl0IDB4JXggZ2JsIGxsaW1pdCAweCV4IGdibCB1bGltaXQgMHgl -eCBwY2JzeiAleAoAAAAAAEZDb0UgRERQIGluaXQ6IGZjb2UgcHBvZCBvZmYgMHgleCwgZmNvZSBz -dCBwcG9kIGFkZHIgMHgleCBmY29lIG51bSBwcG9kcyAweCV4CgAAZmNvZSB4Y2hnIG1nciBpbml0 -OiBOdW1iZXIgb2YgRERQIGV4Y2hhbmdlcyBmb3IgRkNvRSBpcyAleAoAAAAAAGZjb2UgeGNoZyBt -Z3IgaW5pdDogTnVtYmVyIG9mIHR1bm5lbCBleGNocyBmb3IgRkNvRSBpcyAleAoAAAAAAABmY29l -X2wydF9pbml0OiBObyB1bHB0eCBjcmVkaXQgY2g6WyV1XQoAAAAAAAAAAABmY29lX2wydF9pbml0 -OiBjaDpbJXVdIGwydF9pZHggWyV1XQoAAAAAAAAAAAAAAABubyBsMnQgZW50cmllcyBjb25maWd1 -cmVkOyBmb3JjaW5nICV1IGVudHJpZXMsIHN0YXJ0aW5nIGF0ICV1CgAAZGNieCB1cGRhdGVbJXVd -IHNlbnQgdG8gZHJpdmVyICh0eXBlICUjeCBzdWJ0eXBlICUjeCBmbG93Y2lkICV1KQoAAAAAAAAA -AAAAAAAAAABkY2J4X3J1bl92ZXJzaW9uX3NtWyV1XSBEQ0JYX1ZFUl9TVEFURV9SVU5fSUVFRQoA -AAAAAAAAAAAAAAAAAAAAZGNieF9ydW5fdmVyc2lvbl9zbVsldV0gRENCWF9WRVJfU1RBVEVfUlVO -X0NFRQoAZGNieF9ydW5fdmVyc2lvbl9zbVsldV0gRENCWF9WRVJfU1RBVEVfUlVOX05PTkUKAAAA -AAAAAAAAAAAAAAAAAHBvcnRbJXVdIGxpbmsgdXAgKCV1KSAoc3BlZWQgJSN4IGFjYXBzICUjeCBs -cGNhcHMgJSN4KQoAAAAAAAAAAABwb3J0X2hzc19zaWdkZXRbJXVdOiBoc3Nfc2lnZGV0IGNoYW5n -ZWQgdG8gMHgleAoAAAAAAAAAAAAAAAAAAAAAUVNGUCBtb2R1bGUgdW5wbHVnIC0gcmVpbml0aWFs -aXppbmcgcnhfbG9zICB0byAweGZmCgAAAAAAAAAAAAAAAGdwaW9fcXNmcF9tb2R1bGVfdXBkYXRl -OiBjaGFuZ2VkIHJ4X2xvcyBmcm9tIDB4JXggdG8gMHgleAoAAAAAAABncGlvX3FzZnBfbW9kdWxl -X3VwZGF0ZTogY2hhbmdlZCB0eF9kaXMgZnJvbSAweCV4IHRvIDB4JXgKAAAAAAAAQ2FsY3VsYXRp -b24gb3V0IG9mIGJvdW5kcyBmdXJpbmcgaW5pdDogJSN4ICUjeCAlI3gKAAAAAAAAAAAAAAAAAGh3 -X3NnZV9tYW1lbV9pbml0OiBlbmNvdW50ZXJlZCBlcnJvciAlZAoAAAAAAAAAAF9od190cF9wZ21u -Z3Q6IHR4X3BhZ2VfbWF4ICV1IHJ4X3BhZ2VfbWF4ICV1IHBzdHJ1Y3RzICV1IHNpemUgJXUKAAAA -AAAAAAAAAAAAAAAAbXBhcnRpdGlvbl9vdGhlcnNfdG90YWw6IGRkcCAldSBkZHBfaXNjc2kgJXUg -c3RhZyAldSBwYmwgJXUgcnEgJXUgcnF1ZHAgJXUgLT4gJXUKAAAAAAAAAAAAAAAAAAAAX21wYXJ0 -aXRpb25fYmFua3NfbWNYOiBuYmFua3NfcG10eCAldSAoJXVNQikgbmJhbmtzX3BtcnggJXUgKCV1 -TUIpIG5iYW5rc19vdGhlcnMgJXUgKCV1TUIpIG5iYW5rc19mdyAldSAoJXVNQikKAF9tcGFydGl0 -aW9uX2JhbmtzX21jMTogbmJhbmtzX3BtdHggJXUgKCV1TUIpIG5iYW5rc19vdGhlcnMgJXUgKCV1 -TUIpIG5iYW5rc19mdyAldSAoJXVNQikKAAAAAAAAAF9tcGFydGl0aW9uX2JhbmtzX21jMDogbmJh -bmtzX3BtcnggJXUgKCV1TUIpIG5iYW5rc19vdGhlcnMgJXUgKCV1TUIpCgAAAAAAAAAAAAAAbWVt -X21hbGxvY19pbnRlcm5hbDogZmFpbGVkIHRvIGFsbG9jYXRlICV1IGJ5dGVzLCByZXR1cm5pbmcg -TlVMTAoAAAAAAAAAAAAAAAAAAABod19lZGNfYmlzdFsldV06IGJpc3RfY21kWzB4JTA4eF0gYWRk -ciAweCV4IGxlbiAweCV4CgAAAAAAAAAAAAAAaHdfZWRjX2Jpc3RbJXVdOiBkb25lLCBlbmNvdW50 -ZXJlZCAldSBlcnJvcnMgb24gZmlyc3QgYW5kICV1IGVycm9ycyBvbiBzZWNvbmQgYXR0ZW1wdCAo -JXVnYnBzKQoAbWVtX2luaXRfY2FjaGVzOiBjYWNoZV9zaXplICV1IGZsb3djX2J1Zl90Y2JfY2Fj -aGVfc2l6ZSAldSBidWZsbDY0X2NhY2hlX3NpemUgJXUKAAAAAAAAAAAAAAAAAAAAcXVldWVzX3Bl -cl9wYWdlOiBwZiAldSBoYXMgYSBiYXJzaXplIG9mICV1LWJ5dGVzLCBvY3Ffc2l6ZSAldQoAAHNn -ZSByZXF1aXJlIG5lcSAldSBuaXEgJXUgcm91bmRpbmcgdG8gJXUgJXUKAAAAAG1wYXJ0aXRpb25f -cG10eDogbSAweCUwOHggc2l6ZSAldQoAAAAAAAAAAAAAAAAAAG1wYXJ0aXRpb25fcG1yeDogbSAw -eCUwOHggc2l6ZSAldQoAAAAAAAAAAAAAAAAAAG1wYXJ0aXRpb25fZWRjIChubyBleHRtZW0pOiBt -IDB4JTA4eCBzaXplICV1CgAAAG1wYXJ0aXRpb25fZWRjX2VzdGltYXRlOiBodyBtb2R1bGVzIHJl -cXVpcmUgJWQgYnl0ZXMgaW4gRURDCgAAAABjaG5ldF9ieWU6bDJkZXZfZmMtPmZsb3djX2lkIFsw -eCV4XSwgbDJkZXZfZmMtPmZsb3djX3BjaWVfcGZuIFsweCV4XSwgbDJkZXZfZmMtPmZsb3djX3Bj -aWVfdmZuIFsweCV4XSwgcG9ydCBbMHgleF0KAAAAAAAAAAAAAAAAAGNobmV0X2J5ZTp2bGFuZGV2 -X2ZjLT5mbG93Y19pZCBbMHgleF0sIHZsYW5kZXZfZmMtPmZsb3djX3BjaWVfcGZuIFsweCV4XSwg -dmxhbmRldl9mYy0+Zmxvd2NfcGNpZV92Zm4gWzB4JXhdLCBwb3J0IFsweCV4XQoAAAAAAAAAY3Jf -bW9kdWxlX3J4X2xvc1sldV06IHJ4X2xvcyBjaGFuZ2VkIHRvICV1CgAAAAAAcGZuICV1IHZmbiAl -dSBoYXMgcG5kdHhucyAldSBhZnRlciAxMDBtcwoAAAAAAAAAYmFkIG1haWxib3ggY21kOiBwZm4g -MHgleCB2Zm4gMHgleDsgb3Bjb2RlIDB4JXggPiBMQVNUQzJFIDB4JXgKAG1haWxib3ggY21kIG5v -dCB5ZXQgc3VwcG9ydGVkOiBwZm4gMHgleCB2Zm4gMHgleDsgb3Bjb2RlIDB4JXgKAABiYWQgbWFp -bGJveCBjbWQ6IHBmbiAweCV4IHZmbiAweCV4OyBvcGNvZGUgMHgleCBpcyB2YWxpZCBwb3N0IGRl -dmljZSBpbml0IG9ubHkKAGJhZCBtYWlsYm94IGNtZDogcGZuIDB4JXggdmZuIDB4JXg7IG9wY29k -ZSAweCUwMnggcmFtYXNrIDB4JXggY21kIHJhbWFzayAweCV4CgAAYmFkIG1haWxib3ggY21kOiBw -Zm4gMHgleCB2Zm4gMHgleDsgb3Bjb2RlIDB4JTAyeCBsZW4xNiAweCV4IHZlcnN1cyBleHBlY3Rl -ZCBsZW4xNiAweCV4CgAAAAAAAAAAaW5zdWZmaWNpZW50IGNhcHMgdG8gcHJvY2VzcyBtYWlsYm94 -IGNtZDogcGZuIDB4JXggdmZuIDB4JXg7IHJfY2FwcyAweCV4IHd4X2NhcHMgMHgleCByZXF1aXJl -ZCByX2NhcHMgMHgleCB3X2NhcHMgMHgleAoAAAAAAAAAAABpbnN1ZmZpY2llbnQgY2FwcyB0byBw -cm9jZXNzIG1haWxib3ggY21kOiBwZm4gMHgleCB2Zm4gMHgleDsgcl9jYXBzIDB4JXggd3hfY2Fw -cyAweCV4IHJlcXVpcmVkIHJfY2FwcyAweCV4IHdfY2FwcyAweCV4CgAAAAAAAAAAAFZQRCByZWdp -b24gaXMgdG9vIHNtYWxsIChTRVJDRkdfU1JfUEZOVlBEU0laRSAweCV4KQoAAAAAAAAAAAAAAABj -ZjogZmFpbGVkIHRvIGFsbG9jYXRlZCBtZW1vcnkgZm9yIGNvbmZpZ3VyYXRpb24gZmlsZSwgcmV0 -ICVkCgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAIIAAAEgAAAAAAAAAIIAAAEAAAAAAAAAAIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAaAgAAAAAAAAAAAAAAAAIAAAAAAAAAAAAKAAAAAAAAAAAAAAgADAAAAAAFgCAAAAAADAAAA -AAAAAAAAAAADAAAAAAAAAAAAAAACAAAAAAAAAAAAIAAAAAAAAAAAAAAAAQADgAAAAAAAAAAAAAAC -AAAAAAAAAAAAIAOAAAAAAAAAAAAAEAKAAIAAAAAAAAAAAAAAAAAAAAAAAAAAIAAAAAAAAAAAAAAA -AAACgAAAAAAAAAAAAAACAAAAAAAAAAAAAAACgAAAAAAAAAAAAQADAAAAAAAAAAAAAAKDAAAAAAAA -AAAAEAKAAAAAAAAAAAAAMAADAAAAAAAACAAAMAADAAAAAAAAAAAAMAWDAAAAAAAACAAAMAWDAAAA -AAAAAAAAMASDAAAAAAAACAAAMASDAAAAAAAAAAAAMAMDAAAAAAAACAAAMAMDAAAAAAAAAAAAOAMD -AAAAAAAAAAAAOAWDAAAAAAAAAAAAOASDAAAAAAAAAAAAOAADAAAAAAAAAAAANAaCAAAAAAAAAAAA -PAOCAAAAAAAAAAAAPAADAAAAAAAACAAAPAADAAAAAAAAAAAAPASDAAAAAAAAAAAAPAUDAAAAAAAA -AAAAPQQDAAAAAAAAAAAAPAODAAAAAAAAAAAALAACAAAAAAAAAAAALAWCAAAAAAAAAAAALAUCAAAA -AAAAAAAAEAaAAAAAAAAAAAAAEAaCwAAAAAAAAAAAEAaCgAAAAAAAAAAAAA6CAAAAAAAAAAAAEAeC -gAAAACAAAAAAAAeCAAAAACAAAAAAEAcCgAAAAAAAAAAAEAcCgAAAAAAAAAAAEAcCgAAAAAAAAAAA -AAcCAAAAACAAAAAAEBeDAAAAAAAACAAAEBeDAAAAAAAACAAAEAAAAAAAAAAAAAAAEAYDgAAAAAAA -AAAAAA4DAAAAAAAAAAAAEAYDQAAAAAAAAAAAEAYDAAAAAAAAAAAAEAYAAAAAAAAAAAAAAAYDgAAA -AAAAAAAAAAYDAAAAAAAAAAAAAA4CAAAAAAAAAAAAAA4CAAAAAAAAAAAAEAYCAAAAAAAAAAAAEAYC -AAAAAAAAAAAAEAYCgAAAAAAAAAAAEAYCgAAAAAAAAAAAAAACAAAAAAAAAAAAAAAAAACAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAgAAAAAAAAAAABADAAAAAAAACAAAAAAAAAAAAAAAAAAA//////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -////////////////////////////////////////////////////////////////////////AAAA -IAAAAADAAAAAAAAAIAAAAADgAAAAAAAAAAIAAAAAAAAAQAAAAAAAAAAAAAAAAAABIQAAAAAAAAAA -AAEBIAAAAAAAAAAAAAACAAAABAAEAAAAAAUAAAAEAAAAAAAAAAAAoAAAAACAAAAAAIAAQAAAAAAA -AgAAAIAAIAAAAAAAAgAAAQBAAAAAAAAAAAAAAQBCAAAAAAAAAAAAAAAgAAAAAAAAAAAAAhAgAAAA -AAAAAAAAAgwCAAAAAAAAAAAAAIUCAAAABAAAAAAAAIBCAAAAAAAAAAAAAgAiAAAAAAAAAAAAAIBB -AAAAAAAAAAAAAIBBgAAAAAAAAAAAAgAhAAAAAAAAAAAAABAggAAAAAAAAAAAAiUAgAAAAAAAAAAA -AAUAAAAAAAAAAAAACIgEgAAAAAAAAAAACIgEgAAAAAAAAAAACKIAgAAAAAAAAAAACKIAgAAAAAAA -AAAACKMAgAAAAAAAAAAACKMAgAAAAAAAAAAACKSAgAAAAAAAAAAACKSAgAAAAAAAAAAABKSAwAAA -AAAAAAAABKIAwAAAAAAAAAAABKMAwAAAAAAAAAAABIgEwAAAAAAAAAAAAAkBgAAAAAAAAAAAAgwA -gAAAAAAAAAAAAIgEwAAAAAAAAAAAAIgEgAAAAAAAAAAAAgsAgAAAAAAAAAAAAIqAgAAAAAAAAAAA -AAuAgAAAAAAAAAAAAIwAgAAAAAAAAAAAAiAQgAAAAAAAAAAAAgoAgAAAAAAAAAAAAgqAgAAAAAAA -AAAAAAkCgAAAAAAAAAAAAAEBAAAAAAAAAAAAAAEBQAAAAAAAAAAAAAEAgAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAgAAAAAAAAAAAAACBEAAAAAAAAAAAAACBCAAAAAAAAAAAAACBBAAAAAAAAAAAAACB -gAAAAAAAAAAAAIAAwAAAAAAAAAAAAIAAoAAAAAAAAAAAAAAIAAAAAAAAAAAAAIGAAAAAAAAAAAAA -AIGAgAAAAAAAAAAAAImAgAAAAAAAAAAAAImAwAAAAAAAAAAAAAGCAAAAAAAAAAAAAgGAAAAAAAAA -AAAAAgGAgAAAAAAAAAAAAEGBgAAAAAAAAAAAAgGBgAAAAAAAAAAAAEmBgAAAAAAAAAAAAgmBgAAA -AAAAAAAAAgGBAAAAAAAAAAAAAEGBAAAAAAAAAAAAIAAAAAAAAAAAAAAAEAAAAgEAAAAAAAAAEAAA -AgAAAAAAAAAAEAAAAAAAAAAAAAAAAIAAwAAAAAAAAAAAAAAAAAAAAAAAAAAA//////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -////////////////////////////////////////////////////////////////////AAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAIAJIAAAAAAAAAA2YAIABAAAAAAAgAkgAAAAAAAAADcgElBEAAAAAA -AAAAAAAAAAAAAAOWACAAQAAAAAAIAAAAAgCIA4cAAFYAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAA -AAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAADlgAg -AEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAAD -lgAgAEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAA -AAADlgAgAEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAA -AAAAAgADlgAmBEAAAAAACEcgAQQAAAKyAALSBSAkQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAABH -IAEGDKGCsEABkgQgJEAAAAAAAEcgAQQMoEKzgAISBSAkQAAAAAAIAAAAAgCIA4UAAJYBIABAAAAA -AAgAAAACAIgDhQAAlgEgAEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABA -AAAAAA3FEroCsIDTFFSL4kpGJEAAAAAABcUSugK3gNMUVItiSaYkQAAAAAAFxRKiBrCA0xRUi+JK -RiRAAAAAAA3FEqIGt4DTFFSLYkmmJEAAAAAABcUSogawgNMUVIviSkYkQAAAAAANxRKiBreA0xRU -i2JJpiRAAAAAAAXFEqIGsIDTFFSL4kpGJEAAAAAADcUSoga3gNMUVItiSaYkQAAAAAAJxBCgAgCA -kAAAi2JpxiRAAAAAAAHEEKAGsICTBlSLYmnGJEAAAAAAAcQQoAawgJMGVItiacYkQAAAAAABxRC4 -BrCAkxZUi2JIxiRAAAAAAAihEIgCAIFYEgALUgCmJEAAAAAACcAQkAKwgAMWVIqSAcYkQAAAAAAJ -wBC4BrCAAxCUi+ICRiRAAAAAAAnAELgCtIADEJSLYgGmJEAAAAAACcAQuAK0gAMQlItiAaYkQAAA -AAAJwBC4ArSAAxCUi2IBpiRAAAAAAAnAELgCtIADEJSLYgGmJEAAAAAAAaAQkAa0gAMQlItiAaYk -QAAAAAABwBCAArCAAxRUilIAxiRAAAAAAAHAEIACsIADFFSKUgDGJEAAAAAAAcAQgAKwgAMUVIpS -AMYkQAAAAAAIRyABBAAAArIAAtIFICRAAAAAAACBAAACAIVYB0ALUgCmJEAAAAAAAIEAAAIAhVgH -QAtSAKYkQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAAAAAAGAKGAAEABVgAgAEAAAAAAAAAAAAQA -oEABgAHWACAAQAAAAAAIgQAAAgCFWYAEC1IApiRAAAAAAAlhQAAAAAAYAAADQgEmpEAAAAAAAAAA -AAAAAAAAAAOWACAAQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAgAAAACAIgDhwAD1gAmBEAAAAAA -CAAAAAIAiAOHAAPWACYEQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAA -AAAAAAAAAAQAoEABgAHWACAAQAAAAAAJwBC4ArSAAxCUi2IBpiRAAAAAAAAAAAAAAAAAAgADlgAm -BEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAIAAAAAgCIA4UAAJYBIABAAAAAAAAAAAAEAKBAAYAB -1gAgAEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAihEIgCAIFY -EAAJEgGmJEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAAAAAABgChgABAAVYAIABAAAAAAAgAAAAC -AIgDhUAI0gJGJEAAAAAACcUSogKUiNIQgIsiSKYkQAAAAAABwBCABqSIAQVUi1IAxiRAAAAAAAnA -EIACpIgAhVSLUgDGJEAAAAAACcAQgAa0iAMBFItSAaYkQAAAAAANwAAAArCAwxZUi+IDRiRAAAAA -AAAAAAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAACAAwAAQAAAACAAACACYkQAAAAAAARzAABgMgArcACAIBwCRAAAAAAAgDAAAC -BqAKtQAIAgDGJEAAAAAAAAAAAAAAAAACAAACACYkQAAAAAAAIFAABAAAAAIAAAIAJiRAAAAAAAhg -IACEAAAAAAAABgAgAEAAAAAACGAgAIQAAAACATACACYlQAAAAAAIYAAABACEAYAEBAIBxiTAAAAA -AAHAAAACQ4ADAgyIAgGmJEAAAAAACABgAAQAAAACAAACACYkQAAAAAAIAGAABAAAAAAAAAQBIABA -AAAAAAAAAAAAAAAAAAAABAEgAEAAAAAABAgUgAYKAAAHAUwCIKYmQAAAAAAIgAAABgCEAYAECAIB -piZAAAAAAABAAAACAKAAAkAIAgGmJEAAAAAAAAAAAAAAAAACAAACACYkQAAAAAAEAAAAAoQAAwKK -CAIEpiRAAAAAAAAAAAAAAAAAAgAABgEgSEAAAAAAACBQAAQAAAACAAACACYkQAAAAAAIYCAAhAAA -AAIAAAYAJgRAAAAAAAhgIACEAAAAAgAAAgEmJUAAAAAACGAAAAQAhAGABAQCAcYkwAAAAAAIAGAA -BAAAAAAAAAQBIABAAAAAAAAAAAAAAAAAAAAAAgAmTHAAAAAAAAAAAAAAAAAAAAAGASAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHAAAAItJAECRYEAgTGJEAAAAAAAcAAAAi0kAQJFgQC -BMYkQAAAAAAECHSATAkAAFAAXAJh5iRAAAAAAAwIdIBEAAAAUgBAAmEmJEAAAAAACAIQoAQAAAAA -AQACACYkQAAAAAAIAhCgBAAAAAABAAIAJiRAAAAAAAQIdABCAQAABwCIAmDGJEAAAAAADcgUAAIJ -AAAEQJwCYOYkQAAAAAAJyBCABrSQBAKUiAJlxiRAAAAAAA3IdABItJADAJSIAmCmJMAAAAAADch0 -AEi0kAMAlIgCYKYkwAAAAAAIRwAABAAAAAAAAAIBICRAAAAAAAhHAAAEAAAAAgAAAgUgJEAAAAAA -AEcgAQwHIcK3AAgCAcAkQAAAAAAARyABDAchwrcACAIBwCRAAAAAAABHIAEMByHCtwAIAgHAJEAA -AAAAAAAgAQgAhAAFQIgCAcYkwAAAAAAAACABCACEAAVAiAIBxiTAAAAAAAAAIAEIAIQABUCIAgHG -JMAAAAAAAAAgAYaCAAECwIgCA8YkwAAAAAAAACABgoIAAALAiAIDxiTAAAAAAAnAIAGCpIABBUCI -AgHGJMAAAAAACAAAAAwAhAAFQIgCAcYkwAAAAAAAACABhoIAAQLAiAIDxiTAAAAAAAAAIAGCggAA -AMCIAgKmJMAAAAAACcAgAYKkgAEFQIgCAcYkwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAgAAAAEAAQkEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAW2dsb2JhbF0KcnNzX2ds -Yl9jb25maWdfbW9kZT1iYXNpY3ZpcnR1YWwKcnNzX2dsYl9jb25maWdfb3B0aW9ucz10bmxtYXBl -bixoYXNodG9lcGxpdHosdG5sYWxsbGtwCnJlZ1sweDEwMDhdPTB4NDA4MTAvMHgyMWM3MApyZWdb -MHgxMDBjXT0weDIyMjIyMjIyCnJlZ1sweDEwYTBdPTB4MDEwNDA4MTAKcmVnWzB4MTA0NF09NDA5 -NgpyZWdbMHgxMDQ4XT02NTUzNgpyZWdbMHgxMDRjXT0xNTM2CnJlZ1sweDEwNTBdPTkwMjQKcmVn -WzB4MTA1NF09OTIxNgpyZWdbMHgxMDU4XT0yMDQ4CnJlZ1sweDEwNWNdPTEyOApyZWdbMHgxMDYw -XT04MTkyCnJlZ1sweDEwNjRdPTE2Mzg0CnJlZ1sweDEwYTRdPTB4YTAwMGEwMDAvMHhmMDAwZjAw -MApyZWdbMHgxMGE4XT0weDIwMDAvMHgyMDAwCnNnZV90aW1lcl92YWx1ZT01LDEwLDIwLDUwLDEw -MCwyMDAKcmVnWzB4N2QwNF09MHgwMDAxMDAwMC8weDAwMDEwMDAwCnJlZ1sweDdkYzBdPTB4MGUy -Zjg4NDkKZmlsdGVyTW9kZT1mcmFnbWVudGF0aW9uLG1wc2hpdHR5cGUscHJvdG9jb2wsdmxhbixw -b3J0LGZjb2UKZmlsdGVyTWFzaz1wcm90b2NvbCxmY29lCnRwX3Btcng9MzQKdHBfcG1yeF9wYWdl -c2l6ZT02NEsKdHBfbnJ4Y2g9MAp0cF9wbXR4PTMyCnRwX3BtdHhfcGFnZXNpemU9NjRLCnRwX250 -eGNoPTAKdHBfbXR1cz04OCwyNTYsNTEyLDU3Niw4MDgsMTAyNCwxMjgwLDE0ODgsMTUwMCwyMDAy -LDIwNDgsNDA5Niw0MzUyLDgxOTIsOTAwMCw5NjAwCnJlZ1sweDE5MTY4XT0weDA0MDIwMTAwCltm -dW5jdGlvbiIwIl0KbnZmPTE2Cnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTEKbmlxZmxpbnQ9 -OApuZXRoY3RybD04Cm5lcT0xNgpuZXhhY3RmPTgKY21hc2s9YWxsCnBtYXNrPTB4MQpbZnVuY3Rp -b24iMSJdCm52Zj0xNgp3eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT0xCm5pcWZsaW50PTgKbmV0 -aGN0cmw9OApuZXE9MTYKbmV4YWN0Zj04CmNtYXNrPWFsbApwbWFzaz0weDIKW2Z1bmN0aW9uIjIi -XQpudmY9MTYKd3hfY2Fwcz1hbGwKcl9jYXBzPWFsbApudmk9MQpuaXFmbGludD04Cm5ldGhjdHJs -PTgKbmVxPTE2Cm5leGFjdGY9OApjbWFzaz1hbGwKcG1hc2s9MHg0CltmdW5jdGlvbiIzIl0KbnZm -PTE2Cnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTEKbmlxZmxpbnQ9OApuZXRoY3RybD04Cm5l -cT0xNgpuZXhhY3RmPTgKY21hc2s9YWxsCnBtYXNrPTB4OApbZnVuY3Rpb24iNCJdCnd4X2NhcHM9 -YWxsCnJfY2Fwcz1hbGwKbnZpPTI4Cm5pcWZsaW50PTE3MApuZXRoY3RybD0xMDAKbmVxPTI1Ngpu -ZXhhY3RmPTQwCmNtYXNrPWFsbApwbWFzaz1hbGwKbmV0aG9mbGQ9MTAyNApucm91dGU9MzIKbmNs -aXA9MzIKbmZpbHRlcj00OTYKbnNlcnZlcj00OTYKbmhhc2g9MTIyODgKcHJvdG9jb2w9bmljX3Zt -LG9mbGQscmRkcCxyZG1hYyxpc2NzaV9pbml0aWF0b3JfcGR1LGlzY3NpX3RhcmdldF9wZHUKdHBf -bDJ0PTMwNzIKdHBfZGRwPTMKdHBfZGRwX2lzY3NpPTIKdHBfc3RhZz0zCnRwX3BibD0xMAp0cF9y -cT0xMwpbZnVuY3Rpb24iNSJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTQKbmlxZmxpbnQ9 -MzQKbmV0aGN0cmw9MzIKbmVxPTY0Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1hc2s9YWxsCm5zZXJ2 -ZXI9MTYKbmhhc2g9MjA0OAp0cF9sMnQ9MTAyMApwcm90b2NvbD1pc2NzaV9pbml0aWF0b3JfZm9m -bGQKdHBfZGRwX2lzY3NpPTIKaXNjc2lfbnRhc2s9MjA0OAppc2NzaV9uc2Vzcz0yMDQ4CmlzY3Np -X25jb25uX3Blcl9zZXNzaW9uPTEKaXNjc2lfbmluaXRpYXRvcl9pbnN0YW5jZT02NApbZnVuY3Rp -b24iNiJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTQKbmlxZmxpbnQ9MzQKbmV0aGN0cmw9 -MzIKbmVxPTY2Cm5leGFjdGY9MzIKY21hc2s9YWxsCnBtYXNrPWFsbApuaGFzaD0yMDQ4CnRwX2wy -dD00CnByb3RvY29sPWZjb2VfaW5pdGlhdG9yCnRwX2RkcD0xCmZjb2VfbmZjZj0xNgpmY29lX252 -bnA9MzIKZmNvZV9uc3NuPTEwMjQKW2Z1bmN0aW9uIjEwMjMiXQp3eF9jYXBzPWFsbApyX2NhcHM9 -YWxsCm52aT00CmNtYXNrPWFsbApwbWFzaz1hbGwKbmV4YWN0Zj04Cm5maWx0ZXI9MTYKW2Z1bmN0 -aW9uIjAvKiJdCnd4X2NhcHM9MHg4MgpyX2NhcHM9MHg4Ngpudmk9MQpuaXFmbGludD00Cm5ldGhj -dHJsPTIKbmVxPTQKbmV4YWN0Zj00CmNtYXNrPWFsbApwbWFzaz0weDEKW2Z1bmN0aW9uIjEvKiJd -Cnd4X2NhcHM9MHg4MgpyX2NhcHM9MHg4Ngpudmk9MQpuaXFmbGludD00Cm5ldGhjdHJsPTIKbmVx -PTQKbmV4YWN0Zj00CmNtYXNrPWFsbApwbWFzaz0weDIKW2Z1bmN0aW9uIjIvKiJdCnd4X2NhcHM9 -MHg4MgpyX2NhcHM9MHg4Ngpudmk9MQpuaXFmbGludD00Cm5ldGhjdHJsPTIKbmVxPTQKbmV4YWN0 -Zj00CmNtYXNrPWFsbApwbWFzaz0weDQKW2Z1bmN0aW9uIjMvKiJdCnd4X2NhcHM9MHg4MgpyX2Nh -cHM9MHg4Ngpudmk9MQpuaXFmbGludD00Cm5ldGhjdHJsPTIKbmVxPTQKbmV4YWN0Zj00CmNtYXNr -PWFsbApwbWFzaz0weDgKW3BvcnQiMCJdCmRjYj1wcHAsZGNieApiZ19tZW09MjUKbHBia19tZW09 -MjUKaHdtPTMwCmx3bT0xNQpkd209MzAKZGNiX2FwcF90bHZbMF09MHg4OTA2LGV0aGVydHlwZSwz -CmRjYl9hcHBfdGx2WzFdPTB4ODkxNCxldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsyXT0zMjYwLHNv -Y2tldG51bSw1Cltwb3J0IjEiXQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVtPTI1Cmh3 -bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUsMwpkY2Jf -YXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxzb2NrZXRu -dW0sNQpbcG9ydCIyIl0KZGNiPXBwcCxkY2J4CmJnX21lbT0yNQpscGJrX21lbT0yNQpod209MzAK -bHdtPTE1CmR3bT0zMApkY2JfYXBwX3RsdlswXT0weDg5MDYsZXRoZXJ0eXBlLDMKZGNiX2FwcF90 -bHZbMV09MHg4OTE0LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzJdPTMyNjAsc29ja2V0bnVtLDUK -W3BvcnQiMyJdCmRjYj1wcHAsZGNieApiZ19tZW09MjUKbHBia19tZW09MjUKaHdtPTMwCmx3bT0x -NQpkd209MzAKZGNiX2FwcF90bHZbMF09MHg4OTA2LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzFd -PTB4ODkxNCxldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsyXT0zMjYwLHNvY2tldG51bSw1CltmaW5p -XQp2ZXJzaW9uPTB4MTQyNTAwMWMKY2hlY2tzdW09MHg2M2E2NTJiMwoAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFtnbG9iYWxdCnJzc19nbGJfY29uZmln -X21vZGU9YmFzaWN2aXJ0dWFsCnJzc19nbGJfY29uZmlnX29wdGlvbnM9dG5sbWFwZW4saGFzaHRv -ZXBsaXR6LHRubGFsbGxrcApyZWdbMHgxMDA4XT0weDQwODEwLzB4MjFjNzAKcmVnWzB4MTAwY109 -MHgyMjIyMjIyMgpyZWdbMHgxMGEwXT0weDAxMDQwODEwCnJlZ1sweDEwNDRdPTQwOTYKcmVnWzB4 -MTA0OF09NjU1MzYKcmVnWzB4MTA0Y109MTUzNgpyZWdbMHgxMDUwXT05MDI0CnJlZ1sweDEwNTRd -PTkyMTYKcmVnWzB4MTA1OF09MjA0OApyZWdbMHgxMDVjXT0xMjgKcmVnWzB4MTA2MF09ODE5Mgpy -ZWdbMHgxMDY0XT0xNjM4NApyZWdbMHgxMGE0XT0weGEwMDBhMDAwLzB4ZjAwMGYwMDAKcmVnWzB4 -MTBhOF09MHgyMDAwLzB4MjAwMApzZ2VfdGltZXJfdmFsdWU9NSwxMCwyMCw1MCwxMDAsMjAwCnJl -Z1sweDdkMDRdPTB4MDAwMTAwMDAvMHgwMDAxMDAwMApyZWdbMHg3ZGMwXT0weDBlMmY4ODQ5CmZp -bHRlck1vZGU9ZnJhZ21lbnRhdGlvbixtcHNoaXR0eXBlLHByb3RvY29sLHZsYW4scG9ydCxmY29l -CmZpbHRlck1hc2s9cHJvdG9jb2wsZmNvZQp0cF9wbXJ4PTMwCnRwX3BtcnhfcGFnZXNpemU9NjRL -CnRwX25yeGNoPTAKdHBfcG10eD01MAp0cF9wbXR4X3BhZ2VzaXplPTY0Swp0cF9udHhjaD0wCnRw -X210dXM9ODgsMjU2LDUxMiw1NzYsODA4LDEwMjQsMTI4MCwxNDg4LDE1MDAsMjAwMiwyMDQ4LDQw -OTYsNDM1Miw4MTkyLDkwMDAsOTYwMApyZWdbMHgxOTE2OF09MHgwNDAyMDEwMApbZnVuY3Rpb24i -MCJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTI4Cm5pcWZsaW50PTE3MApuZXRoY3RybD05 -NgpuZXE9MjUyCm5leGFjdGY9NDAKY21hc2s9YWxsCnBtYXNrPWFsbApuZXRob2ZsZD0xMDI0Cm5y -b3V0ZT0zMgpuY2xpcD0zMgpuZmlsdGVyPTQ4Cm5zZXJ2ZXI9MzIKbmhhc2g9MApwcm90b2NvbD1u -aWNfdm0sb2ZsZCxyZGRwLHJkbWFjLGlzY3NpX2luaXRpYXRvcl9wZHUsaXNjc2lfdGFyZ2V0X3Bk -dQp0cF9sMnQ9MzA3Mgp0cF9kZHA9Mgp0cF9kZHBfaXNjc2k9Mgp0cF9zdGFnPTIKdHBfcGJsPTUK -dHBfcnE9NwpbZnVuY3Rpb24iMSJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTQKbmlxZmxp -bnQ9MzQKbmV0aGN0cmw9MzIKbmVxPTY2Cm5leGFjdGY9MzIKY21hc2s9YWxsCnBtYXNrPWFsbApu -aGFzaD0wCnByb3RvY29sPWZjb2VfaW5pdGlhdG9yCnRwX2RkcD0yCmZjb2VfbmZjZj0xNgpmY29l -X252bnA9MzIKZmNvZV9uc3NuPTEwMjQKW2Z1bmN0aW9uIjEwMjMiXQp3eF9jYXBzPWFsbApyX2Nh -cHM9YWxsCm52aT00CmNtYXNrPWFsbApwbWFzaz1hbGwKbmV4YWN0Zj04Cm5maWx0ZXI9MTYKW2Z1 -bmN0aW9uIjAvKiJdCnd4X2NhcHM9MHg4MgpyX2NhcHM9MHg4Ngpudmk9MQpuaXFmbGludD00Cm5l -dGhjdHJsPTIKbmVxPTQKbmV4YWN0Zj00CmNtYXNrPWFsbApwbWFzaz0weDEKW2Z1bmN0aW9uIjEv -KiJdCnd4X2NhcHM9MHg4MgpyX2NhcHM9MHg4Ngpudmk9MQpuaXFmbGludD00Cm5ldGhjdHJsPTIK -bmVxPTQKbmV4YWN0Zj00CmNtYXNrPWFsbApwbWFzaz0weDIKW3BvcnQiMCJdCmRjYj1wcHAsZGNi -eApiZ19tZW09MjUKbHBia19tZW09MjUKaHdtPTMwCmx3bT0xNQpkd209MzAKZGNiX2FwcF90bHZb -MF09MHg4OTA2LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzFdPTB4ODkxNCxldGhlcnR5cGUsMwpk -Y2JfYXBwX3RsdlsyXT0zMjYwLHNvY2tldG51bSw1Cltwb3J0IjEiXQpkY2I9cHBwLGRjYngKYmdf -bWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4 -ODkwNixldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2Fw -cF90bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbcG9ydCIyIl0KZGNiPXBwcCxkY2J4CmJnX21lbT0y -NQpscGJrX21lbT0yNQpod209MzAKbHdtPTE1CmR3bT0zMApkY2JfYXBwX3RsdlswXT0weDg5MDYs -ZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMV09MHg4OTE0LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2 -WzJdPTMyNjAsc29ja2V0bnVtLDUKW3BvcnQiMyJdCmRjYj1wcHAsZGNieApiZ19tZW09MjUKbHBi -a19tZW09MjUKaHdtPTMwCmx3bT0xNQpkd209MzAKZGNiX2FwcF90bHZbMF09MHg4OTA2LGV0aGVy -dHlwZSwzCmRjYl9hcHBfdGx2WzFdPTB4ODkxNCxldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsyXT0z -MjYwLHNvY2tldG51bSw1CltmaW5pXQp2ZXJzaW9uPTB4MTQyNTAwMWMKY2hlY2tzdW09MHgyN2Rm -ZTgwNQoAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA= -==== diff --git a/sys/dev/cxgbe/firmware/t4fw-1.15.37.0.bin.uu b/sys/dev/cxgbe/firmware/t4fw-1.15.37.0.bin.uu new file mode 100644 index 000000000..9138b0a74 --- /dev/null +++ b/sys/dev/cxgbe/firmware/t4fw-1.15.37.0.bin.uu @@ -0,0 +1,9522 @@ +/*- + * Copyright (c) 2016 Chelsio Communications, Inc. + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * + * THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + * ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS + * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) + * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT + * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY + * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF + * SUCH DAMAGE. + */ +begin-base64 644 t4fw +AAAEIQEPJQAAAQkEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAABAQEEwQbAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAENoZWxzaW8gRlcgUlVOTUVNIERFQlVHPTAgKEJ1aWx0IFRodSBBcHIgMjEgMDc6 +MDA6MzMgUERUIDIwMTYgb24gdm5jNC5hc2ljZGVzaWduZXJzLmNvbTovaG9tZS9maXJtd2FyZS9j +dnMvZnctcmVsZWFzZSksIFZlcnNpb24gVDR4eCAwMS4wZi4yNS4wMAAAAAAAAAAAAAAAAP2v38Zg +AMgA4QB78AAQAADhADC4eP///x/84UCAAAAB4QB7cAAAEAAf//2U4QGUcCAAAADhAZwE4QB5AAAC +AEDhAHmAAAYAQAACAAoABgAK4QB5BAAMAACAAAEC4QB7POEAe0ThAHvk4gAAAAABAADhAHuQIAAA +AAAAgADhAHsAAABAAeEAe5wAAEAAREREQuAAAADjAARzREREQOMACAAgAAJcAAAAAB//ktAAAAAA +H/+S1AAAAAAf/5LYAAAAAB//ktwf/8AAAAAAAAAAAADAABL/zRP/zZMgEv/NE//NhCAEMwGTIBH/ +zBL/zJIQEf/MEv/MkhAR/8wB9DER/8siCv+SEADkMQAFMQECABL/yALnMQIWABH/x4EQAQFfwCEC +EQHJERH/xBL/xJIQEf/EEv/EkhBgAA8R/78S/8OSEBH/vxL/wpIQgRAR/8HAIJIREv/AkhLAIJIT +Ev+/khCCEALyUGUv9xH/vccvkhAR/7ySEBL/vBP/vJMgwDKTIRP/u5MigiIS/7oT/7qTICMiIRT/ +uQQzAck4E/+4gzADgxQIMxEU/7akM5MhE/+qkyJgAAjCMJMhE/+nkyIS/7GQIJAhkCKQI5AkkCWQ +JpAnkCiQKZAqkCuQLJAtkC6QLyAmECAmEYIiEv+kwDAtNzAtNzQtNzgtNzwjPQFyM+0AAgAS/6HA +MC83AC83EC83IC83MCM9AXIz7QACABL/l8AwKDcwKDc0KDc4KDc8Iz0BcjPtEv+VwDAnNwAnNxAn +NyAnNzAjPQFyM+0S/5AV/5AW/5HAMNcgBWYBYAAZAAAAAAAAAAQ2BQACANMP0w8FMwxuOxQHRxQH +BEN2MeYENgUFMwxvO+0AAgAS/4MV/4EjCgACJwIHBEMEPgUFMwwHRxRvO/ADAgAS/33JLoMghCGF +IrwidDsOhlC0VZYwtDN0M/Rj/+YAZT/iZV/fEv9xwDIDLgUDAgAS/2jAMCg3QCg3RCg3SCg3TCM9 +AXIz7QACABL/ay0nAMARAUkxAEgxAQIAwAAU/2gE0jEV/2eUUBT/ZwTTMRX/ZpRQFP9mBNQxFf9m +lFAU/2UE1TEV/2WUUBD/ZQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAf/AAA +H/wAAOMACfgf/AAAH/wAAOMACfgf/AAAH/wAAOMACfgf/4AAH/+KUOMACfgf/4pQH/+KUOMAFEgf +/4pQH/+KUOMAFEgf/4pQH/+L8OMAFEgf/4vwH/+SxOMAFegf/5LQH/+0jOMAHMgf/7SMH/+0jOMA +PoQf/8AAH//+geMAPoQgAAAAIAABauMAfQggAAF4IAABfOMAfnQgAAF8IAABheMAfnggAAGYIAAB +nOMAfoQgAAGcIAABpeMAfoggAAG4IAABvOMAfpQgAAG8IAABxeMAfpggAAHYIAAB2OMAfqQgAAHc +IAAB4uMAfqQgAAH4IAAB+OMAfqwgAAH8IAAB/OMAfqwgAAIYIAACGOMAfqwgAAIcIAACHOMAfqwg +AAI4IAACOOMAfqwgAAI8IAACPOMAfqwgAAJYIAACWOMAfqwgAAJcIAACYuMAfqwgAAJ4IAACeOMA +frQgAAJ8IAACguMAfrQgAAKYIAHumeMAfrwgAwAAIAMU0OMCasAgAxTQIAMU0OMCf5AgAxTQIAbU +tOMCf5AgBtTAIAbYMOMGP4AgCAAAIAgOUOMGQvAgCA5QIAklXuMGUUAgCSVgIAkmLOMHaFAgCwAA +IAsAAOMHaRwgCwAAIAsAAOMHaRwgCwAAIAueSOMHaRwAAAAAAAAAAAAAAAAgABGGIAAReCAAFWIg +ABF4IAAU3SAAEXggABIqIAAUdSAAE/ogABF4IAATqSAAE2IgABL1IAARZSAAEp8gABF4IAAReCAA +EXggABJKAAAAAP///////w/8///w////APwgAKezIACpGiAAqUogAKkQIACo0SAAqMcgAKiRIACo +hyAAqHYgAKgiIACpSCAAqBggAKfrIACpSiAAp+EAAAAAARAYAQAEAAAAAAAAAAAAAAAAAAoAAAAK +AAAAFAAAAAoAAAAKAAAACgAAAAoAAAAKAAAACgAAAAAAAAAAAAAAAAABAAEAAQABAAEAAQABAAEA +AQACAAMABAAFAAYABwAIAAkACgAOABEAFQAZAB4AIwAtADwAUABkAMgBLAGQAfQAAAAAAAAAAAAA +AAAAAAAAAAAAAQABAAIAAgADAAMAAwADAAQABAAEAAQABAAFAAUABQAFAAUABQAGAAYABwAHAAAA +AgAAAAYAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKA +AAADgAAABQEAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAA +AcAAAAKAAAADgAD/AAECAgAAAAAAAAAAAAAAECBAAAAAAAAAAAAAAAAAAAQAAgABAACAAEAAIAAQ +AAggQIAAAAAAAAAAAAAAAAAAIAinsCAIp7AgCKdpIAinOCAIpwogCKbeIAim3iAIqI4gCKiOIAim +3iAIqI4gCKiOIAim3iAIpt4gCKaSIAiojiAIqI4gCKiOIAiojiAIqI4gCKiOIAiojiAIqI4gCKiO +IAiojiAIqI4gCKiOIAiojiAIqI4gCKiOIAiojiAIpsEgAwpAAAAAASADCkgAAAACIAMOaAAAAP8g +AwfgAAAA/yADCiwAAAACIAMKMAAAAAMgAwo4AAAABwAAAAAAAAAAIAMKEAAAAAEgAwoUAAAAAiAD +ChwAAAAEIAMOaAAAAP8gAwfgAAAA/wAAAAAAAAAAIAMH4AAAAAAgAw5oAAAAACADCPAAAAABIAMI ++AAAAAQgAwkAAAAACCADCQwAAAAgIAMJHAAAAEAgAwkkAAAAgCADCSwAAAEAIAMJNAAAAgAgAwlI +AAAEACADCVwAAAgAIAMJdAAAEAAgAwmIAAAgACADCZgAAEAAIAMJpAAAgAAgAwm4AAEAACADCcgA +AgAAIAMJ2AAQAAAgAwnwACAAACADCggAQAAAAAAAAAAAAAAgAwjcAAAAECADCOQAAAARIAMIxAAA +AAAgAwjIAAAAASADCMwAAAACIAMI1AAAAAMAAAAAAAD//wAAAAAAAP//IAMIRAAAAQAgAwhQAAAA +gCADCGAAAABAIAMIcAAAACAgAwiAAAAAECADCJAAAAAIIAMInAAAAAQgAwioAAAAAiADCLQAAAAB +AAAAAAAAAAAAAAABAAAAAQAAAAEAAAABAAAAAQAAAAEAAAABAAAAAQAAAAEAAAABAAAAAQAAAAEA +AAABAAAAAQAAAAEAAAABAAAABwAAAAcAAAAGAAAABgAMNQAAEEaqABRYVQAYagAAACtoAAAjgwAA +GGoAAA0GAAALKgAAAAAAAAAAAAAAAAAAaCsAAGgrAABsggAAb5wAAEpoAABKaAAATSkAAEpoAABO +6gAATJgAAFI9AABPuAABhqAAAYagAAII1gACCNYAAgjVAAII1QACiwsAAosLAAII1QACtnIAArZy +AAMNQAAEBgcAAAAAAAAAAAAAAAAAAgIFBQgICwsODhERFBQXFxoaHR0gICMjJiYpKSwsLy8yMjU1 +ODg7OwAAAAAAAAABAxERCAgQCQMBAAAAAAAAIAS9rCABqRwgADVAIAF4pCABpWggAZ/cIAFZaCAD +4tQf/+o8IACTeCAAqggf/90QIABiaCAAU9AAAAAAAAAAACABelggAIIwAAAAAAAAAAAf/9X0H//F +fB//wpQf/8AwIABO0CAARvQgAEMwIACfHB//4/AgBqfYAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAACABsHQgAZCgIACzuCAAsuwf//EYH//QoB//zDggAH+oIAU+kCABKegg +AQn0IADxICAA5ZAgANkMIADLhCAAtpAgBMGsIAQAPCABHvAgBCOAIAHcVCAAYigAAAAAIAC0FCAF +tzQgAKcgIAGDACAAApggAJjYAAAAAAAAAAAf//RgIACz1CAEAuwAAAAAAAAAACADW4QgACWEIAAc +1CAAJIAAAAAAIAAweCAALhAgACtAAAAAACAANQAgASKwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAIAAynCAEvUwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAANEAg +A2RwIAAzUAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAQAAAAICwAAACADEiQIAAAAIAMS +MAgAAAAgAxI8CgAAACADEkgMAAAAIAMSVBIAAAAgAxJkDQAAACADEngOAAAAIAMSiBMAAAAgAxKY +CgAAACADEqwOAAAAIAMSuBgAAAAgAxLIDQAAACADEuQOAAAAIAMS9BAAAAAgAxMEEgAAACADExgO +AAAAIAMTLBAAAAAgAxM8EQAAACADE1AKAAAAIAMTZAsAAAAgAxNwDQAAACADE3wUAAAAIAMTjAoA +AAAgAxOkDwAAACADE7AGAAAAIAMTwAYAAAAgAxPIBgAAACADE9AGAAAAIAMT2AYAAAAgAxPgCQAA +ACADE+gGAAAAIAMT9AQAAAAgAxP8BgAAACADFAQLAAAAIAMUDAsAAAAgAxQYBAAAACADE/wEAAAA +IAMUJAkAAAAgAxQsCQAAACADFDgAAAAAAAAAAA0AAAAgAxRECgAAACADFFQGAAAAIAMUYAIAAAAg +AxRoAwAAACADDjwBAAAAIAMUbAAAAAAAAAAA12qkeOjHt1YkIHDbwb3O7vV8D69Hh8YqqDBGE/1G +lQFpgJjYi0T3r///W7GJXNe+a5ARIv2YcZOmeUOOSbQIIfYeJWLAQLNAJl5aUem2x6rWLxBdAkQU +U9ih5oHn0/vIIeHN5sM3B9b01Q2HRVoU7anj6QX876P4Z28C2Y0qTIr/+jlCh3H2gW2dYSL95TgM +pL7qREvez6n2u0tgvr+8cCibfsbqoSf61O8whQSIHQXZ1NA55tuZ5R+ifPjErFZl9CkiREMq/5er +lCOn/JOgOWVbWcOPDMyS/+/0fYWEXdFvqH5P/izm4KMBQxROCBGh91N+gr068jUq19K764bTkQcM +ERYHDBEWBwwRFgcMERYFCQ4UBQkOFAUJDhQFCQ4UBAsQFwQLEBcECxAXBAsQFwYKDxUGCg8VBgoP +FQYKDxUf/8AAAAQAICAG2DAgBtvwH/zeACAG2HAf/6u0H/+sxB//sCADgAAAgQAAAB//sBAA//gA +AQAAAAAQAACBBAEAgQQAAAEEAAABBAEAgAAAAAAF//8f/4VABgAAACoAAAAf/8/4IAQ/lAIAAACA +EAAAQUAAAEFAAQCDAAAB//+//7////8f/5iMBAAACCADDFiBgAAADAAAAB//kyD//wAA//8A/wAB +AAAAAP//H/+xEB//qIQP///////QJP//0yAf/2ZMH/zg6CAG1gz//8EgH/9mQB//ZsQf/614H/+e +lB/84gAAAAh44P/+AOEBkgAf/5kAAP///x//rYwf/500BEEACAQBAAilAAAAwAAAAMAEAAAwAAAA +H/+uEAAAHNAAAP+AIAbUwCALXsDhAC4AH/+uBB//qdwf/67QH/+qQAAAFyAf/64g4AAAoOEAMLgA +AIAA4QBgEAAAQADhAhAA4QIwAOECUADhAnAA4QAQCB/84UDhAHtwH/+0TB//tEQf/OAIH/+0SB// +tGQf/7RcH/+0YB//tHwf/7R0H/+0eCAG2HAf/7EQH/+rtB/83gAf/6zEH/+tIB//nUQf/67cAAD/ +gAAAHbAf/5MgH/+u6B//ruQf/69IIAsFYAQAAAgFAAAAg/8AAIEAAAAAEAAAKgAAACAAB6ggAwt4 +H/+JkB//hUAf/4dEH/+wIGdFIwHvzauJmLrc/hAyVHYf/4AAAAA/KCADDjzP////IAsGUBAAAAA/ +////AgAAAEAAAAD//3//IAsHcB//sBAgACG4IAsHoCALCBAIAAAAAP///yALCID3////IAsKcCAA +HmD//v//IAsVUIAAAAAgAwxQDAAAAAAAQAAAAP//AACAAP/7//8P9oAAIAsYwCALGPAAAQAAAAQA +ACALb/AgADB4IAAyWCAALhAgCxmAIAArQCALGhAgCxpgIAsa8AQBAAjgAAAAIAsbcCALGzBTAAAA +IAsbkFIAAAAgCxvQUQAAACAB5Ywf/6o8IAseYCALHsAgCx6QIAshQB//rYwgCyGQH/+dPB//rTgg +CyOAFAAAAIAAAAJ4AAAAgAAABoAAsAAAAAoAAOMwkv//8ACAALEA4QGaAAACAAAgCyNAH/+atAAA +fkAf/61wAP/AAB//k6QBAAAAKAAAACYAAAAgCyOwH/+vxB//qdAgCyRQH/+T4AYAAAAFgAAAIAt1 +gB//qZQrAAAAIABJuB//qyw1AAAAA4AAAAMAAAAH////AD///4BAAAAID///H////yAAAAAAAMAA +H/+tVD0AAAAf/5ksBwAAAIEEAQCBBAAAH/+rkAAAOpjDAAAAAAAP/wBDAAAAAAgABAAAACALdeAf +/7PAH/+xMB//mIwABgAA4QB6AB//mPwgoAAAH/+rxB//nUwf/504IAt2EAADB4AgC3aAH/+bGAAg +AAAAQAAAAAAJAAAAMAL//Ph/wAAAAKP/uwCj/7oADQAAAOADAACD/7YAD////w//+AD/AAAAIAt2 +wCALJiAgCyZQIAt3UAAPAAAACgAA//8ADx//rVwD/8AAg//AACALd9AgC3hAH/+uOB//saD/YPAA +H/+xgB//kuAEgAAIH/+AUABEAAD/H///AMAAAAGAwgAAAIEA8AAAAIGAAAD/f///H/zgdB//qIT/ +v/////8AAACAAAAAAIbdH/+ejB/84gAf/5PQ7gAAAAAACXwf/OIMDwAAACALJpAf/63UAAAIfB// +rtQf/58IH/+ZKB//gGAgBtZgAAAwAAAAJxAf/9yAIAuAIB//rjQAAP/+H/+dLN6tvu8gAweQNAAA +AD8AAAAf/66kAACJBgCZAAAf/7DoEAAHAgHAgACZAAAAH/+xpACIAAiCgAABH/+xOB//sEQDFQAA +AxEAAAAPA/8gCysAH/+tQCALgHAgCytgIAsrsCALLEAgCyswIADgNCALLBAgCy3gIAsuECALLmAg +Cy7AIADl2CkAAAAAAIkUIADsPCALgOAgC4FAIAD2MPDw8PD/AP8AqqqqqszMzMwf/7OwAAAgkB// +sbgAA///AAAn/yAA/rAgC4GwAA9CQCAEKqAf/624H/+uFAAJAAAAAEgAggAAACABIrggC4IgOwAA +CA4AAADQAAAAH/+AoAAAH8oAAAhQAAAfQCALOBAgCzgwIAs4UAAJAAgf/7CsMAAAAP//9/8gCzqg +IAQw5AAAg/8gBto4FaAAACAG2zAf/7D4H/+tZAAACAYAAIjMfwAAAAAAD/4gC4bgIAuHcAAA4AAg +C4TAIAuHQB//mswABAP/CgAAAB//r9Qf/65oH/+wlB//niCD/7cAg/+2ICALOtDhAAAAMwAAAB// +sQAf/7H0A//gAH///wAAP/aQAAAf2AP/8AAgC3KAIAtyQCALcqAf/7MAIAs7UBoAAAAgCzugIAFw +2B//sPwf/6vQAA///x//sLAf/6uoH/+ubB//rXQgC4gAH/+dbB//rSgf/6noH/+r3CAAZpAgBtXo +IAAFiB//qCAf/5pIH/+YyCALiEAgCz6QwAQAAB//q+gf/7DkH/+xcCALiWAgCz7QIAMNACAAZ3Dg +AQAAH/+ekCALiqAgCz8QIACj8B//noggAKDwIAuKICALinAf/5rsIAtA4OD//gAgC2QAH/+elCAL +S/Af/5UcIAtWQCALVtAgC1mAIAtZsEgAAAAgAbgoH/+rPCABuiwf/5l8H/+p3B//qNQf/6kcAAAX +8AAAFfwf/6wAIAbYZB//qaQf/5kA4QAuAB//rAzhAF4A4QIOAP//v//hAA4A4QGOAP//vv8f/500 +H/+qGCABv1ggAcuE4AUAAAP/AAAf/6lkIAMMWB/8v/88AAAAAAX//4MAAAAf/6jcIAHhGCALYoAf +/65EAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgYAAAAAAAAD/////////////////////H//8 +iB///Igf//xQH//8UB///FAf//xQH//12B//+QAf//dcH//3XB//91wgBqnIAAAAAAAAAAAAAAAA +AAAAACAGrRAgBq0QAAAAAAAAAAAAAAAAAAAAACAGqcggBqnIH//59B//+fQf//n0H//59B//+fQf +//n0AAAAACABwHAAAAAAAAAAAAAAAAAAAAAAAgEAAAAAAAAAAAAAAAAAAAQAAAAAAAAAgYAAAAAA +ABAFAAAAAAAABAAAAAAAAAAAAAAAAAAAAACBAAAAAAAAGAUAAACAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgCgAR8pMT8pPTDwPm +MQECABbykRfykndrBpBgtGZ3Y/hUDzNVxPYPFABj//kAAABsEAgoIAUnIAcpMQX4IIYV4BlFAPkA +DoVhdwEAiiIW8oT95QgF4AyVAPFXvA3gD6UA6vKBG8cCgACmiCuCngp5CimSv/1gDYOiAJ0AKIKd +CYgB6BYFJA1RgAAo0gj3AA2okgCdACtiruzydRWKuYAAKmKtLMJ/7KsBBHP9AAD9QAoeIgCdAC7W +CP1ACh4iAJ0ALyAUpP8PD0cvJBT14Axd0gCdABnyZy4iFiwhKYgVH/JlrsyfgOoiHi5nwoAA/QBm +FaANJQD9AEYV4AtlAO3yXh1WAoAAC6oCixSagekAFQRAQQAAiRUIAIooPBD9IMYV4EwFAP0g5hWg +DEUA7Ls2BMiBAABtuQUIAIYJAmHu8k8VoIeAAIgV7gAFDc8CgACpiOiMICWkNQAAC8kMbZkCCAJh +LSEp0w/qISgm6AUAAA0NTy0lKf1AB3RiAJ0A/+SABeAMFQD6IKgV4B7lAOoiHi7NQoAA+CAmFeAI +BQD4IAYVoA0FAOgWAiXZgQAAWIPK/uAAFzfFAQD3wABHMA2VAO3mnSgECoAA+YAFWVIAnQDAINEP +AAAA6iQACdgEgADsRAAK6ASAAFiFs9Kg0Q8AAAAAwLAPiTTp1ggt9i4AAPpAaB2gG8UA/AACHaAN +FQBYimFj/8EAAOokAArYBIAAWIc00qDRDwD/+VgNoAgFAOokAAPYYQAA/AACHaANlQBYilVj/5HA +oFm+dB3yBojY+R/yCJAPpQBj/6TaIFgLjmP+cAAAAAAA/AACHeAKBQD6RSQdr/wqAIon60QACmgE +gAD7RAAVoAwFAFh/Q9Kg0Q8AAABsEAYuIhCUEeUhGirQBIAAJiAH9kEoFeAfxQDqFgAqx8KAAP8C +Ug3hZgEABwlC8SBwDeKFAQBkgfLU8CkgBfxASBWgG4UA/p4ADbAaRQD7IA+VIgCdAOfx3x4L1gAA +5fHbG08CgAD0wAwSEgCdAKWZLZKeB2oKKqK/96ARu1IAnQApkp0KmQHulAAEjkmAAIwpiyoMBz4M +uwz3YAkD4gCdACogTi0gTLGo+6AN7CALFQAoJE4qMAEpIhjzQA32kgCdACsgBy0hJPhgJBWgDFUA +/UAEBTC7EQDgqhEN2oKAAAuqAhvxwwqIAiohBywhCQvdAvsGAAx6qgEA6/G+HVMCgAAKzAIqISKY +4IggnOOd5AuqApri/QAAFDAKZQAKiAKY4S0iEJ3lLCA4G/Gz+AAiHaBNFQD5wSYVoMwZAAzYOR3x +r5ToDL05+aYADrALBQCb5xjxop3m7fGjFOAFAAAsJhib65nq6AAVB0jBAAAJAIrt5g4hyEEAAO/m +Dyd5AQAACSCGDwJjCQCGDwJhDG4Rpe7q5p0jgLGAAIgpLyA4p4goJgnz4AgXkgCdAIkQCQlH+SAH +AVIAnQDAINEPnhLqJAAL2ASAAFiIDI4S/00QDeAfxQDsEgEpUASAAO0SACnYBIAAWIUP0qDRDwAe +8XmK6PdABjiSAJ0ADGkRpZkrkp73YAaLUgCdACmSnQdrCiuyvwuZAWSQv7CsnOjulAAM834AAGAA +LAAAAAAA89/wSFIAnQAJVAz0nQAVr/gCAAAAAAAA6xIAKVAEgABYhoTSoNEPAOokAANYYQAA/AAi +HaANZQBYiadj/2mNIsDkDt0C/EBGFe/9fgAAAAD4Q6gVpNkBAADRBAC8GgyIAvhDphWv+MYAiieN +EcDA6qwgLtgEgABYfpjSoNEP2iBYhKVj/vkAAP/3PA2gCQUAwKBZvbIe8UOK6Plf+XiQH8UA//0o +DaAJBQDAkMC6C6s0+8EGFe/87gAAAABsEA6VHCgiEC4iCSchNSkgByMWDioyAv5giBXgDRUA/GBo +Hae1AQDjIRol2/kAAAvbOfohphXhmQEA5/82CbfCgAD+wlIN46oBAA4OQvHAcA3i0wEAZNRjJiAF +wej5/gAPMBdFAPbAJB1iAJ0AhyKLHvTl/A3gDoUAK7ABnxHzYCEnEgCdAJkQmRqaFBjxFu3xFxIo +DQAA5RYLLK8CgADoVQgM34KAAK276xYJInAFAACJGp4YjRv1IAaqEgCdACtSnoYZ/WAKI+IAnQAv +Up0mYr8G/wFk9DkZ8QSJmPcgCZiSAJ0AKoKuZKFSGvEBI4KtKqJ/HfD9CjsB6xYFJNv9AAD6YAnm +IgCdAJvY+mAfZiIAnQCHKYYqDAM+B2YM8sAJq+IAnQApGgDzIjIN4Pr1ACsgFpwT+2AJ/SIAnQCL +EYbDKiA49+DmFaAGBQD34SYVoEcFAPvhBhXgqhkACnY5F/DvlhYtIhcW8Oyd+i0iG537icQKdjmW +F/lgEUriAJ0Ahh2eH+wWAyMN2YAAYAEIGfDWiZhqkS6LGypSno8Ze6NLLVKdL/K/D90BnRWGFevw +zhTT/QAA72QAAwHpgACauGX/E2ADSp4f/CBmFaAKBQBZvTMZ8MWJmBjwwowT7hIPJI7bAAD//1wN +oA8FAMCg+iCmFa/+5gAd8LzAugubNPuhBhXv/vYAAAAAAP/7FA2gDwUAnh8vFhD8IGYVoAoFAFm9 +HxnwsYwTLxIQiZiOHxjwrfk/9XCSAJ0AYAK9wKCaFYsVFvCpwNoNnTTtZggt9iYAAGACpJ4fLxYQ +nBPqJAAJ2ASAAFiHKowTLxIQ7hIPJXWhgABgAlqeHy8WEPpA8BWgDAUAWIcCjBMvEhDuEg8tdWYA +AGADx44XixOIFIwR9iDIFa+KBQAqJDsMmQwIZgKZtKzYBu4CLSIQ6CYbKVAEgABYha6OH4wTGPCG ++rOmFaEJBQBzmw0rIBYqCv/7YARNIgCdAIopKyA4DwIAo6rqJgkoBAqAAPNgBD+SAJ0AJiE1L8IE +KyEaKSIQ5v82De/CgAB/2wsKCkJkoAULDUJk0HP+ICYV4B2FAPn+AA7wChUA+iGmFaAGNQD2IYYV +r/XGAIonLxYQix7qrCAqYASAAFiCVi8SEJoTLSIb+UCIFe/83gAAAAAAAADqIAcp4ASAAFiGmxjw +W4wT/iHoFa/9ngDaIFiDtRjwVowTjh/6QSgVr/22AHGeiAq/DP/9ABXv/goAixSKFi0iEBnwXAuq +AhvwXApoAoYdGvBTCYgC6fBSGws+AAAjFhElFhImIAeFwCMhB/ZBJBXgZhEA61UBCzKCgAD2pgAK +ujMBAOYhIimbAoAAA3cCClUCIyEkCWYClfCFIJfzlvL94KYV45gBAOj2BizKAoAACTkC4xIRIlP5 +AADp9gQqrgKAAOXlAgZAgQAA5fYBJ8jBAADlEhIiFDUAAG2pBQgAhgkCYSYgFKRmBgZHJiQU9MAI +NdIAnQCIGPizphWhBwUA8uHyDeD59QArIBb5YAjNYgCdACoiF7Gq6iYXIYCpgACMKSsgOKPMnCnz +YAefkgCdAI0dZdDPwCDRDy8gTmT72Q54AvhARhWgAIYAAAAAAADzH9zAUgCdAA4/DP/9ABXv7jYA +AAAAAADrEg4pUASAAO0SDCpgBIAAWIOV0qDRDwDrEgwpUASAAFiFINKg0Q8A+kBoHaAbxQD8ACId +oA0VAFiIQ2P/wYsQ+kBoHaAMFQDtEgsl2GEAAFiIPWP/qQAAJiA7ZGBx6iQADlgEgAD8ICgVr4kF +AOkkOyxwBIAAWIUN+iEGFaALBQArJhv6R2Yd7/vOAAAAAADrEgUpUASAAFgJa2P+74on60QACmgE +gAD7RAAVoAwFAFh9JdKg0Q8A2iBYgzNj/wjqIAcp4ASAAFiGEWP+3AAAJyEJJRYSIxYRJBYTJiAH +hMAjIST0QOQV4GYRAOtEAQsygoAABkQCCkQC9kREFaq1AQDrIgct0wKAAAp3AglmAiqxFZoSlPCF +IJfzlvL94KYV40gBAOj2BioiAoAABDMC4/YEJdiBAAD0ImgVr8MFAAO7ASMSEeupCAquAoAABeUC +5fYBJmCBAADlEhIkyQEAAOnLQ35ABIAALUz+DNYRpobmkzt34MEAAPSf7/ESAJ0AbdkFCACGDAJh +Y/3tixD6QGgdoAwVAPtiQBXgDQUAWIfqY/5aAAAA+48ADD/+9gAInQwNSRRtmQUIIIYMAmPv2ggF +wQEAAOlMDAVIwQAA9Z/tQRIAnQArzP5tuQUIQIYJAmVj/ZQAAGwQBiggBRzvifffDgXgGkUA+wAK +nSIAnQArIE6JyLC7KyRO9yAIyJIAnQAucq7t74EXEXGAACtyrS3Sfw27Aea0AAWRKYAAsJ7uxggl +iDmAAC8gFLP/Dw9HLyQU9eAQxdIAnQAuIHP4Q6gV7/r1APvABADQCxUA4LgaB2gFAAD7FwANNN0B +AC0kcwmIAQi4OQqZAekmHSwHDgAAiiJ8pwQoIE7Jj32nCCsgTCkgTnuTFMxsLCAU7SICLlgcAABk +0cnAINEPAI4nx/MPrwHvJgInUMEAAFh0LuPvZRUBOYAAKKAA0w/TDwOICiiCEOygBy1YBIAA+kBo +HaANRQALgABlr9yJJ9MPZJ+mKpkUyqeKmWSvnCigAAOICiiCEOygBy1YBIAA+kBoHaANNQALgABl +r+Bj/3oAAP//VA2gCgUAwKBZu6gc7zmJyPk/9uiSAJ0AwGApIBSzmfhChh3v/B4AAAAAAAAAAOok +AArYBIAAWIRS0qDRDwAqIAUrIAfB1P1ADmVhuwEABQVH+KAOeVIAnQCTEI02nRLt7yUdmASAAPVg +CYoSAJ0ADLoRp6ouop73wA2c0gCdACqinQ28CizCvwyqAWShPfpACBXgDBUAWCDjHe8ZKSEJGO8Z +KyEiLyAHHu8jLCEk+WYADbD/EQDoEgIv+oKAAA/MAg7MAiymAI4gm6L5QKYVoA8lAOmmAy92AoAA +D+4C/0AmFaAJBQDtABUFUGEAALGZ6oMeDI/oAAAMORH3IABE8AilACiWnfS/8rkSAJ0AiifrRAAK +aASAAPtEABWgDAUAWHxBY/46//dsDaALBQDAugubNOvGCCtvBgAAY/7b6iQAC1gEgABYCHf93dQF +r/d2AIonwLD7RAAVoAwVAFiE1hnu9pmg/kAIFaAbxQD53egFoAwVAPlARhWgDRUA/cAAFzAIFQDo +7gINeASAAO72ASlQBIAAWIckwCDRD4nI9yAEoJIAnQAMOhGnqi6invfABVTSAJ0AKqKdDT4KLuK/ +DqoBZKCYsJ+fyGWuwYgi6xYBJAThgAD4v+w5UgCdAIonKwoA+0QAFaAMFQBYgLKLEB7u0p6gjCAd +7tKdov2AABYwDRUADcwCnKGLtvtAZhXv9UoAAAAA61QACVAEgABYg9hj/TyPM/4gRhXv+NYA//lk +DaAKBQD6ICYV4AoFAFm7GhzurInIixEd7qv5P/q4kgCdAP/9yA2gCgUAAMCgwIoImDT5gQYVr/2K +AAAAAOokAAXYYQAA/AAiHaANpQBYhulj/1EAAGwQBCggFO+LHmoYBIAAiif6YGgd4AwFAOqsICno +BIAAWHvf0qDRDwCLInO+SxTuj4pIaqFHG+6MLLKuyscd7o0ssq0t0n8NywF9wBuwrp5IfcAhLyAU +7yQUL9rQAADaIFgID2P/p8CwwIoIqDToRggt/xYAAIkiyZXAINEPwKBZuumKSGuhrWP/6gAAAAAA +APpAaB2gG8UA/AAiHaANFQBYhr/AINEPAGwQCCwiDy8gByghNCcyB/5DRBWn1QEA+b/AFeALFQDp +uTkJsASAAOsiCSpQBIAA+CCGFeAEFQDodzYPT8KAAPciUg3h/wEACwlC8SBwDeKOAQBkgnLBtOx7 +Hw6UdAAALCBP6SBNJmAFAAAMDEcsJE/9IBSjogCdACwgBfuAEv1iAJ0AjSL6IAYVr/s1AP1gDlji +AJ0AKCIZjjL5wBTVIgCdAIk4GO5LHO5I5JJib9+CgACaEJgRrLvo7kIVSA0AAJkT6xYCL6cCgACo +RPXgDSISAJ0AixMqQp77QBsb4gCdAIoSKUKd0w8qor8KmQHulAAEknGAAIwpiyoMBT7TDwy7DPVg +CdviAJ0ALRoA9aHyDeD49QArIBb5YBl1IgCdACwhIhnuPSghByohJCshCfxA8BXqiAEA6aoCDEMC +gAAIuwIZ7jYY7icNLUDpzAIO6oKAAAjdAp3giSCc4prk+8BmFeAKVQDr7i4czgKAAAqZApnhiC+Y +5SwgOPfBBhXgDQUA/cDmFeAKJQD93EwF4EklAPjAiBWgzBkADJo5mOkM2zmNZZ3qHe4g/MDIFaAJ +BQDs5gsjh+GAAAqcEA3MApzsjBGJaJfvme6IaSjmEI1qLeYR7AAVB0khAAAJAIqIZ/jgC6OiAJ0A +H+4FCr0CD90CnebAxfyTphWhCQUA9SHyDeD49QArIBb5YBOlIgCdACwiGYopKyBPKSA45aoIBmAF +AADsJhkl2/0AACskT5op8yAPj5IAnQCLFGWx78Ag0Q+fFZ8WnhfqJAAK2ASAAFiEZ44X7xIFJXWR +gACMFGXP24on2zDsEgAlUMEAAFh/jMAg0Q8a7dSKqPdAEIiSAJ0AjBMrQp79YBFDogCdAIsSKUKd +K7K/He3LC5kB5JIUZWP9AACc2O6UAAzyZgAAYACOKCA58R/4DhIAnQD/++QNoAkVAAAAAADzn+xI +UgCdAAnnDPb9gBXv9foAAAAAwbN7yRQpIDqaEP4gxhXgDPUA/SAQ/SIAnQDqJAAK2ASAAFiC1NKg +0Q8A8AAYDaAa1QDAoYw3KyEJjTiOMuuvEQ3dAoAAD7sC5LsCCVAEgABYgrjAINEPAAAA//9YDaAa +hQDqJAAH2GEAAPwgaBXgDBUAWIXpY/8FAAAKuAKY5sDV/JOmFeEMBQB1yw0rIBYpCv/5YA41YgCd +AIoUZKFdi2qMZ4ppq3sHzAycZ/dg0g3gDgUAsaqMZZtqi2aaaazqq3t3uwGxqo4pm2aaZS0gOKXu +ninzoAo3kgCdAIknKJkUyoKLmcm+Ge2PKLAAnxWfFgmICiiCECywB/pAaB2gDTUAC4AAjxWLIsej ++1/ygOIAnQAoITSHZy4hGooviynodzYPZ8KAAHfLCgsJQsiUDgtCZLC0wdT6/gAOsAwVAPwghhWv +8q4A2iBYgMRj/gqKJ+qsMCtYBIAAWGr/0qDRDwAA//KoDaAJBQAAAJ8VnxaeF/pA8BWgDAUAWIPE +jhfvEgUtZf4AAOokAAfYSQAA/AAiHaANBQBYhaBj/eAAAAAAAOogByrgBIAAWIONY/2BnxX+IMYV +4AoFAFm5uBrtSoqojxX5X+7YkgCdAP/34A2gCQUAwJAc7UTAugurNPuBBhXv95oAAAAAAPNf+jhS +AJ0ACecM9v2AFe/88gCKJ58V7xYGKdgEgADsEgAlUMEAAFh+7Nag/iCoFe/6FgCfFe8WBilQBIAA +WICM/iCoFe/6tgAAAMFT+kBoHaALBQD8AAIdoA01AFh5GSsgBY8WihD1f9qFYgCdAGP9IZ8Vnxbq +IAcq4ASAAFiDXv4gqBXv+K4AAAAAbBAOkxyVGogviikuIRonITQvMgT4QPAV57UBAPt/wBXgDRUA +C9s56xYLKeAEgAD34QAP8ZkBAOcgBS83woAA/sHyDeKqAQDxQHAN4m4BAGRkQcGkCPqN+uAjTSIA +nQCOIosc9cRcDeAKhQArsAGfEvNgIFcSAJ0AmRHo7PwSWA0AAJsZG+z86RYILK8CgADoVQgM14KA +AKuq6hYHInAFAACGGJ4Wixn0wAXqEgCdACpSnoYX+0AJY+IAnQAvUp0mYr8G/wFk9CEZ7OqJmPcg +COCSAJ0AKoKuZKE5GuznI4KtKqJ/HezjCjsB6xYDJNv9AAD6YAkeIgCdAJvY+mAepiIAnQCHKYYq +DAM+B2YM8sAI4+IAnQApGgDzIjIN4Pr1ACsgFpwQ+2AJJSIAnQAb7OgpIDj72cwFoAYFAPfg5hWg +RwUA/YCIFeCZGQAJdjkJujmLEpoUlhX9YBHS4gCdAIYbZGHTYAEDGezCiZhqkS6LGSpSno8Xe6NL +LVKdL/K/D90BnROGE+vsuhTT/QAA72QAAwHpgACauGX/K2ADSp4d/CAGFaAKBQBZuR8Z7LGJmIwQ +GOyu7hINJI7bAAD//1wNoA8FAMCg+iBmFa/+5gAd7KjAugubNPuhBhXv/vYAAAAAAP/7dA2gDwUA +AJ4dnx78IAYVoAoFAFm5CxnsnYwQjx6JmI4dGOyZ+T/2OJIAnQBgAr7AoJoTixMW7JXA2g2dNO1m +CC327gAAYAKlnh2fHpwQ6iQACdgEgABYgxaMEI8e7hINJXZ5gABgAl2eHZ8e+kDwFaAMBQBYgu+M +EI8e7hINLXZOAABgA8ScEP4hphWviQUA6SQ7JjhBAAAHAIbnEgInsIEAAAYCYY3Hl/iKxInGrX0H +qgyaxHfbCZ4d7BYAJMgFAACMEosQhhWOFJm2nbcG7gLtIg8pUASAAFiBko4dGOxqjBD6s6YVoQcF +AHN7CCsgFikK/3m5foopKyA4o6rqJgkoBAqAAPNgBCeSAJ0AJiE0j8QrIRqJL+b/Ng3vwoAAf9sK +CgpCyKQLDUJk0HP+IEYV4B1FAPn+AA7wChUA+iFmFaAGNQD2IUYVr/XGAAAAAAAAnh2KJ58eixzq +rCAqYASAAFh+Ou8SDi1gBIAA/iGoFa/8UgDqIAcp4ASAAFiCgYwQGOxA/iGoFa/9tgDaIFh/m4wQ +GOw8jh36QSgVr/3CAHGeiAq/DP/9gBXv/goAF+w+G+w+HexDBqkChhsa7ELtmQIGQEEAAOVhVGfo +gQAAJRYQkx8lIAeDwAUlQOozAQqqgoAABTMCBzMCJyEHKiEiJiEJ9EHoFep3AQDrqgILuwKAAAdm +AichJJPwgyCW85n2mvL14KYV46kBAOUSEC1SAoAACncC5/YEKZ4CgAAD4wLj9gEiU/0AAOMSDyIM +NQAAbakFCACGDQJhJiAUpGYGBkcmJBT0wAgd0gCdAIgW+LOmFaEHBQDy4fIN4Pn1ACsgFvlgCLVi +AJ0AyD+LKSogOKO7mynzQAffkgCdAIwbZcDXwCDRDy0gTmTb8wroAvhARhWgAIYAAAAAAADzH93Q +UgCdAArvDP/9gBXv7r4AAAAAAADrEgwpUASAAO0SCipgBIAAWH+B0qDRDwDrEgopUASAAFiBDNKg +0Q8A+kBoHaAbxQD8ACIdoA0VAFiEL2P/wYsR+kBoHaAMFQDtEgkl2GEAAFiEKWP/qQAAAAAAJiA7 +ZGB3K/qAKyQ77BICLlgEgAAIIIYNAmPs9ggs8ASAAO0iDylQBIAAWID1+iDGFaAMBQD8R2Ydr/vK +AOsSAylQBIAAWAVVY/7yiifrRAAKaASAAPtEABWgDAUAWHkP0qDRDwDaIFh/HWP/AOogByngBIAA +WIH7Y/7fAAAAJyEHJBYRJiAHhMCTH/JERBXgZhEA6kQBCzKCgAAGRAIW67osISSKJwZEAiYhCfpm +AAn6dwEA66EVK7sCgAAHZgKHL5TwhCCX9ZPy9+BmFaM5AQDp9gYpmgKAAAPMAuMSDyomAoAABOQC +7PYEJVCBAAD14CYVr8wFAAyqAaq85BIRJmEBAADsiz18SASAALBIDIYRppZ2wzb0n/CIkgCdAG2J +BQlAhg0CZWP+AIsR+kBoHaAMFQD7YkAV4A0FAFiD2GP+YgAAAPsPAAz//w4ACcwMDEgUbYkFCWCG +DQJn780IBUkBAADoTAwGwIEAAPWf7diSAJ0AsM5t6QUJgIYIAmlj/agAAABsEAYoIAUjIAckCgP9 +D0BEUTMBACggImSAbwIqAlh20v1MwIDQDRUALCAhGOttDwIA7DMRBn1WgACoMykyng8CAG6TRSsy +nWSwP/pACBWg/uUADs4B/cYADvAPBQD8RCYd4AkFAPggBhXgDAUA+CAmFeAOlQD4IEYV4A0FAFh8 +9vRzphWgAgUA0Q/AINEPAABsEAoqIAX4QPAV4AwVAPhgaB2ntQEA6BYAJdv5AADryzkKGASAAOsW +BSwgBIAA/UHABFGZAQDBw/1AICUiAJ0AjSLv60UenBYAAOvrQRGwEQAA5hYELPeCgACv7u4WAyzX +AoAAq6rqFgcswASAAIcX9QAEIhIAnQCKFCdynoYTjxf64AdbogCdACZivy/ynQb/Ae8WBieaEYAA +JSEbikKHKYYqBaU29U8ADnELBQB8swHVoJgaB2YM9MAF4+IAnQAqGgD1QjIN4Pz1ACsgFpga/WAF +/SIAnQCKQvqgDnqiAJ0AjBUb6zaHQ5ga63cBBgj5gABgALYAABrrF4qo6BYKJQzfgACLF4wUhhMr +sp6PFyZiv3yzQy/ynRzrDgb/AeTwOWVb/QAAm8jvFgYv+24AAGACowAAAAD4IWYV4AoFAFm3chrr +BIqoiRvoEgolDt8AAP//TA2gDwUAwPAc6v7AugurNPuBBhXv/wYAAAAAAP/8eA2gDwUAmRvqJAAK +2ASAAFiBfokb6BIKJXmpgABgAjUAmRv6QPAVoAwFAFiBV4kb6BIKLXmWAABgAxrw4ASIUgCdAC0h +Gowplxj4IUYVou0BAOkWCy8EFgAAlxj4IUYVouwBAOkWCycDgYAAmBrpFgsu/8KAAHX7Xg7VDPnV +6AWgt+kA5kIDLdyCgAALeQKZGAhmAfaAZhWgAQIAiieZGysSAOqsICngBIAAWHy+iRv4IUgVoAsl +AOukAi0gBIAA6qICKAQKgADy//u4UgCdAIwplxiYGpkbjhiPFuWtDApYBIAA5cwICVAEgADtRgIq +6ASAAOwmCSngBIAAWHzZiBqJG48X+/OmFaEOBQB16wgrIBYmCv92uQzAofogphWv93YAAAAA6iAH +KuAEgABYgOuJG/ghSBWv/4oAjykY6sWJFqX/nymMQ4tAjRXnxAAEyIEAAPwOAAU36wEA7hYBLojm +AAAnIAcHB0EIdwoncp/urRANU8KAAO2qAgJAQQAA6ncBAdP9AADnxwIBjD0AAG2pBQgAhgkCYYtA +wICYEhnqsBrqry8hGoYWHuqsJCEHGOqp/CAoFaHXMQD/oABGukQBAO3QgConAoAA7MwPJnBBAAD4 +hgAKNMwdAORmACZgBQAADDwMFOqEDV0M6CIAL/oCgACfZpdnnmOdZQykOQmJAulmBCxGAoAA5GYC +IdAFAAAIqAKYYSYgFONmCA0gBIAA5iQUKAQKgADzYAQakgCdAIgX9ROmFaEHBQD04fIN4Pn1ACsg +FvlgBR1iAJ0AiBLSgNEPAIoVZKCjwCDRDwAAAAAAAADqJAAE2GEAAPwgiBXgDBUAWIKnY//Ziif8 +ISYVp9tBAOqsICgECoAA9aAEYdIAnQCMFisKAezMICnoBIAAWHecmhL6gAgV7/vOAACLFuxNEQlQ +BIAA/WAARfAMFQBYdXr0gGAVr/2iAGW7/Plf38jSAJ0ALyAg8f/fd5IAnQBj/3MAAAAAAAAA6iAH +KuAEgABYgHmIEtKA0Q+KJ9ww6xIAJVCBAABYfCbAsvtARh3gAgUA0Q8AAAAA6zQADjgEgAD8YGgd +4AwFAFh3edtA7DQACugEgADqFgIr8ASAAO8SBilQBIAAWHxF+oAIFe/7FgDqJAAE2EkAAPwAIh2g +DQUAWIJrY/7pAABsEAiSFJMVGeoriED4IEYVr8sFAOsqAQJwIQAA+iBmFaeIQQDkgcBiUBEAAI8T +LSEFqYwswACv3wTMC+/8QC5YBIAA/4ANiuIAnQD6ICYVoGgBAP4AIh3gDQUABv04C98L690KB9gh +AACCFZ4Q+QAARXAMJQDyQQAV4A8FAPJAgBWgAg4AjRQOVQz/4CAVoAMFAO/kAARABQAA8Q5gDeB+ +AQCGEyKgAC3RBQQiC+bWCAlYBIAA4hYGIzEBAAD2QAZ6ogCdAAgGQPIAIh2gDQUABi04C9IL690K +AVghAAD3IBAVoAIVAAcjOIcVB2YLF+oCpzcncKAGMgoGMwvsfAgBmCEAAI7QCwCJBe42LiYAAwCL +ItIA6qwBJMgFAAD0X/sj4gCdAAUpDA4qDPugBhWgBxUA9WAoFeAGBQAJdjgIaAgisgAF5QgltgF+ +WwIiLAHitgAmfRKAABbp6YsSHuno5rYBB5AFAAAGIgKGFe67AQxuAoAADbsCkmD6gAYV4SwdANEP +ixD8ICgV7/1SAAAA/E8ADf/8xgCFFRnpuQXFC/gAChXgAgUAsSLlgx4JD+gAAB3p0oYSGunS7W0B +B9gFAAANuwKNFepmAQxOAoAACWYC69YAJhAFAAD2gAYVoSIdANEPAAAAAOoWAS1oBIAA+8BoHe/5 +1gD9jwAN//k+AGwQDPhASBWgCgUA6yAHKcgEgADygGgd58UBAP2fwBWgBBUA7Ew5DLgEgAD8ISYV +obsBAPMbXA3gDAUAmhacFZkTmxSbGy4gFhXpih3pix/pre8WCC3HgoAA7YgIDacCgAClRB3pqSgW +CvnTBAWg//UAf+ETAioCWCukGOl+Hemi6hYIJSoRgABgABcAAGZjy/jAHyiQ+vUAKSAW+yAZTSIA +nQCJiPcgBhCSAJ0AK1KuHOlzZLDRLMJ/K1KtDLsBZLDHsJmZiBzpkWSzSyzAgCzMN/4haBWkzB0A +rDzrFgImYB0AAPXABYISAJ0ALkKe/cAIK6IAnQCMGitCnSzCvwy7AesWACWZUYAAKnEMiXeZEf1A +DpxiAJ0ALHAQ63IDJglBgAD5n/so0gCdAC5yA2Tg0I8WZfGuhhGPGI0U7hIAKVAEgADm/zYL2ASA +AO8WASngBIAAWClaGOlHHelr568ubTAEgABgAvIAAMCgWbWvGOlBiYgd6WT5P/mIkgCdAP/9CA2g +CwUAwLDAqgqZNPkBBhXv/M4AAGqRJCtCnnyzQYwaK0KdLMK/DLsB5LA1ZPP9AAD/AQYVr/0qAAAA +AAD8IaYVoAoFAFm1mBjpKomIHelO7BINJI8TAAD//IwNoAsFAMCwwPoPnzT/AQYV7/xSAAAAAAAA +AP/8GA2gCwUAAAAAihjAsZsW+V/5KuIAnQDA4J4W+V/4yuIAnQDrdAAJUASAAO0SCSngBIAAWCmW +/gAiHeAHFQDnFgktOASAAP9AZhXv+7oAZLBJjxX+ACIdoAwFAA/sOGTAiogRhhjqJAAL2ASAAO0S +BCngBIAA6GY2CPAEgADmFgEg+BEAAFgqIujo/B0wBIAA/dI+Be/3/gAAAACLGA8CAPlhVg3gDAUA +eaMCLAoB+AAiHeAOBQAMnjjsFgUnfKGAAOt0AAlQBIAA7RIJKeAEgABYKsH3QGgd4AsVAPohJhXg +ChUA+uBmFa/9pgCLEBXpAiohB4lwHOj+/9HKBeqqAQD/QAAVOJkBAOyqAgTAPQAA/CEoFaSIHQDq +tgAkQAkAAAg4DI4gmbPoXzkBs/0AAO+2Ai92AoAA7m4CBahBAADutgEuDvYAACgSA+iMICGUVQAA +6jz+KsgEgABtqQUIAIYJAmErPP4MuxGrW5sQKCAULCAEo4j1gAihEgCdAAgJRykkFPUgCjZSAJ0A +iHIoJhwpcgHoFgctqASAAPMgCjBSAJ0A8TX4DeAHBQCnZiZGnSogFisK/3uhCusSASlQBIAAWC2O +jBllwOPAINEP6xIBKVAEgABYLYkuIBYY6Kf90ZYF4P/1AP/f5RxiAJ0AY/yHiBllj9IqcBDbcPxg +aB2gCRUA+1/gFaANBQDqnTgJUASAAFgoTMAg0Q8AAAD6QGgdoBvFAPwAIh2gDRUAWIDfY/+9AAAd +6Lct0IDrEgQm6N0AAPpAaB2k3R0A/GAARvAMFQDt3Acl2GEAAFiA1GP/jy4gFi8K///f+vRiAJ0A +6xIBKVAEgABYLWHAINEPixAMbBGsu/ogBhXv+5IAKCQUjXDxv/i6kgCdAPpAaB2gDAUAWHOo9sBg +Fa/8EgCKJ+s0AAnoBIAA+0QAFaAMBQBYdbvSoNEPAAAAAAAAAOsSAilQBIAAWAH1+iAIFe/6vgAA +AAAAAOokAAxgBIAAWAN4iBeJcZoc56QADV8CgADrVQgE9U2AAOtUAAlQBIAA/QBoHeAMBQBYAz33 +QABD//o6AIon/KBoHaALJQDqrCAp6ASAAFgoPitwEPl/8TDSAJ0AKXAVCQhFZI4YK3EJHOhwKnEM +L3ARjicMqgyr/w+ICf3CpBWvzQUA7uwgJHiJAADt7gEEQEkAAAr4Oah9rs7u7EAm6IEAAO7bWn7Q +BIAADuowG+hgLaEB/UAEFaH5MQAL/worIhfv8p8uZAKAAAzdAgvuDA/uLK7dqF79wCQd792BAP3A +BB3v9nIAixT6QGgdoAwVAPtiQBXgDQUAWIBzY/4NAAD9rwANP/6aAGwQBCMgACQK7XQxBiIhA7wi +0Q+EIYYg8kBoFaAIJQD3ZAACsJRxAPkPAAxzNgEA9GAAQfNmgQDl6D0cAQqAAABmGvZgAQG9RAEA +5SIBAag5AADlIgwBmGkAAAQkLAQzKKMi0Q9sEAiKIicgB4kwlRX4QtAVoXcBAPFdTA3omQEA+CAm +FeD89QB8gR0FC0f7f8AV4AkVAOubOQlQBIAAWC0I81MwDeD89QAa5/iIqBbn9vcADZiSAJ0ALmKu +Gef2ZOHbKZJ/JWKtCVUBZFHRKIz/KKYI6VQAAo2BgAAb6BIlsIDt5+sSqN0AAPggBhXkVR0A5UUI +C88CgADmmQgCqA0AAPTgCJISAJ0AKJKe9QATO+IAnQAlkp0NeAoogr8IVQFkUYgpIBb9IyYNoOvV +ACowEPtAElRiAJ0AKzELvLvaIFgssyggFCwgBKSI9YAMQReYAQApJBT1IA4uUgCdAIoVHufpjREo +IQcc580Z5+X/oeAV6ogBAP8AABQ0/x0A6YgCB/gFAAAPTwyYUIsgD+w5/KBmFeeqAQDsVgIt3gKA +AOtLAgLIQQAA61YBIcBBAAD5QAlxUgCdAOhBDWJT/QAAbakFCACGCQJhwICYFOkgBCJb/QAADLsR +q1v1IAkJEgCdAIgyKCYc6TIBJdhBAACbEygWAvMgCbhQBQUAZpFQpUyIFAx9Eabd7NadLBAEgADR +DwAAAAAA9wAOkJIAnQAMeRGmmS6SnvXADvviAJ0AJZKdDXsKK7K/C1UBZFHNsI2dqGVe3WAAYwAA +AAAAAADqJAAJ2ASAAO0SBSpgBIAAWHsf0qDRDwDAoFmz9hrnh4io+R/yGJD89QD/+VgNoAUFAAAA +AAAAAPpAaB2gG8UA/AAiHaANFQBYf8lj/7HAUMDqDog0+UEGFa/4rgAd550t0IAt3Df64wAV5N0d +AO1NCAlQBIAA/aBgFeAMFQBYf7xj/3sAAAAA+EKGHa/6DgAAAACKJ/0gaB2gCxUA6qwgKmgEgABY +dLL6IIYVr/tKAIsw82AIopIAnQDiEgQr5wKAAKbMJMad0Q8AAAAAAAAA6xIAKVAEgABYAOVj/jAA +AOokAAxgBIAAWAJqiTGLE4gS7KwRDSgEgADsuwgE9Z2AANog/QBoHeAMBQBYAjGIFKWlpUwMfRGm +3ezWnSwQBIAA0Q8AAAAAAP/2lA2gBQUAjTWMNB7navpg6BXgCSUA/HAAB7CtcQD7LwAMu4whAPsg +BADTzAEA6MwID/gKgAD/gAEGfd0BAO67AQZwOQAA7rsMBmBpAAANvSwNzCj9YABFv/W6AAAAAOok +AAPYSQAA/AAiHaANBQBYf3Vj/mHAoFmzlBrnJoioHecm+R/xCJD89QD/+PANoAUFAMBQwLoLizT7 +QQYV7/i2ALBLDLsR61sICVAEgAD7YgAV4AwFAFhySrNM4hIEK+8CgACm3SzWndEPAGwQBIk3F+c5 +KzAW+c5gBaMqBQAKKigLtgnoqAgLNwKAAKhmGOczp2fkcr8pAQqAAP74CBWgDBUA6GYIDmgKgADm +QRh0wCEAAIsymOCek5aSDbsCKHbAmzLRDwAAH+cmr68p8r0AsQTt8sEucAqAAA6ZAvn3phXv/vUA +Dt0DDZkBHuce5XLAKW8CgACu3ZnQjzKYUOaGACkBCoAA5YYBLiAKgAAE/wIodsCfMtEPAAAAbBAK +GecSCSkKKJJ/4hYIKWgEgAD7AAQA0AYVAOYWCisoCoAA+CCGFeBVTQAS5wkb5wkc5voY5tf1zhAF +oyoFAOraKA6/AoAA6ZJ/Juu5AACdFaSkqHesrJwWKHK5JEKf66oIBMv9AAD6IOYVo5kBAPghJhXg +YwUA+IAEAjAAbgAAihrAsP3/4h2gYwUA7FUDBQLxgACbGi1yuAReAQ7dAZ0QAQCHAzZgaD7VihiL +F40ViBbjOQkB8oEAAO4WCSzPAoAA+QAARH//9QDijAgEQv8AAODhBARC0QAA6IKfK0gKgAAPmQMJ +RAELgABj/6eKGYsUsaoKCkMqtn/RDwAAAGwQBBvm1ioiAA8CACuyfx7m1PtPAA1zLwUAD68oDv4I +KeK/KOK+/c2eBe/79QALmQPpiwENZwKAAP2AAEZ/9PUA/YAIFaADFQD5DuAd4A0FABnmxRjmsvkA +AEZ/LAEA7PwIBf1EgADLKQjqMCnCvy/iwAmIDOj7E36BCoAAL+K+ADgaBIgDCP8BL+a+/aAgFeG7 +HQDksCxmYMEAAH+3FGP/xAAACeow+ZfmFe//hgAAAAAAAP2gIBXhux0A5b/cZmDBAABYLWLAINEP +AGwQBCYhCfhCkBXv+AUAJyAV6JgBCzYCgADomQwLuQKAAAdmAvhChh3gBwUAJzQA+GBmHaAEFQAE +ZgKWMRXmYyRWrdEPAAAAAGwQBBbmlRXmbdMPpiIFNQIlJoAkIoBnQAttCAUoIoBngAJj//PRDwBs +EAQT5owiNopj//wAAAAAbBAEKCAFJSAH+mCoFa/01QD6QEgV4AMlAP0BIBHRVQEAwCDRDwCIKZor +DwIA+wAIPCIAnQAa5nwKWgnpofwlUAsAACqhAPsgBLOiAJ0A82AEcBIAnQACKgJYcY4rIgIPAgAD +ugFkr7iKJwS7AesmAiVQwQAAWGsO4+ZFFQE5gAAooADTD9MPA4gKKIIQ7KAHLVgEgAD6QGgdoA1F +AAuAAGWv3Ikn0w9kn3YqmRTKp4qZZK9sKKAAA4gKKIIQ7KAHLVgEgAD6QGgdoA01AAuAAGWv4GP/ +SgAA//9UDaAKBQDaIFhxeysgIuq7DAlQBIAAWHLF2lD6ACId4AwFAFh0eIsiA7oB83/65mIAnQAv +IAfaIPwAIh2gDQUA9WAEBzG/AQDuJgIl2H0AAFh+VcAg0Q8AAAAAAAAA6yAiKVAEgABYcrEqIAXB +g3ihDGioKYsi82AEBX/8RgApIDrAv3uZ6vpAaB2gCwUA/AACHaANJQBYcehj/9cAAPpAaB2gCwUA +/AACHaANJQBYcWFj/78AAGwQCogrHeYVLiAhizf8YMgVoP/lAA/uAS4kIQ3MAQy7DOuJCHjIBIAA +wCDRDwMAhgkCYZsVKCAFJSAH+CEGFe/01QD8QEgV4AMlAP0bQEHRVQEAiikc5hCbK/tACBRiAJ0A +DFwJ68H8JmALAAAswQD9YASjogCdAPOgBGASAJ0A2iBYcSCLIgO6AWSvm4onBLsB6yYCJVDBAABY +aqEKqwLj5dcVASmAACiwANMPA4gKKIIQLLAH+kBoHaANRQALgADrpAANfx4AAIknZJ9aKpkUK5IJ +yqhkv08osAADiAooghAssAf6QGgdoA01AAuAAOukAA1/LgAAY/8tAAAAAAD//0gNoAsFANogWHEN +KyAi6rsMCVAEgABYclfaUPoAIh3gDAUAWHQKiyIDugHzf/rOYgCdAC8gB9og/AAiHaANBQD1YAQH +Mb8BAO4mAiXYfQAAWH3nwCDRDwAAAAAAAADrICIpUASAAFhyQyogBcGDeKEMaKgpiyLzYAQFf/w6 +ACkgOsC/e5nq+kBoHaALBQD8AAIdoA0lAFhxemP/1wAA+kBoHaALBQD8AAIdoA0lAFhw82P/vwAA +bBAEHOW4izQpMBb9YAQFtZkdAPUgCAiSAJ0A7uWzFIiJgAD7y2QFr/3lAOTlhhSkuQAAaZUiLKF+ +7LMMdlARAAD7YAibogCdACsgBrC7CwtH6yQGJYLJgADAINEPLKF+0w/sswx2eBEAAP9gB9PiAJ0A +KCAGsIgICEfoJAYsft4AAIkniyIqmRQNuwGbIouZZKC0KLAABIgKKIIQ2iD9YPAVoA01AAuAAMAg +0Q8AiyKKJw8CAA27AesmAiVQwQAAWGopya0ooAAEiAooghDsoActWASAAPpAaB2gDUUAC4AAZa/g +iSdkn28qmRRkoGCKmWSvZCigAASICiiCEOygBy1YBIAA+kBoHaANNQALgABlr+Bj/0IAAOokAAnY +BIAA7EQACugEgABYcfvAINEPAOokAAnYBIAA7EQACugEgABb/0HAINEPAP/9HA2gCwUA//50DaAK +BQCINyLifwmIEfhAAEE/+5YAiDci4n8JiBH4QABBP/v6AGwQBBrlQyiiy2SACwnqMCuizAuZDGeQ +AdEPWHQg0Q8AbBAEHeVVJyAHHOVU/kEEFeDnEQAO3DmcMIgg+8oyBeAKJQD6YEYV4BkFAOk2AyxG +AoAACokC+GAmFeF3AQDmIHkrvAKAAPfmAA9wDQUA/AQCHaALNQDp5UITAjmAAJ01nDMLigIW5T+a +MRrlPwbuAiYhCZ40mjYEZgIiIAedOZU7+MYAC3EiAQDmNgopFAKAAAL/Agn/Au82CC2QBIAA0Q8s +IQgrIQmdNZU3B8wCBLsCCbsCCcwCnDTrNgYtEASAANEPAGwQBBjlDx7lIywgBx3lIxnlJvpBBBXg +/BEA/80ADvHMAQDtNgAuZAKAAAy7Agm7AuOAgCmwBIAAHeTh/EAIFaAOBQCeZe1mAiG43QAA+sCG +FeR3HQDqfP8uZgKAAOx8Ag1XAoAA7GYBJVPhAACaYwIEiZlmI2YHBiCLJSEJ9MFmFaQzHQDlZgor +kASAAOiABQMowQAAbTkCBQJh0Q8AAABsEAYd5QILKxGtsyoyfxnlABfk3oigwED44ABE8AYVAOm5 +CAQBqYAALDJ4LzJ7+YAFfGIAnQBl8RQsNnwrMnkrNnvdQA3kFgECAJSgDeQWwMn8QAXcIgCdAC8y +e8HA7eTtF4ORgAAiMnwqIQSOIPPh/g2mugEAJDZ89G9mFaAAHgAuNnztrwEFw/0AAAj/Au8lBCWM +WQAAIjJ8sMzvMnshAPGAAMnGY/+/2iBYdDtloMIqIQT/QQAMFpoBAMiX0Q/aIFh0LtEP2iBYc/DR +DwAAAAAAAPpAaB2gCwUAWHS/0Q8uLPjq0ogvAQqAAPzAAQXf/PUADLsDC6oBKtaIWbcUJDZ8JDZ7 ++m/oFa/84gAAABXkfi9QYWTwalmsb1hzpyhyy9MPyIFYc3wpUGFknylYc3bIrhXkuSxSa7DM7FZr +JgLJgABYcv1j/w4AAAAAHOSz/m+IFaAKVQD8b0gV4AtFAO0WACFr5QAAWbVE+m/oFa/7MgAuMnvi +Nnwveh4AACI2e9EPH+SnL/KucfaL9qwmHa/+IgAAAAAAWaw2+q1mFa/+kgBsEAQU5J8Z5Jno5HcZ +XsKAAKS0I0J/qYjouAgBgiGAACoyAHipAipCexzkkSsxBCpGfwy6Aeo1BCnQBIAAWHPtzqkpMQT/ +IQAMFtkBAMjX0Q/aMFhz4dEP2jBYc6PRDwD6QGgdoAsFAFh0c9EPI0Z/0Q8AAGwQBPBg4A3v+fUA +iCIJOQMJiAGYIoonKqwwWGkO4+REFQEZgAAooAADiAooghDsoActWASAAPpAaB2gDUUAC4AAZa/g +iSfLkiqZFMqlipnJrSigAAOICiiCEOygBy1YBIAA+kBoHaANNQALgABlr+DRDwAA//9cDaAKBQDR +DwAAbBAIFuRjG+RjHeQ69cgwBaAYxQDjLOgl04EAAPhADcwnMwEADDURpFXoUp4pZsKAAKbEKUB/ ++QAQk+IAnQAoUp1kggebEeoLHg1IBIAAmRAKIIYLAmULAmMNAIcJAmEJAmEW5E4f5EztwwgJAQqA +APIgphXgDhUA4+QOH3AKgACeE6/P/iCGFe//9QD/1wAPcAdFAP4gRhWgALoAAIqZya0ooAADiAoo +ghDsoActWASAAPpAaB2gDTUAC4AAZa/gKUIgZJDrLUB8HuQ1jBUN2wmu3gy7CixAfaa7irIu4IBk +oTj9x74NoAgVAMDwDY84iBUP/wkI/wov/Rcv/Lwv8hvx4XAN4AwFAMCxC9sDCwtHK0R8C7sJCLsK +prv8j6YdoAwFAI2w71KeJvP/AAAu4P//4AR7ogCdAC9Snfb/4BXg+PUA8efADed3AQB4cXTqEgQm +QAUAAOhEfSbj4QAAWHNyiRPSoOsSAiSAYYAAiqILqgEqJgKKJyqsMFholsmtKKAAA4gKKIIQ7KAH +LVgEgAD6QGgdoA1FAAuAAGWv4IknZJ8bKpkUZa7y//vEDaAKBQCMEYsQDICGDGCGCwJpCwJn0Q+P +EY0QLkR/D8CGD6CGDQJtDQJr0Q+bEeoHHg1ABIAAmBAKAIYLAmMLAmEN4IcIAm/o7AAJ0ASAAFmr +mWSvr+3jvBmvAoAA5FUICWbCgAD3gABCP/kyAAAA/iCoFaALFQD7twAN8AwFAPyPph2nuwEAK0R8 +C7sJ/2ABBbAMBQD3YABFv/t6AAAAC2CGC0CGCgJnCgJl0Q8AAGwQBBjjgwIDRwwzEagzKzKEGeOQ +KLAAirEJiAoKIYwCCj4oghADAj78QGgdoA0lAAuAACI2hNEPbBAEFON1AgNHDDMRBDMIJDKEKkIB +JkAAKEAI+phoHaCpJQACBT4DAj55gSMY43wIaAooghDqVAAKWASAAPxAaB2gDSUAC4AAIjaE0Q8A +AADrJAAKUASAAFhzN/NAaB2v/zYAAAAAAABsEARZrvcS41oT43sMAgApIoIJGo4DqAqIhAuAAGP/ +6xLjogPoMATuMAWxMJMglCGVIhLjnhPjXYQgBDMCkyAS45zAMCg3QCg3RCg3SCg3TCM9AXIz7RLj +l8AwkyDHLxPjlgMjAxLjlYQgBDQBlCAS45SEIAQ0AZQgEuOShCAENAGUIBLjkYQgBDQBlCDHL8Ax +AyMDEuOOhCAENAGUIGP//AAAABLji4MgAxMUDzMRkyAS44jAMCMmAFf/2RDjh5EAkgGTApQDEeOF +ghAB6jCiEQHwMcBABOQWAAIAEeOBghAjGgADIgKSEBHjfsAhkhAE5DGEA4MCggGBAADSMAEjAAAA +ABDjeZEAkgGTApQDEeN3ghAB6jCiEQHxMcBABOQWAAIAEeNvghAjKgADIgKSEBHjb8AhkhAE5DGE +A4MCggGBAADTMAEzAAAAABDjapEAkgGTApQDEeNoghAB6jCiEQHyMcBABOQWAAIAEeNdghAjSgAD +IgKSEBHjYMAhkhAE5DGEA4MCggGBAADUMAFDAAAAAABclAFdlAJelANflABDAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXJABXZACXpADX5AAUwAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJyUAB2QAZ2UAp6U +A5+UBAiUBQmUBgqUBwuUAEMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACckAGd +kAKekAcdkAOfkAR4kAV5kAZ6kAd7kABTAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAA3JQAHZAB3ZQC3pQD35QEBJQFBZQGBpQHB5QICJQJCZQKCpQLC5QAQwAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAANyQAd2QAt6QCx2QA9+QBLSQBbWQBraQB7eQCLiQCbmQCrqQC7uQAFMAAAAf//2s +ANIxEP/+CgAAAAAAH//99ADTMRD//goAAAAAAB///jwA1DEQ//4KAAAAAAAA9DAKAAAAAAD0MAoA +AAAAAPQwCgAAAABsEAgnIAeIIhbiV/3EpgXhdwEA5YDxa9cCgAAY4lAugIDmqggHcN0AAP1TyBWk +7h0Ark7r4ksXcAkAAP+ACEugCaUAKqKdC3wKLMK/DKoB6hYCJQf5gACI2PcACPCSAJ0AL2Ku7OJB +F4XBgAAqYq0swn/sqwEEc/0AAP1ABSYiAJ0Antj9QAUuIgCdAC8gFKT/Dw9HLyQU9eAHrlIAnQD4 +IEgV54UBAPkAB3FSAJ0A6DwQIgw9AACwSm2pBQgAhgkCYcBQiBKNMu0mHCJL/QAA6zIBLM8CgACp +iJgT82AKQFAKBQDqFgAti0oAAIsQ60sIC+cCgADmzAgF2/0AAOvGnSqQBIAA0Q/qJAAJ2ASAAOxE +AAroBIAAWHVB0qDRDwDAsAmMNOzWCC37HgAAjSJl39X6QGgdoBvFAPwAIh2gDRUAWHnvY/+///wM +DaAKBQAAAI4iZe+wLYCALdw3+uMAFeTdHQDtTQgJUASAAP2gQBXgDBUAWHniY/+MwKBZrgEd4faI +2Pkf9sCQCaUAY/+c2iBb+xtj/wYAjieeEYjp+8KkFe/JBQDl6RQnYIEAAAnJAem9CApXAoAA6lUM +BEBBAACY6QhVMuXlFCbpAQAA/QAIOuIAnQBoqz2oqyu88PugBNPiAJ0A7xICIgx1AACwTm3pBQgA +hg8CYSvCAQurCOXJBCXbwQAA/WAGzGIAnQDrxgEtwASAAGRQqfUAaB3v+pYAAAAAAADqJAAO4ASA +AOwWBCxYBIAAW/x6izGIE40U6hYALWcCgADsiAgF9P2AANog+wBoHeAMBQBb/EGNEO2tCAqQBIAA +7U0IC/cCgADm7ggG6/0AAC3mndEPCN0M+iBIFeT9HQDTD235BQgghgsCYysSAu9PDATBAQAA7bsI +B4xBAAAu/P9t6QUIQIYLAmUvyQQNqAyomCiMMOjGAS/61gAAKpxAmsH7gAYVoAgFAPmAhB2v/RYA +C4gM+cEmFa/72gAonED5gCYVr/yyAGwQBBzhnhrhng8CAC3CfyqhfizCmqPd6joMDu5CgAD9gABG +cAsFACvEBCvEBVkPxfpAaB2gCwUAW/zs0Q8AAABsEASFI4MgFOGP+ECEFaFVAQDq4Y0arsKAAORU +CAGAuYAA+wAEBDaYOQAJiAIoJQQiQn/RDx3hhBPhhR/hhSZCfishBC5Cf5JgliGj//1gBAX2yzkA +DLsCr1/vJgAnKAUAACVGfyJGfuslBCqQBIAA0Q8AAGwQBIIjAgJB0Q8AAGwQBIogZaBQHeFz6iID +KfbCgACu3f2v6BXgDBUA/IBABjGqAQAGqgINyCwI3SgnJQXtzAwEWAUAAP1tAAw/+8UA66oBDE5C +gAAJWQIDqgKaIwmIAiglBNEPjyMb4V8PD0EL/hGr6y2yfyyyfnLZGdnA8yPeDaAMBQDAwCy2fyy2 +fvpACBWgAEYA2MDzDCYNoAwFAHLRHI0hmtCOICmyfZ3hnCDsJgEky/0AAPlvphXv/WYAGeFGGOFG +qYio6HihH+q2fy+BJgAAzawqsn1qohctsnv9b+YV4ABGAAAAAAAA7LZ/J/8xgAD6QAgVr/6qABzh +NxnhN4ghrJmp6fkPAAzwDAUACcg4+W/GFa/+IgAAbBAE9cJkBeAGFQD0QGgdoAI1APaAQAMwABoA +sCIoUn/oY/dyq4EAANEPAABsEAT0QkgVpCNBAPJaAAk/U4EABCIKhCaCIQVEKApEEaQi0Q9sEAQq +IhIjIAf6PgAEMAY1APUACRiRMwEACshR9QAJQJIAnQD6KAAGsDsFAP3CKgWgClUAWbF+F+EH5OEF +Gc8CgAD0YAYSEgCdAKeZKpKe90AIqdIAnQAqkp0EOworsr8LqgHxTvAN50UBACghBxnhBf/CCgWq +iAEA6+EEHEcCgAAJiAKYoBjhAvxACBXgPAUAnKf7QKYV4BmFAJmj+UBGFaAIBQCYpu7dAg7+AoAA +naQG/wKfoS8iEg+PQeimCC//AoAAn6nt4PMZ9wKAAAfuCCbmnSwiEioiEA3MAuwmEilYBIAAWNHc +aEIYiif6ACId4AwFAPtEABWgDRUAWG280qDRD8Ag0Q8c4NCLyGqxXww5EaeZLZKebtNqKpKdBD0K +LdK/DaoB5KBdZfP9AAD/gQYVr/zCAAAvGgAPqgL6QkYVr/teAAAAKCoACKoC+kJGFa/7SgCJIsuc +aEJQwCDRDwAAAAAAAAD/+9wNoAoFAMCgWazAHOC0i8hrsZL/+4gNoAoFAADAoMDaDb00/YEGFe/7 +SgAAAADqJAAB2GEAAPwAAh2gDTUAWHiRaUKujCcvyRSKyf+EABWvyAUA6O4BB/hBAADvxRQlU8EA +AOrGCSdxAQAAfqsqKcEVHeCvqpqayZ2gjCD7wVoF4A0VAOumAi5mAoAADcwC/UAmFaACBQDRDx3g +pZ2gjCD7wUgF4A0VAOumAi5mAoAADcwC/UAmFaACBQDRDwBsEBgU4J6SEI4gGeCbiyMtIgEsIgIs +FiItFiQrFiP4IMYV4AgVAPgg5hWgDzUA/iEmFeAKBQD6IKYVoA+1AP4iJhXgCnUA+iGmFaAIlQD4 +IeYVoAmFAPghxhXgC2UA+iGGFeANRQD8IUYV4AxVAPwhZhWgDcUA/CJGFeAM1QD8ImYVoAvlAPoi +hhXgCfUA+CKmFeAIRQCYFBrge/okphWgAiUA8iEGFaACpQAiFhAS4HSFFisSJCkSBSZRfiwSIidR +fwaZKC9AgKl5+F6IFaOZAQADmQrukgAvUASAACVSPi0SIwjuCAtQAI4XLBIkLRIiBu4oL0CBrn74 +XqgVo+4BAAPuCo7gKhYW6hIjLVgEgACo7gtQAI4YLBIWLRIkBu4oL0CCrn74XsgVo+4BAAPuCo7g +KhYX6hIiLVgEgAAI7ggLUACOGSwSFy0SFgbuKC9Ag65++F7oFaPuAQAD7gqO4CoWGOoSJC1YBIAA +qO4LUACOGiwSGC0SFwbuKC9AhK5++F8IFaPuAQAD7gqO4CoWGeoSFi1YBIAAqO4LUACOGywSGS0S +GAbuKC9Aha5++F8oFaPuAQAD7gqO4CoWGuoSFy1YBIAACO4IC1AAjhwsEhotEhkG7igvQIaufvhf +SBWj7gEAA+4KjuAqFhvqEhgtWASAAKjuC1AAjh0sEhstEhoG7igvQIeufvhfaBWj7gEAA+4KjuAq +FhzqEhktWASAAKjuC1AAjh4sEhwtEhsG7igvQIgOfgj4X4gVo+4BAAPuCi7iACoWHeoSGi1YBIAA +qO4LUACOHywSHS0SHAbuKC9AiQ5+CPhfqBWj7gEAA+4KLuIAKhYe6hIbLVgEgAAI7ggLUAAuEhAs +Eh4tEh0G7igvQIqufvhfyBWj7gEAA+4KjuAqFh/qEhwtWASAAKjuC1AALhIRLBIfLRIeBu4oL0CL +rn74X+gVo+4BAAPuCo7gKhYg6hIdLVgEgACo7gtQACwSIC4SEi0SHygSJQbuKC9AjK5++Q/oFaPu +AQAD7gou4gAqFiHqEh4tWASAAAjuCAtQACwSIS0SIC4SEy9AjSgSJQbuKJoTrn75EAgVo+4BAAPu +Co7g6hIfLVgEgACbEajuC1AAjBEtEiEuEhQvQI4oEiUG7iiaEq5++RAoFaPuAQAD7gqO4OoSIC1Y +BIAAKxYjqO4LUAAsEiMuEhWNEygSJQbuKC9Aj65++RBIFaPuAQAD7gqO4OoSIS0wBIAAJhYi6O4I +C1gEgAALUACOESoWJCkSEisSEygSJS0SFY8V7BIUJEEBAADoFiUm6EEAAO0WFSf4QQAA7xYFJmBB +AADsFhQl2EEAAOsWEyTIQQAAKRYSix2JHIweLxIQjR/oEhEmYEEAAOwWDif4QQAA7xYQJuhBAADt +Fg8kQEEAAOgWESTIQQAA6RYMJdhBAACbHYkWixeIG40Z7xIKIREBAADsEggkQEEAAOgWCyboQQAA +7RYJJ/hBAADvFgoiIEEAAO8SBCZgQQAA7BYIJdhBAADrFgckyCEAAOkWBif7/QAA7xYEL+FmAACL +EIgTjBKPsImzjbKOsayZpt2q7qj/n7CesZ2ymbPRDwAAAGwQBCkiFfigAATwOHUA6YwMASBBAADz +IABFP4sFAOukECVQRQAA+QAF02IAnQArCgBZqH8sIhUrIhTtzREJQASAAPxCRhXugD0A/WsADbAJ +NQD6QmYV4AolAG2qDI6EDg6O7oYEJEARAAAPAgDTD9MPbZoh6YIEJEBBAACKgYuCjIMJCY4KCo4L +C44MDI6ZgJqBm4Kcg+tEAAlQBIAAW/6tiiCIIokhjyMICI4JCY4PD44KCo6aIJ8jKSYB6CYCKUAE +gAAZ30YCAIYDAmH4AAoV4Am1AG2aAggAitEPAAAAAAAAAP2BABWgCwUAWahQ+EBoHaAJRQDTD22a +IemCBCRAQQAAioGLgoyDCQmOCgqOCwuODAyOmYCagZuCnIPqJAAKWASAAFv+i9pA//v8DaA8hQAA +bBAGKSIV+EKIFaBGBQDTD/iAAEV1mQEACWYMdKsBsYgqJhUGKgzoJhQlUUEAAPaAB7OiAJ0A6zQA +C2AEgABZqCT4QGgdoAlFANMP0w9tmiHpggQkQEEAAIqBi4KMgwkJjgoKjgsLjgwMjpmAmoGbgpyD +JSwQ6iQACtgEgABb/mgGRwz24AWO0gCdAOY0CArQBIAA9uBoHaADBQDkFgAqQASAAPjIaB2gCUUA +CgJnCECGCgJlCCCGCgJjCACG6gwACUAEgABtmiHpggQkQEEAAIqBi4KMgwkJjgoKjgsLjgwMjpmA +moGbgpyD6iQACtgEgABb/kvqVAABmAUAAOZswCIhAQAA722aakAEgACLEAo8EQvLCOx8DArQBIAA +Wafs0Q8AAAAAAADrNAAKYASAAFmn59EPAAAA9mAARjADBQD8IAYVr/8mAGwQBBje2hne2Bre1hPe +2ZMjmCKZIfpABhWgCwUAKyYVKyYU0Q8AAABsEAbeIOTiECpgBIAA50IHK9AEgAD7vYwF4Bg1AONC +FSmQBIAA53IOIvvpAAB4+ycY3scI+AqIgJoTnBLuFgEsACKAAACTECqypexUAAlYBIAAWamlZKXH +8oKmFeACBQDRDwAAAAAr4hILm1LuFgEl/0GAABrer+MWAClYBIAA6qLHKuAEgABZqZhkpXoa3qjb +IOqiySrgBIAAWamTI30F5KbPYZoBAAAa3qLbIOqiyyrgBIAAWamM90fgDeOGBQAa3pzbIOqizSrg +BIAAWamGZKbEGt6X2yDqos8q4ASAAFmpgftAQogSAJ0AKzDlwVj1YCvgYgCdAGm3ISU05YsQ+oKm +FeACBQDRD5MQKrKd7FQACVgEgABZqXRkpwKLEPqCphXgAgUA0Q8AkxAqsqvsVAAJWASAAFmpbGWv +GvogaB2gC7UAWM3f+gAiHeADBQDqszgFAOGAAOoSAitYBIAAWafwyKkc3nqNEQysNizWF2UzJY0Q +/IKmFeACBQDRDy5AbmTu0pMQKrLB7FQACVgEgABZqVVlrr/6IGgdoBtlAFjNyPoAIh3gAgUA6rI4 +BQCpgADqEgIrWASAAFmn2ix9AyrFKGUi0Y0Q/IKmFeACBQDRDwAAkxAqsrPsVAAJWASAAFmpQWSi +txreUtsg0w/qop8q4ASAAFmpPGWuWvogaB2gC1UAWM2v+gAiHeACBQDqsjgFJ+mAAOoSAitYBIAA +WafALEBv8YAnPtIAnQBkpN+KE/oAoh3gDNUAWM2M0qDRD5MQKrK57FQACVgEgABZqSZlrsf6IGgd +oBslAFjNmWSiNStAbmS3b+oSAitYBIAAWaesLEIWCsw2LEYWixD6gqYV4AIFANEPkxAqsrfsVAAJ +WASAAFmpFGSiMxreJdsg6qKxKuAEgABZqQ9lrm36IGgdoAvlAFjNg2Sh2+oSAitYBIAAWaeXK30C +KrUUixD6gqYV4AIFANEPkxAqsqnsVAAJWASAAFmpAGSiKhreENsg6qK1KuAEgABZqPtko4ca3gzb +INMP6qKjKuAEgABZqPZlrgf6IGgdoAt1AFjNaWShdStAbmS24xreAYsS6qLvK2AEgABZqOxlpkYr +QG/AyAy7AitEb4sQ+oKmFeACBQDRDwAAkxAqsr/sVAAJWASAAFmo4WSh7xrd8tsg0w/qoqEq4ASA +AFmo3GWtn/ogaB2gC2UAWM1PZKENK0BuZLZqGt3nixLqou8rYASAAFmo0mSmeStAbywK/Qy7AStE +b4sQ+oKmFeACBQDRDwCTECqyp+xUAAlYBIAAWajHZKG3Gt3Y2yDTD+qimyrgBIAAWajCZKLaGt3S +2yDqorsq4ASAAFmovWSsXxrdztsg6qLDKuAEgABZqLhlrEwa3cmLEuqi3StgBIAAWaizZaRSixEr +shILmVLImWiSB/kgD2HSAJ0AjBErxhLygqYV4AIFANEPkxAqspfsVAAJWASAAFmopmShehrdttsg +6qKZKuAEgABZqKFlrLT6IGgdoAslAFjNFMqiGt2uixLqou8rYASAAFmomWWslIoT+gBCHeAM1QBY +zPbSoNEPwCDRDwAAAPogaB2gC/UAWM0GZK/q6hICK1gEgABZpxvrEgAj4AsAACrFFfqCphXgAgUA +0Q8AAPogaB2gGxUAWMz6ZK+6LUBuZNUGKUBv8T/hl5IAnQDxP+FX0gCdAOoSAitYBIAAWacJLkIX +Cu42LkYXixD6gqYV4AIFANEPAPogaB2gC6UAWMzoZK9yL0Bu0w9k9HbqEgIrWASAAFmm+yhBNPsA +DwKiAJ0AihP6AUId4AzVAFjMx9Kg0Q8AAAD6IGgdoBtVAFjM2GSvMuoSASpYBIAA7BICK2gEgABY +zGCLEPqCphXgAgUA0Q8AAAD6IGgdoAuVAFjMzGSvAilAbmSUGRrdZYsS6qLvK2AEgABZqE9lolwr +QG+NEPyCphXgDBUADLsC+o3mHeACBQDRDwAAAAAAAAD6IGgdoAsVAFjMumSuuhrdVIsS0w/qou8r +YASAAFmoPmWrKYoT+gAiHeAM1QBYzJvSoNEPAAAAAOoSAitYBIAAWabE9UAV8pIAnQDHL9EPAPog +aB2gC4UAWMym+gAiHeACBQDqsjgFAUmAACxAbg8CAGTDgxrdROsSAitgBIAAWagmZaJuLUBvwOgO +3QItRG9lLjWPEP6CphXgAgUA0Q8A6hIBKlgEgABYzJtlr5wrMOVj+nMAAAAA+iBoHaAbBQBYzIxk +rgIoQG7TD2SC9eoSAitYBIAAWaafKUIYixArRhUKmTb4gwYV4AIFANEPAAD6IGgdoAs1AFjMfmSt +yhrdGIsS0w/qotUrYASAAFmoAuPdHh0HLgAAixErshILyVHImWiSB/k/+RHSAJ0AjhGMEAO9AS3m +EvyCphWgAgUA0Q9lLYSPEP6CphXgAgUA0Q/qEgIrWASAAFmmfipFNIIQ8oKmFaACBQDRDyV9BPSw +ABXgCwUA+qBoHaCMBQBZpg/qEgIq2ASAAFjMsysw5cDEDLsC+nwmHae7AQD6fKYd7+X+AC0w5fog +SBWgDiUADt0C7TTlK1gEgABZpmYrMOX6fIYdr+VuAACKElmasy8w4n+pFIoSWZqw3KDqEgIj2BMA +AFmnzWSht8Ci/bnQBaA7BQBZrT3HL9EPGtzZixLqotcrYASAAFmnxGWuPosRK7ISC8lRaJEKaJIH ++T/xadIAnQAe3NsDvQEO3QKOEYwQLeYS/IKmFaACBQDRD4oT+gEiHeAM1QBYzBXSoNEPAAAA+iBo +HaALRQBYzCZkrGoa3MCLEtMP6qLVK2AEgABZp6rj3MkdBWYAAIsRK7ISC+lRyJlokgf5P+4R0gCd +AI4RjBADvQEt5hL8gqYVoAIFANEPGtyvixLqot8rYASAAFmnmmWtlosRK7ISC5lSaJEKaJIH+T/s +KdIAnQAf3LOCEe+/AgPoFwAA7yYSJuoBAAAs0OXA4Q7MAizU5fKCphXgAgUA0Q+KE/oBAh3gDNUA +WMvn0qDRDyN9BSM8gCsw5cDBDLsCCwtH+nymHe/gEgAAABrckIsS6qLXK2AEgABZp3plrReLESuy +EgvpUWiRCmiSB/k/6DHSAJ0AHdyUA7wBDcwCjREs1hKLEPqCphXgAgUA0Q8AAAAAAPbgAEMwCwUA ++sBoHaCMBQBZpZDBUOoSAitYBIAAWMw0KzDlBbsC+nwmHae7AQD6fKYd794SAAAAKzDlwMgMuwIL +C0f6fKYd792+AIoT+gDiHeAM1QBYy7fSoNEPihP6AgId4AzFAFjLs9Kg0Q+KE/oBQh3gDMUAWMuv +0qDRD4oT+gEiHeAMxQBYy6rSoNEPAIoT+gECHeAMxQBYy6bSoNEPihP6AkId4AzFAFjLotKg0Q8A +ihP6AiId4AzFAFjLndKg0Q+KE/oAwh3gDMUAWMuZ0qDRD4oT+gDiHeAMxQBYy5XSoNEPihP6AMId +4AzVAFjLkNKg0Q8AbBAEJCIQZEBsKTAQKjARLDAa6zASLM4CgAAKmQLqMBMszgKAAAuZAuswGSzO +AoAACpkC6jAYJIURAAAIqhELqgLrMBstVgKAAAyqAgiqEQuqArGq6iYWJISNAAApIhLr3DgUwCiA +AAubASsmEixABS0KlX3BScAg0Q8ALjAULzAV6DAWL3YCgAAP7gLvMBcvdgKAAAjuAgjuEQ/uAv3X +YABQjQUALyISePckwKX9uEoFoDsFAFmsdMAg0Q8AAAAA+oBoHaALZQBY5mzAINEPAIwnKckUi8n5 +hAAVr8oFAOqIAQTJAQAA6cUUJdsBAADrxgkkQQEAAHi7Bi7BFavrm8kY3BHZsPgACB2gD0UAbfoC +CQJhHNv3nLCKIP1AABUwDEUADKoCmrEpMBQqMBUe3AfvMBYszgKAAAqZAuowFyzOAoAAD5kC7rYC +LM4CgAAKmQLptgQhwCEAAOgGAAX4YQAADwCKKiISiSINqgLqJhIs9+YAAPpAaB2gDTUAC+AAY/7p +AGwQBiggBCkKGHmBA8Ag0Q8qIhIkIhDTD/NACkfSAJ0AL0Bu0w8PAgD95wCA0JxVACtABXyx1Rzb +5fxACBXgCiUA/oAIFaA7BQBZrC76gGgdoA0lAPxMph3gCxUAWOYmwCDRDwAc29uNII42LzEL+GPw +FaAKVQD4IAYVoDsFAFmsIRrb1CQiGCwxC4gsiUqFR/0AAEQwCwUA+EGGFaANBQDlUg4kkEqAAC1G +HgqeAv6BRhWgAB4AK0Ie61oIAdiBAABZpLovQh4uMQuNQK/uLkYeKlAELFAFGNvA61AGLVYCgAAM +qgLpUActVgKAAAuqAuzbux0uAoAACVUCCFUB9KBgFe/4xQD4oAQCsDsFAP6gaB3gClUAWav7KiIT +KTELK0IeDwIACpkI6SYTIsDBAAD5f/bFIgCdAB3bqixCCg3MAexGCilQBIAAWOMz+kBoHaALBQD8 +AAIdoA0lAFg1/8Ag0Q8ALyITLjEL+kBoHaALBQD/wABHcAwFAP5CZhWgDSUAWDX2wCDRDwAAAGwQ +BhzblS0iAC4yBfRA6BWnVQEA/r/AFeAIFQAPjzn0goIVoApVAPQgBhWgO0UAWavSiSJlkJgmIAcX +21gGBkHqMgUrRwKAAKeIK4KeJKwf+bakBeREHQB0s3wogp0Jaworsr8LiAHthAAEA6mAABzbcgwA +h21JAggCYYg0HttTntCJIBzbVerWAybYQQAA7NYCLM4CgADpSQIB4IEAAOnWASlQBIAAC4AADG8R +p//k9p0ilHUAAIon+gFCHeAMBQD7RAAVoA2lAFhoG9Kg0Q/AINEPAAAAAP/+LA2gCAUA6iQACmgE +gAD6wwAV4AwFAFhzEcAg0Q8AbBAEhycqeRQf20744qQV780FAOhyCCVQBwAA7HILJVKBAADqk3dz +2IEAAA27AauZ6MF0dMkBAAAujQHqdRQnUoEAAOqTcXwwBIAAeaF9mnjvAAULOASAAAcCYQcCYQcC +YQcCYQcCYQcCYQcCYQcCYQcCYQcCYRfbHJdghSCTZZRk87ZwBaAHpQDiZgIqrgKAAAdVAuVmASsQ +BIAA0Q/AINEPAAAAAAAA94BoHaAIBQD44WYVr/5yAAiaDAq6DCqtASqs4PrhBhWv/iIALLxA/OEG +Fa/99gAAbBAEx48IWAMIOAIISAOoaOgiCAuBCoAAAiIYojLRDwBsEAQEOAMIWAOoaOgiCAuBCoAA +AiIYojLRDwAAbBAEBDgDCFgBCEgDqGjoIggLgQqAAAIiGKIy0Q8AAABsEAQFSAMIOAEIWAOoaOgi +CAuBCoAAAiIYojLRDwAAAGwQBCMiECgwBfhCSBXglCUAdIlI/yigAxCNBQB4nz1wnxnq2vwUvViA +AAqaAfpCRhWgAgUA0Q8AAAAAAP217gWgClUA/GAIFeA7BQBZqzcrMG7TD2mxBSwwBXTBBsAg0Q8A +AAD6YGgdoA0lAPxMph3gCxUAWOUqwCDRDwCMJy/JFIvJ/4QAFa/IBQDo7gEH+QEAAO/FFCXbAQAA +68YJJ3EBAAB+uwYpwRWrm5vJHNrP2bD8AAgdoApFAG2qAgkCYRzatZywiSAe2sr7/+IdoAxFAOq2 +BCzOAoAADJkCmbEoIhKPIp6yDYgC6CYSL/uGAAD6QGgdoA01AAvgAMAg0Q9sEAQT2sYDIgLRDwBs +EAYmIAeIIhzaj/RCCBXhZgEA5YJ7a08CgACsmSiSnhraiPcAE4rSAJ0AJJKdCmgKKIK/CEQB6NqY +EhLpgAAnIhKKKfhBSBXgd0EAlxAIdwoncpDqmQwD2MEAAPsgD7PiAJ0AKyAWKAr/eLES+kDwFaAM +BQBYcHfs2nMVEaGAACshBx3afPm1RgXquwEA79p8Hd8CgAANuwKbQIgg+7U+BeBKBQD6gGYVoAZV +AO9GAix2AoAABu4CnkEtIhKOEC8hGvu1KgWi3VEA6u4RDu2CgAAN7gIL7gL7BgAMMd4xAKndLdCA +6EYEL/oCgACfRg19DO5GBybowQAAnUUqIhWLKfdAAEV/6QUA57sIBVDBAADqJhUl2MEAAOsmCSJQ +gQAA+IALbGIAnQAb2m3EkPoACB3gDQUACgJhCgJhCgJhKUQgLUQkLUQnLUQm/ISmHe+KBQAqRCEv +UhH+h2Yd6P8dAP6HRh3o/x0A/ocmHej/HQAvRDguIhb+h+YdqO4dAP6Hxh2o7h0A/oemHajuHQAu +RDyKNBjaY+/aVxHwYQAA9UAGGBD59QDuBgACUKEAAAoAiilEMChEMf6GRh3v/vUALkQzijQtRCP6 +huYdqP0dAP6ERh3o6h0A/obGHajuHQD+hqYdqO4dAC5ENOsABQJJAQAACQJhKCAHCAhBDIgRrIgm +hp0rIBYvCv9/sQr6QPAVoDwFAFhv5Ig0aIAniif6AIId4AwFAPtEABWgDUUAWGbsKyISLPp/DLsB ++kJGFeACBQDRDx7aNy0iEg7dAvxCRhXv/y4A2iBYcB7s2foVcCmAAGAAK4heGdoqsYiYXgmIAviG +Zh2o+B0A/oZGHej/HQD+hiYd6P8dAP6GBh3v/N4AwCDRDwAA62wYKVAEgAD8ACIdoA1VAFhxzcAg +0Q8A62wSKVAEgAD8ACIdoA0FAFhxx8Ag0Q8AbBAGJCISKgqO6SITInxsgAAoMQupiPhCZhWgCQUA +6SYRLJAEgADRDy8iGC/wdHrxVCggBRraC+naCxQCWYAAKzELCkQBJCYSjTksIhN9mD8uIhGrzOwm +EyJlnoAAZOCSjex91yCI6/vAaB2gCwUA/AACHaANJQALgADAkOkmESyQBIAA0Q/AINEPAAAAAAAA +/bPsBaAKJQD+QAgVoDsFAFmqLSoiECmgBSsKlXuR1sDC/EymHaALFQBY5CTAINEPHNnrjeD/wLAV +oApVAPQgBhWgOwUAWaogLSISwJD4QiYV7771AA7dAe0mEiyQBIAA0Q8A2iBY4V3AkOkmESyQBIAA +0Q9sEAgqIhIPAgDzQA8n0IjlAC0iGCvQdPlgDrQiAJ0AKSAFHNnS79nSFI5BgAAMqgIqJhLzQCc7 +UgCdAI4sKDIGJDAg/GFEFa/1xQD/ACetJUQBAAwMTv2bYBWgJlUA9YAEBnCFtQD1YBLUYCcVAA+o +Aqzp+EGGFeA7FQD4QkYVoCwlAPaCRg3gCgUAdkEKe0EH/IAoPSIAnQAtMDAqIhAuMDEvMDLqoHAu +7gKAAA7dAu4wMy7uAoAAD90C6N0RBXgZAADu3QIPggqAAA0OGfXAI9dV/QEACuURBfUClRH6IAYV +oDsFAP2zRgWgClUAWanZG9ljLLKHLyIYK7Kapczv8HQuZkKAAKy7+kImFeCItQDqsAUt6ASAAPng +GE0gnKUA/UAYDCCetQD/QBfMIgCdAPVAF4iSAJ0ALwqZ/0AgpWIAnQD2gAV8YA9FAPaABTwiAJ0A +KTBBLDBALjA8KjA96DA+LmYCgADpzAIPdgKAAAruAikwP+owQi92AoAACO4C6DBDLmYCgADqzAIP +dgKAAOnuAg5mAoAACMwCDsgM6iIQJASLgAAlohJ+UQgF6AxmgAIuphIuohN84QgOyQxmkAIsphOK +vA+qApq8dkE9w8H8gAl8IgCdAPaAD4xiAJ0AwuL+gBd0IgCdAC8QEGXzEsAg0Q8AACgwI8CRCJg5 +KLRBKBQQ9p/6XSIAnQAqMCF/p7spMEEsMEAuMDwqMD3oMD4uZgKAAOnMAg92AoAACu4CKTA/6jBC +L3YCgAAI7gLoMEMuZgKAAOrMAg92AoAA6e4CDmYCgAAIzAIOyAzqIhAkBIuAACSiEn5BCAToDGaA +Ai6mEi6iE3zhCA7JDGaQAiymEy4wOCgwOYy86TA6L3YCgAAI7gLoMDsvdgKAAAnuAurZNR92AoAA +CO4C78wCB3AFAAAuJhacvPthZhWv/LYAAAD4AGIdo5rhAPkf7PZiAJ0AKDAkKTAl6zAmLEYCgAAJ +iALpMCcsRgKAAAuIAgiIEemIAgZYEQAA+W0ADj/1vgAAKTAh8SAHzhIAnQAqMCQsMCXuMCYtVgKA +AAyqAuwwJy1WAoAADqoCCKoRDKoCZaDPLDBMLjBN7zBOLmYCgAAOzALuME8uZgKAAA/MAgjMEQ7M +AuoiECYFaYAALqIY/cAFE6IAnQAsthIqMEguMEnvMEotVgKAAA6qAu4wSy1WAoAAD6oCCKoRDqoC +KrYUKTA0LjA16jA2LM4CgAAOmQLo2PYczgKAAAqZAvpm8BWgDgUALrYVLrYT6LYLLM4CgAAKmQL5 +YaYV7/iGAC4wOC8wOegwOi92AoAAD+4C7zA7L3YCgAAI7gII7hEP7gLs2OQXcAUAAC4mFv1hZhWv +97IAACoiEC+gBSgKlfn/7wQiAJ0AwJL4TKYd4AsVAFjjCsAg0Q8c2NftsgAtcASAAPpCSBWgOwUA ++iAGFaAKVQBZqQQtIhL6QggVoE4FAA7dAi0mEi4wQSswQCwwPC8wPe0wPi3eAoAA7rsCDmYCgAAP +zAIuMD/vMEIuZgKAAA3MAu0wQy3eAoAA77sCDmYCgADuzAIN3gKAAA27Agy9DPe/6mgSAJ0ALaIS +fNEIDc8MZvACLKYSLKIT+5/pnGIAnQAMuAxmjSj7QmYV4AIFANEPAAAAKjA4LDA57jA6LVYCgAAM +qgLsMDstVgKAAA6qAgiqEQyqAunYpBVQBQAAKiYWmbuK1yk8IPtByBWgCzUAbboFCQCGCgJhwCDR +DykiEWSQf4mXiZ75JgAV4AtlACo8INMPbbkFCiCGCQJjwCDRDwAAKiIQY/68AAD/7jANr/X1ABzY +ji8wMCkwMY6w6DAyL/4CgAAJ/wLpMDMv/gKAAOj/Ag1oBIAA6DAgL/4CgAD55gAP8AolAPggBhWg +OwUAWaiwwCDRDwAAAPpCJhWv/ZIAidcrMQuJnr+7C0tL5b98ZMjBAABj/DUAbBAGKyIHJiAHDwIA +KLkUBQ1H9WHIFaFmAQDjsgkkDlGAAPmgEFFSAJ0AHNhqKCIA/2BIFaAKVQD9v8AV4AkVAO2dOQl4 +BIAA+CAGFaA7BQBZqJEc2GH8YBAV4ApVAP5KEBWgOwUAWaiMF9gU5dgTG08CgAD0wAvCEgCdAKeZ +KpKe90APWlIAnQAqkp0Faworsr8LqgFkoaUrIQcpIEAvIAf1sCoF6rsBAO3YTB3fAoAA9SALmJHv +AQD1IAkZEgCdAPWACNqSAJ0AwMD5sIoFoP8RAOkhCC/6goAAD7sC7bsCD3QCgAAOmQIImQKboI4g +mKb1QEYV4D8FAPlAhhXgDUUA/0BmFeAJBQDppgUlWIEAAOmmBy92AoAA/cYAD3APJQDupgEudgKA +AO/uAgVJAQAAAyCGCwJjAwCGCwJh/0EmFa+VdQAEgIYJAmkEYIYJAmcEQIYJAmXoIhIrTwKAAKeZ +7ZadISEhAAD1DEYNr2eFABbYHCMiEg8CAAc5CCqSJyWUfSySJiymACuSJvtgJhWgCAUA6JYmIZuB +AADolicp0ASAAFmmOvoAoh2gOwUA7GQACegEgABZqDcvIhLA4dMP9e8AD7ANBQAP7Thk36TlJAUp +UASAAFmmLcAg0Q8A/IBQFa/7ngD/+NgNoAMFABzXsIvIarF/DGkRp5kokp73AARyUgCdACqSnQVt +Ci3Svw2qAWSgfLC+nshlrnlgABwAAAAAAAAA/BBCHa/6fgAvIEAI/xD+YAYV7/fCAI8iZPBfHNfp +7iIAKWgEgAD54GgdoAkVAP8tAAxwClUA+CAGFaA7BQBZqAzAINEPAAAA//iEDaAKBQDAoFmjmBzX +jIvI+X/7kJIAnQD//jQNoAoFAMCgwNoNvTT9gQYV7/36AAAAjzDqJAADWGEAAP+vngWn/8EA/kgG +HeAMFQD+YAYVoA1FAFhvZP5ASBXv/d4AbBAIiScrIAcomRT04AAGcbsBAOaSCSQICYAA+YAJ4VIA +nQAtIEEc13Dk13AdmASAAPGzTA3gBUUA9gACHeANBQD1YAbaEgCdAAy6EaSqLqKe9cANG+IAnQAp +op0Mvgou4r8OmQHqlAAEiemAAC8gQWXxZhzXbRnXpSghBy0gBx7XpP5BBBXqiAEA/CAABfDdEQDq +3RAMRwKAAO2IAg3cAoAAC/8CDv8CCYgCmKCIIJ+k/0DGFaANBQCdpf1A5hXgOwUA/UBGFaAJRQDr +pgMsRgKAAAmIAuimASVIgQAABiCGCQJjBgCGCQJh/OAAFbAMJQAMuwKbqQw6EQSqCPVTphXvmXUA +6SQFKVAEgABZpazAINEPAAAAAP/7/A2gBgUAH9cwjvj3wAa4kgCdAAw6EaSqKKKe9QAHg+IAnQAq +op0MOAoogr8IqgHkoN5nS/0AAJn4+UBoHe/8OgAqIEAIqhD6wAYVr/r6AI+eLfAEJ/AFHtdK5fAG +Lu4CgAAH3QLv8Acu7gKAAAXdAgjdEQ/dAg7dASXcZ/SOAArwh5UA9KCAFe/6fgCPIusWBCeEeYAA +HNdc7iIAKWgEgAD54GgdoAkVAP8tAAxwClUA+CAGFaA7BQBZp33AINEPLNxI69xnKXAEgAD+wGgd +5LsdAFmmZGP+fwAAAAAA//mkDaAJBQCbFPwgphXgCgUAWaMAH9b0jRWO+IsUHNb0+d/4gJIAnQD/ +/LgNoAoFAMCgwMoM7DT94QYVr/x+AAAAAI1g6iQABdhhAAD9rm4Fp93BAO0kQCroBIAA/MAGFaAM +FQBYbsr+QEgV7/0yAGwQBoknIyAHKJkUAwNB5pIJJAcxgAAU1tv1rbIF54UBAPkACKFSAJ0A9GAG +mhIAnQAMORGkmSqSngU7Ciuyv/dACepSAJ0AKpKdC6oBZKD3KSAHKyEHHNcT+0AABfDZEQDq3RAN +3wKAAA27Agy7ApugjiD5raYFoD8FAP9AZhXgDUUA6KYCL3YCgAAN7gKeoSwgQfoAAh3gDwUA+UQA +FeHpAQDlwNFvdAKAACwhCJ+lDswCHtb9n6eepg7MApykBiCGCQJjBgCGCQJh/WAAFbAMJQAMuwKb +qQw5EaSZ/TOmFe+YdQDoJAUpUASAAFmlGsAg0Q8AAAAA//xoDaAGBQAX1p6LeGqxfww5EaSZLZKe +BTwK7MK/KAQKgAD3oAQKUgCdACqSnQyqAeSgdWXr/QAAnXhlrxlgAA4uIEAI7hD+wAYVr/uaAACP +ImTwZRzW3O4iACloBIAA+eBoHaAJFQD/LQAMcApVAPggBhWgOwUAWab7wCDRDwD6ESId7/y+AP/7 +JA2gCgUAwKBZooaLePl/+6iSAJ0A//5gDaAKBQAAwKDAygy8NPzhBhWv/iIAAAAAAI9g6iQAAdhh +AAD/rYIFp//BAP5IBh3gDBUA/sAGFaANRQBYblL+QEgV7/3GAGwQBIoqjq8Y1rjoJgshSIEAAOnm +ACV44QAA7yYIKVgEgAD+QSYVoAwFAPlB5hXvmIUA+ECmHaANJQBYbL7AINEPAAAAbBAEG9apKjEM +0w8rsn8c1ov4YhAV4BRlAPtD9g3gBQUAfKEX6iQACtgEgADsNAAKaASAAFjgHMAg0Q8AaJFBaJIh +aJQJwED//2QNoAUFAHyh0XurztowWOBJ1aD//xANoAQFAP1A5g2gFGUAe6MCYAABwEDaMFjgX//+ +nA2gBQUA2jBY4HHlpAAFARGAAP2tDgWgClUA/GAoFeA7BQBZpqf//fwNoAQFAAAAAP/90A2gBMUA +bBAEKTAT8SYADeD1hQBokQPAINEPhCeEThzWeO0wESJAFwAALYRi/mJQFaAKVQD/DGYdoDsFAFmm +lCpNBOwwESHYYQAAWOCC5TsIAlAXAADsMBIlU4EAAFjgfeokAApYBIAAWOHTwCDRD4QnDwIADwIA +hE4c1mMtMBEtRAL+YlAVoApVAP6AZh2gOwUAWaZ+6zwYIlALAADsMBElUyEAAFjga+U7CAJQDwAA +7DASJVKhAABY4GfAINEPAABsEAT0QGAl6LMdACNUV/qqxh3gRDUA9KqmHaAIdQD4qoYdoAkFAPiq +Zh3gSgUAKlRS0Q8AbBAEjzj9rIYFoApVAPxiEBXgOwUA/+BoHaH/8QBZpl4pMBDq1j0UjJEAAGiS +WWmUEog2IqJ/CYgRqCIoIAUpCpV5gV7AINEPAAAA2jBY4qj/XwAN4Al1AIuni74sso4MnVb9I0Ad +6Oy5AMDTftAQ+2BAJeAMBQBY4nTAINEPAABY4Y/AINEPAIs2KqJ/CbsR+0AARXALBQBY4RzAINEP +AAAAAMCl/aw6BaA7BQBZpjr6QGgdoAslAFjgNMAg0Q8AbBAEiC4jLDhziQXAINEPAACLLoiz7EQA +CugEgADrvOApUASAAAuAAIwi7SAFLn7uAABk39WOLnPp1mP/zQAAAGwQFisgB4c1IxYaiDTlFhcq +SASAAJkc6hIXKfgEgAD/4eQV4AMVAPIh5hXgyFkA/CNmFaG7AQArFhb8I0gVoL95APoiZhXnqgEA +KhYVG9X0LsE9LcIf/CIGFeP29QD7b8QV4Hf5AP4iRhWgSFEA/YOwFaw4HQDrOwwEVD6AAA8ISfgh +xhWgABoAlh78IAYdr7sBACsWFPVAPCESAJ0AjyL74EGgkgCdAPDlcA3gCgUA6hYRI4BJgADaMFjq +8/QAAh3gBgUALRIb2nD6AGId4AwlAO28OQpYBIAAWOrb90AAQzAO9QB24FT0IWYVpPYdAOMWCif4 +BQAA/iMGFeABOgAAAAAoEhJkh2IpEhqJlX2WnisSEiwSEPwgaB3gChUA6hYRKdAEgABY6wlmp5L6 +AGId4AUFAAq1OmRXfMNglBvyIUYV5MYdACwWGC0SFhzVWB7VWuPVWh6gBIAA9aAJOhIAnQDpEhgu +twKAAKNmKGKe+QBBI+IAnQAmYp0O2Aoogr8IZgHbYOa0AAW9gYAAj8ibFffgPeiSAJ0AKTKu6tWh +FLuBgAAuMq0tomLt6wEH0/0AAP3AOuZiAJ0Amsj9wDr+YgCdAI0cLCAUDwIArcwMDEcsJBT1gDx+ +UgCdAC4SGykSFPHAwA3gCDUA+QA9iOIAnQBkUM6KGw8CAMihZFBj62QACVAEgAD8AGIdoB2FAFjq +/O4SDi14BIAA5hIKIq+ZgAAc1YEtEhP5qmIF4AoFAJrymvOa9Jr16WkCD0QCgADp9gAu6IKAAOjd +Agr2woAA/6YADrAbxQD94CYV4ApVAFmljSsSFflgOClSAJ0AwCDsEhgqbwKAAKPdLNad0Q8AAAAA +AACPyPfgOOCSAJ0A6RIYKjcCgACjZihinvkAOTviAJ0AK2KdDk0KLdK/DbsB5rQABbjZgACw/p7I ++sBoHe/7FgAvEhHTD2Twd+sSBSlQBIAA/ABiHaAdhQBY6skZ1VMW1VCOGigQACZinQnuAhnVJQgf +FOaGCw/7AoAA6f8CBHyggAAsEhDtEhIjW/8AACiyPyuxfZ6gn6Gdopyjm6T5QKYVoAByAAAsEhAt +EhIrYQWIY56gn6GbopijnaScpSasGC0SE4weAt0Q7RYHLmQCgADsFggrpv4AABrU5PghSBWgDwUA +/iDGFeAPJQCfHQqIAigWCetkAAlQBIAA/ABiHaAdhQBY6p6NGS8SGokWKxIaj/XxNdAN4/71ABzV +JIu0/0BGFaCPmQD9QAYV4G+JAP1AJhWg36EA8NAAEzDPkQDu1Rwe6UKAAO6mAyxBAoAA/QYADHm7 +AQDrpgQuYMKAAAxmAghmAiamBSwSDemcASUwYQAA6RYGJmP9AADsFg0ue6YAAOtkAAlQBIAA/ABi +HaAdhQBY6noW1QeJF48Y+iEoFeANBQCdEZ0SnROdFJ2k/UCmFe/+9QCeop6jm6AuEhrp/wIKxsKA +AOj/AgDgMQAA5v8CANghAADtHBAlMGEAAO+mASDQEQAAWOlK+UBoHeAMFQDqyTkNKASAAOkWHiUg +CYAAJBYf/gAiHaANBQAJ7TjlFiAm6uGAABPU54gYjBca1OYrEhuEGhXUtvF4ABSwDhUA6+s5CieC +gAD0hgAKdg8FAAuvOf0mAAywDTUA+SYADDAMJQAL3DksFh0J+QIpFhn55gAPsAUFAP4jhhXgAwoA +AA9WUP4YAAXwz8kA/CEoFeCPsQD9QAYV4O95AOzuEQxEAoAA68wQDdqCgADsuwILM8KAAPjGAAsw +z4EA/YgAFjGPaQDs7gIMRQKAAAjuAhzUkJyhKBAABu4C+8YAD3BvuQD0yAATO78BAPfGAA8wBiUA +5u4CDd0CgADupgQsQgKAAAuIApilG9Sxm6IY1LH5QGYVr/mOAJmhlKCeop6jnqSepZ2mnaedqJ2p +LxId5VwBJTChAAD+oBGcYgCdAOtkAAlQBIAA/ACCHaAthQBY6g/kUFFqzsKAAPSgCmCSAJ0AKxIc +x+/7JgAM8A0FAOOZAgv9LgAAjRMsEhqOEo8Ri8wswhCZoZup9UAGFaAIBQCYopimn6OepJ2nnKWM +FP1BBhWv/iYALRIbLBIZG9SKDJkC65kCBoQZgADw4kAN7/71AJmhlKCeop6jnqT/QKYVoA0FAJ2m +naedqP1BJhXv/TYALxIaIhYhK/IWJvE4IvE6LPIV6PE5KzQCgAAGIgIm8Tst8hvu8hosRAKAAAhm +AijyFy/yGZ+inqOdpJymm6eYqJalmaGUoJKp8iQoFa/79gAAAAAAAAAA8OJADe/79QCZoZSgm6Kb +o5uk+0CmFeAIBQCYppinmKj5QSYVr/s6ACwSGo0SL8E7JsE5KME4LsE668IYKzQCgADm/wIMRAKA +AAjuAibCFIjMLMIQm6SYp5aomaGdopSgnKOfpZ6pjBT9QMYVr/oaACsSG+wSGSWDUYAAG9RIx+/9 +JgAMsA0FAOuZAgOA8YAAmaGUoJ6inqOepJ6lnaadp52o/UEmFe/5MgAuEhoiFiEt4hIs4hMr4hiI +7YbuL+IUgu8u4hGeop2jnKSbpZimlqefqZmhlKCSqPIkKBWv+FYAKxIcx9/7JgAM8AwFAOOZAgOA +8YAAmaGUoJ2inaOdpJ2lnKacp5yo/UEmFa/3mgCZoZSgjhP+ICgV4AgFAJiimKOYpJimmKeYqJ+l +/0EmFa/3CgAqEhoZ1BqKpRPTtCUSIOQSHyVMMIAA49OwE4O5gAAc1BSLGgy7Avs/RhXv56IAhR/A +0vetAAr/6CYAwKX9qBwFoBvFAO4+EQnoBIAAWaQZY/hnAAD6IogVoA4FAJ4RnhKeE54UWOhOJBYf +5RYgJWJhgAD6IogVoAsFAFjoQSQWH/QkBhXv8NoALxIQZfiWY/g6GtPOiBoKiAL5P0YVr+XWAMCg +WV5GyKcb0/QrsIBksFoqEhRY6DrpEh4tX04AAPoiiBWgCxUAWOgu+CPIFe/vWgAAAP/gJA2gNgUA +6xISKdAEgADsEhAo6ASAAFjo/WP4VgAAKxIajBztEhcpUASAAFhmpNKg0Q8AAAAA+6e4BaFLFQBZ +jdYsGgAMrAL7p64FoUsVAFmN1mP/hQAAwLDA2g39NO3GCC3FTgAA+kBoHaAbxQD8AAIdoA0VAFhr +SWP/oQAAAAArEhb6QGgdoAwFAO0SGCXYYQAAWGtCY/+EwKBZn2Ec01aPyPn/wciSAJ0AY/+32iBb +7Htj+GyKJ40cwMDqrCAu2ASAAFhgNtKg6xIYKmcCgACjzCvGndEPAAAAAAAA/9+gDaAGBQD/4UgN +oAVFAMCgWZ9MHNNAj8ge00H5/8a4kgCdAP/j8A2gBgUAAAAA/+OUDaALBQDA2g39NP2BBhXv45IA +AAAAbBAEFNOggiAkQn8T058EIgwDIgLRDwAAbBAMGtMyGNObKaKaKqKQKIB9CaoR6pkIBHxAgAAk +nQH0kAAVoAAuAAAknQMkTIAZ01woQSn5AAgsYgCdABrTSOoABQjIBIAACQJhCQJhCQJhCQJhGdOK +GNNkH9OHjiCfEvggxhWgCkUA6RYAL3YCgAAK6gKaESlABy9BKf2nAgWhmQEA4+4CDMwCgAAJ/wII +/wKfFCsgOf4hZhWgDSUAnRkMuwLrFggoBAqAAPRgBNGSAJ0AiUcqmRTkoINk4IEAAI2Zi8D/+AId +oCWFAO7OAQaCOYAAbQgufbE8L9AAKdAHdfEzL8EF7vgIDM8CgADp3QgEQQEAAOjbFX7QBIAA7aQA +BQCpgABj/8oAAAAAAAD/rwANf/+uAP1vAA1wCQUACp045tQADoGmAADqRAAI2ASAAPwAgh2gDSUA +WFwA0Q///fwNoA0FAAAAKhw6+kdAFeAMZQBZm/xj/1jCdo5o+gCiHaA7RQDs00cbaASAAP5HMBXj +7gEAWaNKimgKj1d38W2KRy6hFftEABWvywUAC6sBq+vranBzaQEAAO+iACaBgYAAffEoLNAA0w8P +AgB1wR0p0AcMmRHp3QgFwQEAAOjbJH7QBIAA7aQADX7GAAD97wAN8AoFAAutOObUAAb6YYAAY/92 +AAD/rwANP/9yACwgOQoNQ33JiI4gCO4RDj4CnmvRDwAAAP+vAA6//kIAbBAEGNMdKYJ/KjAHLZEC +LpEE/SCkFaAPBQDrkgAmiRGAAO7s/yaT/QAA4pUCL3cCgADuuwgOZwKAAP1vAA2wAMYAK5EFLZEE +sbv/v+AVr7sBAOuVBS93AoAA/WAGvGIAnQCMkO7MCA3fAoAAC8sMCwCHD99g6wAHBvMngAAe0v8p +kQUr4n8JmRGp2e3ifSWGEYAAjNGL0JvAi9CcsZ/Qn9Er4n+wuyvmf/WgBhwfuQEAjNn5owAVr8kF +APkABAR/EgUAotIrJjr/ogQd5UkFAOnVESQhAQAA5NYHLS8CgADk1gYqWASAAPWABOQiAJ0AJdUQ +6FgIBHgbAADsjEAn+gEAAP3gBGOiAJ0AyTLpRAAFAIGAAG2pBQMAhgkCYSsiQqtY/wAFjGIAnQDo +JkImk+EAANEPL5UF//yYDaALBQDApf2lngWgOyUAWaLRwCDRDy3igIzRi9CbwIvQnLGf0J/RK+KC +sLv70EYV7/z6AADAwPsP6BWgDRUAWF66wCDRDwAAAAAAAADv1gkmk+EAANEPAMsw+mBoHeBcxQDs +rDYKcASAAG3JBQsghg4CY/hgAEXwXkUAfqENL6ys0w9t+QULQIYEAmUpjfvpJkImk+EAANEPAAAA +AOQmQiaT4QAA0Q8AAAAAAABsEAYoIAUsIAfBlA8CAPkAEHVhzAEAKSICZZHDLTABG9Iq5tIqHjgE +gAD/oUAG0A+lAC4gTmXiV+7SIh5PAoAA9YAK8hIAnQCmmSiSnpwQC8sK9wARlNIAnQArsr8qkp0L +qwHrFgEljkmAAIro90AOuJIAnQAoYq7t0hYUC/mAACxirS3Sf+3LAQVD/QAA/YALXmIAnQCY6P2A +C2ZiAJ0AKSAUpJkJCUcpJBT1IA110gCdAB7SExvSDIwg+CAoFeAKBQAqtjLuzAIObgKAACy2OP2k +5AWgDkUADt0C7bYxLOgEgADsDx4OUASAAA0CZwxAhg0CZQwghg0CYwwAhu0MAAThAQAACuCGHtJl +DAJvCsCGDAJtCqCGDAJrCoCGDAJpKiAHKDABLCEJLSEk+CAABDCqEQDqqhAMRAKAAAqIAioxAQjd +Ag7dAhjSVi4hIi2WII0gLJYjKpYkCO4CLpYi/aAAFrAOJQAO3QItliHsMgMl0HcAAOyWJSTIBwAA +6gAVBMphAAAJAIoMfBGmzP+TphXntQEA+WAGiVIAnQDAINEPiuj3QAfAkgCdAAx5EaaZLZKeC3sK +K7K/96AIRNIAnQAtkp0L2wFksPywrZ3o6xYBLfTGAAD8IAYVoAFWAADqJAAJ2ASAAOxEAAroBIAA +WGTl0qDRDwAAAADAsA+pNOnmCC305gAA+kBoHaAbxQD8ACIdoA0VAFhpk2P/wQAA6iQACtgEgABY +ZmbSoNEPAIsQ+kBoHaAMFQD7YwAV4A2lAFhpiWP/l8CgWZ2oHtGciuj5X/D4kA+lAGP/qtogW+rC +//k0DaAPpQAAiifrRAAKaASAAPtEABWgDAUAWF560qDRDwAAAAD/91wNoAsFAMC4C5sC+kBGFe/9 +HgAAAAD8IAYVoAoFAFmdkB7RhYrojBAb0YX5X/eYkA+lAP/8OA2gCwUAAMCwD600/cEGFe/8AgAA +bBAGEtF9F9Hz9aMEBaATlQD4UMgVoKYlACoign+nICsign+3GCoihCygCCWgB3bBN4hEwKALgAAF +MwxlP9rRDy0ihCkihyoih/lgAAT7qoEAepkvCuowK0JBw8IMuyirqvqZhhWv/uoALqELLuz4Dg5D +7uz8Iuv9AAD/ogAKv/7OAAAADAIALyKCf//GJdAHblvA3HD6AKIdoAsFAP6gABcw/wUAWaHLJVzx +ylsoCnGYEcChWZYo+iAoFeAJ9QAJWTaZEAm7DPogJhXgCgUAWX1qihAKVQxlX9fAoVmWHvoOIh3g +CgUAWX1kY/9mAAAAbBAGKCAFJiAH5zQACtgEgAD4AoId4AU1APkAD51hZgEACwhHaIIUiiIY0TYX +0TfkZAAFA8mAAMAg0Q8AKyIdZbHhiCeDiPsCpBXvzAUA6YILJHCBAAAM7AHsuwgKfwKAAOwWACXZ +AQAA8yAN/GIAnQAtiRSj+q/dLYUU+2AN66IAnQDJdclD2TBtSQUHAIYJAmGK4A8CAA8CAK+q+0AQ +rGIAnQD7wAYVr/3+AOxqEQMk8QAAB6oIK6KeDwIA92AK2dIAnQAqop0Iaworsr8LqgFloE/rbBgp +UASAAPwAIh2gDTUAWGjvwCDRDwAb0QSJuPcgDKCSAJ0ADEoRB6oILKKe94ANAdIAnQAqop0ITAos +wr8MqgHkoY5k6/0AAC22CGSvrxnRHJmgiCD/ot4F4AsVAOumAixGAoAABYgCmKGIMy/yf/+iDgWo +iB0AqP+fo+4AFQVIQQAA/6H0BeAIBQCxiOmDHgwP6AAAn6YZ0V/5QQYV4BgFAJinjiAI7hEF7gKe +qQxNEafdJdadjiIsIAaJJwvuAuvRVhZgBQAA7CQGJMiBAACIkf0gghXvzAUADJwB7iYCJENBAADo +lgEm6MEAAO2VBCZhAQAAfIsiKpEFHdDeqKiYkZ2AjCDrhgIuZgKAAAXMAv0AJhWgAgUA0Q8d0Nad +gIwgG9E+64YCLmYCgAAFzAL9ACYVoAIFANEP2iBYZXvSoNEPAAAA//rEDaAKBQBb/zpj/hcAAPMg +aB3gDgUA/wFmFa/3vgDjugwDgbmAAApLFOy8CCvABIAA7Ew2CcgEgADTD23JBQgAhgkCYYkQqnjr +TQwEyQEAAG3ZBQgghgkCY4sQCvwMrLsrvED7wAYV7/aKAMCgWZynG9CbibgY0Jz5P/L4kgCdAP/5 +/A2gCgUAwKDA2g2dNP1hBhXv+cIAjxAv/ED/wAYV7/WmAAAAbBAMiCf4QEgV78oFAOuBFSpnAoAA +7DwIBECBAAAKiAGouOiMQC54BIAA+YAkEqIAnQAt8AcrIAcW0IPs3P4vqASAAPugYBXh+wEA5JAJ +b8cCgADAINEPAOaNCAbIGQAAKNKeHtB16xYBL9AEgAD5ACIz4gCdABvQcinSnQv7CiuyvwuZAeeU +AAShuYAALeIIKhYM96AiSJIAnQAvYq7r0OQXnuGAAC5irS+y5Q/oAegWCSbL/QAA/8AePmIAnQAY +0F6ZiP/AHmZiAJ0ALSAUKVAHrZn6IYYVp5kBACkkFPUgIO3SAJ0AGdCfH9DS6iIALW8CgACINB7Q +YubdCA1WAoAA8QAFAlIAnQAoIAcrIST8oCQVoBSFAJRz/uBGFaAENQAEowL7oNwFoIgRAON2ASxC +goAA+QYADHADBQD44AYVoAglAOoABQPQQQAAbYoCCgJhLiEJKSAH8uCmFeA4pQDsdgkvdQKAAPnG +AA8xyQEA7nYGLmQCgAAMvAIPzAKcdCshCdog/qAkFaAMBQDk1p0t3QKAAPlmAA2wDQUAWGTWwCDR +DwCGJyhhFegWAyMwgQAA9iDGFa/JBQAJZgGmiO9cICRBAQAAePMEiBMI/wzp8gAmQAUAAAioApgX ++PgABPCIFQB4mRwf0JSIF+h2ASZL/QAA/uAGFeGZHQD44EYV4ABSAIkXGNCNmHCZcYnxCVkUmXKP +Fok2G9CJlhD54KQVopkdAAuZARvQgZgUpogrshvv8gEkQQEAACgWCgm7COt2AyJICQAA69B5HM8C +gAAJ/wgpFggMSQjmEggkyAkAAOjzCnzPAoAAKBIECP8M5pkMA8BBAADkkExuNwKAAJgViBoPaQj5 +AA7q4gCdACkSBQ+KDPogRhWkqh0AbakFDwCGCQJhiBIpEgAKzwwHiAjpnEAkUEEAAG35BQkghgoC +YyoiAAiqERjQXPbgAESwDxUA/yDGFeAMRQAMqgKalZiUiFMvshkW0Ff9n9oFqIgdAKj/n5f8AAoV +oAoFAOzQUxTYgQAAsarrgx4ND+gAAP8hRhWgKAUAmJuPNIhTijUG/wEW0EnsqgEMQkKAAAj/Agb/ +Ap+cKFAJK1ALL1AKJlAI7NBDHdkCgADm/xAMQwKAAPsGAAwwpjEA6/8CDVHCgAAK/wII/wKKNhjP +4p+d/mFIFeW2HQDsqgEN2EKAAAuqAvshxhWkZgEA6P8BCzICgAAG/wKIVZifhlYmlhCMVy+WEiyW +EYtUK5YTKlABL1EB68/sGAQKgADxQAQ30gCdACogBwoqQOwhJC1SgoAAC6oCKpYUiCD6AwId4Ao1 +AOuWFyxGAoAACogCGs+9/yLGFaALBQD5IqYVoAglAOoABQTRgQAAbYoCCgJhKCEJLiAH+wAAFDAa +pQAKiAIolhr5oAoFoe4BAADuEQ7OAgjuAogRK5YZL5Yd7pYYJEANAAAoFgGOES7WnS1QB4on9aAA +RrAMBQDr1AAFUIEAAFhcYNKg0Q8AAAAA6RIFJnLhgADTD23JBQ9AhgkCZWP+Q8DwnxmIGR/PbcCa +Cdk06fYILGHmAAD6QGgdoBvFAPwAIh2gDRUAWGdOwCDRDwAAAAAA+48AD//t+gD/7yQNoAkFAI0R +6/wYKVAEgAD9oGAV4AwVAFhnQ8Ag0Q8AAAAAAAAA/CFmFaAKBQBZm14ez1ON6IocjBv5v90YkgCd +AGP/lACcG+sSCSlQBIAAW+h1ihz8IWgVr+9SAABsEAYpIAUmIAfYMPYAYh3gGkUA+yAPzSFmAQAF +CUf9IwABX8UFAIsiGc9AE89A5GQABYOxgADAINEPiCeLiC6BFemCCyR4gQAABfoB6u4ICm8CgADq +FgAncQEAAPsgDkRiAJ0ALIkUnRGr2q3MLIUU+8AOI6IAnQDJNMlC2bBtSQUDAIYJAmEsEgEq8gAM +qgj/QBEkIgCdAJrw07D4YGgdr/4aAAAAAADsahEDJP0AAAOqCC2inglrCiuyv/egCwHSAJ0AKqKd +DwIAC6oBZaBP62wYKVAEgAD8ACIdoA01AFhm+cAg0Q8AAAAAHc8Ni9iYEvdgDJCSAJ0ADEoRo6os +op73gA0R0gCdACqinQlMCizCvwyqAWShkLC+nthkr68ezyaeoI0g/Z7yBaALFQDrpgIu7gKAAAfd +Ap2hiYMown//niIF6JkdAKmImKPvABUFSEEAAP+eCAXgCAUAsYjpgx4MD+gAAJ+mGc9p+UEGFeAY +BQCYp44gCO4RB+4CnqnpIgcqbwKAAKPdJ9adLCAG7SICJMiBAADlnwEGYAUAACwkBoiRLJkEC90C +7SYCJENBAADolgEmYMEAAOyVBCf5AQAA/wUyDeAMBQAqkQUdzuioqJiRnYCLIOyGAi3eAoAAB7sC ++wAmFeACBQDRDwAAHc7gnYCLIMDA7IYCLd4CgAAHuwL7ACYV4AIFANEPAADqJAAK2ASAAFhjgtKg +0Q8A//qkDaAKBQDzIGgd4A4FAP8BZhWv+aIA6+oMAYG5gAAKTRTs3AgpwASAAOxMNg3IBIAA0w9t +yQUIAIYJAmGJEKo47U4MBMkBAABt6QUIIIYJAmONEYwQCt0MrcwszED94AYVr/heAADAoFmasB3O +pIvYiBIZzqX5f/L4kgCdAP/56A2gCgUAAMCgwOoOvjT/oQYVr/mqAACIECiMQPngBhWv92YAAAAA +bBAEFc6lFs6e8IgAE7AJRQDkzwgZxgKAAAmIAihmMQU1AudmMioYBIAA5WY4KTAEgAADYIYGAmcD +QIYGAmUDIIYGAmMDAIbmDAABGQEAACItAeQfHgESAQAAAwJvBMCGAwJtBKCGAwJrBICGAwJp0Q8A +AABsEAYjIAcUznoDA0HqzngZzwKAAKSZKJKe+mABBjAFNQDswr8sGRwAACuSnQy7Acu5H87zHc7z ++kAIFaAOBQCeEJ4S/CAmFeAMBQD8AKId4B7lAFhfngw/EaT/9fOmFeACBQDRDwAAAAAAAADrPBgp +UASAAPwAIh2gDTUAWGZDxyTRDwBsEAYoIHD1nLIF4AZFAOrOVhR15IAAIyAHAwNBDDkRBZkIK5Ke +JCIACjoK6qK/LaGEAAAokp3TDwqKAWSgUdtAWPunwMH8AAId4A4VAPmdmAWgCQUA+CAmFeAPBQDp +FgItWASAAOgWACpQBIAAWF92DDwRpcwmxp0qIHArCvsLqgH6TgYdoAIFANEPwCDRDwDrPBgpUASA +APwAIh2gDUUAWGYZxyTRDwBsEAQjIAcUzrUVzi77nFoFoTMBAORCfynPAoAApZkokp4KOgoqor/j +RAgMEVQAACiSnQ8CAAqKAWSgRNtA/AACHaANJQD+AEIdoB8FAFmb1/+dSAWgCBUA7qYAKn4CgAAI +/wKfoY0gnaIMPBH1gABGcAslAPuTphXgAgUA0Q8AAOs8GClQBIAA/AAiHaANJQBYZfPHJNEPAGwQ +Fi8wEPecEgXgCnUA8+TwDeAGBQD14EVwkgCdAGjyA8Ag0Q8rIAf6IKYVp5UBAPgjphXhuwEA6xYe +LJRoAAAsIAX3gGCMUgCdAC0gcvOgYDeSAJ0A2iBYYXv7QEKIkgCdAI4i+8BCOJIAnQAqIhAsIRqI +NYsp6BYNLn/CgAB4+w8LCULImQwLQvtgWhASAJ0Ajh38I8gVoB+FAOwWGydoXQAA+94AD7TdHQDt +Fggm6A0AAJ0anRn1gE+CEgCdAAzLEae7KLKet0n5AGKT4gCdAB3N1SuynQ3MCizCvwy7AftgX+gS +AJ0AjCmOKgwPPi8WGQzuDH/rdyogIikgIwqZDPsgYdgSAJ0AKCAHGs5P/VoAFeGIAQANiAkugf4J +7zYP7gwuhf4tICKv3Q0NRy0kIvugYSgSAJ0AKKJ/7iILJsv9AAD7AAQA0AgVAOCZGgxACoAA6e4I +BEP9AAAI7gIuJgooEhkM6Qz5IF/DogCdAIkaHs43LCAHKCEHHc4Z/kEkFeDMEQD1kAAWOogBAO3M +AgxDAoAACP8CLSEknLCKIIgdHM2y7t0CDVYCgAAKmQKZsSohIp20n7MMqgIcziWasikiEIoVmbUZ +ziP8RxAV4A8lAJ+5lrf5YQYVoA4VAJ66/AMABvBOdQAN6jkNyTkKmQKKGOa2CyHAQQAA6bYGJcjB +AABtqQUIAIYJAmEezZaevI0w86BC0pIAnQAqEhvpEgktVwKAAKeqKaadKCAULxIZpIjoJBQngMGA +AC0SGYwpKyA4rcycKfNgVj+SAJ0ALhId+cBVGVIAnQDAINEPAC4gBy8gBS0wEQ4OQS4WHvngMWRQ +3TkAjyLc4P/AABWwGcUA57sICAQKgAD74EyAkgCdAC0WGCiynioWBSwWG/kATePiAJ0AGs1gKbKd +DwIACuoKKqK/CpkBKRYO6RYcKAQKgAD7IE0IEgCdAPpAaB2gC0UAWZlu+0BNYFALFQAczVCMyPeA +TaiSAJ0ALXKuHs3b96BKDVIAnQAqcq0t4swZzUcNrwHvFhcmQ/0AAP1ATc5iAJ0AKJYI/UBJJmIA +nQApMBQpJDgoMBUoJDkmJDuPOI42jTmMOikyDCoyCyolJSwlJC0lIy4lIi8lCSkkTCgyDSgkTS8y +EC4yES4mFSYkcSYkciYkcCskcyYmHSsmGSsmGCsmFyYmGyYkTyYkTislKS8lKC0wESoSBS4hGg0N +Qy0kOv9AL/CiAJ0ALSA4Kvr8+8AEBTAPJQAP3AHv0B11U7EAAP8AAAewCRUA/y0AD/mOHQAI/wgO +/xEPqgz/m0gFoAkVAP0tAA4wCEUACNgBCu8sCJg5Cu4uDp45Gc1C7+4IC3gEgAAInzkYzS/dYAyN +OQ/dAiggFA6vHO8lNCVL8QAADp4cpIzuJTUszAKAAOnZAg10AoAADt0CLhIYKSYQLCQU7SYPJy0p +gAAazUMvIAeNKZ0sjj6eH/4hyBWgnxEA6CEILMqCgAAKmQKZ4PmbAAXh/wEA6iIAL/wCgAAPiAL5 +BgAMcA81AOmpAg1WAoAAD6oCmuH/me4F4CoFAJrj7+YCLlICgAALqgKPK5blmOSZ5irmBy/mCe3m +CCd4wQAA/iOGFeAdRQD8IIYV4AoFAPoiBhWgGYUAKRYaJiQUKxIc+mHoFaAMFQD6IiYVoA0FAPpA +CBWgCQUA+CAGFeAOBQD4IEYV4AgVAPggJhWgDxUAWF4AKhYSKiEoWZsrLCA5+iJmFaBNBQD4wGgd +oAklAPmABAZw7AEA/6IADDCLBQDtIDgrUASAAAy6OOqIAgtYBIAA+aAEBnAaBQD9TQANsO0BAPrA +aB2gLAUADso5LiIVC6oC6ogCC3gEgAD6IkgV4K0RAAqfORnNOwj/AvpACBWm7h0A/iKGFaAMBQD8 +ICYVoN0ZAP+mAA7wDBUA/gACHeAORQDpFgAu7wKAAP+mAA6wDgUA/CBGFeANBQBYXdHAwe/NKR1Y +BIAA+kAIFaQJBQD4IAYV4A0FAPggRhXgCAUA+CAmFaAOFQBYXcYoEhHpEg8tWASAAPpACBWv/vUA +nhD8RKQV7//1APxEhBWomQEA+zgAFLiIAQDpiAIO7AKAAP2GAA5wHqUA+CBGFaANBQD8ICYVoAwV +AFhdstug+kAIFa/99QD8IAYV4AwFAJwRKSEiKCEJwez5IAAUv//1APkGAAxwDBUA+CBGFaANBQBY +XaQuEhAYzP4vEhTszPYdWASAAPpACBWv/fUAnRAswCAtEhMI/wLuzAIPSIKAAO8WAi5gQoAADJkC +7MzwFuv9AAD9qAAWv//1AP0mAAzwHuUA/SYADLANBQD4ICYV4AwVAFhdi/tAaB3gDBUA+kAIFaAI +BQD4IAYVoA0FAPggJhWgDgUA+CBGFaAPFQBYXYGJMPMgFWKSAJ0ALhIbG8xILCEH7RIaL3cCgACn +7i3mnSggDSuygR3MzI8gjiApIAwq0v6uu+4gFS3eQoAAq6oqFhUrIAcrpAcppAwspQcopA2MOSyl +CS6kFS4yEfhiCBWvzAEALBYWKKUoLKUj/aAoFeAJFQD5RSQd4BtFACukBf9DxhXm7h0A+iLoFeD/ +9QD/oABGsA4FAP1CxhXgDRUAWPmKKxIVLxIYKBIWKbAV92KGHaArBQDrpAMsRgKAAOakACzJAoAA ++QYADHAJFQAJiALopgEnlCGAACwgOsDf/YAT/GIAnQAvMFfE4A/uDJ4bwNH+H+Id4A4FAOwhCSVY +QQAA7BYMKVAEgABY+W+JHIsbJiQUKCAVJqQAK6QD6JkRDEECgAD5BgAMcAkVAAmIAvlAJhWgC8UA +iicczCGKrokUDACHCgJhCgJhCgJhCgJhCgJhCgJhCgJhCgJhLRIYK3at+ECmHeAOFQDuJBcmgVmA +AC8gOsCPePEeGcv/KDBQCYgKKIIQ7DBXIdlBAAD6QGgdoA0lAAuAAAUKR/lAIGFSAJ0AwCDRDwDq +JAAJ2ASAAOxEAAroBIAAWF8J0qDRDwAFC0frFh0tlHwAACogBcHE/UAQzCIAnQD3QAQ8UgCdAC0g +cn7ffNogWF9VZaCJjiJl4IQoIBSkiCgkFI8wevZiKiAHCgpBDKsRp7sssp7ZoPeAJaJSAJ0AHMvC +K7KdDKwKLMK/DLsB6RYfJaUpgAAvIHHllAAJ4ASAAPpAaB2g7qUA7+Y5CmgEgAD+wGgdoA8VAFhf +EgxZEfcgAETwCEUAKJadKhId+UAdsVIAnQDAINEPAAAAAAAA6iQACdgEgADsRAAK6ASAAFhe1dKg +0Q8A/ZhwBaAKRQD8QAgV4CulAFmcF8Cx/kNEFa/ntgAAAADsNAAKaASAAPtAaB3gDgUA+kBoHaAP +FQBYXvQrEhq0u/ojRhXv9NIALBIeGswmHswm6qCALgEKgAD9YAEG0Aw1AP3QBh2nzQEA6sg0fXAE +gAAZzB74I8gVr//1AA/PAw+qAR/MGwmIChnMFwraAv8T5hXnqgEA6pSAJw3xgABkocHBw/wghhWg +ChUA+iIGFaAJBQD4IeYV4BhVAPgjRhWv6fIAAAAAAAD/92wNoAtlAMTQ/CFmFe/2JgAAjhrpIHEp +4ASAAOokAApoBIAA+B1CHaAPFQDphjkPdwKAAO67CAtwBIAAWF7Bjxq0//4hJhXv3dYAHMtdjMj3 +gBnIkgCdACsSGwy7Eae7LbKet07/oBnzogCdAB7LVi0SGyuynQ7dCi3Svw27AWSzJhjLT7DPn4j7 +f6/YkgCdAGAB7IknK5kULpwg5LEXZPjBAACNmYzwKfrA+cAEBPALBQDvyAwGYyEAAOjLOQaDoYAA +mRaI4H2BaCzQAMGU+YAFpGIAnQDBhfmABVQiAJ0AwZb5gAUEYgCdAMGI+YAEtCIAnQDBmfmABGRi +AJ0AwYr5gAQUIgCdAIgWLNAHKeEFmRfomQgOZwKAAOzdCATJAQAA6ds7fuAEgADtxAAOfLYAAMm4 +wt0ssAB9wUmOvsCw7vgMB3MhAAAI6zllv+coIE78wGgdoB9lAPntAA4wAKYAjBf9rwAOP/8OAAAA +APNfpfBSAJ0ACc4MLuzo/iGmFa/SwgAAAPxOJh2v89IAZK5F8AAYDaAJFQDAkB7Loy3i0sf+D90B +DZ0C/dpGFe/4qgAAAAAAAP/7rA2gDQUA6iQACdgEgADsRAAK6ASAAFheLdKg0Q8AGcr6wIoIyDSY +mPpAaB2gG8UA/AAiHaANBQBYYt1j/8fAINEPAAAAAP/ZWA2gCQUAAAArEh76QGgdoAwVAPtjABXg +HcUAWGLSY/+cAAAAAAD6QGgdoBvFAPwAIh2gLQUAWGLLY/+BwKBZluocyt+MyPmfsgiQCxUAY/+O +iif6gGgd4AwFAOqsICpoBIAAWFfA0qDRDx/K1cDqDs40nvj9X7JA4gCdAGP/YAArEh76QGgdoAwV +AOu8GCJoHQAAWGK0Y/u0iif6gGgd4AwFAOqsICpoBIAAWFeu0qDRD9ogWF28Y/U0AAAAAP/O9A2g +CwUAiifrRAAKaASAAPtEABWgDAUAWFej0qDRDysgBfV/20CSAJ0AjCLA0g3MAvxARhWv7WYAnCr/ +gGgdr9AKACsgBxjLSg/cDPxERh2huwEACLgJLoH+r+4uhf4tICLM2I0p/EFGFeAAsgApon/+QWgV +oA8VAOCRBAbD/QAA4IgaD/gKgADo7ggH+/0AAA/uAp4q6iQABdh9AAD8ACIdoA0FAFhif2P64QAA +/+1sDaALBQD7QwAV4AwVAPpAaB2gDUUAWGJ3Y/uRwKBZlpYcyouMyPmf5eiSAJ0A//OYDaALBQDA +sB7KhcDaDc00/cEGFe/zUgAAAAAAAABsEAQYyoSJICuCgSiCmvsgAETwCwUA6yQULM5CgAD5AABE +cBkFACkkBeuEFClQBIAAW+XU0Q8AAGwQBBnKy4oyKZJ/CaoRqpkskAaIksDb/SCmHe/75QDriAEG +Y/0AAOyUBizQBIAA+SBGFaALBQBb5cXAINEPAABsEAiIIi8gB4cwJRYC9CCGFaH/AQDzBqwN53cB +AO8WASOI+YAA63wBKVAEgABZlonmoixtIASAAPZgaB2vhdUA4hYAI4ahgAAHcgnnPBApFwKAAPJA +AEFwAJIAAGmBCIoQi2VY9+nUoGZA3Od8MCMwwQAA8sAFDCIAnQAoYBDIjmiBRGmC5ChgEWWPz2AA +cwAoYBHIjGiBIGdPz3VBzGAApgAAihDrYgUr4ASAAFj4JvVAaB2v/5IAAIoQi2VY+B31QGgdr/9S +AChgEciMaIEgZ0+XdUGUYABuAACKEOtiBSvgBIAAWPgY9UBoHa//kgAAihCLZVj4D/VAaB2v/1IA +AAAAihDrYgUr4ASAAFj3w/VAaB2v/VIAihD8H6IdoAsFAFmXltSg9UALjG8GBQCIMAaIAfhgBhWg +AEIAAAAAAPIgBhWgBAUAiREWyg8fyhDnyhEcqASAAPUgBkIQCqUA4hIALM8CgAAHmQgrkp4PXAos +wr/3YBACUgCdACuSnQy7AesWAyWOAYAAiGj3AA5wkgCdAC5yrhzKAO8SBCcMKYAAKXKtLMJ/7JsB +BGv9AAD9IAuOIgCdAJ1o/SALliIAnQAuIBSv7g4ORy4kFPXADP5SAJ0A6xIDKeAEgAD6QGgdp+QB +APwgiBXgDxUAWF1HiBL+oAAVMAlFAPdAAEV3iAEA6aadJBRpAACKJ40UwMDqrCAu2ASAAFhWxdKg +0Q8AwCDRD4hoghD3AAqgkgCdAAxZEaeZK5Ke92AK8lIAnQArkp0PXAoswr8MuwFksUywjZ1o6xYD +LfmeAABgAOcAAPIgBhWv/0UA/1/25WIAnQD6QGgdoBvFAPwAAh2gLQUAWGGr7BIEKVAEgADtEgIp +2ASAAFhc79Kg0Q8AAIgSCAhHaYJsiBCIh4KI9wKkFe/MBQDpggskaIEAAAzcAax3J3xA8yAHFCIA +nQCEFCmJFAxEEaJFpJkphRT04Acb4gCdAMkziBTIj9kgbYkFAwCGCQJhJdIABFUI9qAIxGIAnQCI +IJXQBogB+EAGFaACBQDRD4kwBpkB+GAGFeACBQDRDwAAAMCwCow07GYILfS2AAD6QGgdoBvFAPwA +Ah2gDRUAWGF7Y/88ixH6QGgdoAwFAPtjABXgDUUAWGF1Y/8kwKBZlZSIaPkf8ViQCqUAY/+/2iBb +4q9j/lz/+BgNoAsFAMCgWZWMiGgfyYL5H/UQkAqlAP/69A2gCwUAwLAKjDT8wQYVr/rCAADA0J2L +iJAGiAH5IAYVoAIFANEPAAAAAADifwwBgbmAAI4UD0UU6lwIKcAEgADq7jYJSASAAG3pBQgAhgkC +YYsUrzjluwwGSQEAAG25BQgghgkCY4ggD04Mrs4u7ECe0AaIAfhABhWgAgUA0Q+IIC/MQJ/QBogB ++EAGFaACBQDRD2wQBCggBc2OKiIHK6IMLakU7qIJJUjBAADpsQd14yEAAGXAA8jdyOv6QGgdoAsF +AFvkrNEPHMm//5POBe/49QD5QAYVoA0FAJ0n6vNDdVghAAAown0fyeCbgZ+jLsJ9nqIpwn/rxn0k +yAUAACnGfxvJ2ymxjmSfuiyxb4ogfKuyKSEabpQxLSUa8ADMDaAJBQApwoDrlgEmQAsAAJijL8KA +n6IuwoLrxoAncAUAAP+QRhWv/vYAAAD1P/u5kgCdAMDBDJwCDAxPLCUa+Z/7IdIAnQAtsXoNqgxY +91jRDwAAbBAEG8kkLLJ/K7Kao8wJzBGsuymxGsDi/SIAQlAMBQD9Y0QdoAAuAAAAAABokxAtsRoO +3QINDU/ttRomnEUAAPpAaB2gCwUAW+Ru0Q8cyRKKsCvBfguqDFj3QGP/4QAAbBAw4yAHKdgEgAAd +yQgDA0HvyQUZ1wKAAK2qKKKe6xYmKWAEgADyKiYV4EmlAPkAfTPiAJ0AK6KdDzgKKIK/CLsB7ckR +HbgEgAD7YHyQH/71AIpIFcmTKxYr8UAEEBAq+QDW0O0AFQDIwQAACQCKBgCJiU0rQhMqQhItQhCI +SS9CEZgeiEwvFhCdH49PjU4qFhErFhIoFhMpFhQtFhUvFhaLS+sWFyDJgQAACQCKLhYbLhYcLhYd +LhYeLhYfLhYgLhYhLhYiLhYjLBZSKVJJKlJIKhYZ+CNGFeABTgAAAADt4wUAyMEAAAkCYQkCYQ0f +hylCCSkWFChCDSgWFS9CDC8WFitCC+sWFyDJgQAACQJhCQJhLhYhLhYiLhYjLBZSKlJJK1JIKxYf +KhYgLPr/LBYj7BYiINDBAADsFiEg2YEAAFj3Bi9Redag/0BweeIAnQAvUYR/ogwoUYWviPlAb+Mi +AJ0AWPcd+0B0cB/2RQDaIFlTQyVRhCMWJ6Wl+qB1EFIAnQAeyKsYyNUsEiYv4n8jQhgu4pqvX+dC +GS/+QoAA/8AAR3ANFQAt5RovQSslxgEvFkAcyTsmQhouQhQrQhcpQhYpFkMrFkII6AEpFgL4KIYV +o67BACoWQeoWACroBIAA+iAmFePu4QD+JUYVoAsFAPggZhWgClUAWZkDwKX9klYFoAsFAPmSUAWn +8gEA7xZFKegEgADvFgAr8ASAAOgWASt4BIAAWZj3/BACHaAJFQD84AQGMA4FAOycOQ9YBIAAC5s5 +DLsCLBIq0w/1gFGZEA8lACkWNCIWLPmfoBWgDaUA+aIADjAIBQD4JmYVoA0FAC0WMhLJDhnIl/wA +Ih3gCgUACto5CTkBCdk5+yYADLQAPQDnPRgIBAqAAPsgUAgSAJ0AA3pTKhY18MBJwlIAnQAGWlD6 +KMYVoIahACgWR/LARyMSAJ0AKCIxCIhBKBZI8MBHDRIAnQAGyUEpFknywEejUgCdACoiMQoqQfgA +Ih3gDwUA/y0AD/AYBQAIeAEImDkI/wL74E0oEgCdAB/I6f4mxhXgCQUAKRY3BslQCfgTCYgC+wBM +wBIAnQD/kJ4F4AgFACgWOC8WOQbfUA/5Ew+ZAvsgTFgSAJ0A+ZGyBaAJBQApFjooFjsG6FAI/xMI +/wL74Ev4EgCdAPmRpAXgDwUALxY8KRY9BrlQCfgTCYgC+wBLwBIAnQAsFir/kKQF4AgFACgWPi8W +P/wqhhXgDBUA+ipmFaAOBQD/jQAPMCoFAPrgBAUwGQUA+40ADTANBQDq6gIO+ASAAOqdOQ7ABIAA +Gsh7CjoBCso5/0YADTCJBQAKmDkayLMI3QIKOgH7jQANMAmFAP9GAA0wCGUA+y0AD7AORQALjjkP +6wINuwIuEkYtEkcqEkgfyJbn7hEO7YKAAA7dAh7IpA9vAf1mAA3xDQUA/80ADvEAPQAHPhjtuwIN +VAKAAPZXAAa/m40A97AAFrHuAQDtrQIPdQKAAP9mAA2/qo0ACpkCDbsCLRJTLhI2+iboFa4APQDn +PxgO6oKAAP3GAA9/3Y0ADaoCDrsCLRJJLhIzCpkC7hZKLujCgADtuwIPd4KAAPwnCBXvrY0ADqoC +/iqIFauDIQDkiBEP+gKAAAj/Av1mAA3z7gEALhYpLRI6D+4CnhIuEjwO3QINuwItEj4KmQIqEjQN +uwKbESsSOQ2qEQuqAgqZAisSPSoSOwuqAgqZAisSRSoSMua7EA1SQoAAC6oCCpkCG8hnKhI/x48o +FgD7RgANf//1APolaBXgDgUA+yYADLANBQDpFksq0ASAAFhY7vopaBXjg+EA+ZC2BeD2UQD4IAYV +5+YBAPogRhXrAD0A9msADvAMBQD/zQAOdd0BAO8SKi7tgoAA7cwCDEICgAD8JqgV7eORAOTuEA1Y +BIAA6O4CCtAEgADg/xEO6wKAAP+mAA7///UA/6YADrAOJQD9hgAOcA0FAPwgJhWgDBUAWFjNJhIp +KRJAKCFn+CmGFaAMFQD4ICYVoA0FAPgoKBWgDkUA///iHe/79QDrFgAszAKAAOmIAg1YBIAA6BYC +KtAEgABYWLz+AMIdr//1AO0SRC1YBIAA/CBGFeAKBQD6ICYVr/z1AOwWACrQBIAA/AAiHaANBQBY +WLD4KEgVoAwVAP4piBXgDQUA+0BoHe/+9QDuFgAq0ASAAP4gRhXgDoUA+CAmFa//9QBYWKMsEkrA +6v//4h3v+fUA6RYALlkCgADrywIOagKAAPogRhXkzB0A7cwCDVgEgAD6oGgdoA0FAPwgJhWgDBUA +WFiTwOz7QGgd7//1APxF6BWv/fUA/CAGFeANBQDtFgIq0ASAAPxYAAYwDQUA7BZNLmQCgAD8ICYV +oAwVAFhYhC4STS0hYftAaB3v/PUA7BYAKtAEgAD7oAAXsAwVAP10AAb/7oEA/8YAD3//9QD+IEYV +rw4FAP+mAA6wDuUA/CAmFeANBQBYWHEtEkP9/+IdpgA9AOwWAC1YBIAA5z8YCtAEgAD/IAAH8AwV +AP4pxhXp7bEA5t0RD/sCgAD/xgAPf//1AP4gJhWj/vUA/6YADrAeBQD8IEYV4A0FAFhYXMAw9//i +HeAMFQD6KcgV4A0FAP4CQh2v+fUA+CAGFeAIBQD4ICYVqbthAPogRhXv//UA66QACtAEgABYWE3A +wfwAAh3gHkUA///iHeAJBQD4ICYV7/j1AOkWAi1YBIAA6BYAKtAEgABYWEH9j2IFoB5lAPtAaB3v +/fUA/CAGFeAKBQD6IEYVr//1AOwWASrQBIAA/AAiHaANBQBYWDXAwfwAAh3gHoUA///iHe/59QD4 +IAYV4AgFAOkWAi1YBIAA6BYBKtAEgABYWCnppAADLcmAAPTALYkSAJ0A9MAySJIAnQD0wDIKkgCd +ACMWGOMWGSDZgQAA57YCIOH9AACXs5e0l7WXtpe3l7iXuZe6l7vtxu0U8CEAAOqcICTYBwAA6BIs +JjAFAADsxuoV2gEAAOsWMSC5QQAA5IREZNjBAAAYxwjpFigrGASAAObG6RqXgoAA+EYACTAPRQDi +FlAqxgKAAA+IAv8gaB3gAgUA+CnmFaAINQBtinGd8CkST+n2AS9IBIAA5gAVB3EBAAAJAIrCmJn1 +KRJRKBJQ6PYGKUbCgAAImQIYx2Oc9OiZAgEQBQAA4zzwKcAEgADp9gcryASAAOgLHgO7wQAA6KQA +B/kBAADoTAAFUQEAAOkHHg3ABIAA6CwABdkBAAArEigXx0r+AAId4AkFAPgjBhXgCSUA43LRLfAE +gADjFhol2AcAANMP53LQLZgEgADTD+cWGSW7IQAA67zgIZvBAABtmoT9xgYV4CqFAOgSTyvIBIAA +6OYxI7kBAADmABUHwA0AAAkAiizmNOkSUCwWwoAAKuY16eY2J/gFAAD9AMBCUAkVAMCQKBJRCJkR +ApkCCYgCGccuLuxA6YgCAJGBAADo5icpyASAAOIHHg3ABIAA6CwAAJDBAADiAx4BmQEAAOkMAAXZ +AQAAJxIo9uAgJeBDRQDiEiYjuQEAAClBKisSUvEgDbQSAJ0AGMcZHscAnXCJsJxy/uCmFaAKhQAq +dgP4pgAMMAolAOh2BCzOAoAACpkCKXYB5gAVA8hhAAAJAIrjPAIjuIEAAI9ADwIA8eANipIAnQAp +IAEAmTKFIS5CGS1CGPyDSBWnqQEA+iXGFaEAPQAO3Rj9IAADMd0BAO0WLSUAwYAAL0IUGcZ7D8hT +6f8BBEP5AAAI9TjrsgAr0ASAAPwAIh3gzNEA/gCCHaA/BQBZk/4dxmsrEi38JcgVoAlFAO0ABQ1o +BIAADQJhDQJhDQJhHsaFGMbm6KYCLewCgAANbQIO3QLtpgAieCEAAO8GAAVwQQAADgCKlaYoQhQM +DQb9Q6Yd44jhAOikHCHwEQAALxInGMYsDP8RqP8u9p34QAYd4AIFANEPAAAAKCIuCJhQKBZHwJAp +Fkbw37kjEgCdAAYKUSoWSPLfuT0SAJ0AKCIx+cBoHeCoAQD77QAMsIgJAAmIAigWSfDfuKNSAJ0A +/9xIDaGmcQAAAAAAAAAA+AAiHeAIBQDyKuYVo60BAPtf4BWgAgUA+yIADDAKBQACmjgiEld4oILA +gfgo5hWv/hoAAAAAAADxP/RUUgCdAB/GJC5CGp1wirD84EYVoBgFAPjgZhWgCQUAmXaedZ53D18C +n3T9QAAVMA4lAA6qAup2ASGYCQAA9uQAFe/5KgApEicaxfAMmRGqmfMzphXgCEUA+EAGHaACBQDR +DykWM/IlhhWgCgUA6hY0L+gEgAAL7Tn8JkYV79diACkiMgkJU/gmphXv1/4AwID4JsYVoA8FAP4m +5hXv2X4AwPD+JwYV4AkFAPgnJhXv2bIAwJD4J0YV4AgFAPgnZhWv2eYAAMCA+CeGFaAPBQD+J6YV +79oWAAAAAAAAAPwlRhWgDwUA/ifGFeAJBQD4J+YV79omABPF7CoWMOMIBQDBwQAACAJlIyLQ8iPm +FeAIRQDiItEqngKAAAgzAiIWIBjF0fOL2AWgCgUA6hYvKteCgADjFk8rGASAAOKqAgwwBIAA+ioG +FaAIJQDiEiYs0ASAAG2KnC8SMJ2gKBJPmKHmABUPSASAAAkAivgl6BWgCQUAIhZX7/xAL5AEgAD+ +JgYV4A8VAAifOeiMASxOwoAAKBYvKBJR7KYEL/4CgAAPmQIJiAIZxkUvElDvpgYncQEAAOmIAgv4 +BIAA+UDmFaAphQDppgUpwASAAOgXHgO7wQAA4qwAAZvBAADiElctyASAAO8THgXZAQAA6YwABVEB +AAAnEjH/8RANoDOFAPwAAh3gHqUA/YxcBa//9QD5jFoF4AgFAOkWAS1YBIAA7BYAKtAEgAD4IEYV +oAwVAFhWrf4/4h2gDBUA/YxGBe//9QDvFgAtWASAAO0WAirQBIAA/iAmFaANBQD/jDIF4B7FAFhW +ocDB/AACHeAe5QD4AAId7g8VAPggJhXv+PUA6RYCLVgEgADoFgAq0ASAAFhWlflAaB3v5zIAAAAA +AAD8ACIdoA0FAPhGKBWgHqUA+0BoHe//9QD6oGgdoAkFAPggRhXgiMEA7xYALEOCgAD4ICYVr//1 +AFhWg8DB/AACHeAexQD//+Id4AkFAPggJhXv+PUA6RYCLVgEgADoFgAq0ASAAFhWeMDB/AACHeAe +5QD//+Id4AkFAPggJhXv+PUA6RYCLVgEgADoFgAq0ASAAFhWbflAaB3v5KoAi0ktQRbuQRchBzGA +ABzF4ClCEYpOj00oQhKWGZgTmxiaF/ggJhXgClUA/iCmFeAJBQD4IAYV4A8FAP4ghhXgCwUA+iDG +FeAIBQD4IEYVoCuFAFmVliMWJ/rfjWBSAJ0AIhIm+ipIFe+Z5QD788IdoAMFAPpAJh2v67YA/8GY +DaALBQArElH7gGgdoE2lAPtjABXgDAUAWFz1xyTRDyISJv2LeAWgClUA8iTmFeAbhQBZlX4rElL2 +QCYdr/lFAP/qiA2gAwUAIhIm/YtmBaAKVQDyJOYV4BuFAFmVdCsSUvZAJh2v+UUA/+nkDaADBQAc +xauITI9NlhL6ICYV4ApVAPggBhWgK4UAWZVo+t+HyFIAnQDyJOYV7/0mAGwQCiYgBxzE6wYGQevE +6RtXAoAArKooop4Lawr7d+gV4BlVAPkAFsPgDjUAKKKdC4sB6rQABZaRgAArMAMXxW/txQkdluYA +ACVCFAWIU5gX/KAEAvPl4QD1wAxhkgCdAMBQLkEqG8TfGcTi8cAIzBAPJQCOMZugiCAIiBEPiAKY +oRjFdpmil6UI6QIexOH5QIYV4AiFAOimAyVIYQAA7gAVBVCBAAAJAIolXAKJQPEgCPKSAJ0AKTAB +AJkyKEIZJ0IYLkIaCQtH+iFGFeEAPQD46wALuf4BAP4hBhXhdwEAlxnnMgElgKmAAClCFAnLU+2Z +AQXb+QAAC5c4iyD+GgAGMA0VAP4GAh3gDkUAWZJjiRiLGYwaHcTOHsTvGMVR7QAFDWgEgAANAmEN +AmENAmHopgIt7AKAAA2dAg7dAu2mACIQIQAA4gYABXhBAAAPAIqXpi1CFAwOBv9Dph2j3eEA7aQc +IvgRAAAYxJIMYhGoIv5TphXgDkUA/mAGHaACBQDRDwAAAAAA8d/5BFIAnQAuQhqIMZughyCZop6l +nqfuxKgbvgKAAA93AvdAJhXgFwUAl6MOiAL5QIYVoA4FAO6mBiKoCQAA+0QAFa/7hgAADGIRrCL0 +U6YV4A9FAP5gBh3gAgUA0Q8AH8UnGMUnKUIW6kErLVgEgACZFZoU+mAoFaAMFQD6IMYVoAmFAPgg +RhXgDsUA/iAGFaANBQD4ICYVoA4lAFhVmo4XjxTtxRgdWASAAPogyBWgDAUAnBH8IAYV4AwVAPng +ABewDQUA/8YAD3APBQD+IEYVoA5FAFhVjJUS/AAiHaANBQD7QGgd4A5lAPogyBWv+fUA+CAGFeAI +BQD4ICYVoA8FAFhVgYsVwND0IMgV4B4FAPtwABW5y7EA+iBGFeP/9QDsFgEtWASAAPuAAh2gDBUA +6hYAKtAEgABYVXP8ACIdoA0FAP4AAh2gCAUA+CAGFaAPJQDoFgEtWASAAOgWAirQBIAAWFVoHMQx +HcRc//WgDaAF9QAAAP/0uA2gCwUA62wYKVAEgAD8AAIdoB1VAFhcDcck0Q8AaLMswZZ5sRfGuvpg +Jh3v6aUA//YYDaAFBQAAAAAAAAD588Id757lAP5gJh2v/5oA/mAGHaACBQDRDwAAbBAYJSAHGcQU +9oEIFaFVAQDrxBAa1wKAAKmqKKKeC1wKLMK/9wAXItBm+QAqop0MqgHspAAFFvGAABfEoy1xjhnE +LfGrYA3v+/UA5GFFYNDBAAAJAokKAIooQhAqQhEvQhMuQhKNSQkCiZ0ejU0qFhCYH4pMiE8vFhIu +FhGPTigWFi8WFS0WFCoWEy5CC+4WFyDRgQAACgCKLBYlKxYbKxYcKxYdKxYeKxYfKxYgKxYhKxYi +KxYjLnJEL3JDLxYZLhYaKxYjKxYiKxYh6hwwINmBAABY8iwocW/sEiUtWASAAPlAB9miAJ0AKnF6 +KXF7erIJqpn5YAdDYgCdAMBw6yIAKxFOAADA0PuAaB2gDjUA/OBoHaAvBQBZkYkbxIeJIBzEhC9C +GC5CGSzCf/fmAA/wiAUACO4CDJkMC5kCK0EWmaCITSulAilBFymlA5iijUyepZ+knaOMSZym+oNI +FeANFQD7QOYV4A81ABnDuwxYEamIL4adLTQALiAGjCLtzAIHcAUAAC4kBvxARhWgAgUA0Q/pAAUA +0MEAAAoCYQoCYSwWJQkAh4hJKBYUj00vFhWOTC4WFo1L7RYXIMmBAAAJAmEJAmErFiErFiIrFiMp +ckQqckMqFh/4JAYV7/uaAC1BFi5BF4dJ6xYkIw9JgAAcxEkqQhGPTohNKUIS9iEGFeALBQCbEJ8X +mhEpFgP4IKYVoAkFAPgghhXgCAUAKBYG/iSIFeAKBQD6IEYVoCuFAP4hJhXgClUAWZP+KxIk7BIl +LfXKAACGMf2HXgXvnuUALjQB+oKIFaA/BQD4gygVoQA9APaDCBXgDkUA+INIFeO64QD9QAQFcA0V +AOh3GAXb+QAA66Y4DlAEgAD4GgAGcXcBAPpACBXpKQEAWZEoGMOV2aD4AAgdoA81AG36AgkCYRvD +shjEE+imAivMAoAACSkCC5kC6aYAIhAhAADiBgAFeEEAAA8AipamLkIULQpi/UOmHePu4QD/Q4Yd +oAlFABzDWQxbEay7Kbad+GAGHeACBQDRDwAAAAAA//SIDaAKBQDrXBgpUASAAPwAAh2gDVUAWFsz +xyTRDwDA0PuAaB2gDlUA/OBoHaA/hQBZkQAdw/yMIC9BFi3Sfy+lAi5BFw3MDC6lAx3D+S5CEZ6j +DcwCLUIQnaIrQhObpSlCEpmkiE2Yp49Mn6aOT56pjU6dqClCGChCGZyg9yYADPCLBQALiAKMSZys +K0IamKv7QaYV4A1VAPlBRhXgCxUAH8MqDF4Rr+4t5p0rNAAsIAaKIuuqAgZgBQAALCQG+kBGFaAC +BQDRDxzD0ygSJI9NiUyZEPYgJhXgClUA+CBGFaArhQBZk5AqEiTsEiUtaAoAAGP+RABsEAr2YGgd +p4UBAPUACAkSAJ0AiSLNkikwGGSQh/UgBLiSAJ0AaJMJaJQcwCDRDwAAACU8GNtQ6iQACeAEgABb ++fpkoJNmr+ITwwCKOPdADXiSAJ0AG8L/HcMALLKu7dJ/JgQ5gAAssq0NywHtwHt1c/0AAJ44fcB+ +LyAUpP8PD0cvJBT14AxeUgCdAIon+oBoHeAMBQDqrCAqaASAAFhP1NKg0Q/aIOw0AAHYYQAAW/7T +Y/+MAAAA5TwYKVAEgADsNAAK2ASAAFv99SswGPl/+4HSAJ0AY/9bLDAY+Z/6WlIAnQBj/10AAMCw +wNoNrTTtNggt/C4AAPpAaB2gG8UA/AACHaANFQBYWrnAINEPAC0xFo84LjEXgzn/6cAAEF/xABzD +hitiEYhuiW0qYhLyIQYV4A8FAJ8QmBeaE/ggphXgClUA+iAmFeAJBQD4IIYV4AsFAPogRhXgCAUA ++CDGFaArhQBZkzJgABoAHMN1iGyPbfIgJhXgClUA+CAGFaArhQBZkyuDJ4w4+mKkFe/HBQDqMgsh +6IEAAAfXAfdgAEXwDwUA7KFyddkBAAAoORQMThGs6q6IKDUUerNyyWfTYOnEAAIAmYAAbUkFAwCG +CQJhKtIADqoI+0AE9GIAnQCa0OPEAAKB+YAALzQYLzQZ/mNmHe/79QD6YOYV7/heAMCgWY6cijj5 +X/JQkgCdAGP+5QDaIFvbtmP+cJ8746QACv5OAADAw/xjBh2v/woAAOy7DAMBsYAAC0MU6DwIK0gE +gADoSDYOUASAANMPbYkFCSCGCgJjC2kI40gMA9EBAABtiQUJQIYKAmUL6QypeSmcQPmgBhXv/bIA +KnxA+6AGFa/9hgAAAABsEBAbwy4oIAUsIAflsWYqyASAACowA/aAQBXhzAEA/QFABFBVCQDAINEP +AACNIukWBC6YDgAALiAW+iBmFaD/9QB/4SL8IoYVp7kBAPt/wBXgCBUA64s5CVAEgABYBwLsEhQl +JyGAAB7CVyvggB3CVB/CVebCVhXY3QAA/CAmFaS7HQDrewkLx8KAAOgWBSXYDQAA9YARshIAnQAM +zhGm7ijinvsAI4PiAJ0AK+KdD8gKKIK/nBEIuwHvtAAFlGmAAIrY90AVgJIAnQApYq4PAgBkkjge +wvksYq0u4iIOywGbFv+AEV4iAJ0AsKgo1gj/gBFuIgCdAO7C8hqW5gAAKjAgKQrt+UAXdGIAnQDA +vnuhCiwK7v1AIHUiAJ0AwIDoFgoh4IEAAPwhphWgDQUAnRkYwuSNwIosCN0BGMImLiAsGcLhKIKb +KyEX+EAIHeAJFQDoqAwPdAKAAP9mAA22iB0ACJliDbsCmxz5ABW0YgCdAMDAKSAWKgr/epEbLBYQ +LxYVih1b2YjrpAAJUASAAFgGiS8SFSwSEBrCzishBx3Cyx7Cy/uAAQU6uwEA6qIALd8CgAAOuwKb +0IkgixP7oGYVoAwFAOzVBSzOAoAACXkC6dYBIcBBAADoCx4G8EEAAA4CZevWDyqTPgAA3sAsIBSk +zAwMRywkFPWAFW5SAJ0AhRQFBUflFgciK/kAAAxdES0WCBrCrf3gaB3gDAUA6hIHLVgEgADrDx4G +YAUAAO1sAA4n0AAA7RINJ+EBAAD5QAqhUAsFAPyCQAFQCQUADYCG7IwABdgFAAB1ufGLGWWxYYwY +iBqNHK/MqP/t9hAmeQEAAO8WCycA0YAAHsKWD3cR/6YADrAOBQD8IYYV7/4aAIQyJCYcjDHpFgIv +2ASAAPOAERBSAJ0AhRH4IEYV4AoFAOoWDi4RygAAqn8MUhGmIu8mnSyQBIAA0Q8Aitj3QBUwkgCd +AI4RDO4Rpu4o4p77ABYD4gCdAIkRKOKdD5kKKZK/CYgBmBaOFuvkAAcVgYAAsK//oQYV7/bCAMCA +mBaJFsC6C6s069YILO7eAAD6QGgdoBvFAPwAIh2gDRUAWFmN6iQACdgEgADtEgQqYASAAFhU0NKg +0Q8ewZ4u4ICNFS7sN/pAaB2k7h0A7t0IBlhhAAD9oGAV4AwVAFhZfmP/vwAAAAD6IqYV4AoFAFmN +mh3Bj4rYLxIV+V/p6JIAnQBj/4oAAC4WEoonmRIvFhXl4jJlUIEAAPyAaB3gCyUAWE5tixkvEhUu +EhLppAAF9RGAAI0Q/eIGFeAMBQD94kYVr/pCAAAoICwZwjgDAonuABcMQMKAACiW3A6gh/oUIADf +9DYAABrCOh3COPhhCBXgHgUA7hYKIdiBAACbHZsZDZkB6pkCAeDBAAD4IAYV7/RGACugAPNgDiYS +AJ0AwNAroAHzYA8uEgCdAMCQD5wRDNwCZ80sZFvbYADnAAAdwhwcwhoDw4wNAG0oICwswtr7AAQA +0A4VAP3AAQdYjLkA+cAEBzAN9QD/oAcWIgCdAC4wEPPAED/SAJ0ADAlC9SAJSJIAnQAqnP74ACId +oA4FAPsCAA8/9SIALhYSLxYV6xIGKVAEgABb2msvEhX+IkgVr/T+AADlEgEpUASAAOv0AApgBIAA +W9vsjDGLG4kS6hYOLW8CgADtuwgGbn2AAOMSDilQBIAA/IBoHeAMBQBb27Gjrq5+4hICKv8CgACm +/y72ndEPAJwR/+5wDaALBQAAAAAAAADqJAAJ2ASAAFjv5mRa8B/B4gPjjP4cDBXgAgUA0Q8AAPpA +aB2gDQUA+4JAFeAMFQBYWQNj/dUAAPxAAASwCBUA+T9gFeAOBQD5AgAPf/HuAAAAKxYT/CKGFaAK +BQBZjRkdwQ4sEhSK2CsSEx/BDflf6fCSAJ0A/+xgDaALBQDAsPogxhXv9ToAwOoOrjT/oQYVr+wG +ABnBQYgc+QYADHAOBQD4IYYVr/CGAAAAAAAAAPyAaB3gCyUAWACBLxIViRL+IkgVr/HKAAohh442 +LTELDQ4/Dg0/CgCHLBYR+AUIHaSbAQD5AATy4gCdAP/4aA2gDRUAAACJwvEgBXjSAJ0ACcwUCmOH +CkKHDA5J2OD4yIgdpJsBAPkA1g3gDBUAwMB6twz5gGgd4ABSAAAAAAAA+gAiHaAJBQAMqTidH+8W +FSzupgAAjDL6QGgdoAsVAP3wAAYwDQUAWO97LxIVjR//9tANr/n1AAAewZWNNH7RB//tTA2gDhUA +KDEKaYLx/+0UDaAOBQCMMu8WFSlQBIAA/fAABjALBQBY72ovEhUsEhGKLP/1jA2v/fUAGMGFLjEO +eOkL/GHkFa/9LgAAAAAA8X/qjlIAnQCdHy8WFf/90A2p7gEAAAAAbBAEjSf5oQgVr88FAOrRFSlY +BIAA4tILJuCBAAAPzwHvqggKdwKAAOghTHVRAQAAJtkUqOmuZibVFHmjUsk44jQAAgCxgADTgG1J +BQIAhgMCYSnCANMPrpl6kX2ZwMhbCuow6rYXLBAEgADRD9KA0Q8AAAAAAAAA+EBoHaAMBQDs1gsq +/uYAAGP/4QAAAAAA6KkMAYGxgAAJShTtrAgpkASAAO1NNgw4BIAA0w9t2QUCAIYHAmEJMgjqRgwH +mQEAAG1pBQIghgMCYwnqDKr6KqxA+4AGFa/+IgAt/ED9gAYV7/32AAAAAGwQBIwh7SEFKlgEgADy +rwAKf84FAO4uAQlABIAA7toICc8CgADpwggFUQEAAOorL3r/AoAA6f8MCjcCgADmJggHgLmAAHaj +IchMbUkFAgCGCwJhgoHRDwDSwNEPAAAAAPxPAAl//0YAAAACpwzzYGgd5FcdAG1ZBQIAhgMCYQtz +COVJDAcRAQAAbZkFAiCGAwJjgoHRDwAAbBAGHcEa4sBdGSAEgADlwRgayASAAJkQikcoQSgvQQf+ +hSQVr8sFAOh4CAVQgQAA+0AEBfr/AQDlZgInYIEAAOxmAy//AoAAAv8Cn2AsMgclMgsoNRsHzAz8 +oAQC8AIlAOhVAgH5AQAA7DYHI2hBAADlNgsh4IEAAG0qBQwAhg0CYeXgjWMQwQAALExADCCGAgJj +LaEF/eBoHaAFFQDr2QgDWQEAAPhgBnHgAkUA3XBb1aOPQKKipa7o/xEHcA0AAA/uAp5hjTcYwOuK +OekyCCaEuYAAjDr5IAQEP7qBAPhhBhWvqgEA58wIBdgFAADsNgot3AKAAAuqApo5jxAYwN4PD0cM +/xGo//PgBhWgAgUA0Q8toQWr2Og6ZH/gBIAAv+75gGgd5F4dAG1ZBQlAhgICZSlBLGWQTv1ApBXv +/wUAD+8BrPyr2eb7CAKQDQAA6ZxAJdjBAAD5n/qT4gCdAP2PAA5//SoAGMCcCJgCmGTRDwAAAAAA +AP3vAA5//MoA/e8ADn/+cgCmkiIsMAjqMC1CFw2IDC0wFR/AuA1tQQ/dCi3Snw2ILC1BMC9BMQDd +EQ3/Aqj//kAkHe//gQD+QAQd7/3eAAAAbBAEKTAViyfYIPigAAT/zAUA5FCuZdCBAAAWwKcewIaN +O4swjziHOfsAAAXwBSUA/nAAAXtPIQD/oAQGsHdxAPavAArz7wEA5v8BCoEKgADk7ggJEAqAAOLu +CgXbgQAA64UpJ3A5AAAuhSj+YQYV7+4BAP+vAA6/uwEA7TYHJYVJgADsrQEEi1GAACQxCSIwEaQi +ApIJsiICAk8ihSwkoQUiLBCiMq1N5SwwJukBAAD8oApK4gCdAIYs5oYYKZAEgADRD4W4LbEVDK8B +gruv3ea5FCbpAQAA9EAJpGIAnQAMThGl4q5mJrUU86AJ06IAnQDJOslI4jQACpgEgABtSQUCAIYD +AmEiogAPAgAOIgj8QAr0YgCdAJKg01AG6jD3AuYVr/veAAAkoQXspwEBkQEAAOdHCARJAQAA9mAH +CeALFQDaIAoAhgkCYYUhJIEoiiMZwFp1S1eFIiSGEYwhHcBYqkoEzAycIXSrIx3AVSyCEOomAyKo +BQAAlSIJzAELzAINzALshhApkASAANEPACyCEJUimiMJzAELzAINzALshhApkASAANEPAAAAAAAA +9ILmDeEOBQAWwEIighAGIgLihhApkASAANEPL4IQCf8BC/8CL4YQjSAWwDkO3QKdICKCEAYiAuKG +ECmQBIAA0Q/AkOmFLCmQBIAA0Q8EWgyKoOqGGCmQBIAA0Q8AAAAA8kBoHeANBQD9YWYV7/wCAPRP +AAk//H4A5dsMAYGxgAALTRTm3AgpkASAAOZGNgq4BIAA0w9taQUCIIYHAmMLMgjtRgwHmQEAAG1p +BQJAhgMCZQvtDK39LdxA/UAGFe/6sgAu/ED/QAYVr/qGAAAAAGwQDJQYkh3uEg0pkASAAOUiACrQ +BIAA8uAIFe/JBQD0wAgVr/0FAP/A6BWoVQEA5RYJIqg9AADtXQEL+ASAAObhFStABIAA4tcIB3CB +AAAJ6QHpaQgDuIEAAOmcQCvgBIAA+OAQEuIAnQCYFJ8TnhKdEZwQmhX5fkQF5GUdAOsSDSEogQAA +lRqWG/V+VAXnagEA67EHKz+CgACpd5cW934yBeq7AQDmFgct3wKAAOW7Ags3AoAAp2b6IYYV4AcF +APRBhBXgAIoAKGKejBb1AAr7ogCdACtinSzCvwy7AeS0AAWIeYAAihKLEYwQA1U2pLvrvBAq6ASA +AFvUfwUzDKdXix2MGR6/yI8d6hILLWgEgACJJ4gcqt0FmQyZJ5hAj/CIGu5GAiboBQAA7EYDL/4C +gAAP3wLvRgEiSEEAAG2pBQgAhgkCYSuyFewiBy2D7gAAZMCCLiEMGL7pLWadfjNZKICAhBjtvuQU +QN0AAP4g6BXkiB0AqETt0ggiIB0AAPn/+iJSAJ0A96AFYJIAnQAuYp6IFvXABcuiAJ0AJGKdKIK/ +Gr7VCEQB5ECnZsv9AACZqPqAaB3v/J4AjBSLE5TA92AGFeACBQDRDy6xALHu/2AEHa/9+gAvIQwF +/wxl8I6CE4gUlyDkhgAukASAANEPHr7CLuCAjRjrEgUncN0AAPohqBWk7h0A/6AARrAMFQDt3Acl +2GEAAFhWoYIUjxOUIPfgBhXv8kUA0Q8AAAAA//qoDaALBQDAoFmKuh2+ro3Y+b/6UJIAnQD//ZQN +oAQFAMBAH76pwOoO3jT/4QYVr/1OAAAAAAD27wAOP/f6ABm/dS8hFi4gFSogFCghCSwgEa6qqlqs +QqgrqMysrKioLEULKrUSefESjxSOEyglEZTw5+YALpAEgADRD4sU6hIDJEthAAApJRKUsOemAC6Q +BIAA0Q8AAABsEASKJ/hAaB2gCwUA5FBcZUiBAAAWv1klMRYsMQwtMBUqMBEiMQknMBSqNKJJoqqt +d6x3p6qicio1EyeVGvagBEQiAJ0AIkUZLDAVLzEJLjAR7TAUJgNRgACv7q7drT0t3DLthhUpkASA +ANEPhagtoRXzQWgVr88FAA+fAe/dCAp3AoAA5SFMdukBAAAmqRSl7K5mJqUUfNNFyTXiNAACAJmA +ANNQbUkFAgCGAwJhjJCuzH3Bc5yQ8qBoHe/9ZgDrhhUpkASAANEPLSzY/INEHe/94gDTIPtBZhXv +/OoAAOXaDAGBsYAACkwU5swIKZAEgADmRjYKuASAANMPbWkFAgCGBwJhCjII7E0MB5kBAABt2QUC +IIYDAmMK5gym9iZsQPcgBhWv/koAKvxA+yAGFa/+HgAAAABsEAQmIAf1fiAFoycFAAdnHSggFqRy +IyK++wAEANAJFQAAlRp1ODgYvwnkIsErNwKAAKhmhmAEVAEoIr8GVgH3LQALMAMVAPihIIWgAgUA +AGIR0Q8EMjgCYgEAIhHRDwATvjAIggnjcwgJFwKAAKMiIi0KIyHCIiHBAyIB0Q8AAGwQDIoiKSAH +5TAOKsAEgACYF5Ua5VUJAmv9AADxVwwN4ZkBABy+FRe+FZkUFr4WKnCAmRvtXzcMxwKAAOaICAVQ +3QAA/xPIFaSqHQCq/+u+DBf4DQAA/8ALs+AKpQAogp0LmQopkr8JiAHZgOeEAAQLQYAAiMiZE/cA +DGCSAJ0AK2KuZLEhG74AKWKtK7J/C54B7hYJJHP9AAD7IAh2YgCdAJ7I+yAIjmIAnQAoIBb9e/gF +oP/1AP8AC1ViAJ0AKjAPiRcv+sDuvfsRwEEAAPFagA3nmQEALSEHDQ1KDN0RDt0CLcYsiyAavrr9 +YAAVsA01AA27AivGLQpAh/kgD+lSAJ0AihrA4J4YbakOCACGCCCGBwJlBwJhBwJjKCAULyAELCEJ +9QAARDAJBQD14AvREAoVAC0gFSgkFIsZ6MwRDukCgAANzAIotAMptAAKzALstgEr2ASAACpmrSkk +FIQyJCYcjDHzgA74UAgFAOgWAC4PsgAAjhCuXo8b4hIIL/8CgACm/y72ndEPAAAAAADqJAAJ2ASA +AO0SBypgBIAAWFDn0qDRDwDA8J8ZiRkKizTrxggs974AAPpAaB2gG8UA/AAiHaANFQBYVZVj/8AA +//pYDaAIBQAdvakt0IDrEgQm6N0AAPpAaB2k3R0A/KAARvAMFQDt3AMl2GEAAFhViGP/iwAAAAD8 +IaYV4AoFAFmJpBy9mYjIjR35H/MQkAqlAGP/kysgB/t80AWjKQUACbkdmRWqme6SviwBCoAA/mDE +FeAKFQDvFgYtUAqAAPvACdiiAJ0AHr5dDLgRroiIgC6Sv/lABAQwDxUACPg5fqha+QBoHeABqgAA +1dD5IAppUgCdAIoT6TwQIgw9AACwT235BQkAhgoCYcCAmBiHEwzYEfjgAEO/+dYAKCQUiTDzIA16 +kgCdAIob4hIILVcCgACmqiWmndEPAAAAAC6SwcCx/0AEBzAJBQAOuTgJiQHtFg0khoGAAOsSBilQ +BIAAWAHfjR39etQFr/caAIonK6EV7BIKJVCBAAAPqQGpuSmcQG3JEwhghggghgcCZQcCZwcCY3mL +GNMP20D8gGgd4AwFAFhKO/ohBhWv94oAAAD7DwAMf/+OAADaIOt0AApgBIAAW9f8jDHqFgAtXwKA +AOt7CAZwlYAA2iD8gGgd4AwFAFvXw4wQrKysXpwQjxviEggv/wKAAKb/Lvad0Q+JFQiPCeyZCA// +AoAAqf8v/Qop8cIu8cEv8cUJ7gH/3/mC4AkVAIsU+kBoHaAMFQD7YkAV4A0FAFhVFmP9wwAAjiee +EYnp+8KCFe/IBQDn4RUnUIEAAAioAZgS6HgICmcCgADsuwwEyEEAAJnpCLsy6+UUJEEBAAD5IAny +ogCdAGjLRqnH6xYMI7vBAAD3AAYr4gCdAOsSAyIMfQAAsE5t6QUJgIYLAmmHoS+pBAfHCO8WDCO7 +wQAAixz44Ag8IgCdAOemASvIBIAAZLDP+CEGFe/4/gAvIAccvU8PK0DuvZQd2oKAAAy7AiwhCPrg +BhXh/wEA/EAIFeArBQDrdgMv/AKAAA/MAu7dAg7OAoAA/4YADjAKNQAKmQKZcRq9BZpyiSv+QSgV +4AsFAJt1nHTtdgYscgKAAJ53n3iZeeskFCLIDQAAihviEggtVwKAAKaqKaad0Q8Jjwz6IGgV5H8d +ANMPbXkFCaCGCwJrixOJEgdHDK+76ZxAI4w9AACwfm3pBQnAhgsCbYkSKKkED8sMq5kpnDDppgEs +eaYAAIwSwODupQQmYQEAAP1AJhWgCQUA/UAGFa/8YgAAB5kM+cEmFe/6/gCJEimcQPlAJhXv+/IA +bBAMlRGMIiogB+kyACJoBQAAnRn8IQYV4aoBAPGfDA3omQEAHLy9mhOIyBa8vpkS9wAPoJANpQAu +Yq4ZvLtk4hwpkn8lYq0JVQFkUhIojP8oxgjpVAACj4mAABu8sCWwgC4SAxq8r+kWACKo3QAA98Bo +HeRVHQDlRQgPTwKAAOaZCAKoEQAA9cAKahIAnQAokp71ABnT4gCdACWSnQroCiiCvwhVAWRRwykg +FioK/3qRECo8EFvUEuukAAlQBIAAWAETKCAUKyAEpIj1YA6JF5gBACkkFPUgFDZSAJ0AG71aLSEH +jxIevJv7eToFqt0BAOzdEQfgPQAA/6YADrTMHQDuEgkmYAUAAAxMDJ1QiCDsujkHyEEAAJlT6lYC +LEYCgAAI7gKeUS0gLCshFxy9UeoSAS7sAoAADbsCDLsC61YEIsiBAADsMgMhwEEAAP6hZB3gDgUA +/qFEHa8NBQD9gAQGd7wBAOxWBi3bAoAA+qDmFeeqAQD5QAmBUgCdAOhBDWJT/QAAbakFCACGCQJh +wIAtIASwSgyqEfWgDSESAJ0AiTIpJhyLMapV6BYMIqiBAADzYA3QUgCdAMAw6BYMLY8CAACjS7G7 +DHwRpszrxp0sEASAANEPAPcAD+CSAJ0ADHkRppkukp71wBBT4gCdACWSnQp7CiuyvwtVAWRR+LCN +nchlXqJgAGMAAAAAAAAA6iQACdgEgADtEgEqYASAAFhPb9Kg0Q8AwKBZiEYcvDqIyPkf8BCQDaUA +//hUDaAFBQAAAAAAAAD6QGgdoBvFAPwAIh2gDRUAWFQZY/+xwFANiDT5gQYVr/eyAAAAHrwrLuCA +jRnrEgMncN0AAPpAaB2k7h0A/6AARrAMFQDt3AMl2GEAAFhUCmP/dAAAAAAA+EKGHa/46gAAAI8n +nxSI+f3ighWvzQUA6vEVJ9iBAAANvQGdFe2tCApPAoAA6cwMBEBBAACY+QjMMuz1FCbpAQAA/QAM +EuIAnQBom02cG+iaCALwgQAA7hYGJVPBAAD7oAgDogCdAOhBHm9gBIAAsE5t6QUIAIYMAmGKsS+5 +BKqa7xYLJVPBAACMG/1ADoxiAJ0A6rYBLUAEgABlzlJgAQmLMPNgCWKSAJ0A7BIIK+8CgACm3ezW +nSwQBIAA0Q8A6xIAKVAEgABb1RVj/W8AAOkWBylQBIAA61QADOAEgABb1piIHIkXmhqLMeOkAA13 +AoAA/qAAQr/4lgAAAAAAAOokAArYBIAA/SBoHeAMBQBb1lujo6NL6BIMJdgFAAAMfBGmzOvGnSwQ +BIAA0Q8AAP/zSA2gBQUAwKBZh9ocu86IyBq7z/kf77iQDaUA//hIDaAFBQAAwFANjzT/gQYV7/gS +AAjdDP3AaB2krR0AbakFCCCGDAJjiBWtXupMDAdQgQAA6IxAJgw9AACwz235BQhAhgoCZYgVKrkE +DZwMrIgojDDotgEtalYAAI0VwODutQQm6QEAAP1gJhXgCAUA/WAGFe/0ugAACogM+eEmFa/57gAv +IAccu7ruu/ISU/0AAP9AABUw3xEA6loIDuqCgAAO3QIevDKdqI0g/UFGFaALNQD8QQQVof8BAO7d +Ag7OAoAA65kCD/wCgAAPzAKZqf+GAA4wKQUAmasrIBSPK/5BKBWgCQUAma2crJ2uLqYQ76YRLdoC +gACbrykkFIIZsyIMeRGmmeKWnSwQBIAA0Q+IFSiMQPlgJhWv+MoAAAAAbBAEKiAHH7xY/XimBaMu +BQAOrh0rIBas7CjCvvtgBADQDRUA5LuDHugKgAB9iEgZvE8MqBHpiAgNygKAAAk5ApmAKMK/eNAr +KMLACNgCKMbACeowC7IJ5OgICRcCgACiiK+IIoJ/KYZ+AyIM4oZ/KQGKAADRDwAAC7wJ5OoIDmcC +gACsqiqtCSqsfCqtAimhA/MvAAzwDAUADJk1KaUD0Q8uwsEO3QItxsFYAeLRDwBsEAQnIAf1eFAF +4ygFAAh4HSkgFqWFI1K++yAEANAKFQAAphp2ODATvCEMchGjIoIgKVK/AmIB800ACTAIFQD4wMCF +4AQFANEPKVLBCWkBCYQ4BCIB0Q8AHbtKCZwJ7Y0IDmcCgACtzCzNCi3BwivBwSzBxQ27AXy7A8Ag +0Q/SoNEPAABsEAYqIAeIIhy7NPd2agWhqgEA5YDlbV8CgAApwIDmuwgNOASAAOiyniTI3QAA/XZW +BeSZHQDuuycUyA0AAPkAB9PgD6UAK7KdDagKKIK/CLsBZLDwiuibEPdACFCSAJ0AKWKuHbsgZJCs +LdJ/LGKtDcsB/YAFFmIAnQCwr5/o/YAFDmIAnQAoIBSkiAgIRygkFPUABzZSAJ0AKSAE/STgBFD8 +9QAFCkdoohiKJ/qAaB3gDAUA6qwgKmgEgABYR+/SoNEPwCDRDysgLHyx1o0yLSYciTHrEgAoBAqA +APMgBRhSAJ0A8Sw4DeADBQAMfRGm3fOzphXv/r4AAADqJAAJ2ASAAOxEAAroBIAAWE4j0qDRDwAA +AADAsA+oNOjmCC37PgAA+kBoHaAbxQD8ACIdoA0VAFhS0WP/wQAA//xIDaALBQAAAC3AgOusGCbo +3QAA+kBoHaTdHQD9oGAV4AwVAFhSxmP/lMCgWYblHrraiuj5X/dgkA+lAGP/p9ogW9P/Y/8VnRHq +JAAO4ASAAFvVhI0RKxIAKTIBKhYC46QADWcCgADsuwgE+i2AAPpAaB2gDAUAW9VJ80AAQf/8ygAA +bBAEE7rJIzKbAyIMAmIU0Q8AAABsEAqVEf6ASBWgDRUA7zQAAjghAADvFgIrGASAAPfAETxv+/UA +H7sQFbrwkxD6QAQA0AkFAOkWBC6wCoAAlhP61wALcAwFAInhnhWL4JuQiuDtQREnQ/sAAJgWmaGc +4Zzgi0Iogn7z7+gV4AoVAPdvAA3wCQUA66k4DEZCgACoM4gymReJNwaIAfhgRhWgAgUA5UUSJoYZ +gACOnC2ZFOqSCSTAwQAA6OgMB3MhAADo4jkGhPGAACoWCGUgRYoY5brKFQGJgAAZuqYooAAVuscJ +iAooghDsoActWASAAPpgaB2gDTUAC4AALUEVK0ERmhh9s2/MK4gYZY++/GBIFaABvgAZupcoIAAJ +iAooghDsIAcpWASAAPpgaB2gDUUAC4AALEEVK0ER0qD9YAnbogCdAIs3LbkUyNb7YSgVoAAaAMCg ++iEGFa/92gAAAAAAAAD//YgNoAoFAChBEPiCJB2v/OoAjDLMxGUgSGWgRRm7PnyQNdrAWYjJ+0Bo +HeACBQD6YGgdr/z1AFhPcYsWjjKNE4oVjEIO3QKdMprBJ7aBLLaAmkIiRRLRD37HHcf9D8wBnDKJ +FosTiBWKQQy7ApsymKAkloAqloGYQY0XwMDsRREuhTYAAI4Use7uFgQnIDuAAI5C/3VCBe/5fgCP +QHT5U4gX4xIAJAJxgACKEf4gSBXv+fUA/GQCHaAIFQDsrCgJgQqAAO7ywCxACoAACYgDGbsMCO4B +LvbArJksksEpkr3H7w7MAwyZARy7BgyqEayqmaLRD9EPAIlAdJGt6kQAC9gEgABY6fb7/+Id4A0V +AP6ASBWv9wYAjDJlzv9lr0KKGGWvPWP+9C5BEI8RGLr1+3XoBeMtBQDt/SgP/wKAAKj/iBDr2wgM +YgKAAAzsApzzL7K/+wAEANAMFQAAzBr/n/nOYgCdACmywAnJAim2wAnqMIgQGroYCIgJ6toIDEcC +gACqiCiNCCiMeC+CwCmGvw7/DO+GwCf4JYAAKrLBCsoCKrbBihFYAI1j/u4AAABsEAgGZAnjFgAq +JwKAAKQ0jEAdutD7dZwF4A4VAPyADVwv//UA+kAEANMoBQD4owAMMAoFAOoWBCrPAoAA7ZkID1AK +gADpFgIrAQqAAO+lAw9ICoAAmRH7AABEcAcFAOgWAyWYPwAAj8GGwJbwgsCfIZfBl8DvQgAmc/sA +AC7ifi0yf+T/DA92QoAArt2dFfugSBXgBgUA/aDoFaAOFQAP5jgFuwGJzPmCghWgAgUA69YCJlDB +AADqmgwEyyEAAOqSOQQEqYAAisllIEbJrRm55CigAAmICiiCEOygBy1YBIAA+iCoFaANNQALgADO +ImWv1o0SjBGOE43QLuK//YAEBvAPFQAN/Tn/gARAogCdAGAATBm50yggAAmICiiCEOwgBylYBIAA ++iCoFaANRQALgACJFYmXK5kU4qQABYCZgAD7ISgVr/4eAAAAAAAAAAD//eANoAoFAP/9tA2gCgUA +ZdBHjkB06WGJEIoRKJLA/iBoFe/79QALqgMKiAEolsAo8sEv8r3HnwmIAwj/AYgSn4LRD4gTjxEo +gsHA4fngBAewDAUAD+w4fNC3ZW+0iRSxmekWBCSit4AA/IAIFaAHBQDzc9IF7/qGANEPFLpi8gAi +HaAKRQDsumUduASAAPygaB3gKwUAWYoAjBD6ZAIdr/71AOpaKAsBCoAA68LAKWgKgAAO3QOnqg27 +ASvGwCyiweqivSrfAoAA9WAARb/99QANzAMMqgGastEPbBAEHrl5E7pPLuKVLTJtou4J7hGu3Ri6 +RP10hAWjLwUA7y8oCXcCgACo7ojgrPzqwsAkAwmAACvCwWSwS3q8UynCv8uVG7pAGrltC6oICvoI +bQgdKKLAL6K/+eAAR7GZHQDo/zQFUMEAAO+msySAcYAAY//bAAAAAAAAAPmXqBWgCQUAKcbBmOKK +1yqsEFhIT9EPAILXIiwQ2iBb18RooQLRDwDaIFvX3hK6KAuoEegiCAUByYAADOowKyKFi7AirP/s +uwgJUASAAFmLNioyl/pABADQCxUAALsaC6oCKjaXWYtr0Q8AAAAAAAD6AOIdoAsVAFhJCSwifywm +g9EPAAAAAABsEATAINEPAGwQBPV0IAWjJQUABSIoGLk4pCT0k+gVoBX1AANVDKgidUUPAzQJDEQR +pCIiLQsiIUDRDxK5ZdEPbBAG/XQGBaANJQD6YHAVoAkFAPRAaB3v+/UA+kLGHeACBQDiVRslE1GA +APNAAgJwDvUA/iAGFaAI9QAqMAgZufX5QA0aogCdAAmpComQCpAAAACGM/ZIAAO3ZgEA6nQAC1gE +gABZhtQcueonVAwmVA35QOQVoA0lAPig5B2gBbYAiTP4IAYV4AWOAIszbrgH8AVYDa/ipQAqUAcK +CkFZTHH9c7YFoA0lAPqixh2gBPYAAAAAAAAAAIsz+qLGHeAEsgCLM/VgCRISAJ0AaLYw/WagAtAK +hQBoty39ZUAEUA6VAP9gCCUiAJ0AL1Ag/KCmHeAIRQAI/wL+pAYd4AO6APygph3gA5oAKVAgCpkC ++KQGHeADYgCLM/qjZB3gAzoAAAAAAAAAAI4z/qNEHaAC9gApwn2PMyjCgirCgKn////gFeCZTQDp +/wENAgqAAA8PGQ3/N/nhAA+w+PUACP82/qRmHeACHgCIM/ihhhWgAfYAKVAiizObW+tWCSSBYYAA +LsKAsJr7wAQA0A4VAOCqGg9wCoAA6roIB3P9AAAOqgL6oUYVoAEaAPqhRhXgAPoAjzP+oQQd4ADS +ABq5l4kzKFAHqpoqoIArCvvriAENV4KAAPsGAAww+sUACogBCYgC+KDmHaAAGgDGKrgz9H/yXSAI +9QApURsowoCKEB645etQIy0BCoAA4O8aDAIKgAAPDxsN/zcPuzbrVCMsgxYAAC3CfRy5fipQFitR +Gv2BAA5w/fUA/UxmDeMoBQAtUAf/cuYF4d0BAAjdHK/fL/KfwY8KiAx49SgeuJgKrwnu3ggP/wKA +AK/uLu0LLuFADsg2C4g3C4gsCLgcKFUb0Q/RDw7INguINwuILAi4HChVG9EPwK/6IAYVr/2CAAvN +LA29HC1VG9EPAABsEA4oIAT7cPYFp5UBAPc/wBXgCxUA9QAPsRAGBQDntzkJsASAAPUgBREQ/hUA +LyAHjiIPDUHp1AAPDfYAACsgIQscQmXCKMDVCQtH9WAKyhIAnQDuuGcd5wKAAKrML8KemRcOvgr3 +4Bdx0gCdAC7ivyzCnQ7MAWTCOikgFKSZKSQUj2Dz4BIqkgCdACsgIfouAA5wCnUA/UAVSKIAnQDK +dfpA6BWg/BUA7LsBCmgEgAD6RCYd4AwFAOqsICpYBIAAWEUz0qDRD8Ag0Q8pIAX1IBUQkgCdAPUg +FauSAJ0A9SAeDBIAnQBln0McuLQtwn9k1iQpwn2LkS+SAC+2AC2SAPugJhXgCAUAKJYAKJYBL8J/ +sP8vxn/rIgAk4/8AAOvGPiTb4QAA+kDmFeAIBQAoJCAoJCH7ciAFoA4FAP5Chh2gD4UALyQFLiQX +LiUb7iUaJehBAACdH1vW/Ry5Bx24XR65Bo8g6hIPLVgEgABb1rQqIgcPAgAqrBAqFg5b1pD1QCp4 +kgCdACsgISwK/uy7AQlQBIAA6yQhKdgEgABb/u77cCgFr/omAB64D47omRf3wBVwkgCdAAy8EarM +L8Ke9+AWYdIAnQAfuAoswp0Pvwov8r/vzAEHQ/0AAO+4AxYVkYAAmPhlzpD4IOYV4AMiAAAAAAAA +AADqJAAJ2ASAAOxEAAroBIAAWEsp0qDRDwCLMJkW9SAKQRe7AQCMIisgB/WUbA3huwEADLkRqpks +kp73gCqZ0gCdABy37SmSnQy8CizCvwyZAWSVDCwgFKTMLCQUjTDzoCm6kgCdAI0W+aAtMVIAnQDA +INEPAAAAAAD1gAkgkgCdAPWACfESAJ0A9YAdIZIAnQD1gB7SEgCdAMeV+B8ABfAPdQD4ACIdoA4F +APsCAA9wDVUA6f07D2zeAABj/0KLF/pAaB2gDBUA+2MAFeANNQBYT7Jj/yooIAcuIQgfuBD4IAAB +sIgRAAqIEO+IAgmcAoAAA+4CH7hQE7fQmMD4QAgVoCUFAJXDk8L/xgAPcAM1AO+PAgxGAoAAA4gC +mMGFK4gpnsSfxpjI9YEmFeAIBQDoxgUsqgKAAAXVApXHKCQUDLURqlXys6YV7/UyACkK8Qm7AfpE +Jh3v9UYAAAAAAAAA//RsDaAMBQBkvrgDtwsfuIafGpcd9mBoHeAHmgAauDuIICmhb3mLBSuhjmW1 +DsAg0Q8AAAAAAAD6QOgV7/aGAI4rjCl+wQnA8v5ARhXv+64AKArx+WAEBDAJRQAJiAIoJCHZ0PWg +FYoSAJ0ADNsRqrsssp73gCLB0gCdABy3hyuynQzcCizCvwy7AZkZ7RYIJZZRgAAZuGH6QAgVoAwF +AJwRmRAoYAP+ACIdoI0VAPsAABQwDwUA+CBGFaAMBQBYSK6IGRq3dgyIEfsAAEQwDzUAL4adKCAG +LSAhjyIuCvH/oAQGsAkVAOn/AgRABQAAKCQGnyL8RCYd4A5lAA7dAvxEJh3v+NIAAAAtFhD6IiYV +4AoFAFmDaR63Xhq3YIkXjugtEhArEhH53+mgkgCdAP/1aA2gDAUAwMAYt1XA+g/vNP8BBhXv9SIA +AACLJ4y4/2KkFe/HBQDtsgslqIEAAAdXAaf/L/xA/aAbZCIAnQAouRQMRhGsbaaIKLUU/eAbO+IA +nQDJN9kw68QAAgCZgABtSQUJAIYLAmEtUgAG3Qj/oBx0YgCdAJ1Qi8DA0veAaB2vDwUA+YBoHeeL +AQBtiQcokAhogQu4mcBx/+wcDaAFNQCIkw+7AQi7ApvAKCAhDogBDYgC+EQmHa//dgDAm3mhRIod +uHf64AZsIgCdACpwCGSgmGihZ2ii52ijVmmp3IlzbpPcaZPZjCf7hAAV780FAA27AebFFCXZAQAA +m8n7gQYV7/7+AIwajiKPJ40gKSAWL/kUmRD44GgVoApVAPggJhWgCwUAWYeHiHP4QsYdr/5KAIlz ++EEEHe/+IgAAHLfqi3MqIAesvCzAgC0K++2qAQ5ngoAA/UYADTD8xQAMqgELqgL6QOYdr/1mAItz +C4pC+iFmFae7AQCbHFmEwiyhB40cjhsuJAwtJA38QOQdr/zKAAAAAPtt6gWv7+IA89/jJ9IAnQD8 +wAgVoP7FAP/gBAcw+BUA+WAEBDAJhQD5BgAMfwkFAAnJAQ2ZAg7MAiwkB5lg+EQmHaAAGgCJYP/w +mA2nmQEAAB623Y7onRn3wBFgkgCdAAybEaq7L7Ke9+ASIdIAnQActtgrsp0MnAoswr8MuwFksi8Y +ttGw75+ImRntFggt6fYAAIsY+kBoHaAMFQD7YwAV4A01AFhOshq2y//vDA2v+UUAih5b1Vcbt6IL +rBGsu+sWBSUC4YAADOowK7KFi7CwqpoUrLtZiLAct6KNFCrCf/ugBADQCxUAALsaC6oCKsZ/WYjk +Y/pvKcKAi5GPkJ+wjZD7oCYV4AgFAJiQmJEvwoKw//+QRhXv534AAAAAAPoA4h2gCxUAWEZ5iRUo +kn/5MGYVr+jSAOokAAXYYQAA/AAiHaANNQBYTonqJAAJ2ASAAOxEAAroBIAAWEnN0qDRDwAAAAAA +AP/q8A2gCQUAKCAHLiEI/W2+BeH4AQD54AAXsIgRAO/uAgxCgoAADYgCmJD4QAgVoCMFAJOTE7cb +H7acn5LzxgAPcA81AOODAgxGAoAAD4gCmJGNK4gplpWelJ2Z8yDGFeANRQDolgguQgKAAA2IApiX +JiQUDLMRqjP+c6YV7+liAIon+oBoHeAMBQDqrCAqaASAAFhDXdKg0Q8AAAAAAAD/7twNoAsFAMDA +nLv9oGgdr/MqAAAAAADs/QwBgbGAAA1PFOj8CCnIBIAA6Eg2DlgEgADTD22JBQkghgsCYw05CO9I +DAPZAQAAbYkFCUCGCwJlDWkMqXkpnED4oAYV7/HyACt8QPqgBhXv8cYAHbc8LCEaDcwB/ENEHaAC +BQDRD/whBhXgCgUAWYJYHrZNGrZPjuiNGIkZ+d/t6JIAnQD/93gNoAsFAADAsBi2RcD6D+80/wEG +Fe/3LgAAAGwQBIknKCAGK5kUKpwg7ZIJJEP9AADxZyAN54gBAOgkBiQAUYAAwCDRDwAA8aSwDeAs +pQAr0AB8uUD/IqQVr/gFAPmiABXvzwUA768BAlP9AADv7ggNXwKAAOgxHXdxAQAAq9srvBDr4yxx +wEEAAGhBCG2pBQgAhgkCYfpAaB2gCxUAW9GBwCDRDwAA6CQGLHy2AABj/+MN7Qws3PAMTBS4ywur +Nm25BQgAhgkCYaPYDEoM6fxAJQ8RAACwrW3ZBQgghgkCY2P/sQAAAGwQBIgy6iQACdgEgAD8YPAV +oA01AAuAANKg0Q9sEAQoIAQjIAf9AQADUTMBAMAg0Q8btuorsX76QGgdorsdAFkCcGWv5+okAApo +BIAA+mMAFeAMFQBYTeLAINEPbBAGGLbgii4tIAf9bJwFoAQFAPlAB8wh3QEAJMJ/CagRqESOR47u +nhCKJympFOuiCSSHEYAALrAU77AVLbgEgADltAAHAHmAACqsEFhE4cAg0Q8A5tQAB4YhgAApwn+J +lyiZFPoBAh2gA0UA65IJJAehgAAssBawzOyjOA2oBIAAG7XY6rXZHv8CgAD1oASiEgCdAAr6CC6i +ni0WAfPADNviAJ0ALKKdC94KLuK/DswBZMFjKSAFGra0LVAH75y1LM+CgADqmQgHmDeAAPk3qBXg +AB4AAMCQH7at71YALPYCgAAO3QKdUStwFOhwFS2ArgAAZIDLKVAW6JFvZNP9AAAqVBaKJyqsEFhE +ssAg0Q9j/xUA//x4DaALBQD//YQNoANFAB+1ro7498AIuJIAnQAMaBGqiiyinvOACXviAJ0ALKKd +C2gKKIK/CMwBZMEdsOmZ+GXPXPwgJhXgAvYAAAAAAAD//DANoAsFANog61QACegEgABZOmVkT4eL +ECqwKCywKe2wKi1WAoAADKoC7LArLVYCgAANqgIIqhEMqgKxqvtlZh2oqh0A+2VGHaiqHQD7ZSYd +qKodAPtlBh2v/RoA2iDrVAAJ6ASAAFk6T2RPMYwQK8AoLcAp7sAqLd4CgAANuwLtwCst3gKAAA67 +Agi7EQ27ArG7+4VmHei7HQD7hUYd6LsdAPuFJh3oux0A+4UGHe/7wgCLEey2XRlQBIAA7HYAKegE +gAD7YwAV4AwVAFhNVMAg0Q8AAAD/+cQNoAwFAPwgJhXgCgUAWYFuH7VjjRGO+Bq1ZBu1Yvnf9oiS +AJ0A//uwDaAMBQDAwMCKCOg0+eEGFa/7dgAAbBAKKCAE+QAd+5IAnQD3AB26F9UBACggBwgIQZgY +9aAdWRIAnQCKLha2PCsxCCslCCliOQmqEaqZKZAF+kLQFeA65QD7ICGEIEglAPkgIUQgPNUA/SAi +BSD+9QCIOfggAASwBEUACUQMBARB9IHgFa/5hQAJRAGkiCiMNOgWAyIh/QAA/2MGDaREHQD9v8AV +oAoVAAysOSogQVhLOGSkP4sYGbUx57UxEmgRAADltAANxwKAAPVgHBISAJ0AB4gILIKe/YAgi+IA +nQAlgp0Jugoqor8KVQFkU90YthEZtg/8REQVoAsFACsWAC4yCSogQR+2DPwghhWgDUUA+0AAhTG+ +AQDr3QwNVwKAAPvgAEex3QEALRYGDe4IL/J/+EVEHeAMNQDvFgUncPEAAP4g5hWgD4UA7yRkKNgE +gAD5pgAOsAh1AOgkXC7uAoAA7RYAIVGVAABZfib8QOQVoAsFACslNfpNBh3gLuUA/krmHaBNJQD8 +ToYd4F8lAC8kBY8V/WoOBerMAQDuEgQuZwKAAP7AAARyn0EA7cwCDM4CgAD5BgAMfd4dAPygBhWg +/zkA6iIAL/1CgADptbYe7QKAAA/dAgjdAh+12ClWAum12B91AoAA7+4CDVYCgAAKSgL6oCYVoEpF +ACpWAywgQSoSBy5WBulWBy5kAoAADcwCHbWvK1UKKlULDcwC7FYEIUEhAADoBx4C+IEAAA8CY/pF +RBWgDgUALlQxLlQy/qZmHaANBQAtVDUtVDb8puYd4AwFACxULvql5h3gCQUAKVQw+qWmHaAIBQD4 +poYdqKodACpULC8gV/pLgBXgDEUA/qdmHej/HQD+p0Yd6P8dAP6nJh3o/x0A71Q4ItDxAABZfdjp +XEAhQYEAAPhQaB2gCgUACQSKCACI6YMeAcCBAAActPj6qmYdoAoFAPqqRh2gCgUA+qomHaAKBQDq +VFAiyWEAAAhgiAkMighAiAkIiooWHrSyLlYa6loIBVghAAArVhspIHQppHQpIQcoMAeYMesgByp/ +AoAAr18tIQj5QAAE8KsRAOyZEQ1SgoAA+yYADLG7AQDqtNodxAKAAAjdAgzdAgqZApnwiSCe8p30 +/eDGFaA4BQD54GYVoAoFAJr1mvf9IAAUsApFAAqZApnx4w8eB/iBAAAPAmcDQIYPAmUMvhHn7ggC +aBEAAC3mnSsgFiwK/3yxByogQYwTWEpRJSEUIyESDwIA8KKgDeAEBQDKUupixCnYBIAA/AACHaAN +FQBYQNooYsXkTAEhmAUAAAgzLnVJ29ogWD5ywCDRD4cni3j84qQVr84FAOlyCyOwgQAADm4Brszu +FgEmYQEAAPsgCHRiAJ0A73kUKkcCgACYEquKqP8vdRT7gAgrogCdAMk1yUPZsG1JBQMAhgkCYY4S +imAPAgAOqgj9QAmkIgCdAJpg82BoHe/vwgAAG7RJirj3QAlIkgCdAAxYEaeIL4KetEz94AmjogCd +AAlcCizCvyWCnQxVAWRRIrCtnbhlXGlgAEQAAAAAAOokAAnYBIAA/IBoHaCORQDuNQgq6ASAAFk/ +BMAg0Q8A6iQACdgEgAD8gGgdoI9VAO81CCroBIAAWT78wCDRDwCIImWPC4sY7UwEKVAEgAD7YwAV +4AwVAFhMDsAg0Q8AAP/v7A2gBQUAjCJlzuMrIEH6QGgdoAwVAPtiQBXgDQUAWEwDwCDRDwDzIGgd +4AwFAPzhZhWv7GoA68wMAYG5gAAMTxTu/AgpyASAAO5ONg3QBIAA0w9t6QUJgIYKAmmKEaw570gM +BVEBAABtiQUJoIYKAmuPEo4RDP8Mr+4u7ED+wAYVr/teAACIESiMQPjABhWv+yYAwKBZgAcbs/uK +uBmz/Plf9lCSAJ0A//ucDaAFBQDAUMCaCak0+WEGFe/7YgAAAABsEAb4QOgVoA0FAJ0QKiBBG7Te +JiAHCqwJ6YkULmcCgACsu/tv6BXhZgEA6xYBJJpJgAAkggnyk+AN4EiVAC5AEvPTcA3gW1UAKSAF ++yAZZGD89QArIBYoJAV8sRMFDEf9n8AVoA0VAAzcOVhJ3WSjosAx5bPWG0gEgAD0wBhCEAdFABqz +0wxoEaqIL4Ke+uBoHaALxQADujn74Bt7ogCdAAVqCiqivyWCnQpVAeuz7xKZgYAA+KBoHaAMxQDr +AAULyASAAOPJOQrQBIAA6RYCIrCBAABtmQIIAmFkMbEuIQcYs8f/aVgF6u4BAOq0pR93AoAACO4C +LlYAKyIA/WeGBeBsRQD8oEYV4AiFAOxWAy3eAoAACLsC+qAmFeAJdQApJFz4TIYdoAw1AOolKijY +BIAA7xYAIVGVAABZfMYrISL8ICgVoF8lAP5Aph3gKOUA+ErmHaBJJQD4ToYd4AgFACglNSgkaPhI +MBXm3AEA/EgABz2rHQDkqhEPdgKAAP+mAA6wzDkA7rSCHmVCgAAMqgL4oUQdoF9FAC9VCxy0ew2q +Au5WByzMAoAACpkC6rRcHd0CgAAMuwKbVgqZAulWBCFpIQAA7QceC2AEgAAMAmP+RUQV4AsFACtU +MStUMvqmZh3gCgUAKlQ1KlQ2+qbmHaAJBQApVC74peYdoA4FAC5UMP6lph3gDQUA/KaGHej/HQAv +VCwsIFfqXDwhWXEAAPynZh2ozB0A/KdGHajMHQD8pyYdqMwdAPynBh2gDEUAWXyEKCxg6CYAAtEB +AAAKBIoIAIgKAIr2wCAloAsFAPqqZh3gCwUA+qpGHeALBQD6qiYd4AsFAOtUUCLQBwAA6yB0JVIB +AADrVHAjMgEAAI9AHbOXLCEHLkAHnkEoIAcVs5X4QQQV6swBAP+AABYw6BEA9dAAFzGIAQDuzAIM +XAKAAAuZAgWZAhuzUA3MApygjCCbopmk9UDGFeAOBQCepf9A5hWgPQUA7aYDLmYCgAAHzAL9QCYV +p//BAARghgYCZwRAhgYCZYsnHrMxjBL/AAAWv8oFAO7dCAXYgQAACroB/bOmFaAMBQDstQQlUQEA +AJqx+2AGFaA5ZQD54ARkYDhVAPngBHwiAJ0AyjgrIBYpCv95sSD6SDAVoFxFAFhI9cAg0Q/Eryok +BSsgaLG76yRoKf7WAADAINEPKCQF//PADaADBQAbsw+KuPdABSCSAJ0AHLMPDJgRrIgsgp784Ggd +4A7FAAPtOf2ABUPiAJ0ABZwKLMK/JYKdDFUBZFCWsK39YQYV7/OaAABkP5baIFg9CGP/k8Xi/kCm +Ha/9vgCPImX/kfpAaB2gCMUA44c5A1hhAAD84Ggd4AwVAFhK28Ag0Q8AAAAAAAAA//J0DaAFBQCJ +ImWfXisgQfpAaB2gDBUA+2JAFeANBQBYSs/AINEPAPYgZhWgCgUAWX7sG7LhiriJE/lf+lCSAJ0A +//FsDaAFBQDAUMDKDKw0/WEGFa/xMgAAAABsEBSVFpcUIhYe4xYFKlgEgADrFgcp+ASAACzwA+Ih +IikYBIAA5zIOK6AEgADmMAcraASAAJIaKDBBErO5/4AARn/5BQDoiAkGYP0AAAnMAewWCSxHAoAA ++EAAQTFmAQDqwgEjQGEAAJgY4iJ/JmBBAAD7oDJiogCdAMDg8iAmFaALBQAO0gwesw8u4n8JfxGv +7i7hNyh6//8AM8KiAJ0AFbNDlhMXs6Incn/vAgALsASAAPugBIKiAJ0AjhkCqgyP45cSjuKvL3L7 +AbHuiBTTD9MP9wAs6JAHBQD3QCyokgCdAMAgbQhbCkk0BigKCVk0mYAGKQufk56SiIAPAgAPAgAI +RAwIqgyviekWCyEQBQAA6JsGf3gEgACx7+70AAO4BQAA7xILIQwxAAD2wwAVoAIFAPaAKVCSAJ0A +90ApEJIAnQBj/52XEgy+Cwy6Coqgj+OO4gKqDK8vcvsBse7AIPIiJhWgAgUA9oAE+JIAnQD8I4YV +4ADGACgSEeh4CAXYBQAA6BYRJYwxAAD9gwAVoAsFAAy+Cwy6Coqgj+Pu4gIiDZ+AAP9c8A3gBwUA +akHHbQhMBikLBi0KCkg0CFg0CEQMCKoMmNCekp+T740IARAFAADo2wZ/eASAALHv7vQAA7gFAADo +IQxu+ASAAPbDABWgAgUAZK9/9p/74JIAnQBj/6wAAAAAAC0SHPQgiBWgCwUAmxArMBaGEvwjJhXg ++fUAebEajBb6aDAVp8wBAP2fwBWgDRUADNw5WEhPZKTlLRIR6bJJFp7BgAAVszaKER2yRIwTghqc +HuzPEQ5ngoAA+eAAR/1yHQDtzAgJFQKAAPRGAAl2igEA/CGGFaAFBQD0I0YV4rpBAO8WEC3eAoAA +C4gC4hYVIdkhAADrFhIrvQKAAPIh5hWgqjkA7xIRLVVCgAAKdwLodwIB0XEAAOoWEyHBgQAAKBYU +JxYW57JNF/gVAAD+IwYV4AVVAPYhphXgAgUA8ABIDaAHBQAAAAAsEhj8oBn8IgCdAMDVDV0v5NJ3 +YqgFAAAnfQEnfIAvMQcYsiH2QAEEuv8BAO6y2x//AoAACP8Cn3CMMP7gRhWgTUUAnXP9gAAWMA2F +AA3MApxxi5DApPxoMBWhuwEAC6oMKxIW6RYXLmQCgAAMuwIcstb+IkgVoaoBACoWGwy7Apt0KxIV ++SAIFeAMBQAsdQqbdqqZ6rLoFMjxAAApdQuad+4DHgPogQAADQJh+mVEFaAOBQD+5oYdoA8FAC90 +Nfrlph2gCAUA+ObmHaALBQArdC785eYdoA0FAPzmZh3gDAUA/OYmHaANBQD85kYd4AsFAPrmBh3g +CAUAKHQ2KxIT+CNIFaiqHQAqdCwvMFepiPgjRhWgDEUA/udmHej/HQD+50Yd6P8dAP7nJh3o/x0A +73Q4I9DxAABZevDpEhQj0QEAAAkgiAoEigkAiAoAiikyHCgSGPjqZh3omR0A+OpGHeiZHQD46iYd +6JkdACl0UHhZM/ojaBWgSyUAKzR0G7KxCgpB+0YADXALhQD6bKYd4Aw1AOiqEQjYBIAA6hYAI9EV +AABZetUsMTX2QAIEMD1lAC00V+qCAyZgBQAALDU1LBIXG7KhK3YWicAqdhkpdhePwC4yHI0/iIIo +dhiv7i42HIzALhIZD0QMrcyu/u4WGSEQBQAA7DYPIQwxAAD2wwAVoAIFAB+xq/gjaBWgDlUADl4v +L3Ya54gIBEghAAApdhsvMHTvhHQvbr4AACoSEQWqDLaq91/uOVIAnQAuEhCNHy3mnSswFiwK/3yx +CCowQSwSGlhHYY0fiRX6IygVoEt1ACs0BZqXjzL1ISYVr4SNAOiWCC+HzgAA2jD6IQgV4AwVAFhJ +Y9EPAAAvEhGMHg8CAAX/DP/gwBXgClUACv82Df8R7xYPJiU5AAAoEhAPAgAogp7/AAbT4gCdAIgc +JxIQKIK/J3KdCHcBZHC8iR3+4GgdoAoFAOkABQfqEYAAbQgP7gwABVAFAAD/X+l8YgCdAGP/6Rqx +XYqo90AE4JIAnQArEhArsp7/YAWL4gCdAIgcJxIQKIK/J3KdCHcBZHCdG7FSsKn5YQYV7/6CAI4T +/CHmFeAMBQDsFhovdwKAAKnuLhYQKRIQiB8olp0rMBYvCv9/sQgqMEEsEhpYRx+LFYwX7RIGKdAE +gABb/U7RD4/DJxYRjsL7gAgVr+vyAAAAAP//qA2gAgUA/eBoHe/7KgD//NANoAcFAP4jphXgCgUA +WX08GrExiqgvEh35X/qIkgCdAP/8RA2gBwUAwHAcsSrAugurNPuBBhXv+/4AAI8Zj/SSEar/79Nu +fXAEgADAsPPgaB2gDwUA7/wEKXAEgADo9A9l2AUAACzMGP4AAh3gCwUArPKCIK4ictvb/7/MAqIA +nQD9zwAJf+XuAAAAAAD2IGYVpAUFAAXlNv/mCA2vVQEAKDBB+QJAFaANBQD4IQYVr/hSAAAA//8A +DaALBQBsEAovISIqIEHjFgEq6ASAAOxEAAuoBIAA57HwGyAEgACUFCwWA+0WAinwBIAAJuADIyAH +CqkJ/sAAQzALBQD6IAYV7/gFAOsgFizPAoAA+OAAQ/EzAQDncn8hyGEAAOkWBSMw/QAA+MAEAzD4 +9QB4sR3+IQYV580BAP2fwBWgCBUADIw5WEbr7xIIJRYxgAAUsOXqsOMZzwKAAPRgEWISAJ0ABJkI +LZKeDwIA96AUxFIAnQApkp0KOworsr8lFgcLmQHllAAEkkmAAB6w4vxA5BXmtwEA9kgABn2vHQDk +qhEOZgKAAP1mAA2wxzkA+4gAFjrdAQDsqgIO7wKAAA7dAguqAp1QHbGQ/EAIFaBORQCeU51S/YAA +FjANhQANzAKcURyxr4hh6SBBL90CgAAMuwL8AIIdoXgBAOfHDAzMAoAACpkC+2MWBaF3AQCniOtW +BiRA8QAAmBYKmQIoVQuZVBmxoPig5hXgCQUA6VUKIXkhAADvAx4C8IEAAA4CYfhFRBWgCwUAK1Q1 +K1Q2+qbmHeAKBQAqVC74peYd4A8FAP6mBh3gDgUA/qaGHaANBQAtVDEtVDIoVC38pmYd6IgdAChU +LC0gVypcPPynZh3o3R0A/KdGHejdHQD8pyYd6N0dAO1UOCFZcQAAWXmvKSxg6SYAAtEBAAAKBIoJ +AIgKAIopIhwfsXvrFAAC0RUAAPiqZh3h5wEA/8YAD3iZHQD4qkYd6JkdAPiqJh3omR0A+KoGHeAI +hQD4TKYdoE8lAO8kdC92AoAA/iAGFaAMNQBZeZanXB6wg/5GpBXgOGUAKCRXimOLYe1iACf4BQAA +LyU1LVYWK1YXiGEqVhmJYilWGCgmHI9hny/uVhoj6CEAAC1WGysgdCvEdAw6EfVAAEUwCYUAKaad +KyAWKAr/eLEIKiBBLBIGWEY0ixGME+0SAilQBIAAW/xj0Q8AHbBTjtj3wASgkgCdAAw5EaSZK5Ke +DwIADwIA92AFZFIAnQApkp0KOworsr8LmQFkkJrlFgcnY/0AACzWCOWUAAzt/gAA9CDoFeANhQCI +EfggiBXgSnUAKiQFmYeOIvUBJhXgDwUA74YIL3yeAADaIPogqBXgDBUAWEgf0Q/AkPQg5hXv9dYA +KyBB+2JAFeANBQD6IKYV7/7eAAAAAP4hBhXgCgUAWXw0HbApjtiPGBqwKfnf+riSAJ0AwJD0IOYV +7/32AAAAAAAAAADAkPQg5hXgDKUADOw0/aEGFa/9hgAAbBAGKCAE+QAHe5IAnQD3AAc6EA0FAPpg +aB3nhQEA9QAHsRIAnQAqsAMssQgZsGosJQgsIg4pkn/rqggOZkKAAAyZCCiQBftH4BWv/AUA/UAE +BTA85QD9AAlUIE4lAP8ACRQgP9UA/wAJnWBOdQAoIAXssJIUsaEAAP8ACcwgT5UA/wALPGAOhQAt +JGgtJGYtJTUuJGQuJGf5YcgF74gFAPhMph2gL+UALyRXKSUqKSIc/gpCHeAIFQD4S4YdoE4VAO4k +dCSAOYAAKCRoLyQFiLt4wygpoANpkSIqYQN4oxzaIOxEAAroBIAA/2DIFeAOBQBb/tjAINEPwCDR +D9og7EQACugEgAD/YMgV4A4FAFv9AMAg0Q8AAIgni4j9AqQVr84FAOmCCyQwgQAADm4B7swICj8C +gADuFgAmYQEAAPsgB4xiAJ0AL4kUq3qn/y+FFPuAB3uiAJ0AyTfptAACAKmAANgwbUkFCACGCQJh +KmIAB6oI/UAIzCIAnQD6wAYVr/qaANog/KBoHeCMRQDstQgqYASAAFk6hsAg0Q/aIPyAaB2gjVUA +7bUIKugEgABZOoDAINEPiCcuiRRk4EWLiYi7eMNUL6ADafFOKpE3eKNI2iDusgcqYASAAO+yCSro +BIAAW/6cwCDRDwAA6iQACdgEgADsRAAK6ASAAFv7qsAg0Q8AiNv5ghYNoAsFACygA2nBBS2RN3jb +ttog7rIHKmAEgADvsgkq6ASAAFv8uMAg0Q8A25D9AWYV7/eyAAAAAAAAAOvKDAGBuYAACkwU7swI +KcAEgADuTjYNyASAANMPbekFCACGCQJhiRCqOOxPDATJAQAAbfkFCCCGCQJjjBAKfgyuzCzMQPzA +BhWv9mYAjxAv/ED+wAYV7/YyAAAAbBAYIhYjIxYiLSEVJBYUiC8uIAcrIhwsMAMrFhv6I6YV7/oF +APxgAEOx7gEA7hYcI7j9AADqdwEEJKmAACwSI45zKxIiLMETE7BZ+2FoFeAJBQD1gdAN6+4BAJtR +mVAuMmQoEiMNygwqFh4igRAvgRImgREoghboFgAv/oKAAK/uLhYfKjKa7a+3GWAEgAD0RRAN7/X1 +APtAaB3gDgUAbSkggnOPcqLifisBsf8F+AENKQHptgEncEMAAOi2ACXYIQAA94AE6qIAnQBtCGWJ +dPlgAAXwDhUA+80ADfaZDQD7IABE8A4FAOSQDWydAoAA+4ACBbABFgAAdsFniXX5YAAF8A4VAPvN +AA32mQ0A+yAARPAOBQDkkBJsnQKAAPuAAgWwCBYAAAAAAAAA5ss0c7hhAABj/5MAAIJ3j3ai4u4r +BnZgBQAAsf8F+AENKQGZsei2ACdwQwAA4+PcddghAAB2yZccrxofr4kbr0Ier5gVrxktEiMUrycT +rxcp0SMoEh8t0ED9MAAUsAKlAPkAAERwBwUA6BYfLpq+AAApEhsJZgwoEh0tEh4KiAvoFiEmn2GA +ACkSHALVNgVdCeyUAA7vwoAA9SAWGhIAnQAMmxGjuyiynv0AKFviAJ0AGK79IrKdCJgKKIK/CCIB +5yQAARbRgAArEh4oEiMFuwwpgSMqgRUighylmaWqAlIKKoUVGq8ZIoYcKYUj6gAFC8gEgABt2QIJ +AmEnFhPrFh4ilXGAACUWGioSH4gQLRYk/CMmFaACBQDqiBELmASAAPgkBhWgBwUAbVmNLRIgnjD8 +JCgVpYodAPhgZhWgCSUAmTIoEiMpEiKsfIuA9GCGFaBFBQCVNZ03/2YACvANZQDlNgYt3gKAAA27 +ApsxiZvpNggp6ASAAPkB6BWgBQUA6DYJKVgEgAD0YWYV4AkFAOk2CiGooQAA92dSDaAPBQCPwf+A +CBWgAMoA53wgIRARAADqrEAhmYEAAC0SJCsSGicSE/NddAXgDAUADLs1/CMoFaABUgDA4O/WDSXY +BQAA7tYMJughAADl2ax2YCEAAB6vLf9eNgXv/s4AgnmPeKLiDSkBmbHuKwZ2YAUAALH/BfgB6LYA +J3BDAADj49x12CEAAGP92SoSHgzOEaPu7eadLQiWAAAvEhQoEiMLsgnuEgApFsKAAPLgAEEwDQUA +LYUVLYUT/QOGFeAMFQAshEAqgSHpgREvdoKAAP/gBhWgXxUAL4QFCpkMKYUh0Q/AYGrBFP1gAAew +ChUA/00AD/aMDQCo/w9mCCxwAw8CAA8CALDM6sFJaxAEgAAHfQKI1PlgAAcwDxUA/+0ADzaIDQDo +7ggGY/0AAO5mCAYA4YAAjtUOCkv77QANNu4NAA6qCOpmCAZj/QAA7dwYJg8LAAAoEiObUZlQL4EU +4oUQIygNAAD3AiQdolUdAOUWHi+VlgAAC8QUs0QEJBTkTAMo4ASAAOqEAApYBIAAWAl/KBIjKhYf +JIUUKRIfKhIj5aUTLODGAADAINEP7HIBJ3mRgAD/gABGMAYVAP2eACWv/JIAGq5Qiqj3QBlYkgCd +AAzLEaO7KLKe/QAaU+IAnQAYrkopsp0IyAoogr8ImQFkkzUYrkSwq5uI55QADOl2AADScNEPiXMJ +CUv4QeYV7+2aAAD/+cgNoAsFAPrePA3gDAUAY/x0AC0SIygSIo3fiIf6AAgd4AkVAA2dOfghABXs +iB0A+aAARrAIRQBtigIJAmEt3P8NDUFk0eLtFhUmwAUAACgWGPUABRLQCwUAKBIVKQoE6JkMDWgE +gABtmUkpEhgpFhZ2uwuJ0IjR+CLmFaAAOgDAkPgi5hXgCQUAKBIW4hYmJBAFAADiFhggkCEAAAKI +C5mGIhIX4oYHJdgFAADiEiYm6CEAAPwiqBXgAP4AAAAqEiMiEhKIECelFSelE/dDhhXgWRUAKaQF +LKEhKRIU+0IkFeANFQDtpEAsRoKAAJiQDLsMK6Uh0Q/Asw27DHa7B/ojphXgAB4AJhYdKRIcKRYZ +9SAMahIAnQAMmxGjuy2ynvegENNSAJ0AIrKdBZgKKIK/CCIB+EBoHeACpQBkkestEh/AgpiS6BIj +JusBAAD/IAYVpd0dAJ2TjYAP2wKblv2gABawC2UAC90CixApFhLklgQt3oKAAJuXKxIi/SAmFeBN +BQCdlYu7m5j5AegVoAsFAPshRhXgDQUAnZvtnDAg2IEAAPkhJhWgCFUAbYoFCwCIDQCKLRIZ6RId +Lu8CgADzoABG8AtlACvWnXlrB/AAKA2gBgUAKBIdCGYM7RIjI0gNAAD4I6gVopkdACkWHijWHCjV +ISfUQPmiZB3v6yYAAAAAAAAA/+wQDaACBQAqgRIpMmQrghbrFgAtVoKAAKqZ+CPmFe/1fgDA0w1t +Nu0WHSMHeYAAi6GJoJsb6RYKKxA4AACLo/lASBXgADIA+gACHeAJBQCbHekWDCsYSAAAi6X5QIgV +4ABCAAAAAAD6AAId4AkFAJsf6RYOKyBIAACLp/lAyBXgAEIAAAAAAPoAAh3gCQUAKxYR+CIGFe/5 +tgCNyOoWJSgECoAA96AEuJIAnQArEhkMuxGjuyiynvcABTtSAJ0AKBIZKbKdBYgKKIK/CJkBZJCS +sNv7gQYV7/l6AC0WJPgjJhXgCgUAWXmLGq1/Hq3/H63uiqgtEiQsEhn5X+WwkgCdAP/zXA2gCQUA +wJAYrXYCqzT7AQYV7/MeAMCw//xMDaAJBQAqEiPnpRMskASAANEPAAAAAAAA//fIDaACBQDAoFl5 +dBytaB6t6Y3IH63WKhIl+b/6sJIAnQD/91wNoAkFAMCQAts0+4EGFe/3KgBsEAaILxeuVSYwAy4g +B+pyXCkoBIAA8sAAQ3/5BQD2x+AVoe4BAOlmAQQO+YAAL6ECLKEEiaD7QKQV4A0FAOzM/yf7/QAA +76UCLmcCgADsmQgN3wKAAPsvAAzwAN4AAAAroQUsoQTpogAl2AUAAPmf4BWvuwEA66UFLEcCgAD9 +YAicIgCdAOiZCA3/AoAAD5kMCQCHDb1g6QAHBfMXgAAscqEvoQX89EgV4AgVAChVE+hVFC/+QoAA +r78vVRLv3QgP/oKAAO/MCA9QBIAA7VYWJgopgAAbrSvvrSofTwKAAPXABvoSAJ0Aq5kukp73wAr7 +UgCdACKSnQ+oCiiCvwgiAWQhFRmtjR6tLh+tnP5ABhXgCCUA+EBGFaX8HQCfI4hQ/kCGFaBMBQDs +JgUu9oKAAJ4n+QYADPAPZQDpJgYsRgKAAA+IApghjTudKPyh6BXgDAUAnCqcK4ljmS2IYpgsiWMY +rWuMYu0mCSToQwAAedst6NgBBkgFAACZLpgv7kYALU8CgACrmf8zphXgWBUAKFQF0Q8tpQX/+6gN +oAsFABitXJwuCNgBmC/uRgAtTwKAAKuZ/zOmFeBYFQAoVAXRDwAAEqztjijqFgIoBAqAAPfABCCS +AJ0ADKkRq5kokp73AAT7UgCdACmSnQ+oCiiCvwiZAeSQjWd7/QAAnyjilAAM+BYAAGAAEIhjCAhL ++EHmFa/4cgDAINEPJlEUI1ES8MKADeAEBQDqclwp2ASAAPwAAh2gDRUAWDk+KHJd5EwBIZgFAAAI +My52SdvRDwAA//q0DaACBQCdEPwgJhWgCgUAWXjQG6zHjBGNEI4oH6zEihL53/sIkgCdAP/9/A2g +CQUAwJDA+g/vNP5BBhXv/cIAAABsEBooIATpIAcpOASAAPkAJ/OSAJ0A9wAnshAKFQAjFin04AAE +cZkBAOkWKCRj+QAA7Kw5DBXEAACIJ4uI/QKkFa/CBQDpggskaIEAAALSAeLMCAp/AoAA5okUJmEB +AAD7ICzEYgCdAAv6CA9mCCaFFPuALLuiAJ0AyTfJRem0AAnABIAAbUkFCACGCQJhKtIAD6oI/UAu +5CIAnQCa0PolJhXgDBUAKRIpGKzoKZEIKXUIiX4ogn8JmRGpiCiABcPe/QAopGBKJQD7AChkIDvV +APsALA1gTpUALXAF/6AtJCD/9QArcBZ/sQsqcEFYQoRkpbotcAUicAfFYferpg2hIgEAKApUeNFS +KhIpGa0KKqILepMeLBIpK8ADDLsI+2fgFe/8BQAMuwErsAP1YCOgkgCdAOsSKSvQBIAA7RwIKOAE +gABb/PRkpG8uEimPEP/BJhXgDQUAnegtcAXyJOYVoFZFAPegCrQgAxUAkxQWrJ4lcQgtEikbrVcU +rVUarJP/WqYF4AIFAJIXkhaSGJIeIhYSIhYUIhYaLxYV+iQGFa/49QAoFhsoFiEkFhP6IeYV4A41 +AP4hRhWgG6UAKxYc9CToFaAOJQD+ISYVoBi1APgiBhWgHuUALhYWiduM2CwWGAZVAo3ZlR38IyYV +71WNAOUWDCzSAoAA+iPmFaiZHQApFh70gBqKEgCdAB2sOQxMEa3ML8KeJgoPdvMOGawzIsKdCUgK +KIK/CCIBZCOKH6w/i3AcrDwkcQf9YAARsAU1AOUzAgDIQQAA9VhqBeAWhQD1QAACMApVAOy7Agon +AoAA5UQCCUAEgADlrC8dkASAAG2qLJSAk4GfgpaDkoSKlIuVjJKNkO6SAyTIYQAAmoabh5yInokF +2gLqhgUkQMEAACoSJxusEgyqEftAAEVwCfUA+VOmFeBYRQAodAUrEif1YBJ6EgCdAB2sCQy8Ea3M +LcKe96AeI9IAnQAZrAQiwp0JuAoogr8IIgFkIssZrO4ucQcfrOsTrAr2JSgVqu4BAOxxIi93AoAA +A+4CniCKcPtYDAXgXYUA/EBmFeADdQDrJgItVgKAAAOqApohiGcoFiSGZvYkphWgCgUAKhYm/OCw +Fe+IlQD47KYdoCblACZ0Vyp1NSp0Z/7lRB3gC4UA+uyGHeAOVQAudFwrcEEucGj67MYdoE8lAC90 +dOu6CQdwBQAA7nRoLVcCgACqmfkv6BXgWgUAetEOxP5/0QnFZvegF/0iAJ0ACQ5G+WAAFrL5QQD6 +CQId7YwdAOslCyxFAoAA/eAAF7ALBQD/xgAPcPk5AOslCi/9QoAACP8CD+4CGKyy7KyyHn0CgACc +Jw7dAh6skwj/Ap8mDt0C7SYEI9EhAADqBx4BQIEAAAgCY/rlRBWgCAUA+EaGHaANBQAtJDf6ReYd +4AkFACkkM/pFph2gDAUA/EZGHaAPBQD+RgYd4A4FAP5GJh2gDwUA/kamHeAOBQD+RsYdoAwFAPxF +xh2oqh0AKiQsKXBX+uuAFeAMRQD4R2Yd6JkdAPhHRh3omR0A+EcmHeiZHQDpJDghUPEAAFl0tyh8 +YOgmAAFJAQAACQSKCACICQCKKHIcKixU+i/gFeAMxQD4SmYdqIgdAPhKRh2oiB0A+EomHaiIHQDo +JFAl2EUAAFl0pitwdCskZCoSKBurggyqEauqI6adK3AWKQr/ebEK+ugwFaBMhQBYQVTExfzgph2g +AgUA0Q/AINEPHat0jdj3oA2QkgCdACwSJx6rcwzMEa7MLsKe98ANu9IAnQAZq20oEiciwp0JiAoo +gr8IIgFkIZ8bq2aw2pq4ZS2XYABgHatijdj3oAzQkgCdACwSJx6rYQzMEa7MLsKewP//wAzj4gCd +ABmrWygSJyLCnQmICiiCvwgiAWQhhBurVLDa+2EGFa/yQgAAAAAA6xIpK9AEgADtHAgo4ASAAFv9 +7WWrj4xyZc9QKxIo+uBoHaAMFQD7YwAV4A11AFhDLsAg0Q8rEinacPygaB3gjEUA7LUIKmAEgABZ +Ng7AINEPAAAAAAAAAPsgaB3gDQUA/QFmFe/qWgDrygwBgbGAAApMFO7MCCnABIAA7k42DcgEgADT +D23pBQhAhgkCZQo4COxODAFJAQAAbekFCGCGCQJnCvgMqCgojED5oAYVr+kyACsSKdpw/IBoHaCJ +VQDptQgq6ASAAFk178Ag0Q8AACosQPugBhWv6I4AAAAAAADqdAAJ2ASAAOxEAAroBIAAWPsHwCDR +DwD/8SwNoAIFAMXS/OCmHe/z/gCOcmXuXCtwQfrgaB2gDBUA+2JAFeANBQBYQvHAINEPAADAoFl3 +Dx2rBI3Y+b/yIJIAnQD/+bgNoAIFAADAIB+q/sDqDt40/+EGFa/5bgDaIFl3BB2q+I3Y+b/y4JIA +nQD/7GgNoAIFAMAgH6rzwOoO3jT/4QYVr+wiAGwQCsCl/VfUBadVAQD8v8AV4AYVAPzNAA7wOwUA +WXthJyIQ+KAiqVAKBQDaIFj/wecWCC0oBIAA9UYADe/OBQCPp4z4K/EV6fILJ+iBAAAO3gGuu+4W +BSXZAQAA/SAiJCIAnQAm+RQMThEM6ggOZggm9RT7YCIrogCdAMk16cQAAgCZgABtSQUDAIYJAmEq +0gAOqgj7QCOMYgCdACrWAAzDAi1SAB6rwy8xCOgSCC62AoAABkYCJjYBKIBwL1UILuJ/Dt0M9wDA +FabtHQD1wB8fVf0BAABhBADkGgT0AiQWAfggBhWgClUA/VdmBa/49QD4IEYVoDsFAFl7KuRWDiKh +IQAAJFYSJFYTLzAhDw9G71RQIaCBAAD14BaYkgCdAPXgFlkSAJ0AuEpZeT4cq6MtQAD+gDAVoDsF +AO9QUC0wBIAA9iAGFaAKVQBZexYcq5wtMQj+oQQVoApVAP6hiBXgOwUAWXsPJ1ISKFxI+OAdXCIA +nQCJIh2qlOqqkhyWtgAAKSAHCQlBDJgRrYgrgp6ZFPdgHPLSAJ0AI4KdCpYKJmK/BjMBZDKVKyIS +iCkcqpv2QUgV4LtBACsWBgy7CiuykAh3DOsWByXYwQAA+uAS8+IAnQArIBYvCv9/sRX6QPAVoAwF +AFhAfB2qd/NUwA3g//UAKCEHGaqA91VOBaqIAQDnqoAcRwKAAAmIApgwGKqk/EAIFaBLBQCbM/Zg +RhXgDlUA56qeHlYCgAAOqgKaMSkiEooWKyEa94YADnKZUQDqqhEMzYKAAAmqAgiqAvgg6BWhmjEA +ppkpkIDsNgQt2gKAAJs2CYkM6jYHJMjBAACZNYcpJiIVqHfoZggDuMEAAOcmCSMwwQAA9kKmFa/s +BQD8YA30IgCdAOQLHgHAgQAACAJlBCCGCAJjBACGCAJhJiIWGapc9mfmHahmHQD2Z8YdqGYdAPZn +ph2oZh0AJjQ8+qHIFaALBQArNCT6ZmYdoAwFACw0J/xkZh2gDAUA7DQmLjAEgAD2ZEYdqKodAPpm +Rh2gDAUA/GSmHaiqHQD6ZiYdqKodACo0MOkAFQHBIQAACACKihgqohEoUhL6Z2YdqLodAPpnRh3o +ux0A+mcmHei7HQArNDiJhppf+GbmHeiZHQD4ZsYd6JkdAPhmph3omR0AKTQ0iIf4aGYdqIgdAPho +Rh2oiB0A+GgmHaiIHQAoNEAnIAcHB0EMdxGtdy52nSsgFn+xCvpA8BWgPAUAWD/iyVKIWMiOjFnA +sJtbmMCNWJzRm1ibWYgYHKsCjSAughEvghQoghOYEPahyBWgClUA9iAmFaA7BQBZenEp+pn4oKYd +4AIFANEPAAAAjTfsMgYq2ASAAPpAaB2v/vUAWXiY7aQABWkBgADApf1V3AWgOwUAWXpjwdbaIOtU +AAngBIAAWD+WwCDRDwAAANogWEAJ7anlFWzpgABgABSLFPpAaB2gDBUA+2MAFeANVQBYQcSMWGXP +zo8vFqrc5lYLIuiBAADt9gAhcOEAAJ5Yn1n8QeYV4AIFANEPiDcpiRTVMOcWCCSGaYAAg4n0ZAAV +r/JeAAAAAAAAAAD8EcId7/3uAPMgaB3gCgUA++FmFa/vygD/8JgNr/T1AOy6DAGBuYAACksU77wI +KcAEgADvTzYOSASAANMPbfkFCGCGCQJniRWqOOtGDATJAQAAbWkFCICGCQJpixUK7wyvuyu8QPug +BhXv7moAhhUmbED3oAYVr+42AAAAAAAAAP1VWgWgClUA/KAIFeA7BQBZeh1j/uYAAP/xuA2gAwUA +ixT6QGgdoAwVAPtiQBXgDQUAWEGFY/7/80BoHe/81gBsEAQqIAT9QOAL0BiFAGukBnihG8Ag0Q/q +JAAJ2ASAAOxEAAroBIAAWPriwCDRDwDqJAAJ2ASAAOxEAAroBIAAW/6YwCDRDwBsEArApf1VFAWn +VQEA/L/AFeAGFQD8zQAO8DsFAFl5+CciEPigIFFQCgUA2iBY/ljnFggtKASAAPVBQA3vzgUAj6eM ++CvxFenyCyfogQAADt4BrrvuFgUl2QEAAP0gH8QiAJ0AJvkUDE4RDOoIDmYIJvUU+2Afy6IAnQDJ +OenEAAIAuYAAbUkFAwCGCQJhitAPAgAPAgCuqvtAIQxiAJ0AKtYADMMCLVIAHqpZLzEI6BIILrYC +gAAGRgImNgEogHAvVQgu4n8O3Qz3AMAVpu0dAPXAHJ9V/QEAAGEEAOQaBPQCJBYB+CAGFaAKVQD9 +VJIFr/j1APggRhWgOwUAWXnA5FYOItEhAAAqVhMqVhIpMDHTD/ZnABXmmQEA6VRQIaDBAAD1IBQy +kgCdANpwWXfUHKpBLUAA/oAwFaA7BQDvUFAtMASAAPYgBhWgClUAWXmsLTEIHKo5/qEEFaAKVQD+ +oYgV4DsFAFl5pogiKSAHHakt6qkrHBSeAAAJCUHpFgQsxwKAAK2IK4KeCpYKJmK/92AZ4tIAnQAj +gp0GMwFkMlIrIhKIKRypNPZBSBXgu0EAmxYMuworspAIdwzrFgcl2MEAAPrgEOPiAJ0AKyAWLwr/ +f7EV+kDwFaAMBQBYPxUdqRHzTxAN4P/1ACghBxmpGfdSgAWqiAEA56kZHEcCgAAJiAKYMBipPfxA +CBWgSwUAmzP2YEYV4A5VAOepNx5WAoAADqoCmjEpIhKKFishGveGAA5ymVEA6qoRDM2CgAAJqgII +qgL4IOgVoZoxAKaZKZCA7DYELdoCgACbNgmJDOo2ByTIwQAAmTWHKSYiFQh3COhmCAO4wQAA5yYJ +IzDBAAD2QqYVr+wFAPxgC9wiAJ0A5AseAcCBAAAIAmUEIIYIAmMEAIYIAmEmIhYZqPX2Z+YdqGYd +APZnxh2oZh0A9memHahmHQAmNDz6ocgVoAsFACs0JPpmZh2gDAUALDQn/GRmHaAMBQDsNCYuMASA +APZkRh2oqh0A+mZGHaAMBQD8ZKYdqKodAPpmJh2oqh0AKjQw6QAVAcEhAAAIAIooEggoghH4Z2Yd +qJgdAPhnRh3omR0A+GcmHeiZHQApNDgnIAf4oeYVoXcBAAx3EQ13CC52nSsgFn+xCvpA8BWgPAUA +WD6JyVOIWMiPilnAkJlbmKCLWJqxmVgpVgmIGBypr40gLoIRL4IUKIITmBD2ocgVoApVAPYgJhWg +OwUAWXkYKfqZ+KCmHeACBQDRD9pwWXc0+qBoHe/99QD/QGgdr/z1AO9QUClQBIAAWXc9ZK1awdYC +KgLrVAAJ4ASAAFg+P8Ag0Q/aIFg+s+2ojhVu+YAAYAAUixT6QGgdoAwVAPtjABXgDVUAWEBujFhl +z9GPLxapjOZWCyLogQAA7fYAIXDhAACeWJ9Z/EHmFeACBQDRD4g3KYkU1TDnFggkhaGAAIOJJDww +9mcAFe/zigAAAAD8EcId7/36APMgaB3gCgUA++FmFa/xCgD/8dgNr/T1AOy6DAGBuYAACksU77wI +KcAEgADvTzYOSASAANMPbfkFCGCGCQJniRWqOOtGDATJAQAAbWkFCICGCQJpixUK7wyvuyu8QPug +BhXv76oAhhUmbED3oAYVr+92AAAAAAAAAP/zKA2gAwUAixT6QGgdoAwVAPtiQBXgDQUAWEA1Y/8Y +80BoHe/9OgBsEAb8AEIdoEYlAPlSaAWgBwUA9iAGFeAFNQD4RUQdoAsVAPSABooQKeUAKjAV6SRX +IgRBgAD0gAbwkgCdAPSABiESAJ0AbkU4CmsUe1AFLDAULCRgKixl7ak9GNgEgAD8IAYV4Aw1AFlx +USckaCckaSclNScmHCYkdB6oYy4lN9EPbkPD76kaGuAEgAD6TIYd4Cg1AOgkXCFRlQAA7xYAKNgE +gABZcUInJGgnJGknJTUnJhwmJHTRDwApMDDBovsgBEwiAJ0A6yRkIVF1AAD8AGIdoCslAOskXCHY +dQAAWXE0Kixh+mMgFeAMNQBZcTAqMBVj/1IAAB2pFi0lKvxmBh2v/KYAwPb+S4Yd4A6FAP5Mhh2v +/NYA6ixdIdh1AAD8S4YdoCgFAOgkZCrgBIAAWXEfKTAY0w9/l7QqLGH6YyAV4Aw1AFlxGmP/oxqo +TywxHCqifwnMEayqLKE2LDUciqD6Q8YVr/2GAAAAbBAKKiIQ+iEGFadlAQD4wB3pUEclACkwMA8C +AA8CAPjgHhjiAJ0A2iBY/MDlpAAFGyGAAIunjLj9YqQV788FAOmyCyXwgQAAD+8Br93vFgUm6QEA +AP0gH1wiAJ0AJrkUDE8RrPqvZia1FPugH3OiAJ0AyTbJRAzJAm1JBQMAhgkCYYrgDwIADwIAr6r9 +QCEkYgCdAJrg08CNUB6oxC8xCOgSCC62AoAABkYCljEogHAvVQgu4n8O3Qz3AMAVpu0dAPXAHH9V +/QEAAGEEAOQaBPQClBH4IAYVoApVAP1RagWv+PUA+CBGFaA7BQBZeCsqIhCUXimgBSsKlfsgGPxi +AJ0AjCLpIAcuE/YAAC6iEy2iFBqnqg7dDPmgE8CSAJ0AHaeoCQlB6RYELMcCgACtiC+CngqWCiZi +v/fgG3rSAJ0AJIKdBkQB7KezEhExgAArIhKIKfZBSBXgu0EAKxYGDLsKK7KQCHcM6xYHJdjBAAD6 +4A7b4gCdACsgFi8K/3+xFfpA8BWgDAUAWD2RHaeN81LgDeD/9QAoIQcZp5X3T3gFqogBAOenlRxH +AoAACYgCmEAYp7n8QAgVoEsFAJtD9oBGFeAOVQDnp7MeVgKAAA6qAppBKSISihYrIRr3hgAOcplR +AOqqEQzNgoAACaoCCKoC+CDoFaGaMQCmmSmQgOxGBC3aAoAAm0YJiQzqRgckyMEAAJlFhykmIhWo +d+hmCAO4wQAA5yYJIzDBAAD2QqYVr+wFAPyACmwiAJ0AKDww6AseAkiBAAAJAmUIIIYJAmMIAIYJ +AmEpMDBkkQHAYPaExh2gBwUA9oTmHeAJBQD4hKYd4AkFAClEJCgiFviH5h2oiB0A+IfGHaiIHQD4 +h6YdqIgdAChEPIxeixj8hmYdqMwdAPyGRh2ozB0A/IYmHajMHQAsRDArshEmRCInRCP6h2Yd6Msd +APyHRh2ozB0A/IcmHajMHQAsRDgqIAf6oeYV4aoBAAyqEa2qLqadKyAWf7EK+kDwFaA8BQBYPQnJ +UohYyI6LWcCgmluYsIxYm8GaWJpZLTAwyNsu+pn+oKYdoAIFANEPhhgoYhQiYhGxiOhmFCEQBQAA +8sImFa+flQD+oKYd4AIFANEPAAAAANogWD0/7acbFXEBgABgACbAofqEBh2viRUA+IQmHe/7wgCL +FPpAaB2gDBUA+2MAFeANVQBYPvaMWMrAwCDRDwAAAAAAAOokAArYBIAA/GBoHaCN5QBYPLTAINEP +AI8vFqgO5lYLIuiBAADt9gAhcOEAAJ5Yn1n8QeYV4AIFANEPiDcpiRTlNAAEiCGAAPMBKBXv9FIA +AAAuMDH9UAAFpdkBAPoAoh2gOwUA/kAIFebuAQBZd2bqJAAJ2ASAAOxEAAroBIAAW/1hwCDRDwAA +HKf0jSAuIhiGUI+g/86QFaA7BQD2IAYVoApVAFl3WMAg0Q8AAAAA8yBoHeAPBQD/YWYV7/EiAP/x +6A2v9PUA7NoMAYG5gAAKSxTmvAgpwASAAOZGNg5IBIAA0w9taQUIYIYJAmeJFao4600MBMkBAABt +2QUIgIYJAmmLFQr9DK27K7xA+8AGFe/vxgAAAAD/8lwNoAQFAI8VL/xA/8AGFe/vZgCLFPpAaB2g +DBUA+2JAFeANBQBYPqJj/qwAAAD/8FANoAMFAGwQCiggBNMP8QHQDee1AQD9AYAL0BaFAPkAG2IS +AJ0AdoEFwCDRDwAAKCIQ2oD5YB4xUEwlACowMJgY+4AemKIAnQDaIFj7gOWkAAUcoYAAjKeLyP2C +pBXvzwUA6cILJnCBAAAP7wGv3e8WBSbpAQAA+yAf3GIAnQAmyRQMTxGr+q9mJsUU+6Af86IAnQDJ +NslEC7kCbUkFAwCGCQJhiuAPAgAPAgCvqv1AIaRiAJ0AmuDTsI1QHqeELzEI6BIILrYCgAAGRgKW +MSiAcC9VCC7ifw7dDPcAwBWm7R0A9cAc/1X9AQAAYQQA5BoE9AKUEfggBhWgClUA/U7qBa/49QD4 +IEYVoDsFAFl26yoiEJReKaAFKwqV+yAZfGIAnQCMIukgBy4UjgAALqITLaIUGqZqDt0M+aAVQJIA +nQAdpmgJCUHpFgQsxwKAAK2IL4KeCpYKJmK/9+Ab+tIAnQAkgp0GRAFkQjkrIhKIKRymcfZBSBXg +u0EAmxYMuworspAIdwzrFgcl2MEAAPrgDsviAJ0AKyAWLwr/f7EV+kDwFaAMBQBYPFIdpk3zVBAN +4P/1ACghBxmmVvdM+gWqiAEA56ZWHEcCgAAJiAKYQBimevxACBWgSwUAm0P2gEYV4A5VAOemdB5W +AoAADqoCmkEpIhKKFishGveGAA5ymVEA6qoRDM2CgAAJqgIIqgL4IOgVoZoxAKaZKZCA7EYELdoC +gACbRgmJDOpGByTIwQAAmUWHKSYiFah36GYIA7jBAADnJgkjMMEAAPZCphWv7AUA/IALHCIAnQAo +PDDoCx4CSIEAAAkCZQgghgkCYwgAhgkCYSkwMGSQ/8Bg9oTGHaAHBQD2hOYd4AkFAPiEph3gCQUA +KUQkKCIW+IfmHaiIHQD4h8YdqIgdAPiHph2oiB0AKEQ8jF4rEgj8hmYdqMwdAPyGRh2ozB0A/IYm +HajMHQAsRDArshEmRCInRCP6h2Yd6MsdAPyHRh2ozB0A/IcmHajMHQAsRDgqIAf6oeYV4aoBAAyq +EQ2qCC6mnSsgFn+xCvpA8BWgPAUAWDvKyVKIWMiOi1nAoJpbmLCMWJvBmliaWS0wMMjbLvqZ/qCm +HaACBQDRD4YYKGIUImIRsYjoZhQhEAUAAPLCJhWvn5UA/qCmHeACBQDRD9ogWDwA7aXcFXERgABg +AD7AofqEBh2viRUA+IQmHe/7ygDqJAAJ2ASAAOxEAAroBIAAWPmXwCDRDwCLFPpAaB2gDBUA+2MA +FeANVQBYPbGMWGXMaI8vFqbS5lYLIuiBAADt9gAhcOEAAJ5Yn1n8QeYV4AIFANEPAAAA6iQACtgE +gAD8YGgdoI3lAFg7aMAg0Q8AiTcrmRTVMOgWCCWIKYAA8yEoFe/0FgAAAAAuMDH9TXgFoDsFAP5A +CBXl2gEA/sAABzAKVQBZdiLqJAAJ2ASAAOxEAAroBIAAW/wdwCDRDwAAHKawjSAuIhiGUI+g/86Q +FaA7BQD2IAYVoApVAFl2FMAg0Q8AAAAA8yBoHeAPBQD/gWYV7/DiAP/xqA2v9PUA69oMAYG5gAAK +TBTmzAgpwASAAOZGNg3IBIAA0w9taQUIYIYJAmeJFao47E0MBMkBAABt2QUIgIYJAmmMFQr9DK3M +LMxA/cAGFa/vhgAAAAD/8hwNoAQFAI8VL/xA/8AGFe/vJgCLFPpAaB2gDBUA+2JAFeANBQBYPV5j +/q8AAAD/8BANoAMFAGwQBCoiFCkiEwUDR+qZDAGUHQAAZJCYKiAHHKVsCgpBDKsRrLsusp7tpWgY +BAqAAPfABEFSAJ0AK7KdDa4KLuK/DrsBZLB0LSEHH6WBHqVr+UrcBardAQDvnwIO7wKAAA7dAh6m +BC22AC0iAC+2BflgRhWgFAUAJLYDGKVr/6YADzAEJQDutgQu7gKAAATdAi22AegAFQXYYQAACwCK +DK8RDP8IJPadLiIUCe4ILiYU+GAEYVIAnQDAINEPiCJkgQb4YAdhUgCdAIknJ5kU5HDuZPiBAACK +mYzw8/gCHeAupQDj8wEFAdGAAHrBMiigANMP0w9+gSgk8QUroAcPAgDjSQgN3wKAAOuqCATJAQAA +6askfVgEgADqtAAN/nYAAPuPAA6wBQUADVo45qY1HQNuAABgAKcAAPVPAA2//3IAiif6ACId4AwF +APtEABWgDRUAWDIF0qDRDyTxBauro03t3EAt0ASAAP1gBqLiAJ0Aya96wR0ooAApoAd+gRQMmRGp +qu2rMX1YBIAA6rQADf8eAAAKywwLWjhkoEGNoCugBw2NV+7ZsX3fAoAAiKJ2iafAINEPAAAA9U8A +Db//PgD//FANoAoFAPtDABXgDBUA+kBoHaANJQBYPONj/uKK8St8EOv1BCVTwQAA6vYBIckBAAB5 +qyQs8QUepQWqyprxnqCNIMDh5qYCLu4CgAAO3QL9QCYV4AIFANEPHqT9nqCNIMDh5qYCLu4CgAAO +3QL9QCYV4AIFANEPAAAAAAAAAPVvAA0//LIAbBAGGaXW4pJdKXAEgADtRAAJ4ASAAPJgCJKgDwUA +JZJclRArUQTlUgAmI/0AAPJPAAnwCBUA7LsRDhAEgAD1YABF8AA6ALH/8+AHBGIAnQAPVhQOZhEG +tgwmbf/2x+gVpK8BAAChBACJGulmAQ0CCoAA8kAgFaFmnQD+3IwN4AkFAOjBPGewBQAAbUkuBlcU +DncRB7cMJ33/9ufoFeRWAQAAUQQAihrqdwEKggqAAAcHGeVwBmMwBQAAsZkJygxpoYNkwEeHECpx +BCn6/+yqEQ+YBIAAbck08uAIFaVDHQD+kAASNFMBAOBRBAGYBQAA6iIIDCgKgAAEIgzpUgMBI/EA +AIZAAmIBAlICkkASpZMs5RMoIqIv5RIiIqGo+JjQ6OYWL66CgAClItEPGaWMKZKiLOUTL+USqfmZ +0PnCxhXgAgUA0Q8AAGwQDJIdjB2UESIwAyvBEyTAB/JgAEE/+QUA7MEVIRD9AAAJIgGKIxmleuwW +AinABIAA9CAAAjuqAQDqFgwtoRYAAIsh57QABRzBgACqu/t+ACXgBhUAarET+2AABvAPFQD97QAO +9usNAK7drWYpIAMPAgCwmeqRVWsYBIAA2yBtCEiMtLCZ/2CoFeAOFQD3gABD+6wBAPvNAA02zA0A +/UAARTvfAQDqZggEgMmAAA3tOffgAEP2/w0Ar93tZggEy/0AAOu8GCSMH4AAY/+wih0jpRAmpREp +gBNkk1OXUYod+sBgFeAMBQD8oAYVorsdAOsWCCjgBIAAW/9vjh2aE40Y7eUUJRUxgADupK8ZyASA +APJysA3v9fUAGqU9KqKa+UBoHaAPBQBtOSCLI4Miq/t/uwGxMwU8AQ69Ae2GASf4QwAA7IYAJEAh +AACUHvcgBbqiAJ0A9AAiHaAMFQCIJA8CAAgLS/uNAA32iA0A+wAARHAPBQDkjTUEAYmAAAqYC9MP +bdokiyeDJqv7Dr0BnYHvuwZ0yAUAALEz5TwBB/hDAADshgAkQCEAAPcrBg2gDBUAiCUIC0v7jQAN +9ogNAPsAAERwDwUA5I01BAGBgAAKmAtt2iWLKYMoq/vvuwZ0yAUAALEzBTwBDr0B7YYBJ/hDAADs +hgAkQCEAACIsGPc/+tugDBUAhB6PEu4SCC/GwoAAqKiYGYgT6vkRD/+CgAAPZgypiOgWAycT+YAA +80gEBeACpQAC6jaaFwqqCeQWBi1XwoAAmhX0gAzqEgCdABmj+wxIEamILIKe+4ASE6IAnQAogp0D +SQopkr8JiAHoFgQkERmAAIgUiRWNF48djBgbpBYu8RUNzAwsFggN7ggu9RULAIdtmQIIAmGPF9MP +ZPGVFKP1GKRjEqRS/CCIFeAJBQD/4ACHcAUFAPwgCBWgAyUA6hIDL3bCgADu3QgO2ASAAO0WCy5m +goAA/CFGFaXqHQCMHJiwk7KPGZ6zjR2OGq9fjdDktgQlUQEAAPdhBhXgQwUA7LYJIqiBAADzYKYV +4AxlAP9g5hWgAwUA82FmFeAOBQDutgotmASAAOLeAg7uAoAA7rYGLPAEgADs3QIEyBEAAP1gJhXg +DFUAbcol98HSDaAEBQCE8f3gCBXgABoAwNCUPe02DCdwBQAA7/wIIZghAACMGxSjwPtsABXgAyUA +/X/65SXqHQCNF8DgDt01iRYao6qPGOgSBSzPAoAAqpnolp0ngEmAAMAg0Q8AihCLEYIU7dgJDVaC +gACasIsdC4gR+EAAQTAJBQD5YqQd4FoVACq0BdEPAAAAAAAA//HIDaAGBQAVo5KOWPfABriSAJ0A +iBYZo5HsEgUsRwKAAKmIK4Ke/WAGq6IAnQCJFiiCnQOZCimSvwmIAWSAwbDqmljoFgQscnYAAGAA +ZQD3AMYV7/KiAP/9WA2gDQUA8MEQDeAJBQAapHKUHvtTSBWv9BIAGqRu+1NIFa/2sgApkmSKEoYd +9mDIFeAMBQCcUJdRLGIWCroMmhgqYRKcECNhEOZhES1WgoAAqpn4IGYV7/HiAIIU0Q8AAAAAAAAA +//coDaAIBQCOHYIQ+CAoFaAPBQD/wqQd4F0VAO3kBSkWgoAAkoDRD8CgWW9kjlj53/kQkgCdAP/9 +JA2gCAUAAMCAAuk0+KEGFe/87gAAAABsEBYZo7wnkowiFhsmchcichn05IgV54UBACpyGCoWF/UA +TIESAJ0AjDcryRQjFhr7YFDgEgCdAIPJ3TAuEhsu4hAv4AUoCpX54FO8IgCdAC8SG4/y++BRCJIA +nQAp4hMo4hQJiAz5AErwkgCdACoSGoqs9aBwFaALJQDzQBCv3//1AKTTgz8pEhoPKAMIMwEjlhQu +0EQo0EXq0EYvdgKAAAjuAujQRy92AoAACu4CCO4RCO4Co+4jEheubuAwBAdz/QAADg4ZDg5Ps+j/ +JWQdoYgNAPklRB2vo0UA8uBOzGIAnQAoEhop+rT5AE5cYgCdAPvAThgSAJ0AsOoK7AF64BptCAyw +z+/MAQ5wBIAAf+AH//+wDaALJQAP7hEZpBwcpBkTpBruo9cXUA0AAP9ILAXiqh0AA6MBDq4BD68B +/UAEBjAIFQAMjDkPjzkOjjkDgzkJqgH7DQANMAgFANmA6rk5DFAEgAD4ZgAJ8BkFAA6aOfkAaB3g +DoUAD+k5+yYADLAKRQAMqDkscigJiAIIMwLzgEkb4gCdACpyKQM+DwrqCoqgLKECDu4Rnhb7gEw4 +EgCdAJ0VWCvHjBYrcimsu4y26bIBLmaCgAAMrCismSwSGo0VH6MV6cYTKcYCgADusgYtZAKAAAjM +Aou0Cu4oD8wCrrv6IIYV4AkFACgSGgyfAg8OR++GEigECoAA+8BIcJIAnQAcozcrEhuKgCzCcyuy +EAyqDPtuEBXm6h0A9cBHr1X6AQDsEgQlwBkAAACBBO0WBS84CoAA5/cCDkaCgAAIdwKXEewWAi1o +BIAA+iAGFeAKVQD9R2oFoDsFAFlzLioSGomsjRX3QcYV4AslAPsmAAzwDBUADJkC+UGGFe//9QAu +Ehou4SrtFgUnJjmAACUWGSgSGhmju6TbDyoDmhv6IgYV4AMFAJMcKRYVE6O2GaK5mR4oghPoFhEl +wQEAAOgWDSXY4QAA+iEGFeAF9gAALBIYrf39gBmtYgCdAGSzLS4SEI8aiO8o9n+O7i72fi8SGygS +E4wfKRIRGqMM+4AGFaWIHQD5gEYVpZkdAJnDj/AuEhKNFeoSDi/+AoAAD+4CnsH6AAoVoAkFAO6i +khZgQQAAsZnsgx4Mj+gAAI8fKxIULBIT/+DGFaAEBQDs9gclhcmAAB+igIcfHqLk/oACAnALRQDs +fAgLkASAAOd8OCZg4QAAKhIbDwIAiqAOqgKaKCoSGikSGSiiDgmIASgmCSXQRCjQRenQRiquAoAA +CFUC6NBHKq4CgAAJVQLjRAgKrgKAAPimAAqwCQUA9EFGFeAIBQDqohQrqASAAOkmDSO5AQAA+kFm +FaAJBQDoJgwhEQEAAOQGAATIBQAA5YMeDK/QAADUsA9EC+8CAAXYEQAA/P/7fSIAnQAoEhsogAcI +CEErEhovEhQZokgusSoMiBGpiA/uDC8SEv8TphXv7gEA7rUqJxjhgAAqEhQpEhEKqhGqmSkWES8S +GxyiO//g8BXgBEUABOg2KBYU/RAAFDH/AQDoFhMv9wKAAKzu6+KeJEC9AAAISBQoFhL5YC+rogCd +ABiiLCLinQj4CiiCvwgiAWQlrioSGiwSEC4SFC+hK5If7MAzL3eCgAAP7jYfozaKrC4WGP/AAgdw +DwUA/8/mFeALBQAr5n7xQAXHkgCdACoSEJ4aiBuJr48ciq75AAQEcAkVAAiYOQr/AQ+fOQj/AuwW +CSeQ8YAAGqJyLBIQiBgvEhUuEhoIIIgPBIou4hQYox2LzQ5uDCmCfwvuNg67DAqZASmGf+vGDSWA +wYAAj8+Mzq/vfvsCLMwBLhIQn+8s5g4uEhqM7J0V+iIIFe//1QAPzAGc7Iu9KhIXKBIYprnpnP8t +AgqAAPkf4BWhmZ0ACYg28RywDeAPBQDwANQNoA0VACsSEJ4ai70qEhcoEhimuemc/y0CCoAA/CEm +FaGZnQD5AQAMcA8FAO0WBSQMYYAAwNAuEhAqEhiMGBKh5f1PAA1wDwUA6ao2DkAEgAAIQIit9QJV +C6NVBQiKi+2H74Tu62U2B/gFAADluwwOQASAAOvmDSWAeYAAp1eX73V7AbFElO56+cZj/MGIGYod ++iPGFaAJBQDpFh0kQ/0AAOgWFiwBfgAAY/zBACkSHSsSHi4SHOoSFiTIBQAA6RYdJeBhAAAOyzkr +Fh77P+T0IgCdACsSHSwSHvgi6BXguwEAKxYcDLsKgrAuEhUvEhjmKAgMggqAAOUSHCRD/QAA/e8A +D/GInQD54QAPsAkFAOxVCweCmYAALxYfrfruqgsC4CEAAO7eCw5ABIAA6GYADyAEgAAEDIqCsOJk +NgdwIQAA5CIMDkAEgADitgAhAJmAAIdTj1KnR5dTdHsBsf+fUnrpyC8SHygSGA/5Oand/R/51WIA +nQBkLzIrEh4qEhwLqguLGoyjLLZ/iqL7b8YVr+96ACsSEIu9KhIXLBIYprnpnP8tAgqAAAkJGfmB +AA5wDwUA7RYFLnPmAAD/7jwNoA0FAP/uHA2gDRUAAAAAAAAtEhsu0hQt0hMcoowO3Qwu3UB+wwUe +oop96xL6I2gVoAsFAPwAAh2gDSUAW/v7JRIbj1IlUAfz7bwN4VUBABqhagxZEaqZKJKe9wAZ8tIA +nQAYoWQkkp0IWAoogr8IRAFkQycpEhsnkhIWoXSKmfkhSBXgd0EABnYKJmKQ0w/qmQwDWMEAAPsg +ERviAJ0AKxIbK7AWIwr/c7EQKhIb+0DwFaAMBQBYN1RkovcuEhsp4QcboVkJCUrqoYAczwKAAAuZ +AplAieD1Qq4F4EgFAPSARhXgC1UA6EYDLJYCgAALIgKSQS/iEhyhdR2hdfzwABKy/1EA6OEaL/2C +gAAPVQINVQL9JgAMsSUxAKoi4iCALEICgACYRplEAmIM5UYHIRDBAACSRY/pLeIVpv/m3QgH+MEA +AO/mCSbowQAA/cKmFe/sBQD8gA5sIgCdAIkVLEwg+SYAFeAKNQBtqgUJAIYMAmEqEhvAkSlEICii +Fi4SGviH5h2oiB0A+IfGHaiIHQD4h6YdqIgdAChEPI/uLaIQ/oZmHej/HQD+hkYd6P8dAP6GJh3o +/x0AL0Qw/aIoFeAIBQAoRCP8h2Yd4AkFAPiERh3o/R0A/odGHej/HQD+hyYd6P8dAC9EOCygB/3B +5hXhzAEAHaEADMwRrcwrxp0roBZzsQ0qEhv7QPAVoDwFAFg20isSGmSwc4m4ZJBuLRIb82EoFaAP +BQCfuy3SEJkgiLgs0hEu0hSSgZ+477YJJmAFAADs1hEncAUAAP+ihhWvmpUA+2CmHaACBQDRDwCT +FSoSG1j1v40VmhfqFhotAtYAAC4KjuoSGy7gBIAA6xIaL2gEgABYNorAINEPKhIbKqIQKaIRK6IU +6BIaJMgFAADpphEl2AUAAPtChhXvkpUA8wCmHaACBQDRDwAAKhIbWDbxZK3XYABbiqfbMOqsICpg +BIAAWDJTjBeOwOuhCC92AoAADk4C7qYBLWgEgAD7gQQd79fSAAAAAAD/15gNoAMFACoSGyugB/og +AAXwDBUA7RISJdhhAABYOJ4tEhosEhEs1hMuEhqO6MjtwCDRDwAAAP/oaA2gAgUAIhIbKRIaii8b +ocTrlgsk+IEAAO+mACFA4QAAmJiamf5B5hXgAgUA0Q/AwP/c+A2gGWUAKRIbHKG5KBIajZApkhiP +4IiA/y6QFaAKVQD4IAYVoDsFAFlxEMAg0Q8AAAAA6hIbIthhAAD8ACIdoA1VAFg4eWP/cwAA6hIb +IthJAAD8ACIdoA0FAFg4c2P/WwAA/hGiHa/6ngD8IIgVr/f1APwgphXv3IYAwMD/2vwNoAklAAAA +bBA6KCAEIhZnIxZV5RZUJDmhgAD5AC3DkBKFAPcALYISAJ0AjTAmEmcjFlD+wPAVp90BAO0WUSbp +rQAA9isGFaTdHQD8KkYV4e4BAC4WT/UAMUoSAJ0AKBJYKIAFxK77ACtMIgCdACkKUXmBKysKVHuB +JSoSWCsSUOwcFCDoYQAAW/vS+0CYsBIAnQAqElAoEliJFZmnKIAFxbT7AA9kYgCdACUSWB+hUB2h +ThOhC/IiZhXgCQUAmRv4JeYV4AY1AJYe9icGFaAIBQAoFgr4JcYVoDz1ACwWKPwlRhWv9PUAJBYf +JBYl/CMmFeAHBQD2JkYV4BsFACsWLP4i5hXgChUA+iEGFaAftQAvFhT6KggV7AoFACoWKSoWKyoW +Mfwp6BXgByUA9iGmFeAEBQAkFgwkFhAkFhIkFhb0IwYVoBzlACwWGiQWHPQjxhWgB2UAJxY1JBY0 +JBY2LRZTJBY69CeGFaP49QAoFjAoFj0WoGQlUQgZoFwpFiQlFjv2IiYVoBqlAPokBhWgGBUAKBYm +/2DIFaAG9QAmFjcrsgfrFh0vegKAAP4kZhXo7h0ALhYi9aAj2hIAnQAWoBQM0xEGMwgsMp4tCht9 +wxEYoA4mElMkMp0IZgomYr8GRAH6gIjgEgCdABmgMNhA+AAIHeAZtQBtmgIIAmElElgWoBCDUB+g +EeVRByDIgQAA5jMCCd4CgAD3QBIFoAqVAPVAAALwDDUA7LsCCq8CgADmVQIKQASAAOagAx2gBIAA +baoslYCUgZ+CkoOThIqUi5WMko2Q7pIDJMhhAACahpuHnIieiQbaAuqGBSRAwQAAKhJTG5/m6BJY +LVcCgAD7QABFcBm1APlTphXgVkUAJoQFKxJY0w/TDyuwFiwK/9MPfLEmLBJUKhJYDwIA/OAABjAN +FQDqoEEmY/kAAAzcOVg11/tAfyASAJ0ALRJPLRZT9aAYkhIAnQAWn80M0xGmMyYSUi8ynvfggIOi +AJ0AGJ/HJDKdCNYKJmK/BkQB+oB8CBIAnQAZn+kJAIcpElLYQG2ZAggCYSsSVS0SWP1BUAWgBwUA +JxZE/aVEHaAu5QD/quYdoA9lAP+rhh3gBoUAJtRkK7AV+s4ADfAKNQD7QHvo4gCdACoSWOygtRDY +BwAA7BZEJdhBAAD7TKAVoAw1AFloyCkSUigSWCwSUf8/tgWgTyUA/w6GHeADBQAjhhwtgQcuhTcj +hTUen6nzDSYd6t0BAOOEaC7vAoAADt0CnUCKgBufputGAiZhMQAA7EYDLVYCgAAKmQKZQSOAQSWB +Ih+gfQM2CeiABSs3AoAApv//7+gV4F0FAH2BDsRudoEJxXb3AHvlYgCdABagWS4SURqgcv7AAAPw +jzkA/UDgBaK/QQD8gOYVoA0FAPyBRB3tlR0A5JkRDd4CgADrdwIMRUKAAAmIAusSWCrNAoAACpkC +6UYGJ3DxAAAuFksuRQvodwIJlAKAAAciAgYiAuJGBCX5IQAA7wMeAnCBAAAOAmH9ZUQVoA4FAP6G +Jh2gDwUA/oZGHeACBQDyhmYdoAYFAPaGhh2gBwUA9oamHeAJBQD4huYd4AoFAPqFxh2gCAUAKEQ2 +LEQt/IXmHeANBQD8hgYd6MwdACxELCiwV/qHgBWgDEUA+IdmHaiIHQD4h0YdqIgdAPiHJh2oiB0A +6EQ4JdlxAABZaGcoElgPAgDvTEAkQYEAAAggiA8EiggAiA8AiiwSWCzCHCsSUPyKZh2ozB0A/IpG +HajMHQD8iiYdqMwdAOxEUCJRUQAA7BJRJdjBAABZaFMvElErElivTy6wdC70WC0SUx6fLuwSUi7v +AoAArt0s1p0rsBYtCv99sQsqElgsEksqoEFYNP4qElgoElDElSmkBSiAEmSARRSgOYOnBIQo6kQA +AZhBAABbvfMvEljtn1EaYASAAO6gMx1YBIAA7/IAKdAEgABbvaciEliCJyIsENogW72E9UBq4JIA +nQDAINEPwWl4YvbzAAtZogCdAGP/6xufB4u492Bu8JIAnQAjElMWnwbtElIpnwKAAKYzLDKe/YBu +8+IAnQAYnv8mElMkMp0IZgomYr8GRAH6gG4wEgCdABqe9rC5mahlTNJgDEwbnvOLuPdgbeCSAJ0A +IxJTFp7yDDMRpjMsMp7B2/2AbfPiAJ0AGJ7sJhJTJDKdCGYKJmK/BkQB+oBtMBIAnQAanuOwuZmo +ZUtrYAyAAO9UAAtQBIAA7DQAANhBAAD8YQQV4A4FAFgWjy0KiP1AbPRiAJ0AjhRk7ySI54uI9QKk +Fe/GBQDpggskaIEAAAbWAaZV5hZGIqkBAAD7IG0EYgCdACeJFAxMEavPrHcnhRT+oGzb4gCdACgS +VcmAyE7ZsG1JBQgAhgkCYY/QDP8I9eBupGIAnQCf0Ing6BJnLM4CgAAJSQKZsSiABfoqBhXgNuUA +9wBpXCIAnQDEovsAaQwiAJ0Aw839AG1VIgCdAP4rBhWv5F4AE5/MIzJ6LhJUIjIXJzIZJTIkLzIY +/ixmFefuAQD1wE25EgCdACgSVYmHJpkUKBZm+sBSYBIAnQCMmecWSS5oBIAAKBJnKIIQKYAFKgqV ++yBVDCIAnQApEmeJkvsgUliSAJ0AK4ITKoIUC6oM+UBMEJIAnQAvEmaP/PWgcBWgDiUA8+AQX9/8 +9QArEkmk2oqvKBJmDLsDC6oBKoYUKdBEK9BF79BGLM4CgAALmQLr0EcszgKAAA+ZAgiZEQuZAqqZ +KhJjqSngoAQEy/0AAAkJGQkJT7OX+QVkHeF3DQD3BUQd76ZFAPZgT/wiAJ0ALPq0/QBPpCIAnQD7 +IE9gEgCdALCfD5gBf5APsIbmiAEMSASAAHaY8g+ZERuffB+feBifeeafNhTgDQAA9z7qBeLMHQAI +yAEGxgEHxwH/gAQH8AoVAA+vOQenOQamOQioOQvMAf1NAA4wCgUA26Ds6zkNYASAAPsGAAxwGwUA +Brw5+0BoHeAGhQAHazknMij9ZgANsAxFAA/KOQuqAgqIAvjgSruiAJ0AKjIpCIcPCnoKiqAvoQLo +Fkgrv4KAAPvgVQgSAJ0ALRZHWCclKzIpp7uItuayASxGgoAACKgoLRJHqGYoEmYvEkgennPmhhMt +TAKAAOyyBi/+AoAAD5kCi7QKzCgOmQKsu/oophXgCAUALxJmCY4C/+JGFafOAQD7gFFAkgCdABqf +RykSZ4jwKqJhKZIQCogM+S4QFeboHQD1wFB/VfgBAOsSRSTQGQAAAKEE7RZHLzgKgADn9wIN1oKA +AAp3ApkQlxEcnxf6IEYV4ApVAP0AaB3gOwUAWW6NLhJmi+wtEkf3wcYV4A8lAP9mAA3wDxUAD7sC ++8GGFe/89QAoEmYogSpkhN4lFmUmEkkvEmYTnxqk2vk8PAXgDgUALhZXKRZaKhZcIxZh458VFVkB +AADrFlklUOEAACoWTC/yEwxmAyYWVv4rphXgBfoAACcSZK1N/OAaVWIAnQBks0IoElwpEk6KjyqW +f4iOKJZ+LxJnJhJfLBJbKBJdGZ5r+YAGFeVmHQD3gEYVpYgdAJjDj/AuEl4tEkfrElov/gKAAA/u +Av+AJhWgCQUA6wAVBmBBAACxmeyDHgyP6AAAKxJfLBJbKhJgHp3q/4DGFaAFBQDrxgclBamAABme +QxSd3OvMCA54BIAA+eBoHaALRQDv/DgmYOEAAC4SZy7iACcSZSoSZgnuAi6GCCaiDgdmASaGCS7Q +RCbQRefQRi92AoAABu4C5tBHL3YCgAAH7gIEVwvjdwgPdgKAAAbuAi6GCvtCiBWgDgUA/wGmFaAF +BQD7AWYVoAoFAOqGDC/wBIAA50YAAqgFAADuix4Kr9AAACiMQO/8QC2oBIAA7wIABdgRAAD9//uN +IgCdACoSZyqgBwoKQS8SZikSYBudpijxKgyqEauqCYgMKRJe+VOmFe+IAQDo9SokGYmAACwSYCsS +XQrMEay7KxZdKRJnGp2a+SDwFeAMRQAMjzYvFmD98AAXsZkBAO8WXyzHAoAAqojugp4n+L0AAA9P +FC8WXv/AMnviAJ0AGp2KKIKdCpoKKqK/CogBZIXgKxJmKRJcLBJgLrErKBZb6ZAzLmeCgAAOzDYe +npSLvCwWZP+AAgYwDwUA/4/mFeAOBQAuxn7xYAXvkgCdAC8SXCwWTisSVo7/KhJXj/7/YAQFsA4V +AAvrOQ+qAQrqOQuqAukWTSURoYAAGZ3QJhJcLxJMLhJhLBJmD2CIDgyKLMIUH557hG0MLAwo8n8E +zDYMRAwJiAEo9n/kZg0iALGAAIRviW6kxHxLAbGZKhJclK+Zri4SZozs+iuIFe//1QAPzAGc7Iu9 +JhJjLBJkorXlXP8rAgqAAP2f4BWhVZ0A9YEADnAEBQDtFkcmDvmAAPAA8A2gDRUAKxJcJhJjDwIA +i73mEmQrAgqAAAK1COwWTiKr/QAA+CmmFeFVnQD0wQALcAQFAO0WRyMMyYAAwNApElwqEmQsEkwY +nUD9TwANcAQFAOWqNg54BIAAD4CIrU4I7guj7g4QiiuSDSWSDgsuNu67DAIgBQAA65YNJYCpgAAv +kg8P7wgvlg9++wIlXAGVnupJxX54BIAAY/ysJhJNKRJZ+C1GFeAIBQDoFmkjM/0AAOYWYisBfgAA +Y/yrACcSaSkSaisSaOgSYiO4BQAA5xZpJNBhAAALqTkpFmr4/+REIgCdACsSaSQSZCwSavgsaBXg +uwEAKxZoDLsKiLDpEmEsggqAAC4SaPMAAEMwBwUA7UQMAzP9AAD9wAIHMWadAOZENgdgIQAA5hJk +IgJ5gAAkFmutSgmqC+nZCw4oBIAA5aYADPgEgAAPFIqIsAglNuWIDATIIQAA6LYAJAChgACP44Ti +r191+wIkTAGf45Ti6pnMfigEgAAkEmsERzmn3fzf+c1iAJ0AZI8xKRJqKBJoCYgLKRJOioMqln+I +gvkvxhWv7xoAAAArElyLvSYSYyoSZKK15Vz/KwIKgAAFBRn1QQANcAQFAO0WRy1zfgAA/+3QDaAN +BQD/7bANoA0VACkSZyqSFCmSExyd5QqZDO0WRyTpAwAAfcMFGp3ieasS+izoFaALBQD8AAIdoA0l +AFv3UyUSZ4tSJVAH8288DeFVAQAanMIMWRGqmSaSnvbAGrLSAJ0AFpy8JJKdBlYKJmK/BkQBZEM/ +JxJnI3ISEpzMiHn24UgV4DNBAAIyCiIikNMP6HcMAVjBAAD64BGb4gCdACsSZyuwFigK/3ixECoS +Z/tA8BWgDAUAWDKsZKNvLRJnJtEHF5yxBgZK6ZzYGzcCgAAHZgKWQIfQ/zleBeAIVQD+gEYV4EUF +AOVGAyv2AoAACO4CnkEs0hIanM0bnM33o0QVosxRAOo1EQ5lgoAADFUCC1UC+uYAC7H1MQCp/+/w +gCsyAoAAlkaXRA8vDOVGByf4wQAAn0Uu0gks0hUC7gjizAgHcMEAAO7WCSZgwQAA/aKmFa/rBQD6 +gA8cYgCdACkSRyxMIPkmABXgCjUAbaoFCSCGDAJjKxJnwHEnRCAmshYuEmb2h+YdqGYdAPaHxh2o +Zh0A9oemHahmHQAmRDwv4g4tshD+hmYd6P8dAP6GRh3o/x0A/oYmHej/HQAvRDD9oigV4AYFACZE +I/yHZh3gBwUA9oRGHej9HQD+h0Yd6P8dAP6HJh3o/x0AL0Q4LLAH/cHmFeHMAQAdnFcMzBENzAgo +xp0rsBYqCv96sQ0qEmf7QPAVoDwFAFgyKCsSZmSwfYi4ZIB4LBJn/2EoFeAOBQCeuyzCEJjwgrjr +whEt0ASAAC3CFJ8hnqjupgkl2AUAAOvGESboBQAA/YKGFe+ZlQD5QKYd4AIFANEPKhJnLRJVLRZH +WPETLRJHKhZK6hZmLQLGAAAoCo7qEmcu4ASAAOsSZixoBIAAWDHdwCDRDygSZyiCECaCESmCFOIS +ZiMwBQAA5oYRJMgFAAD5AoYV75+VAP5Aph3gAgUA0Q8qEmdYMkVkrcdgAGOKpysSVdMP6qwgKmAE +gABYLaYsEkqOwCcWSeuhCC92AoAADk4C7qYBLWgEgAD7gQQd79cuAAAAAAAA/9bYDaAMBQAqEmcr +oAf6IAAF8AwVAO0SXiXYYQAAWDPwLRJmLBJdLNYTLhJmjuj7356okgCdACISZygSZokvGp0Y6oYL +JHiBAADvlgAhMOEAAJaImYn+QeYV4AIFANEP/+cADaAIBQDAkP/cQA2gGGUAAC4SZxydCyYSZo3g +LuIYj4CGYP/OkBWgClUA9iAGFaA7BQBZbGLAINEPAAAAAOoSZyLYYQAA/AAiHaANVQBYM8tj/3MA +AC8SWI/y+/+aOJIAnQArEk/6KwgVoAwVAO0SUiXYYQAAWDPBwCDRDwAsEliMwvufmPiSAJ0AKhJY +K6BBwMH7YkAV4A0FAFgzuMAg0Q8sElUswBT9rAYdr8H2AAAAAAAAAOoSZyLYSQAA/AAiHaANBQBY +M61j/vsAAP+//A2gBAUALRJYjdL7v5Y4kgCdACsST/orCBWgDBUA+2MAFeAdtQBYM6HAINEPANog +W7pIEpySC6YR5iIIBQeJgAAM6jArIoWLsLCi7LsICVAEgABZbaAcnMoqwp76QAQA0AsVAAC7Gguq +AirGnllt1cAg0Q8AAC0SWMXC/aCmHa/B/gAAAAD4EaIdr/bmAPooqBXv9/UA/CjmFe/YHgDAkP/W +oA2gCCUALhJYjuL735EokgCdACsST/orCBWgDBUA+2MAFeBNBQBYM3nAINEPAADAoFlnlxubjIu4 ++X+QwJIAnQD/yTANoAQFAADAQB2bhsDKDLw0/aEGFa/I5gDaQFlnjBubgIu4+X+R0JIAnQD/yawN +oAQFAMBAHZt7wMoMvDT9oQYVr8lmAAAAAAD6AOIdoAsVAFgrRS0if/xQZhXgAgUA0Q8qEmfrElUq +YASAAFknV8Ag0Q8A2uD8KogV4I9FAO+1CCpgBIAAWSY3wCDRDwAAAAD7IGgd4AYFAPcBZhWvyjoA +KBJV61oMBAHhgAAKQxTpPAgsOASAAOlJNg3ABIAAbZkFB0CGCAJlJxJVKBJGA08M6ncIBEEBAADT +D235BQdghggCZykSRgrPDK+ZKZxA+aAGFe/I4gAAACYSRiZsQPegBhWvyKIA2uD8KogV4IhVAOi1 +CCpgBIAAWSYSwCDRDwBsEAgdnF4PAgAo0X7EZeWbaxEhlQAA8QAND5An5QC4GvqAaB3gDDUAWWRZ +jBIMjBScEoo2BasBmxMpIAUsMB4rMCJ2mUr3gArcYD1lAP2ACv1iAJ0ALiBoLyE1+8AKjWIAnQAs +MRCx//+ACiViAJ0ALCU16yRoKlAEgADoMgkg2DEAAPhDhhWgDDUAWWRBijbzQAhiEgCdABmcOvlA +CAzgSyUAKjAf+0AHtWIAnQAsIAX3gAddIgCdAIknKiAHLZkUiZkoIQjxrWAN4aoBAB6bD2SQywyr +Ea67LbKeHJsL96ASKlIAnQArsp0MrQot0r8UmxYVm08NuwHtm0wVkVmAACohByyQB5yRLCAHCgpK +/0AAFTA8EQD0cAARscwBAOOqAg58AoAAD4gCBYgCDaoCmrCPIJi09WBGFaAzBQDzYGYV4A0FAJ21 +nbeVtv3gABewBUUABf8C77YBJdCBAAAJIIYKAmMJAIYKAmGJJwzKEf9AAEU/yAUA5aadJMiBAAAI +mAHtlQQkQQEAAJiQ+SAmFaBfJQDvJAUukASAANEPAMAg0Q8sMRD6ZFAV7/sWAADFtfpAph3v+2oA +AI4nL+kUZPFPiOmYFBqbCCkhN/sgCmQiAJ0AjTbaEPqAaB3n3cEA/CCmFeAMNQBZY+mKEAqNFJ0Q +jzaOFQX/AZ8RKyAF+cAJ6NIAnQB2uZUsMB53wQfzQAlh0gCdAHveGSggdMSSeYgRKiBXd6EWLiBo +LTAiftENYAEKLyBX9+AIJWIAnQCOLy0xC4oUrt2dLyigE2SAroqm+6AFNSIAnQDE+C8kBfeABSRg +S4UAw4b5gAaVIgCdACowIikgaPsgBiUiAJ0ALSE1LDEQsd39gAWlYgCdAB2bbiwlNR6buSokaIoR +LuF+DawB88AGr5IAnQD9QASGYgCdAB+azS0hNywxCn/ZfCwlN3yneXmudn+uc3qucHuubXymBygw +H8SSeYBitBv6YSgVoAw1AOomHCpQBIAAWWOowCDRDwAAAAAAAAB9oz/3n/slYgCdACwxEPpkUBWv +/gYAwLD6IIYV7/q6ACwxCvxG5B2v+sYAjSLK2sTp/kCmHaACBQDRDwAAAAAAfNGC93/y5SIAnQDF +9f5Aph3gAgUA0Q8AAAAA+0MAFeAMFQDtJAAJUASAAFgyT8Tp/kCmHaACBQDRDwAAAAAAABibMv2A +aB3gCRUADJ05eKgRH5qWLiE2LDEKf+EdKCE3fImf/AAiHaAJBQANyThlnxRj/44AAAAAAAAA/EbE +Ha//kgBsEATAINEPAGwQEiciENMPDwIA/uBoHaeFAQD5ADVhUgCdANogWO8k5aQABTIhgACKpwM7 +AuqsICpgBIAAWCvOjVDumz0e/gKAAA9PAp+hLuJ/JnBw7t0MDRgEgAD4wMAVpu0dAPXANP9V/QEA +AIEEAOQaBPQClhD0ICYVoApVAP02XgWv+fUA+CBGFeA7BQBZaqWUXi4iECoxCCpVCCvgBSwKlf1g +McwiAJ0AjSJl03Mo4hMv4hQI/wz54C2QkAolAC0iEixwby4gB/0OAAzw3UEA6ssBBngogAAKnwEP +3QIZmhoODkEM6hGpqiiinhyaFi4WEfcAMM1SAJ0AJqKdDOgKKIK/FJomCGYB5NQKAzARgAAkQpAr +Fhbks5piIMEAACwwRCgwRS8iF+kwRi5mAoAACMwC6DBHLmYCgAAJzAIIzBEIzAIPzDakxIgpjyoI +/wz14BVLogCdACkgFvgh5hXg+vUAepFpKiAHH5rJ+iHGFaMoBQAIqh2aGa+qKKK++yAEANAPFQAA +/xr/ACfI4gCdAIgeGZq/DIgRqYiIgPngBAQwCRUACJg5KaK/mB15+Af6IagVoABmACqiwcCR++AE +BTAIBQAKmDiKHQiqAWSk3ik8MOkLHgNQgQAACgJlCSCGCgJjCQCGCgJhwJEpZCAoIhb4x+YdqIgd +APjHxh2oiB0A+MemHaiIHQAoZDyPXv7GZh3o/x0A/sZGHej/HQD+xiYd6P8dAC9kMClyERiZ1igW +EPjHZh3oqR0A+sdGHaiqHQD6xyYdqKodACpkOPih5hXgCgUA6lYXJY6JgAAqZCP8xOYdqPwdAP7E +xh3o/x0A/sSmHej/HQAvZCTvMhkjSYEAAOkWDCNBQQAA6BYEIcnBAADqZCInkSmAACpWFg/PNu9m +FSHBoQAA6KYAA1FhAAAKFIooMhkPzAz/DwAMcAoFAOg2GSQT8YAAKTIbLDIaqfmZGn+bAbHMLDYa +jxovNhsjCgAvEhAZmaQoIQcDPAklFhf5QAAEMGUFAOXMCgxHAoAACYgCGZpZmGD0QAgV4EgFAJhj +C585KBIRGZoh72YCJmA9AAD+Q0QV5MwdAOmICgrOAoAACckCmWEZmhoogp/piAEOzoKAAAmIAuma +nR/6AoAAn2YfmbAJiAL4wOYVoYgxAKj/GJmtL/CACFUCD08Mn2XlZgQhmAUAAOUSFyWAeYAAGZqQ +ixQJOQIptgCJKSsiFaSZpLsrJhWZKRiZagzvEaj/LPadKyAWLQr/fbEM6iAHKmAEgABYLzzAoC1y +ES5yFIlY71IJJugFAADtdhEncAUAAP7ihhWvnJUA7FQFJIIhgACaW5nwglifIZpY+qEmFaACBQDR +DwAALhYTLRYULBYV6iQAClgEgABYL3IrEhYsEhUtEhTuEhMladGAAIhYZIIgwCDRD9OgZb60GJoP +KSEHIxIQHJlQCQlK64M5DM8CgAAMmQKZYByZ1SkSEYgg8sBGFeBPBQCfYyMhGgyZCv0AABewDFUA +DP8Cn2Efmc0pkp8IMxCTZg+ZAe+aUB6egoAAA5kCE5ljD5kC+MDmFeGZMQCpMyMwgBmZYANDDAmI +Aphk8sCmFe/7kgAAAAAAAP/yQA2gDAUAL1IWA/8KL/IcZPB7D882L2YVKFIWCYgL4hYYJEAhAADo +xgADEWEAAAIYiihSFgmICoKADyIMkoAiUhYpFhIJKAqIgA/MDJIb4hIYJAERgACMGwnMC4nDg8Kp ++ZkVf5sBsTOTwogV+YBmFa/27gCPG9MPZPELKFIX6lYWJEAFAADoVhckyGEAAP+OQA3gAwUAL1IW +nxuPHIgbJRYaIxYZCYUKhVDyIwYVoDMBAA8yCgXFNpUgIhIaIiIWDz8LJRYbCSIL5RIaIRAhAADi +BgAH+CEAAA8AiiVSFpMYLxIbCVUKg1ApFhIiEhoPMwyTUCgiFiMSGZgbCYgKiIAPzAziEhgpKASA +AOWAU2GYBQAAiBsiFhjiEgwkAaGAAC9SF/qixhWgCAUA6BYLJ/gFAADvVhckyGEAAI8YKCwYD4I5 +khziEhgueo4AAGP+JMDx/qLGFeAIFQD4IWYVr/92AIwbCcwLiMOJwpkWqPiYF3+LA7GfnxaIFo8X +n8P5gEYVr/fOAMCB+KLGFa/8BgCLLxyZ3+xWCyLIgQAA6bYAIVDhAACaWJtZ+EHmFeACBQDRDwAA +6iQACtgEgAD8YGgdoI3lAFguYMAg0Q8AAAmYCRqYt4kZ6pkIDEcCgACpiCiNCimBwi+BwSiBxQn/ +Afn/2RKgChUAKxIR+kBoHaAMFQD7YkAV4A0FAFgwiGP9WYk3LJkU5TQABgLhgADzISgV7+cKAAAc +mbuNICkiGIhQj+D/LpAVoApVAPggBhWgOwUAWWkKwCDRDwAAAAD/5agNr/T1AAAAKxIR+kBoHaAM +FQD7YwAV4A3FAFgwcGP8+QAAAAAA/+WoDaADBQBsEBYqIATrmJIaQASAAA8CAOsWGCUbMYAA+UAa +s5AWhQD3QBpyEgCdAIwwLSAHmB38IAAG98wBAOwWFiZhrQAA/CHGFeTMHQDsFhcpoASAAPVATiIS +AJ0AKiAFJgpO90AYpCIAnQAnCkf3QFpcYgCdACsgFigK/3ixHfpIMBWnxQEA/Z/AFaAJFQAMnDlY +LmX7QFtAEgCdAI0e1dD1oEiiEgCdABmYXO8SFy7HAoAAqYgugp7/wFub4gCdABmYVSiCnQnZCimS +vyQWEQmIAdSA+wBYKBIAnQAamHYpEhfqAAUKQASAAG2ZAggCYf0ybAXgBwUAJxYF/EVEHeAu5QD+ +SuYdoA9lAP5Lhh3gBoUAJiRkLDAV/M4ADjALNQD9YFf4ogCdACosZeiZQxDYUQAA+CCmFaAMNQBZ +YVcpEhEsEhgtEhYuIQf/MNQF4EYlAPZOhh2gCAUAKCYcKCU1LyU3H5g5+E0mHaruAQDoJGgvdwKA +AA/uAp5AiyAqEhfsRgIm6TEAAO1GAy3eAoAAC6oCmkEpkBP7IENgEgCdAC8gQSMhIhqZCg/7Cegg +BS3fAoAAq6r7T+gVoFkFAHmBDsTOfIEJxdb9AFWlYgCdABiY5R2ZACcSFvrAAASy6kEA9zH6BaAL +BQD6gUQd7cMdAOZGBy5lAoAA/cAAFzCqOQDumQINVUKAAOyqAgO48QAAJ0UL6pkCCeUCgAANzALs +RgYvtAKAAAlmAghmAuZGBCF5IQAA7wMeAnCBAAAOAmH+RUQV4AYFACZEMSZEMvaGZh2gCAUAKEQ1 +KEQ2+IbmHaAJBQApRC76heYd4AwFACxEMP6Fph3gDQUA/IaGHej/HQAvRCwuIFfqTDwhWXEAAP6H +Zh2o7h0A/odGHajuHQD+hyYdqO4dAP6HBh2gDEUAWWD6KkxA5xYHIUGBAAAIIIgKBIoIAIgKAIos +IhwrEhH8imYdqMwdAPyKRh2ozB0A/IomHajMHQDsRFAiUVEAAOwSFiXYwQAAWWDoKBIWJyB0qEgn +hFgWl8TuEhcq/wKAAKb/LvadKyAWLQr/fbEHKiBBjBdYLZUoEhHElikkBSiAEg8CAGSAQBSY0IMn +BIQo6kQAAZhBAABbtortl+kaYASAAO6Yyx1YBIAA7yIAKdAEgABbtj+CJyIsENogW7YdaaEFYAjB +dqEEwCDRDwAkIhCYHfiAaB3npQEA+UA9+VIAnQDqJAAMOASAAFjseeWkAAU6iYAAiqfbMOqsICvg +BIAAWCkkjVDumLMe/gKAAA9/Ap+hLuJhKUBw7t0MDRgEgAD5IMAVpu0dAPXAPW9V/QEAAIEEAOca +B/cClxH4IAYV4ApVAP0xCAWv+fUA+CBGFeA7BQBZZ/uXXikiECoxCCpVCCuQBSwKlf1gOjwiAJ0A +jSJl04QvkhMukhQP7gz5wDYAkAklAC0iEiZAb/0OAAxw3UEA6WsBA3gogAAJjgEO3QIuIAcZl3AO +DkEM6BGpiC+Cni4WFRmXa/fgOS1SAJ0AKIKdCekKKZK/CYgB6YQABDjZgAAYl3kI2AoogpArFh3k +s8VkQMEAACwwRCYwRS8iF+owRi5mAoAABswC5jBHLmYCgAAKzAIIzBEGzAIPzDaoyIYpjyooFhAG +/wz54BVbogCdACcgFvYihhXg+vUAenFuKiAHH5gd+iJmFaMmBQAGqh2aG6+qJqK++uAEANAPFQAA +/xr+wDAI4gCdACYSExeYEwxmEadmhmD34AQDMAcVAAZ2OSeivyYWEnf4B/oiSBWgAHIAKqLBwHHT +D/vgBAUwBgUACnY4KhISBqoBZKXhKjww6g8eBPiBAAAPAmcKQIYPAmUKIIYPAmPAoSqUICciFvcn +5h3odx0A9yfGHeh3HQD3J6Yd6HcdACeUPIZe9yZmHahmHQD3JkYdqGYdAPcmJh2oZh0AJpQwKkIR ++ydmHaj6HQD/J0Yd6P8dAP8nJh3o/x0AL5Q4+qHmFaAHBQDnVhclj+GAAOyUJySxgQAA5hYMJPlB +AAD+IMYV6HwdAPckxh3odx0A9ySmHeh3HQAnlCT+YygV4AcFAPckZh3gBwUAJ5Qi5PJHYbnBAAD/ +gQAP8AYFACZWFu+WFSHRoQAA6kYABLFhAAAGCIoqMhkPzAwPqgzqNhklFJmAACwyGyoyGgz8CH/L +AiqsASw2Gyo2GsCgIyEH9y3uBaBvBQD7QACGOjMBAO/MCgmfAoAABjMCJhIYk5CHIPMvVgXgTwUA +n5MvEhULNjkTl3TmlgImYD0AAPZDRBWkzB0A4/8KC54CgAADwwKTkROXbS/ynwhmEJaWA/8B45fx +HraCgAAG/wIWlwQD/wL/IOYV4f8xAK9mJmCAH5cABoYMD3cC55YEJVAFAADmlgUlgIGAABaX5CkS +BgamAiaWAC0iFYspKBYQqN2ou5spLSYVGpa+DOkRqpkslp0rIBYnCv93sQgqIAcsEhBYLJAvQhEm +QhToUggn+AUAAO9GESMwBQAA9oKGFa+elQDuVAUkYOGAAIpZwJCZW5igi1iasZlY+KEmFeACBQDR +DwAAAAAuFhotFhssFhwpFh7qJAAMWASAAFgsxSgSECkSHisSHSwSHC0SG+4SGiVpeYAAjFhly8iP +LxaXueZWCyLogQAA7fYAIXDhAACeWJ9Z/EHmFeACBQDRD8CgZb6OFpdYKiEHIxIYHJaZCgpK62M5 +DVcCgAAMqgKakByXHioSFYYg8yBGFeBPBQCfkyMhGgyqCvzAABewDFUADP8Cn5EflxYqop8IMxCT +lg+qAe+Xmh6egoAAA6oCE5atD6oC+yDmFaGqMQCqMyMwgBqWqQODDApmApaU8yCmFe/65gAAAAD/ +8ZQNoAwFAAAvUhYD/wov8hxk8G4PzzYvlhUmUhYHZgu4ZuZmAATRYQAACgyKJlIWB2YKimAPqgya +YCZSFpYfB2YKhmAnFhnvzAwDAPGAAIwfB8wLg8OKwqPzfzsBsaqTw/uARhWv9pIAhh9kYh0qUhfA +8O9WFiVQBQAA6lYXI7hhAACDHP+PAA3gCgUAJlIWlh+GHyoWHwdvCo/w9CQGFeCqAQADpQoPzzaf +UCUSICVSFgdVC+oWCiKoIQAABYCIA6ULKhIguFUFEIoqohYHqgqFoA9VDJWgKhIgJxYZJqIWKhIf +lh8HZgqGYA/MDCUSIOVgSGVQBQAAhh/Kay9SF8Bg9qLGFaAGBQDmFg8n+AUAAO9WFyO4YQAAhhov +PBjm8zkOe34AAGP+TsBh9qLGFaAPFQD+IeYV7/+KAACMHwfMC4fDg8Kn95cZf3sBsTOTwoYZ94Bm +Fa/4igAalhWKqPdAFpiSAJ0AGZYU7BIXKscCgACpiCuCnv1gFsuiAJ0AGZYNKIKdCVkKKZK/CYgB +ZILEHJYH5BYRJVv9AACbyNSA+x+20JIAnQBgAdMuEhEt4hP9wMYV7946AAAAAAAA6xwQKVAEgADt +MQgp4ASAAP6gaB3gDgUAWA2rLQqI/UAVNGIAnQCPFGT5Moj3i4j/AqQVr8YFAOmCCyQggQAABkYB +pu7mFggncQEAAPsgFURiAJ0AjB0niRQMzBGrzax3J4UU/cAVC+IAnQDJOYYdyWXptAAJwASAAG1p +BQgAhgkCYS1CAAzdCP+gFmQiAJ0AnUCG8IQdCGYRBkQClLEoIAX1YGgdoD7lAP8AEWQiAJ0AxHL3 +ABEUYgCdAMOd+QAVJWIAnQDz4Ggdr9ZCAMCh+qLGFa/3xgAA6iQACtgEgAD8YGgdoI3lAFgrcsAg +0Q8AAAd2CRqVyYcb6ncICzcCgACnZiZtCidhwi9hwSZhxQf/Aff/0PqgChUAKxIV+kBoHaAMFQD7 +YkAV4A0FAFgtmmP8XIg3LIkU5TQABgmhgADzASgV7+LSAAAcls2PkC4iGI0ghlD/zpAVoApVAPYg +BhWgOwUAWWYcwCDRDwAAAAD/4XANr/f1AP/jnA2gCAUAAAArEhX6QGgdoAwVAPtjABXgDcUAWC2A +Y/v0AAAAAADqJAAK6ASAAO4yCynYBIAA7zIHLGAEgABYAqfAINEPAACMIvufvLiSAJ0Aix76QGgd +oAwVAO0SFyXYYQAAWC1uwCDRD4wi+5+7oJIAnQArIEH6QGgdoAwVAPtiQBXgDQUAWC1lwCDRDyww +FPxMBh2v0/oAwID0IiYVr9J2ANogW7QHEpZRC6YR5iIIBQQhgAAM6jArIoWLsLCi7LsICVAEgABZ +Z18clojTDyrCnvpABADQCxUAALsaC6oCKsaeWWeTwCDRD8XC/ECmHa/VKgAA/94QDaADBQDAoFlh +aBqVXIqo+V/pGJIAnQDAgPQiJhWv9UIAAADAgByVVvQiJhWgC6UAC6s0+4EGFe/02gAAAPoA4h2g +CxUAWCUfLCJ//FBmFaACBQDRD9og7BINKdgEgABZITHAINEP2vD8IagVoI1FAO21CCroBIAAWSAS +wCDRDwAAAAAAAPsgaB3gDgUA/wFmFa/2OgDr7QwBgdGAAIYdDUkU6pwIKcAEgADqZjYNuASAAG1p +BQiAhgcCaY4dhxgNOAjp7gwDuQEAAG3pBQighgcCa4YYDcgMqGYmbED2gAYVr/T6AIkYKZxA+IAG +Fe/0xgDdUPwhqBWgilUA6rUIL9AEgABZH+/AINEPAABsEAwoIASMJ+QgBypQBIAA6hYKKTAEgAD9 +gcgVoBnFAPkAGiRhRAEAiCLqlgIcA74AACsgUy0gFgu7CewWCC3fAoAAq6oqon+aGfRgGBGQ/vUA +9GAX0hBXBQB+0RgFC0f7f8AV4A8VAOv7OQlQBIAAWLrdZKNn2iDrfB8r4ASAAPYhZhWkux0A6xYN +KmgEgABYuqDmpAANApYAAIwi0w8PAgBkwyKNGh+WF44gkxKfEP3AABcwDyUAD+4C7hYBJoCJgADb +0PohgBWgDGUAWV4M6iQACNgEgAD8oGgd4AwlAFgoFdKg0Q8AAIobjBn7LAwF4A8FAPtE0BWg3DkA +/sFEHeLsQQDo7hEO7UKAAP+mAA62zAEA7cwCDVQCgAAMqgILqgKaZPRgEjmSAJ0A9GAR+hIAnQDE +sCtlCx2VdykhGRiV851m+yAAF7vJAQDo/wIGAHmAAAneFATuEQ6uAp5kn2b0YBJpEgCdAPRgEioS +AJ0AHpXn6eECIOhhAADp1QIjUIEAAP/ACBWgDGUA7tYALtgEgABZXdoclMAeld7qbCYheQEAAO8W +DCms0AAALyBBLSBALWQmL2QnKyBDKiBCKmQoK2QpKCBFKSBEKWQq+MVmHaAAvgAAAAAAAAAAjBss +wCYblK0MzAkMzBGsuyu9IPt5QBXgDGUAWV3BHpXGHJSmI2QzGpWm+sWmHaCJlQD4xYYd4BgFAPjF +xh2gDwUA/sYGHeANVQAtZDH0YAmJkgCdAPRgCUoSAJ0AwKoqZDX6IWgV4A8lAC9kOP7HJh3gDQUA +/MbmHeANBQD8xsYd6PodAC9kNCuwJgu7CQy7EevLCANQ6QAA/2AARbAMZQBZXaAqbET6RwAV4Ak1 +APjIJh3gCEUA+MgGHaAMhQBZXZjAtfrJph3gCrUA+smGHaAMZQDrEgwjUTkAAFldkSpsWPpJABXg +DIUAWV2NKmxV+k0AFeAMNQBZXYrqEg0oBAqAAPRgBLGSAJ0A9GAEchIAnQAflS0MThEP7gia4Cwg +Fi0K/33BCut88ClQBIAAWLn8ihiLoYmgBQhH55kIBdgFAADrpgEky9EAAOmmACQUrQAAiif6AEId +4AwFAPtEABWgDSUAWCE10qDRDwAAAAAAAPYfAh3v9BoAwCDRDwAAAAD/+2QNoDpFAPZBCBWv8vIA +Kwro+sFkHe/3DgAelWf8wCAl4An1APjMBh3gK5UA+swmHeAPZQD/oIYd4AgVACjUBf+g5h2gDIUA +/aDGHa/9EgAAAIsa+sQAFaAMZQBZXVBj/dQAAAAAAOokAAJYYQAA/CGoFeAMFQBYLA9j/MaMImXM +wSsgU/pAaB2gDBUA+2JAFeANBQBYLAhj/KhsEASGJ8J55mIOIZkPgADLO+xEAAroBIAA6iQACdgE +gABb/vjKofRgBhEQKEUAKWESsZkpZRIqIAX5QAZ8ICt1APtABrxiAJ0A0Q8AAABvNQJvM0/8fiCC +0CtlACogBXuh5us0AAlQBIAA/KBoHeAMBQBb/uRkr9AsIAV3wXfrlSgZUASAAFj0l41nimax2+27 +U3VwBQAAm2eeZtEPAAAAAAAAAOokAAnYBIAA7EQACugEgABb/tNkr478aEACUCiFAClhFymcASll +Fy8gBfn/+70iAJ0AJyQF+kBoHaGbBQBY9IDRD5tnmmbRDyphE7GqKmUT0Q/CtfpAph3v/goALGEW +scwsZRbRDwAAKyQF+kBoHaBrRQBY9HPRD/pAaB2gLYUA/ECmHeGbBQBY9G3RDwAAAGwQCoc09yl+ +BaN3gQAHeAkMiBGoZitif+QwMyWBwYAAGpPNLW0CLdAALqKQKqKaDt0ICd0R/UAARXAMFQBZT58p +bQIpnIApkHnulwd9MASAAH+fA9EPAADqNAAI2ASAAFj6fMivwKL9KcQFoDslAFlkLtEPAADbcOwx +CitQBIAAWPpl56QABQTZgADacOxEAAHYgQAAWPlSZa+49gUiHaAuhQD+BOId4C1VAPSABMiQLEUA +9IAIsRIAnQD0gAmpkgCdAGhEjWlFiipwBdMP/UAODCIAnQD9QA60YgCdAHriB/9ADXHiAJ0A91/7 +PSIAnQAqfGj6JAAV4Aw1AFj5LGWvUSocGPrnABXgDIUAWPko90AO4JIAnQDaIFj5GdEPAAAAKzEK ++sBoHau7AQBY+WLnpAANer4AAMCi/SlcBaA7JQBZY/nRDwDacPogaB3gCDUAbYoVLKBoJLAg/IAP +DSIAnQDqrAEl2AUAACpwBcKUeaEufaFBeuI2f6IzB3oC+iBoHeAMhQDTD23KFS2wGCygSOqsASXY +BQAA/aALVSIAnQDaIFj49tEPAAAAAAAAAPdf9QUiAJ0A7DwmK9AEgAD8oGgd4AslAFv/QNEPKnAF +fKEX/UAHjGIAnQD63/OrIgCdAP9f82tiAJ0A2iBY+OTRDwAAKnAFfKEIfaE6euIvf6Is2nD6IGgd +4A01AG3aFSygaC2wIP2gCrUiAJ0A6qwBJdgFAADaIFj41dEPAAAA91/xBSIAnQDacPogaB3gDjUA +beoVLKBoLbAg/aAJjSIAnQDqrAEl2AUAANpw+iBoHeAPhQBt+hUsoEgtsBj9oAb1IgCdAOqsASXY +BQAA+uBoHaALNQD8oGgd4AwFAFv/D9EPK3xo+iQAFaAMNQBY+MRlra/aIFj4ttEPAAAAAADqdAAI +2ASAAFj4ZsmuiaeJnsCBKJR+0Q/64GgdoAs1APygaB3gDAUAW/780Q/Aov0ojAWgOyUAWWOQ0Q8A +AAAA+uBoHaALNQD8oGgd4AwFAFv+8tEPAAAA/YDWDe/69QDAofdf9GCSAJ0AKhEB8V/0DxIAnQDs +PCYr0ASAAPygaB3gCyUAW/7l0Q8AAAAAAAD1gNYNr/r1AMChZa0GY/4a/YDWDe/69QDAofdf+QCS +AJ0AAioCWPiG0Q8AAAAAAAD9gNYN7/r1AMChZazWY/6l/YDWDe/79QDAsWS+zep0AAroBIAA/GTA +FaALRQBb/svRDwAAAAAAAGwQFiIWIucSIivgBIAALXAH/sBoHaBKdQD+4LAV4EtlAPwgphWh3QEA +7RYOKZAEgADr8Qx26GEAAPvgOn0iAJ0AJHEijH6dFJUZIyAVGJPDihUvIAMpcEEmIhOi/wmZCQpm +Nv8gABS/+gUA6YgIB/j9AAAK/wGK8SiCf+gWDSfYQQAA+8A1MqEzIQDA0P4hBhXgBQUADe8MHZMa +LdJ/CcwRrNwswTf0IOYVp/31AHzbChSTTfIgxhXgAEoAJEoABMQ28iDGFe9EAQAck6gswn/vAgAO +GASAAPvABLqiAJ0AjRgPqgyI05wTjdKo+Jgaf4sBsd2SHO0SCi7gBIAA9sAusJAPBQCSHPdALmCS +AJ0AwCBtCFoKaDQDKQoISDSYkAMoCy2GAyyGAimSAAlmDAmqDA2YCOgWDyEQBQAA6YsHfmgEgAAt +zAHs1AAH+AUAAO0SDyEMMQAA8mMAFeACBQD2wCsgkgCdAPdAKuCSAJ0AY/+enBOSHAtdCwtaCoqg +idON0g+qDKn5mRt/mwfiFgwm6AUAANzQ/CFoFeAPBQD+I+YV4AIFAPbABQCSAJ0A/iQGFaAAzgAA +ACgSH+joCAKoBQAA6BYfIowxAAD7YwAV4AUFAAtcCwtaCoqgjcPswgIjDZuAAP9c8A3gDgUAamHH +bQhOAykLAy8KCmg0CEg0CGYMCKoMmPCcki2WA+2PCAEQBQAA6PsHfmgEgAAtzAHs1AAHcAUAAOgh +DG/oBIAA8mMAFeACBQBkr3323/vQkgCdAGP/qgAALhIggxP2IYgVoAgFAJgQiBUmYhMrcBYuFhz4 +wQALMPn1AHmxGowZ+ugwFafMAQD9n8AVoAkVAAycOVgoTGSlBy0SH+mSRhafOYAAFZMzix0ekkKN +HoQXLRYS7NIRDu+CgAD4QABBfYQdAO7dCAolAoAA9IYACnarAQD8IgYV4AUFAPQjphXiy0EA4hYU +LmYCgAD9RgANMA8VAOQWGCPhIQAA7BYVLEUCgADyIMgVoLs5AOQWEy3dQoAA+wYADHAOBQD7JJoF +4AUFAPsGAAwwPVUA6xYRI9FxAAD6IsYVoAQFAOgWGiPBgQAA6BYXIRNJAADz4gAPMDxlAP+tAA4w +AgUA/CMmFaAAPgAtEh/8oBmkYgCdAMDlDl4v5OJkYqgFAAAkTQEkTIAqcQcbkhjyQAEGeqoBAOmS +0h1XAoAAC6oCmkCPcPiARhXgSEUAmEP94AAXsAiFAAj/Ap9BjsAtCgT+6DAV4e4BAA7dDC4SGuwW +Gy/8AoAAD+4CH5LM+CKoFeHdAQAtFh4P7gIuRgQuEhj9gAgVoA8FAC9FCi5GBg3MCO2S3hZg8QAA +LEULLUYH6QMeAkCBAAAIAmH85UQV4AoFACpENfyFph3gCwUA+obmHeAIBQAoRDP+heYd4AkFAPiG +hh3gDgUALkQu+COoFeAOBQD+hgYdoA8FAP6GJh3gCAUA+IZGHaALBQArRDb6IsgV6N0dAC1ELPjq +8BWvzAEACckI6RYdIlDxAAD4h2YdqIgdAPiHRh2oiB0A+IcmHaiIHQD4hwYdoAxFAFla5OkSFyJR +AQAACSCICgSKCQCICgCKLHIcKxIf/IpmHajMHQD8ikYdqMwdAPyKJh2ozB0ALERQe1kz/CPIFeBO +JQAudHQekt4qTEX6IGgd4d0BAP+mAA6wDpUA7nRlLu4CgAD8IAYV4Aw1AFlaySoSHC9xNQMrCygS +GSh0V+2yAyf4BQAAL3U1LxIbHpKULkYWjPAtRhksRheIf4nwi7IrRhiqmip2HI/wCWYMKhYc6P8I +ARAFAADvdg8hDDEAAPJjABXgAgUAGpGg+iPIFeAJVQAJWS8qRhrkuwgF4CEAACxGGypwdOq0dCzu +lgAALRIfBd0MLdwB97/uCVIAnQAoEhQvEhMvhp0rcBYuCv9+sQgqcEEsEh1YJ1YtEhOMHCsSHJvL +lseJcsSn6nQFJIjhgADRDy0SHy4SEtMPBd0M/aAgFeAKVQAK3TYN3RHtFhMnJVEAAC4SFNMP0w8u +4p4PAgD9wAej4gCdACgSECQSFCiCvyRCnQhEAWRPoCkSEf6AaB2gCgUA6QAFBup5gABtCA/uDAAF +UAUAAP1f6eRiAJ0AY//pGpFXiqj3QAWgkgCdACsSFCuynv1gBkviAJ0AKBIQJBIUKIK/JEKdCEQB +ZEC0G5FMsKn5YQYV7/56AACOHvwiZhXgDAUA7BYdL3cCgACp7i4WFCkSFCgSEyiWnStwFi8K/3+x +CCpwQSwSHVgnGIocKqATyK+LHIx/i7Z8uQfEyCx0BdEPAMTWLXQF0Q8AjbMvFh+MsvtgCBWv61IA +AP//sA2gAgUA2nD6IIgV4AwVAFgpFdEPAP/8bA2gBAUA/CQmFeAKBQBZXTAakSWKqC0SIflf+ciS +AJ0A//vgDaAEBQDAQByRHsC6C6s0+4EGFe/7mgCF9KpV5eNzfWgEgAD0IEYV4AgFAPggJhWgBQUA +iBGNEuVcASRAEQAA6BYBJCRRAAD7YwAV4AkFAPggJhXgBQUAiBGriIiArYiYEnjrzp8Y/d/IquIA +nQD/rwAPv+RCANEPAAApcEH5IkAV4A0FAPgghhXv+FIAAAAAAAD//zgNoAUFAGwQCJQRJyIHJjEL ++EDwFeBKhQD84oIV4ExlAPhAsBWgS1UA9tiAFaGZAQDlcgkmjEmAAPsAEU0iAJ0AKFASyIvpFgUj +0EEAAFgf5YkVhFCVEvQgZhXnRMEA9IAWmx/NBQAoEgMoggoqMF/3ABfTogCdAOyQ3xyoBIAA5KFN +YzjdAAAHRxS0d/UgCoISAJ0AGpDZDJgRqogqgp73QB/T4gCdACiCnQyaCiqivwqIARWR/pgQ6BYE +JBXZgAAckPUMAIdteQIIAmH0gB2LEgCdAC0wXxyQ1xmRD+swXi6NfgAAw+P+gAscIgCdAPSACxMS +AJ0AjxIv8BNk8V2EEo0vhEb8gBzlYgCdAGWxf8DwjRMo0AfuIQcv1gKAAAqIApjRKCAHKyEI/0AA +BzCoEQDs7hENUoKAAAruAvnGAA9xiAEA6hIELEwCgAAJuQIbkPOeoI4gnKKbpguZAvlAhhXgCwUA +m6Wbp/3AABcwC0UA6+4CBWCBAAD/QCYVoDsFAOumAy7IBIAACSCGDAJjCQCGDAJhHZCY6xIDLGcC +gACtzCfGnYuw+vgABfA8JQB8sWXaIFgamdEPAAAA+wAF5SAFBQDA4C7gEmXud2P+gS8wXmX+sP/6 +yA2gB0UAAAAbkIOKuPdAF2CSAJ0AHpCDDFgRrogugp73wBf74gCdACiCnQxeCi7ivw6IAWSC7bCv +/2EGFe/6egAmIRQjIRL+2QAN4AQFAOpSQinYBIAA/AACHaANFQBYHNwoUkPkTAEhmAUAAAgzLnZJ +22P/ZGS+vmAAOwBkvrdgADQAAGS+r2AALAAA/R/uvCIAnQD7H+58YgCdAGP/PwAAAAAA/R/6JCIA +nQD7H/nkYgCdAGP/JywgB4oQHpCh+kEEFeDcEQD1sAAWscwBAO7dAg5kAoAADLsCnaAdkGD8QAgV +oA8FAO+mBSNwYQAAnqPuEgMjIN0AAP1ARhXkRB0A7ZF1HmYCgAAMTAKcoQ27ApukDgSJlqedpgog +iywgDOamBy5kAoAADLsC66YEK2AEgADrPFQlUKEAAFlZWo4QGZCA7JBGGkcCgAD5wABHMI+VAP4g +hhWv94oAAIonhxHsoRUrvwKAAOc3CAVQgQAADaoBqsrqrEArwASAAPrgDpqiAJ0A+CBmFa/0EgAA +AAAAAAAA9wBoHa/0GgAAAIwiZMGY9J/xwxIAnQCHJy55FCZSveThfWPQgQAAhXklUAfAsOxkAAro +BIAAWBz8hyeJePjipBWvzQUA6nILI9iBAAANtAGkiOiMQC3gBIAA+UALVGIAnQCNES55FAzdEa2a +re4udRT7AAtbogCdAMozjhHvlAAHAPmAAG3pBQNAhg8CZYwnirAPAgAPAgDtqggGYIEAAPlADqQi +AJ0AmrAt+sCHwIvDjiAowQWKEQ3CAeKICA92AoAADqoC6pYBJEEBAAD3YAg0YgCdAC/JBAxZEal6 +Cf8IL8UE+wAKQ6IAnQDJaAZjAu90AAKAmYAAbVkFA2CGDwJnKsIACaoI+UALvCIAnQCawNEPiieN +EcDA6qwgLtgEgABYHMGaEvogZhWv8PIAAP/wSA2gCAUAdNsUjxINTgzu9gYt7v4AAP4RYh3v8WYA +ihIE2AzopgYt7lYAAP4Rgh3v8RIAAAAA+CCmFeAKBQBZW84bj8OKuIkVHI/D+V/n+JIAnQD/7vQN +oAgFAADAgMDKDKw0/WEGFa/utgAAAAD/+hQNoAUFAOucGCvoBIAA+kBoHaAMFQBYJ5tj/lAAAPzv +AAw/+LYA+UBoHeAOBQD+4WYVr/tmAMDwn8PRDwAA6YcMAYHhgACMEQdKFO6sCCnABIAA7sw2DPgE +gABtyQUIgIYPAmmPEac46v8MAlEBAABt+QUIoIYKAmuMJyzMIAfeDK5OLuxA/2AGFa/5+gDniwwD +AbGAAAtKFO2sCCv4BIAA7V02C0AEgADTD23ZBQjAhg8CbQtoCOpeDAFRAQAAbekFCOCGCgJvC58M +ry8v/ECfwNEPAAAoTED5YAYVr/iuACksQJnA0Q8AAAAAAABsEBLkMgQqeASAANYgDwIA8yDSBaNE +gQAERAkMRBEEIggkIn/uMQsiBZmAAC4WFCYWExmPdOqQjRFACwAAKIAAKZKQJRYSKqJd6YgIClgE +gADvFhEsRkKAAPlAAEUwDBUAWUtCLzAzKTAwLjA36qAHLWAEgAAlMDQmMDX8ZtAV4aoBAOoWFyqu +AoAABlUC5iKDIdjhAADqMDEu7gKAAO7dAg24BIAA7jEKLM4CgADqmQIDAjGAAIpn6qIOJI0RAAD9 +LYABUA7lAPUgBWGSAJ0AaJQJ9SASopIAnQDRD2ny+mRv9ysWEOwWCSHo6QAA/CLGFeAEegAAAP04 +gIDQCgUA9eAmCJIAnQDrFhAvlzwAAI82Dx9S7hYZJ6W5gADqxAAK4ASAAFj7FdEPAAAAAAAA9eAN +CJIAnQBp8p8YkEwogX7xAA/fUgCdAC4wOMCYfpKJaueG9cAnW5IAnQAroSOxuyulIyoSEywSEe0S +EinYBIAAWQhT0Q8A9f/6+JIAnQD5//q5UgCdAGRfT/ICgh3gBAUA+iIGFeADagAAAChwAQeHCqSE +dUtjKXAAf5nuLnACKHADKmEZCO4RCO4C+2AABTvuAQB66dQoLQIojIAogHsDiBEI6AIoZRmIGC+B +G9pg7BIRKdgEgADtEhIn+AUAAP8DZB3gDmUAWPlFKXABB5cKpJT0lBYN4A/lAIZpy2iKGSqgFupk +FiNZAQAA+iLIFaAMZQBY+qj/XgwN4A/lAIln9iIIFeAEBQDpkg4i/nGAAPghBhXv/YoA0Q8AAAAA ++1/0PWIAnQAckBgPAgAMMwEtcAEH1wqk1PSAHxriAJ0AKnAAaKIw/VqgglALtQAmIoPG7+4zAQNy +mYAAtH+fFIoU+skAFeAMZQBY+otkr8CGaWVv6mP+MiYig8eL6DMBA3FRgACyeSkWFSoSFfrIABXg +DGUAWPqAZK+Vhmllb+lj/gcAAC4wOMCofqIH+cAae5IAnQDAufvf74ViAJ0AHI/zLzBDLjBCLTBB +KjA9mhApMD6ZEfhn8BWgOyUA+CBGFaAKRQBZXzAqEhMsEhHtEhIp2ASAAFj6dNEPKhITLBIR7RIS +KdgEgABb+t3RDwAAGI6xijMSj8kogn8iIl2qiAmIEagiiicrqRRksoqLqR+OpikSF4ew5Y6lHbAE +gAD7IGgdoAulAPUgE6oXd8EADJkRpZUoUp7/AB2rogCdAClSnQ+tCi3Svw2ZAdWQ8KasDeA6BQCO +ImTjbfr/6W0iAJ0AiScVj4QvmRQlUn/k809k0IEAACuSCSawBwVcAvzAaB3gCwUAWBtwiifbMOwS +ESVQgQAAWCAQjSAsEhGLJwjdEQ3MAuymASXQgQAA61QAC2AEgABYIAjRDwAuIAcYjsMOL0DsFgkv ++oKAAAj/Ap9QiCAdjoX8oEYV4InFAOlWAyxGAoAAC4gCmFF6cRmKJy0SEcDA6qwgLtgEgABYG1Lu +IActMASAABuPlywhCP4gAAawBwUA51YFLuwCgAANzAILzAIsVgQGBInlIBcC0KEAAPqgxhXgfEUA +7FYHIdlRAABZV3saj3WLLSqiXf1oABWwDGUA66oIAdgHAADqFg8lUbEAAOoWDSXbKQAAWVdwih/2 +Z6AV4Aw1AOqsSCvYBIAAWVdrix8PAgAPAgCLt+qPYBXYQQAAmxtbrRscj10djnuPHx6Pc+oSCy1Y +BIAAj/BbrNGKH4qnKqwQmh5brK71QBGgkgCdANtw+sMgFaAMNQBZV1crPEH6w6AVoAw1AFlXU4Md ++kBoHaAHBQD6wPAV4A3lAOtmASLgBwAA7MygK1gEgABZEvIej1wdj1waj1wfj1gNTQKXr5eul62X +rJerl6qXqZeol6eXppell6SXo5eil6HnpgAp2ASAAP/QBB3gCEUA+cfmFaAMZQDt5j4lUCkAAFlX +NhiO/YoZKILNG49I/ACCHaANVQALgADaIFgYF9EPKaBQsZkppFDRDwDuEhQuUASAAO8SGSrgBIAA +WPqH0Q8AAAD/9cwNoAsFAB2OAI3YKRYY96AMoJIAnQAMqRGllShSnv8ADZOiAJ0AKVKdD64KLuK/ +DpkBZJGgGI30sN+fiPUgaB3v9c4AAAAqEhMsEhHtEhIp2ASAAFj+CtEPKaEhsZn5RCQd7+xiAGU6 +h/YiCBXgBAUA8ABkDaANtQAAgznPOStwAQe3CqS09IAEYuANtQAscAB9yelkalmJauOUAAT/CYAA +6RYFI/glAADvFgcj8DEAAO4WBiOQCQAA2iD6bYAV4AxlAFj5hmWvsIoX+mkAFeAMNQBY+YJlr6CK +FvpoABXgDGUAWPl+Za+QiBVzgVopgAXCrHqZh/pgaB2gCxUAWP9D2jBY/v/aMPoKIh3gDAUAWPkY +Y/9ni2eLviqxHLGqKrUc0Q8AAP/y0A2gCwUA+kBoHaAbxQD8ACIdoA3lAFglm//x7A2gOgUA2mBY +//hj/ywAAAAAAP/xXA2gCQUAih5brDwcjoYLrRHtzAgFA7mAAA3qMCvChYuwsKqaGq27WV+VHI7A +jRoqwof7oAQA0AsVAAC7GguqAirGh1lfyWP9jAAAAAAA/CEmFaAKBQBZWaAdjZWMGY3YH42V+iMI +FaAO5QD5v/J4kAulAP/5tA2gCQUAAMCQH42MC940/+EGFa/5cgCcHPoA4h2gCxUAWB1XiRwokn/5 +MGYVr/TSAGwQCBmOoCsxCicwRPxgiBXgLiUA7zBFKWAEgADygGgdoAoFAPUclgWj3YEA4PYRB+Ae +gAB6/3KMMvGAFhtSAJ0A8YAV2xIAnQAbjXSIMyuyfy+SXauI6hYALEZCgACo/58RZHL6HI4wDGwB +dMk2KjA8aKEz9UARAZIAnQBopVX1QBFrkgCdAH6hHcLTfakY6hIBKdgEgADsJAAK6ASAAFkRM9EP +AHlmF9EPGI2RLzEn+eAGTCIAnQD6IAYVr/6aAOoSASnYBIAA7CQACugEgABYBGPRD40RKdAFxKZ6 +mciJ1yuZFGSx4IuZL9BoGY42LLAH6rAVJ/gFAAD/rQYd4A4VAP+rhh2gCIUAKNRnKNRk+aVEHeAI +BQAo1Gb5rKYdoCnVAP5p0BWhqiEA7zBPJVNJAAD5pqQdoCjlAOqYOA92AoAAD+4C+armHaBPFQAv +1HQu1TcusBX+zgAPMAo1AH6gBSmwFCnUYO4yFS7QBIAA7zIWKugEgABb+j3RDy8wPGj2YX7xXpoQ +7tE3IcjxAADpAx4GwXEAAAgCYe8xJibZdQAA79U2INAhAAD/puQdoAw1AFlWOYsRwMPqvF0l2YUA +AFlWNYoRKxwIDwIA+0wgFaAMNQBZVjD+6JwN4C4lAGABfAAAL8AE9eAHcxIAnQAajj8N3AkMzBGs +qiqif2Supow2+2AABvjMQQAvoRkPD0t/2Q6Lqsi5KLE/fIELi7llv/SKqWWv4tEPirqbFOoWACUB +WYAAKzxBmxMqrE76IGgV4Aw1AFkVFvFIMA3gLiUAihCKqOoWAC1/FgAAixRkvkUdjggt0X7sMDwm ++ByAAGjGf37BcY0ULdEIihTsNAAA2BEAAP6gaB3gDgUAWASUjRFl3vJj/g/qEgEp2ASAAOwkAAro +BIAAWRCw0Q8AAADqEgEp2ASAAOwkAAroBIAAW/vX0Q8AAAD/+HQNoAsFAI8Q/iCGFe/+HgCaEJwR +/YBoHe/6mgAAAH3Xivx/4h3v/jIAKLAE9R/7yhIAnQDRDxmN4dMP0w8pkX4PAgDxP+y/kgCdAOoW +ACHggQAA6tQACOgEgABZFbPtpAANAk4AACowPCsKgftf63ViAJ0AihBkrWTbMO0WASlgBIAA/KBo +HeCORQBZFN7RDwAAAAAAAOoSASnYBIAA7CQACugEgABZE6jRD8Li+iAmFa/zngAAbBAIKTEPKjAs +H40z6zAtLKTWAADtjdcdVgKAAAuqAvsbqgXv/rUA76ExduLxAAD7QAUsYgCdAByN0B2Ngv1ADvwi +AJ0A/UAQ/GIAnQAejZr/QA2kIgCdAMAg0Q+LNCjChvexKBWjq4EA7acHfUgEgAAOqQGpiAmIEahm +82ANylIAnQArMDf1YBrgkgCdAP14wANQGRUAebm+KjBCKzBDCKoR+0YADXBLNQB7qaorMEQsMEUI +uxH9ZgANsExFAHy5luokAAnYBIAA7EQACugEgABY7LHAINEPizQpMC4qMC/oMDAszgKAAPsmAAyz +q4EA6JEMbRAEgAD5P/rjUgCdACkwMQiIEQmIAv8f+l1iAJ0AJTA0KDA1CFURCFUCaFEH+L/5oVIA +nQAnMEYvMEcYjQjkMEgrvgKAAA93Au8wSSu+AoAABHcC6Y2NG74CgAAPdwIIeAH5H/f8YgCdABiN +FRmMlgh4Afkf93RiAJ0AfacCDqIBKMKGJNKJDwIAoogJiBGoRPFgB8JSAJ0AJjEKBgpL90AHaRIA +nQAZjXn7IAcTIgCdAOpEAAtYBIAAWOzK/AAiHaANBQD7ggAOsAsFAA3LOOqkOQX0iYAAKTA1JzBG +KDBHKjBI5TA0K74CgAAIdwLoMEkrvgKAAOp3AgquAoAA6VUCC74CgAD45gALsAIWAAAAAAAAAOok +AAnYBIAA7EQACugEgABb/J/AINEPAOokAAnYBIAA7EQACugEgABZFWrAINEPAOsxCitQBIAAWOyl ++AAiHeALBQD7IgANsAgFAAuYOOqmOQxxTgAAY/32AAAAAAAAAOokAAnYBIAA7EQACugEgABb/oHA +INEPABaMlByNQCkwPo5HLzA8KDA9ju7uFgQv/gKAAAj/AugwPy/+AoAACf8C/9VIFaAKVQD2IAYV +4DtFAOUWAS/+AoAA6P8CCWgEgABZXGkrMEYsMEeFFO0wSC3eAoAADLsC7DBJLd4CgAANuwIIuxHs +uwIK0ASAAFjrjmStXCpSkPVACAkSAJ0A9UAHyZIAnQArMDwsMD3tMD4t3gKAAAy7AuwwPy3eAoAA +DbsCCLsRDLsCKDA1LzA0mxAlMEYnMEfsjRIZaASAAOkwSCquAoAA9qYACvAKVQDnMEkqrgKAAOlV +Ag/+AoAA6P8CCq4CgADnVQILcASAAPQgJhXgO0UAWVw8KTA0KjA1CJkRCpkC9SAFwJIAnQD5P+X5 +UgCdAB6M+y1BGywwPSswPP+gBAa75gEADt0C7jA+Ld4CgAAMuwLsMD8t3gKAAO67AgpQBIAA/WAA +Fb/dAQDsuwIB4NkAAFjq78Ag0Q+NYC5gOf5oUBXgClUA/RnOBaA7RQBZXB3AINEPACswPCwwPS9S +hu0wPi3eAoAADLsC7DA/Ld4CgAANuwIIuxEMuwL7//glYgCdAGijBMDDLFaQwKX9GawFoDtFAFlc +C8Ag0Q8vMD0sMDwtMEYoMEfuMEguZgKAAO/MAg7uAoAACN0CLzBJ6DA+Lu4CgADu3QIKUASAAO4w +Py5mAoAA6MwCDu4CgAD/pgAO8AslAO88Ni5mAoAA7swCD/AEgABY6wfAINEPH4y7IvF+LvJAKfV/ +CSIC4vV+J3AFAAD/6AYVoAIFANEPAGwQBiUwBxyLbhaLb/mQEBXhVQEA64tsGtcCgACmquiiniTI +3QAA+qABBvSZHQDt0r8kyCEAAPkABlPiAJ0AKaKdDZkB65QABIYhgAAei4YOAIcJAmEJAmEJAmEJ +AmEJAmEYjJv5GKQF4AwVAPpACBWgDgUA/iAmFaANBQD4IAYV4A8FAPggRhWgDjUAWByFLDEHHYtZ ++xkeBerMAQDvi1keZwKAAA3MApyg+EAIFeAYBQCYo5+i65sCDM4CgAD7QIYV4AslAAuZAvlAJhXg +CxUAK6QZDF4R98AARzANVQAt5p34YEgV4Ax1ACwkBCs0eAuZApkyj0THhwj/AZ9E0Q8AAAAA//zw +DaAJBQCNMsjS0Q8AAC3AgO3cNynQBIAA+qMAFeTdHQD9oQAV4AwVAFgjDdEPbBAIHIshJCAHjsgV +iyLAmvfAEmCRRAEAKFKuG4sfZIJoK7J/KlKtC6oBZKJesO6eyPdAaB2gBxUA7YsUFRHxgAAq0IDv +ixMVUN0AAP6AABW0qh0A5bsIBVBZAAD0gA2yEgCdACyynvuAFauiAJ0AKrKdD0wKLMK/DKoB6hYE +JRDZgACMJ8CQKSR2L8kUKCAU58IJJ43hgACKcaqICAhHKCQU9QARVlIAnQAUjD4cizcrcReOc/bh +SBWgDwUAL9Yy5tYzL3oCgAD/pyYV6O4dAC7WOAy7Avun5hXvu40A69Y+IjHhAACLFChCgioyAC5C +fy1ChC9CgylCgSkWAfwgBhXgDBUA+CBGFaANBQBYHBjqFgQiIGEAAHZJyosniHQtIQf/YoIV4BkF +AAmIAvjghhWq3QEA6TIAJ4j5gACDuY409EDwFa//hQAP7gGeNC8gLCsxF+mLGRy1AoAABv8C7+4C +Du8CgAD3FbQFoPQRAP5ghhWhRAEA7osPH/qCgADv3QIKZAKAAAy7Agm7Ag7dAp2ghyD3QEYVoD8F +AJ+j+0CGFeAIBQCYpZmm6KYHKcgEgAD84AATsAhFAOh3AgVYgQAA56YBJTkBAAAJIIYLAmMJAIYL +AmGGMiYmHIkx8yAIGFIAnQDxMcgN4AMFAMCz+kDoFaAMBQD+gAAXsA01AOX/CAHwTQAA7vadJVCB +AABYF4jSoNEPmhb3wAhgkgCdAAxLEaW7KLKe+wAI86IAnQAqsp0PSAoogr8IqgFkoQyw6fmBBhXv ++NoAAAAAAAAAAPcgaB3v+RIA//uMDaADBQDAoFlWlByKiI7I+d/tUJAJpQD/9uwNoAoFAACKImSg +3/ZOxh3gAgUA0Q/AoAnuNP+BBhWv9noAAAAAiyJlv+Adinst0IDrTBgm6N0AAPpAaB2k3R0A/aLA +FeAMFQBYIlz2TsYd4AIFANEPAAAAAAAA6iQAC1gEgABbo5X9FNgF7/cuAADaIOt0AAtgBIAAW6UZ +iTGaFeOkAA13AoAA7ncIBPdlgADrdAAJUASAAPzAaB3gDAUAW6Te80AAQf/7RgD/9VwNoAoFAMCg +WVZiHIpWHYpXjsiKFh+KVvnf9xCSAJ0A//TUDaAKBQDAoMD6D+80/4EGFe/0mgAAAAAAAAAA+kBo +HaAbxQD84GgdoA0VAFgiL/ZOxh3gAgUA0Q8AAABsEAqJJxuKQCYgByiZFBqLhvcUgAXhZgEA7rII +JBhZgACEmY9BKEEK+CDmFaAFBQAlJhn3wBnIkA2lAClyruiiFiSbIYAAI3KtCDMBZDNYsO6euOk0 +AAGZ8YAAE4osIzCAHYor6RYFIZjdAAD+wAAWNDMdAOfMCAGYnQAA9MAVghIAnQAowp7zAB7r4gCd +ACPCnQ1oCiiCvwgzAWQzFCkgFA+ZCAkJRykkFPUgGdZSAJ0AKgoCWRTQ5YomHRnmAACWGfQhBhWg +CgUAWRSnFItVFotXHYpOG4tUjxgYi1Ulskj54uQV4AwFACy23I7z7IEQJEA/AAAogn+P+i+23e2Z +Ag96AoAA/3xmFejuHQAutuIptumsqvsAAEQ/mY0A6bboLEZCgACoVSUmGZJYKEKCilAuQn8tQoQv +QoMpQoHpFgEp2ASAAPwgBhXgDBUA+CBGFaANBQBYGyYkTBjmSc19GASAAB6LNCshB4kYhBkcifX3 +IUQVqrsBAO5OAg3fAoAADLwCnKD+oAgV4A0FAJ2rnar9QMYV7/P1AJOpk6iepZOnHonr/0BGFaAI +RQDjigwfrgKAAAhVAuWmAS//goAAA/8C/0CGFeAjhQCTo4iZiZgvphQuphItphYtphctphgtphkt +photphstphwtph0jphMsphAlphHlixAc5gKAAPzGAAs3mcEACYgCmKyWreVEAgUYBwAA5YnOEZoB +AAD1QqYVoAwFAPQhCBWgJkUA/EDoFeAKBQAqJHePRC7ZFMGA+eYAD7AJRQDvRgQnCNmAAI7ZiOTH +2A2IAY3hJCAH6OYELn4CgAAP3QIvICyeFv3AJhXg1BEA6P8CDuqCgAANvQIYieyf5C/hF/mmAA6x +RAEA7TYAKkQCgAAI/wIdieaIIJ02lTKaNZo3Df8C/mCGFeA/BQDvNgMsRgKAAOmIAg9QBIAA6DYB +IciBAAAKIIYJAmMKAIYJAmGF4iUmHInhIzxA8yAJyFAOBQDuFgQsisoAAI4U+gBiHeAMBQD6QOgV +oA01AObuCAp/AoAA5/8IB3ARAADu9p0lUIEAAFgWZNKg0Q8AAAAA//PcDaAEBQCWGvfACdCSAJ0A +DGwRp8wowp7zAAqT4gCdACPCnQ1oCiiCvwgzAWQxQLDp+WEGFe/08gAAAAAAAP/7nA2gDgUA7xYL +KtAEgABZVW4biWOOuBqKqo8b+d/lkJANpQD/8wgNoAMFAIoiZKENwLH6TuYd4AIFANEPwDAN7jT/ +YQYVr/KSAIwiZc/hHYlVLdCA62wYJujdAAD6QGgdpN0dAP2k4BXgDBUAWCE2wOH+TuYdoAIFANEP +AAAA6xIFKVAEgABbom9j/LsAAP0VIgWgCkUA/CDoFeAr5QBZWbkrIQfAZPtAAAXwjIUA/2AAFb/4 +TgDaIOs0AArgBIAAW6PqiRYPAgAPAgApkgHqFgQtZwKAAOwzCAT1fYAA6zQACVAEgAD8oGgd4AwF +AFujrYsUq6v6IIYV7/pCAAD/8LwNoAMFAP4hZhXgCgUAWVUuG4kjjxuOuB2JI4Ya+d/1eJIAnQD/ +8CANoAMFAADAMMDKDOw0/WEGFa/v4gAA+kBoHaAbxQD8ACIdoA0VAFgg/cCx+k7mHeACBQDRDwBs +EASGMMSC+BCCHeA35QD2+AADMDQFAPTHpg2gOjUA90EuDaA8dQDDsXtqCveHLg2gPVUAfWIxIiAF +dyFK+EjmDaA+1QD+RAYNoI9VAP5hBB3gAhUA0Q8AIiAFdyEO+EFmDaAk1QB0IQPAINEPKDAw/R6g +AtP79QAqMQj7QmYN4AIVACk1CNEP+GEEHeACFQDRD8Ah0Q8AAGwQBCswPC8xCyYgB/xByBXvxwUA +6iIAJ+MRAAD1YA1wkWYBAB6KAPlgDftQOdUAK+Jd7ojgHsZCgACou+iwBSe4TQAA74jbG28CgACu +3fkADg1kdx0AKdKe9yAOW+IAnQAt0p0PaAoogr8I3QFl0HOJImSRQgUKR/lACbFSAJ0AjieM6PvC +pBXvwgUA7+ILJ2iBAAAC0gGiu+jpFCXZAQAA/eAMXCIAnQAMTxGvyq+IKOUU+2AMC6IAnQDpxAAB +gMmAAMlBbUkFAwCGCQJhitAPAgAPAgCvqvtADORiAJ0AmtDRDyggBykhBx6I+vlAAATw+BEA6v8Q +DM8CgAAPmQIOmQIusQj+QQQdoYgBAOnWACxEAoAA6O4CDVYCgAD+QAgV7+kVAOnUGCZI/QAA+WPo +FaSZHQAKmQLo1gsv/gKAAA9/Ap/RL7Iemdks1Q8bieTv1gomQMEAAJjTH4niC+sCHoijD88Cm9T/ +oQYV4AsFAOvWBSbRAQAA7tYCIdlRAABZUa0eiIyLJwxtEf+gAEa/zAUA59adJdCBAAD9QAQFMAwF +AOy1FCVRAQAAmrmauNEP0Q8AAAAA62wYK+gEgAD6QGgdoAwVAFggYWP+pognL4wg9+AEB/AJBQDp +hRQn+QEAAJ+Jn4jRDwDCgni53inhfn2X2Os0AApgBIAA/KBoHeP69QDqJQgpUASAAFj8JdEPAMW1 +6yQFKVAEgABYEm7RDwAAAAAAAP/5BA2gDQUAwMCc69EPAADsuwwBgXGAAAtJFLie7k42CdAEgABt +6QUKIIYMAmMJSAzrOQgBUQEAAG2JBQlAhgoCZQv5DKkpKZxAmdDRDwAAKixAmtDRDwBsEBLdcPwi +RhXgCQUAmRCZESggB/SACBXgDzUA6TYAKlgEgAD2gPAV4TgBAPDeAA3nVcEAimD0oAuzEgCdACwg +BCoVBPWAEUIQPQUALiB8LhUF/KAPvGIAnQDAwRWINYsQGYg06hIBKe8CgAD0YArCEgCdAKndKNKe +9wAbWdIAnQAt0p0FPgou4r8O3QHuiXYeqASAAOiIMxaGuYAALiEH/CKGFaruAQDrFhUvdwKAAAju +Ap7QjiD9EFwF4CgFAJhT7VYCL24CgAAP3QKdUS0gBCoWFigRBfWgDfwSAJ0AHoljCIgJDIgRqO4u +4X/1oAoikgCdACgiEigWES0gTC0WEB2JWw09AhiI8AzuEf6hBhWn6x0ACO4BDO4CLlUKHolV/KCG +FeCK+QCYVg6uAf/YABc4i7kADogCKFYHGIlPLhEE7lULKfeCgAAI7gIuVgkoIAcIKEDzEAAUN/71 +AA6IAihWCww+EQnuCC/mnR6JPuyJQxKRiYAAjyADOgnrh/QdVwKAAK6pLZJ/7LsID/4CgAAPfwLr +qggDQCEAAJjQnWOaYiiWf/6AJhXgAgUA0Q8ciBgrQSfVQP1gDCwiAJ0A//pgDaAMBQAeh9iO6CoW +FvfAEXCSAJ0ADD0Rqd0o0p73ABJp0gCdAC3SnQU4CiiCvwjdAeiHzRaR2YAA4hYXJxP9AACSiPIi +6BWv+g4AAADrRAAJUASAAFu1GcDz5qQABRERgAApqRRkkTyEqfqAaB3v+A4AKCITKBYRLSBQ/CIG +Fe/6/gAAAAAAAAAAKbAY1bDzIAbX0gCdACtcHfoggBWgDDUAWVDU//e4DaAPNQDaEPpKIBXgDDUA +WVDPtBr6ScAV4Aw1AFlQzPpKsBWgDzUA+iCkHa/3EgAfiPkYiFwtIRf6IgAVoAkFAJmgmaGZopmj +maSZpZmmmacogn+ZqJmpCO4M/8YAD3bNAQD+ImYVop1BAP0oABSw3TkA6cwCDuwCgAD9hgAOcA0V +AJ0U7BYGINkBAABYD/5loIociOH8IggV4ApVAP4iKBWgOyUAWVf/GYeIKhIWKxIVLBIU/CJoFeAP +NQD/93ANoA4FAADaEPtjIBXgDDUAWVCfY/8ZACkgBCoVBPUgCSqSAJ0AaJQdK1xB+iCAFaAMNQBZ +UJb/+XANoA81AP/7BA2gBAUA2hD6SiAV4Aw1AFlQjyogVfogpB2v/zoAwKX9EX4FoDslAFlX38Cl +/RF6BaA7JQBZV9weiLEDOgkciLoMqhGuqayqLBIS6MM3Y1ghAAAskoGbwJxjmmIrloEqkoKNomTQ +do4gCO4RDn4C/oAmFaACBQDRDwAAAAAA//KEDaANBQAvkoCb8Zpjn2L7MAYV7/8yAAAsFhT6IqYV +4AoFAFlTUR6HRhmHSCoSFo7oKxIVLBIU+d/tmJAPNQD/8YANoA0FAMDQwIoI7jQYhzz/AQYVr/E6 +ACIKiNEPK6AH+iAABfAMFQD7YwAV4A01AFgfHYwgCMwRDHwC/IAmFaACBQDRD9oQ+kkAFeAMNQBZ +UE8tIHz8IKQd7/s6AGwQBhiHUeeIFBooBIAAlRDoAAUByIEAAAkCYQkCYQkCYQkCYQkCYQkCYSkg +BCQ8Tu0iACHRIQAA9SAH4pIAnQAmIhYlcjnvISIrPkKAAKdVLlB8jFgtNg4mNg3swAQhOUUAAO81 +IiExOQAA/mgmHaAdxQD9gAUMYgCdAPquQBXgDGUAWVAr2kD6rYAV4AxlAFlQJ/QgCBXgBAUA23D6 +bCAVoAw1AFlQIueH7BtYBIAA+mugFaAMNQBZUB2JKZk75DYMJIAxgACTnJMpLiANLSEHLCEJKHLG +KyAHKiAW7yAMJEAFAAAodsYvNAwuNA0tNQclNQgsNQkrNAcqNBb0YEYVoAllAPhghh3gSPUAKDQF +0Q8AAAAAAADlEgArWASAAFkRpOpEAAvYBIAAWRGi//2kDaAEBQAAAB2HxCwhKSkgfI4gnj0pNEHs +NSIhWckAAPxhxhXgDGUAWU/12kD6TYAV4AxlAFlP8okr+GFmFeAEBQAPAgDkNgwkgDmAACOWDPJB +ZhXv/R4AbBAGHIggjSD+QEgVp1UBAP6/wBXgAxUA/m0AD/AKVQDyQwgV4DsFAFlXNYoix43oqAEF +eDSAAPhARhWgAF4AAMiuWVUc26D6QGgdr/z1AFgbxiQgB9og9CAAAjALhQDtFAAKYASAAFgfE/FQ +MA3vxgUAHYgFjCANzAKcoBuHdYw+6BIAKk8CgACrmeiWACYAeYAA6zIQKVAEgAALwACKJ/0OJgWg +BAUA/w5yBa/49QDkoEZleIEAACSlFAb/AeimACf5AQAAn6ifqeQmByVYIQAA+8AFE6IAnQAuwn0d +hywr5gEtpgMpwn0ppgIown/rxn0kQAUAACjGf5QulC8kJhAkJhEkJhIkJhMkJhQkJhUkJhYkJhck +JhgkJhkkJhokJhskJhz9D7IFoApVAPoGAh3gPcUAWVbyJCQEJCQFlCIkJCAkJCEkJCIkJCOUKZQq +lCuULCQlGiQlG/pgaB2gCwUA/AACHaANJQBZEpXAINEPaFIowCDRDy3CgOvWASZICwAAmaMowoCY +oi/CguvGgCf4BQAA/5BGFe/9hgCLJy+5FIq5Lrwg5u4BB/hBAADvtRQlU8EAAOq2CSdxAQAAfqsq +KLEVHIZoqoiYuZyAiSD/D2AF4AwVAO+GAizOAoAADJkC+QAmFeACBQDRDxyGXpygiyD5D04F4AwV +AOmmAi3eAoAADLsC+0AmFeACBQDRDwAAAGwQCIw1FYegG4eU/Q86BeuMQQAIiAnphkUcRwKAAK2K +KqJ/q5mpiPlAF9wiAJ0Ai6ETh5SPoJ+wjqApqRCZF/vAJhXgDwUA76YBJWv/AAD/QAYV4/71AOMy +7iSGmYAAhKeLQfiAaBWoax0ABWYB5UICKzZCgAD2YABDMA4VAONCAC/IBIAA+cIADLAIBQD1wgAM +d1sBAPkABr5nM8EA9GAGexP59QAoQQj5AAYkYgCdABmHdiiSQyfRff9fABWnvAEA+R/gFa/MBQDo +lkMlkvGAAO/VkCVYYQAADLsB6YamFdkBAAAr1kcr1kb/IB/rogCdAB+HZ4v36rYBJ8hxAAAp1kGI +9yjWQI756vYHJ3AFAACe+RqHXvrgaB3gDAUA+0iIFaANFQBYEmbAINEPhPLAkffgaBWgBwUABJc4 +hPHAgPciAAw4ZB0ABWYBCWYRpjaD8PXgaB2nVAEA+OJAHaczwQBoNgoo8Qj/H/olIgCdABmHSPev +pBXnvAEA5bIuZXPhAAD0YASDEgCdACxBCC8iAO/WPitQBIAA7iYHKVgEgABb/rCIIMTS/QAAFDA8 +5QD4pgAMMD7VAPiAJhWgOjUA9kEkHeA/BQD0YAd7EDsVAH8xV3OiIHsyHSpgBSclNv1ADUQiAJ0A +/UANBGIAnQD/QBbFIgCdABiF2wg4CiiCEOokAApYBIAA/KBoHaANJQALgADAINEPKpFS8V/7b1IA +nQD8f+Idr/2iAAAoYAQnJTb1AAkSEgCdAI9A/vgAB/A5BQB58T1/ogJ7+gnDh3+CpcOVefKgKmAF +DwIAfKFLfaFIfqGRKgqFKkUI6iQAClgEgAD8oGgdoA0lAFkQcsAg0Q8AACpgBXyhDH2hCcK9+1/7 +JWIAnQAsQDD1n/rKkgCdAC1BCC46/37Rvy8KhP6BBB3v/uYAAAAAAADnJTciUPEAAOoDHgFJcQAA ++ACoHeAMNQDoQSYhGXUAAOclNynYBIAA6CU2INARAABZTqkvIGEuIGIuNAHvNAAg2BEAAPxMcBXg +DDUA7TQCIVGFAABZTqDqJAAKWASAAPygaB2gDSUAW/yOwCDRDwAA6CIAK1AEgADo1j4pWASAAP5A +5hWj/PUAW/5H5yUJKVAEgABYD3nAINEPAAAqTBn6yiAV4Aw1AFlOjCpMHfrJwBXgDDUAWU6I+gZi +HaA7FQD8B8IdoE0lAP/6yA2gPtUA6iQAClgEgAD8oGgdoIlFAPiBBB3gDSUAWRAowCDRDwAAAIwX +Eoa3+bIkFa/LBQDoFgQlSGEAAAuZASQiQ6mI6RYFJEEBAADoFgYiI/0AAOQmQyrfAoAAC8QMCEwy +JNJHIiK8nBfs1ZAqYASAAPiACWKiAJ0Ay7eIFpITrLT1AAqTogCdAOnEAAKAwYAAbVkFCSCGAgJj +JNJHKNmQmBcEtAiJFoIT+IALnGIAnQAk1keLF2Sw0hyFzf+AB3OiAJ0AH4aRK/IH6rYBJ8hxAAAp +1kEo8gco1kAu8gnq9gcncAUAAC72CepkAAjYBIAA/EBoHaANBQD+AAIdoA8lAFv81PvgAAYwjYUA +fckYaDYVLiEILzr/f+EM2mDrJAAK4ASAAFkQ/xqGefrgaB3gDAUA+1qoFaANFQBYEX/AINEPAADq +JAAK4ASAAPoQoh3gDSUA60UIKlgEgABZD9jAINEPAB6GaYnq6pYBJ0ChAAAo1kGP6i/WQIzs6uYK +JmAFAAD9wYYVr/AWAACLFe/VkCXZAQAAK9ZH+6jGFe/8cgCMFAxMDP2o5hWv+z4AH4ZWi/rqtgEn +yKEAACnWQYj6KNZAjvzq9goncAUAAP/hhhWv/GIAAAAMhAwESBToFgIpSASAAG2JBQxAhgkCZYgS +iRUELAjoWAwEyQEAAG2JBQlghgwCZ4kVBLwMrJks2ZDsFgckyQEAAPmo5hXv+mIAiBUojED5qOYV +r/ouAAAAAGwQBIo1CklR64Y2FIoBgAD1IAwAkgCdAPUgCMESAJ0A+SAE4dIAnQAosnn1cKgV65pB +AKmICYgRCFUIKFICKfr++QAEBHeqAQDoVgIlArmAAP1N4AHQG2UAe6lHKVIHLJkUI5IJZMBzHIYf +LzIELTEK/mFIFaAYBQD55gAPsApVAP5ghhXgK+UAWVUq6lQACdgEgAD8YPAVoA1VAFgCb8Ag0Q8A +4lYZKtAEgADlJggpWASAAFv5g4soyKOJsmSQU8Ag0Q8ahgkqoX7AwfFBoA3gAwUA2lBb+ltj/9r/ +/iANoAMFAAAAAAAAAIlXLFR4K5kU41YZJYAxgACDmfqgaB2gDTUA7DAHKdgEgABYAlNj/6QAACyw +B9qw/IBoHeG8AQD7YwAV4AwVAFgccsAg0Q8AAAAA6iQACdgEgADsRAAK6ASAAFv+QMAg0Q8AKLJ5 +9XCoFeuaQQCpiAmIEahViVcehKqMNC2ZFO7MAQaCAYAAi5kqtBuctyxQBuzM/yrQBIAA/KDGHaAL +FQBbn9HAINEPAAAA6iQACdgEgADsRAAK6ASAAFkRysAg0Q8A//8IDaALBQBsEEgbhM4lFoPjIAcp +yASAACqSAOgSgyI7/QAAJxaE66oBDKgEgAD6MKYVp4gBAPUACskRMwEAKSICKhKEKxKF7IRWHJDu +AADmhFcVUA0AAOunOQnPAoAA6oRSE/ANAAD0YAzSEA2lAAaZCCuSnv9gErOiAJ0AK5KdCj4KLuK/ +DrsB6xaCJZBRgACIyPcAEMCSAJ0AKWKuGoREZJHIKqJ/KWKtCpsB+yAN9iIAnQCwjZ3I+yAN7iIA +nQAuIBSk7g4ORy4kFPXAD6ZSAJ0A6FwQIgxVAADqTP8oyASAAG2pBQgAhgkCYSIWgOsUAADQCwAA +WUwK2KDqFoYlCfGAAMCj6xQADGAEgABZUforEoXTDw8CAMm56xKCKVAEgADtdAAC4CEAAFgVOftA +aB3gABIA6EEXaMAEgADqTP8tyASAANMPbakFCACGCQJhLBKE7VIDLmcCgACsu+xSAilQBIAAWBTy +3UDqIgcp5wKAAObMCAPYDQAA68adKlgEgAD7RAAVoAwFAFgQ7tKg0Q+GJ4Vo+sKkFe/NBQDoYgsj +YIEAAA3NAa277RaBJdkBAAD1AAj8YgCdAC5pFAxNEaXare4uZRT7YAnTogCdAMmayUjolAAKyASA +AG1JBQgAhgkCYSrCAA8CAA2qCPtAC6RiAJ0AmsDZUPUgaB3v+P4AAACIyPcABuCSAJ0ADDkRppkr +kp6zfv9gCduiAJ0AK5KdCj4KLuK/DrsBZLEpsI+fyOsWgi3yzgAAYABXiBAZhML8MGgV54jBAAmI +CiiCuCoSgOwQByjYBIAAC4AA+DDIFa/6hgDAINEPAMCwDYk06cYILfJeAAD6QGgdoBvFAPwAAh2g +DRUAWBuvwCDRDwAAAAAAAOs8GClQBIAA/OBgFeAMBQBYG6fAINEPAMCgWU/GHIO6iMj5H+7wkA2l +AGP/tdogW5zgY/4HAAAA//bYDaALBQD5AGgd4AoFAPrBZhWv/GIAwKBZT7gcg6yIyBqDrfkf+LiQ +DaUA//zQDaALBQAAAADluwwEgdGAAAtPFO78CCzABIAA7k42CtAEgADTD23pBQgAhgoCYauYKRKB +0w/vSgwEyQEAAG2pBQgghgkCYyoSgQveDK6qKqxA+4AGFa/6lgDAsA2PNP+BBhXv+04AAAAoEoEo +jED5gAYVr/oiAAAAbBAGJiBy+kCwFaAIhQD4xgALMAQFAPZORh2gFUUA9UAHRG/GBQD3CdAF4BMl +APpmNg2gBbUAc6Fn9UAM3ZADlQB1oXn1QAR0kgCdAHOpW4kiZZBWwKgqJAXwATgNoAqFAAAA2iBY +sQv6QGgdoAsFAFgWbRyDco0gIyQFLMKBK3KYrcwJzBGsuy2yByzcIAbMAeTVFCZhAQAALNYJnNgk +tAWNItMPZNF3KiAFwOn/QPYNr/JVANEPANJA0Q8AAI8iZf/nAioCW7UAZa/bwIr4QKYdoAqlAIki +ZZ/P2iBbtNllr8QsIAaLIvJAph3gDRUA7bsCBmAFAAD8QMYdoAqVAPpARhXv/p4AAACJJyiZFMCw +7pwgJPjBAADtkgkkB9mAAIPw7zcMAZshAAD2bQAN8BdlAObjAQaDSYAAiODTDw8CAH2BWizQAPWA +BiRgGVUA+YAF5GIAnQD3gAWkYBiFAPmABWQgGZUA+YAFJGAYpQD5gATkIgCdACzQBynhBZkQ45kI +DmcCgADs3QgEyQEAAOnbOX7gBIAA7cQADnz+AADxYcAN4C3VACywAH3BYY6+wLDu+AwHcyEAAAjr +OWW/5y8gTtxA/u0ADnABHgCMEP2vAA4//xYAAI0iZd7N2iBbtORlrsIvIAaOIsCc+ECmHeAIFQDo +7gIH+AUAAP5Axh3gCsUA/kBGFa/6jgAAAAAA/E4mHa/4SgD8gGgd7/wWAPpAaB2gCzUAWU8b5qCx +bRgEgAAWgv2KaPdABeiSAJ0AE4L9KzKudbNsLXJmLDKtDcsBfcBisK6eaH3AaNog/EEkFaANBQD+ +H+Id4A4FAFiwWvxEZBWgDQUA+0BoHeAOFQD6QGgdoA8lAFiwUyU2rSggBo8iwaH6QKYdoAkVAOn/ +AgRABQAA+EDGHaAaFQD+QEYV7/fmAMCwwMoMrDTsZggt/N4AAPpAaB2gG8UA/AAiHaANZQBYGr5j +/cwAAAAAAPpAaB2gG8UA/AAiHaAtBQBYGrdlPbFj/5XAoFlO1opo+V/54JIAnQBj/7kAbBAEGoQv +hj8Ug2KJPplg+GHIFaAHBQDimwwEyyEAAAuXOfcAJhWgBQUAlT/lNg4rkASAAONDJHGw4QAALqJ9 +HYQhluGdPyyifZw+K6J/5qZ9JdgFAAArpn/RDwAoooDmhgElIAsAAJQ/IqKAkj4vooLmpoAn+AUA +AO+mgiuQBIAA0Q8AbBAEiiLHjf9BYAeTmpEACKgBmCLRD8ieWVEG26DsIgIpUASAAFlOa9EPAABs +EASJJ/khiBWgJTUA9gSCHaAnVQDzJgAVoAsFAOKJDARDIQAA+Q0ADfAjlQDxY9AN4CS1AGAAEIq+ +wLDqLAwFUyEAAAyrOcqzLLAAc8noLbAQKrAfdNnfdaEFdqECd6nW2iBb/7v7QGgd7/92ANEPbBAE +FYMdDwIAI1GO6FFvIYFRgACEICUiByr6wOhDPHKQgQAA+kAEBTAJBQDpJQQlUQEAAJohmiDRD4wn +/YQAFe/OBQD/oAQGsAsFAOvFFCbpAQAAncmdyNEPAAAvWRRk/8GFWWRfvCNQAMJKdDm0GYPOiFR5 +iazRDwAAAGwQBCggcNMPfIcUKiAH+kAIFeCqEQBZEADIp9Kg0Q8AwCDRDysgcCwK9wy7AeskcC0Q +BIAA0Q8AbBAEFYLGIlJ/8EJADeAGBQAiUn2EIYkgmUCIIJSBliGWICNSf7Az41Z/IRPhAADRDyJS +gIQhiSCZQIgglIGWIJYhI1KCsDPjVoIhE+EAANEPAAAAAAAAAGwQEoo4hzn4QPAV7wsFAPtABAV/ +zwUA+uAEA/eFAQD5AASZUVkBAI0njNgr0RXm0gsm8IEAAA/vAe+7CApPAoAA7GkQddkBAADAMJPb +8sBoHeABlgAo2RQpFhOslqmIKNUU92AQUqIAnQDsuwwBgeGAAPeAaB2kix0A6BYSJEAhAADoSDYJ +6ASAAG2JBQ0AhgYCYSgSEgs9COhIDAeZAQAAbYkFDSCGAwJjC50Mrf0t3ECd4NPAjiLI5sAg0Q8A +AAAAH4INiTQeghwWggzxIAlm0AsFABmDci0xCysmGesxCirnAoAA6ZB/KqAEgAD0oBPSEgCdAKbM +KMKe9wAsydIAnQAswp0PWAoogr8IzAHoggcWBmmAAC8hBw8PSgz/EQj/Ap/AjyD6IyYV4CgFAPmA +ZhWgCDUA7sYCL/YCgAAI7gKewS4gBCkWGioWG/XAC/wSAJ0ADd8JHYM3DP8Rr90t0X/1wBJSkgCd +AC4iEp4dKCBMmBwegzAOXgIfgsUM2BH5gQYVp4odAA+IAQiYAijFChiDKvuBZB3g9/kAn8YIeAH/ +GAAUOPq5AAj/AhiDJJ7E78YHKv+CgAAI/wKfySggBwgoQPMQABQ3//UAD4gCmMsMTxH34ABHsAg1 +ACj2nWTBbIs0xs8MuwGbNIkiwKEKmQL4QEYV4AIFANEPHYJb8SAEpxAKhQAagyIron37UwgVrHkd +AKe7CbsRq6oqJhmSqPSgDNoQC+UADFwRpswuwp77wCUT4gCdACzCnQ9eCi7ivw7MAWTBti/Rjsj5 +KNFv+OAiW6IAnQDbIOw0AApoBIAAW/Y0wCDRDwAAAAAAAOnEAAGAsYAAyE4tEhNtSQUDQIYJAmWG +4K1me2k6KPxA+cAGFa/4ggApIHbInesiGSlQBIAAW/Zw0qDRDysgd2SxXyzRjmTOAdogW/dL0qDR +DwAAAAAAAAD3wAYVr/eiACwWFxqC3RmC7vhC5BWgCwUAmxmbGJsXmxabFZsUmxMpkowrFgH4wAAG +sOg5APnvAAzyiEEA6pkCDH5CgADv3QIPdAKAAA7dAvgiBhXgDhUA7hYAKNAEgADtFgIg2MEAAFgJ +4WSjK8Cl/QWMBaA7JQBZUeXApf0FhgWgOyUAWVHiiiJlrWTrXBgpUASAAPwAIh2gDTUAWBlMwCDR +DxyBYSkWGozIKhYbLBYR94AbIJIAnQAMTBGmzCjCnvcAHGHSAJ0ALMKdD0gKKIK/CMwBZMN6LxIR +GIFTsP//AQYV7/WKAC4iE54dKCBQ+CGGFa/24gAYgUuIiCoWFigWEfcAGwCSAJ0ADFwRpswpwp77 +IBuz4gCdACzCnQ9eCi7ivw7MAWTDZC8SERiBPrD/n4hlzkjaIOwkAALYYQAAWBEFwCDRDwAoIHhk +jKEZgTaJmCkWEfcgGjiSAJ0AK2KuZLN7HYKYLGKtLdJmDcwBZMNuKBIRGYEssIgoFhGYmGTDQB2B +KSfQgCd8NwdHFOwWDiO4HQAA9KANGhIAnQAMXBGmzCjCnvcAHJviAJ0ALMKdD10KLdK/DcwB58QA +BhnhgAAvIBSk/w8PRy8kFPXgGqZSAJ0AKCIZ6TIEJA0JgAD7JgANvNkdAPpghhXgCQUAwPAvJHiM +MC8mGfGAB6qSAJ0AiicoqRRkgbqEqSMgByohB49B60IELK4CgAD7ApoFqpoBAPXmAA///IUADLsB +JUEXm0QsICyfQe+BRx7tAoAADcwC7LsCDM8CgAD6gIYV4LMRAPVwABWxMwEA65kCCcQCgAAIVQIP +VQIKmQKZcIwglXSfdv7gRhWgOwUA+uBmFeANBQCddfzg5hXgCiUA/YAAFjANRQDtzAIKSASAAOx2 +ASPogQAAbaoFCWCGDQJnhUIlJhyJQSd8QPMgFDhSAJ0A8yoYDeAEBQDAs/pA6BWgDAUA/mAAF7AN +NQDm/wgCcBEAAO72nSVQgQAAWA240qDRD4gyKCYciTHoFg8r2ASAAA8CAPMgE9BSAJ0A8ylIDeAD +BQDqIgcq3wKAAPdgAEWwDAUA47adKmgEgADqrCAqWASAAFgNpdKg0Q8ALBIR94ATsJIAnQAMXBGm +zC3CnvegFEviAJ0ALMKdD10KLdK/DcwBZMJ3LxIRGICusP//AQYV7/keAAAAAAAAAPwAAh3gGAUA ++SYADDCJhQD4YIYVr/l+AAAAHIH6/CGIFeAKVQD+IagVoDslAFlRGCoSGykSGisSGSwSFy4SEP/r +wA2gDQUAAP/5DA2gBAUA/+nMDaAMBQDqdAAK2ASAAFgDfOtUAAlQBIAA7IH8G+gEgABYEOjAsSsk +eIk0x6cKmQH4YIYV4AIFANEPAAAAAP/tqA2gDAUAAAAtFhj6IyYV4AoFAFlMiyoSGx6AkSkSGhyA +fSsSGS0SGIzIH4B9LBYR+Z/joJIAnQD/5+QNoAwFAMDALxIRwIoI/zQYgHP/AQYV7+eSAADAoFlM +ehmAbh+AcB2BDImYKhIWKRYR+T/kUJAL5QD/8qwNoAwFAMDAKxIRwOoOuzQegGT7wQYV7/JaAMCg +WUxrGIBgHoBxiIgfgGAoFhH5H+UwkAqFAP/zAA2gDAUAiSJlmSb6QGgdoBvFAPwAIh2gDRUAWBg9 +wCDRD8DAKxIR/QCgBeAIpQAIuzQrFhH7oQYV7/ImAIkiZZjvHYBKLdCA7dw3KVAEgAD6owAV5N0d +AP2g4BXgDBUAWBgrwCDRDwDrEg4pUASAAFuZZx6AT//yeA2gCoUAAAD/8eQNoAwFANog63QACuAE +gABbmuiJQSoWFOSkAA13AoAA7ncIBOs9gADrdAAJUASAAPygaB3gDAUAW5qt9UAAQj/1MgAA2iDr +dAAMYASAAFua2IkxKhYV46QADV8CgADrewgE66WAANog/CHoFeAMBQBbmp/zQABB//V+AMCgWUwl +HIAZHoAqjMgfgBksFhH5n+u4kAqFAP/vhA2gDAUAwMAtEhHA+g/dNB+AD/3hBhXv7zIAAAAAbBAI +kxAmIAUrIAeJJ+2Bdhr4BIAA8NawDeG7AQD7JgAVp+8BAPXADUESAJ0A9IAEAdAMFQAagW0tIQjT +D/VPsBXgCQUA+0+QFaAGhQBtai4AUQTogWceMAqAAOagG3TIBQAACFgKJ4H/Jo0CJmEAd9MH/MAN +uuIAnQCxVQUFQp4SmxP+IIYV4AcFAMCl/QKyBaALBQDudAAK+ASAAFlQXo8UFoFSixOOEuVkfSuX +XgAA1UAagDTrFgEtzwKAAPVgCUoSAJ0AHH/dDwIArJkskp71gBXb4gCdAAq8CumSnSZgEwAALMId +DJkB54AcFI4pgAAqIAcKJkAKZhAHZgImlgAtIgAff93vlgIu7gKAAA1dAi2WAfSADk1iAJ0AKDAD +6JYDJNBBAAD5wA+RUgCdAOs8EC1IBIAA6xYAIgw9AACwSm2pBQsAhgkCYcAwHIEq/EAIFeAKVQD+ +IAgVoCuFAFlQLY0RHn+1DN0Rrt0l1p0sIATuIAUuAE4AAMzhZDDp0jDRD2WelSbS62RiminS6Y6R +ipCa4IaQ3ND+wCYVoA0FAJ2QnZEqwuuwqirG6+0iACTz/wAA7eY+JMvhAAD4QOYV4AwFACwkIPxE +Jh2v+UIAhpzAkOpsDAMzIQAADGk5ZJ5J8AG0DaAstQAdf5GM2PeADXCSAJ0AiREWf5AMmRGmmSeS +nvTgDjviAJ0AhhEKZgrpkp0jMBMAACZiHQaZAWSRrrDI+aEGFa/7BgCeEvogZhXgBxUA/iCGFe/5 +QgAAAACNnsCQ7aYMBushAAAG2TlkndgmkAB8aedknc9tSQUDAIYJAmHAINEPiSf3ABwF7/r1APsg +BhWgCAUA6CYHJMAhAAD44AvD4gCdAByA1y/C6R6ABZjxnpMtwumdkivC6+jG6SXYBQAA68brKZAE +gADRD4oiwG56YA2IJ4iCZYG3x5EJqgGaImShF+okAAnYBIAA7EQAD+gEgABYEMXSoNEPHH/9H4DF ++kEEFeAoBQD5IGYVoAYFAPcgphWh2gEA75YGLuwCgAANuwIMuwLrlgQk0IEAAPXf8LESAJ0AjCeL +zI2xj7Cf0I6wCqkC/cAmFeANBQDttgAmYMEAAO22ASXrYQAAbUkFDQCGCQJhFn/VJ7zI9sAH0+IA +nQAZgKMuku8dgJ2b4Z2xKpLvmrAokvHrlu8kQAUAACiW8Y/AwDDs9gwH+yEAAPftAAm/9wYA//VY +DaAJBQDAU/SAQAL/9FYAnhKbE/4ghhXgCgUAWUsrHX8fjxSLE4zYjhIaf3L5n/GokgCdAP/0fA2g +CQUAAMCQwGoGxjT3oQYVr/Q+AAAAAACfFOokAAXYYQAA/KBoHeAMBQBYFvj+IIgV7/s+ABuAei6y +7B2AapjhnZMssuyckiqy7ui27CVQBQAA6rbuKZAEgADRDwAp0uztkgEu0ASAAIaQltCOkP3AJhXg +DAUAnJCckSai7rBm913GFa/1ggAZgGUukvIdgGqb4Z2xKpLymrAokvTrlvIkQAUAAPk+hhWv/CYA +AACbE58UWU1T26DsIgIpUASAAFgT/Y8UiiL6IGgV7/jOAAAAAGwQBIooiacomRTrkgkkAXGAAPlA +SBXgDQUALSQELSQF80MmFa/85QAMmQGZov1g8BWgDTUAW/yb0qDRD///SA2gCwUAbBAILiAElRL1 +wBqjkBeFAPfAG5RgJbUALBICDAxHLBYD9YALiRIAnQArMBD/AHAF4AgVAPVgKARgLdUA/WAqhGA+ +JQD/YBCMICZlAPdgFYQiAJ0AihMpIAf1QA0BEZkBAIoi/EEEFeALBQDrFgEtIeYAAOXwfSIZu4AA +K/B8HoAk+gACHaAMhQBtyi0OXArnwf8qgQqAAACGGuawF3VQBQAA59MPdjALAAAmYQD8wBIi4gCd +ALFVBQVC+CCmFeAHBQAqCgX9ACYFoAsFAO50AAr4BIAAWU8YFoANiRXlZH0rpn4AAARFAhp+nOkW +ACzHAoAA9SAJKhIAnQAbfpgLiAgsgp71gCUD4gCdACiCnQqbCiuyvwuIAWSDfCkgBx9+1w8CAAku +QAruEA/uAp6AjCAdfpkvEgPthgIuZgKAAAxcAiyGAfSAHH1iAJ0AJjAD5oYDJEhBAAD54B3RUgCd +AOg8ECIMPQAAsEptqQUIAIYJAmHAMIkQGn55DJkRqpkllp0oIATMhyogBWWgAmQ0/NIw0Q8AAIwn +hDL1gcAN57TBAB1/4B5/4B9+lPhhABWgpiUA7OzgJ0vBAAD3YCGMIgCdAA8Ahw4CYeMGAA5QBIAA ++oJoHaPk4QBt6QUIAIgJAIoN5hG/ZAREFObUbyIgBQAAJNRz84BoHe/4zgCGJ4psJmww91/yzCIA +nQArrMhkvk7AYfYgJhWgCk4AHH5Ni8j3YCGYkgCdAIgQHX5MDIgRrYgtgp71oCIj4gCdAI0QKIKd +Ct0KLdK/DYgBZIQwsL6eyGWOxGACPoYT+N/vqVIAnQApICJkkEMqIAcbftXAwPxERh2hqgEAC6oJ +K6H+qbkppf4pICJklCcq8jiNK+ChBASz/QAA4GYaDHAKgADm3QgHc/0AAA7dAi0mCikgIPpAsBXg +CkUACpkCKSQg+X/skVIAnQAJC0P5f+w9UgCdAIonwLDrJCAlUEEAAFgNGv7/FgXgCBUAwMAsJBT8 +QKYdr/WOAMBx+CCmFe/3HgAAjRP5v+pxUgCdAI4nyeDaIFv6hdogW/uu/v76BeAIFQAqIAXxTHAN +4AmFACYgIAlpAikkIPlf6OFSAJ0ACQpD+V/ojVIAnQCKJ8Cw6yQgJVBBAABYDP3Agf7+2gXv/jIA +6iQACdgEgADsRAAK6ASAAFv/Etyg6iIILgLeAACNomTQUcAg0Q+PMvz+0gWgClUA/EAIFef/wQD+ +IIYV4DsFAFlOZYgUwmZ2gWV1gXrCffcABGxiAJ0AKQoyeYnE6iQACdgEgADtEgIqYASAAFkL6MAg +0Q8roAf6IAAF8AwVAOu8GCpoBIAAWBXEwCDRD4wnZMBk+EQGHeAOdQD+QKYdoA0FAPxEJh3v8TYA +AAAAAADqJAAJ2ASAAO0SAipgBIAAWQxRwCDRDwDqJAAJ2ASAAO0SAipgBIAAWQwPwCDRDwDqJAAJ +2ASAAO0SAipgBIAAWQv3wCDRDwAcfjMmwn9kYnYqwn2OoYugm+CGoP7AJhWgDQUAnaCdoSvCf7C7 +K8Z/7SIAJXP/AADt5j4lY+EAAPxA5hWv/X4A6iQABNhhAAD8oGgd4AwFAFgVk+okAAnYBIAA7RIC +KmAEgABYDxWPImX+voYRZG65+kBoHaALBQBbmQDAINEPAIoTHH5HHn8P+kEEFeAGBQD3AKYVoC8F +AP8AZhXh2QEA7oYGLuwCgAANuwIMuwLrhgQkSIEAAPVf4nESAJ0Aiif9IGgdoAsVAOqsMCpoBIAA +WAFS80BoHe/xGgAAAAAAAPz9/AWgClUA/EAIFeArhQDvMB8p8ASAAFlN+SgwH8Kz+wALJGIAnQDC +xP0ACtQiAJ0ALQol/QAKfGIAnQD6QGgdoAslAFgCHsCB/v3EBe/rOgAAAAAAAAD6QGgdoAslAFgB +6MCB/v22Be/qzgAAAAD/7bANoAgFAMBT9IBAAv/sygAafkf2QPAVoYSBAKqKKqCA9EEEHaD5tQDp +ZgENV4KAAPrGAAsw+cUACWYBCGYC9kDmHa/u2gAkMQsPIIfuLAACU+EAAPKQaB3jqgEA50z8JVPx +AADqdDgOUASAAPqSaB2gFgUABk42/m4ADT/35QB3oQqyr235BQhgiAkMirKmDWYRv2T/sWYdpEQd +AObUbyIgBQAA9a5mHa/ujgAAAPggphXgCgUAWUlGHH07i8iJFRp9O/l/3cCSAJ0A/+9oDaAIBQAA +wIDAqgq6NPuBBhWv7yoAGH3PK4GOybItgW+MIH3LC4gnLokUyOOPiWX65togWADA0jDRD4kp+EFG +Fe/v1gAAAAAA6iQACdgEgADtEgIqYASAAFv9E9Kg0Q8AKsKAjqGLoJvghqD+wCYVoA0FAJ2gnaEr +woKwu/uQRhXv9iIAbBAOH31NGH6Mkx/kfUsaWASAAI2CiYPuggEg4EEAAJ7BmcOdwuiCAClQBIAA ++YAGFaANBQD0ICYVoAgFAPggBhWgDgUA+CBGFaAMFQBYDjvA0P4AYh2gDwUA+PtqBeAMBQDsFgEt +WASAAOwWAilQBIAA+CAGFeAMFQBYDjAqFhAVfQfkfQMdWASAAON9HBVIIQAA6CcRCTeCgADy+zoF +oAhFAOh3AgVogQAA6H0gFWDBAADjAAUA8IEAAP4AqB2gDyUA+MYACzAOBQBt+nqUsOe2ASz4BIAA +8gQKFeAIBQAPAIr3YMYVoA8VAA6POevoEQ/+AoAAD4gC7xIPJMkBAAD1YIYV4CqFAOq2BS5QBIAA +6P8CBmEBAADi/wIHcAUAAO+2ByD4QQAA7wseDsAEgADoTAAA+IEAAO8HHgbpAQAA6iwABdkBAAAi +EhAiLQEiLIDRD2wQDB98+BV89xl87B1+NiggB+NCBCnYBIAAjNGG0urSAyDwQQAAmuMJMwGW4v3A +JhWhKAEA/aAIFeAMFQD9wAYV4AYFAOYWACnQBIAA9iBGFaANBQD0ICYV4A4FAFgN4vIh5hWgDBUA +/vq6BaAPBQD+ICYV4A0FAO8WAi1YBIAA7hYAKdAEgAD+AGIdoA8FAFgN1RJ8qh99SRh8z/xgABKw +BkUABlUC5nzAGaeCgADy+UwF4A0FAOhEAgVIIQAA5gAFANiBAADrDAAFYIEAAPtGABXgCCUAbYp6 +kqDlpgEs8ASAAPYEChWgCBUADgCK9UDGFaAOBQAN6Dnr3hEMRgKAAAjuAugSDyTJAQAA80CGFeAn +hQDnpgUtuASAAO6IAgXZAQAA74gCBugFAADopgcgwEEAAOgLHg5wBIAA7kwAAMCBAADoBx4GYQEA +AOcsAAVRAQAAwC7RDwAAAGwQBIsnHHzc+PoIBa/59QD5YAYV4A0FAJ0n64M2ddAhAAApwn0YfP2a +kZizL8J9n7Iuwn/qxn0ncAUAAC7Gfxt8+CqxjuyxbyUASYAAiiB8oybRDynCgOqWASZACwAAmLMv +woCfsi7CgurGgCdwBQAA/5BGFa//KgApIRpulAwtJRrwACQNoAkFAAAAaJPCwMEMnAIMDE/sJRou +HtwAAC2xeg2qDFiqdtEPAABsEAQrIAfsfacZUASAAPogAAXwDQUAWAyT0Q8AbBAE2CCJgIaRHHzR +65IAKZAEgADrZgAqGASAAOqSACqgBIAA4n2XGS8CgACllfdAJhWgBgUA5pYAJOshAADmlgEiqyEA +AG1JBQUAhgMCYRZ9jX3DKioifZmhlpEjIn2TkC8if+kmfSf4BQAALyZ/joDAIOjvDAdzIQAAD+I5 +0Q8vIoDp9gEhcAsAAJ6RLSKAnZAsIoLpJoAmYAUAACwmgouAwCDovAwF2yEAAAyyOdEPAAAAbBAG +HXwJHHwKGnw2KSAHhzT4+BAFoAvlAPVgQAexmQEA6RYALLcCgACoZi5ingp3AZcR/8ALi+IAnQAu +Yp0MnAoswr8M7AHnxAAGCymAAIrY90ALmJIAnQAugq7me/cXCEmAACyCrSZif+bLAQVz/QAA94AH +riIAnQCe2PeAB8YiAJ0ALyAUpP8PD0cvJBT14AquUgCdABt8zR978ooRK7F/7XvzHLcCgAD4wABD +N1UBAOujVnPIQQAALjADn3CMIO52AyHAQQAA7XYCLmYCgADsTAICU/0AAOx2ASIMNQAAbakFCACG +CQJh5GadIpXVAAAqIgf6gGgd4AwFAOqsICpoBIAAWAiy0qDRDxx79os06iQACmgEgADsuwEJ4ASA +AOsWAivYBIAAW/7/IxIC6madIpR1AAAqIgf6gGgd4AwFAA8CAOqsICpoBIAAWAig6xIAKVAEgADs +fS4Z6ASAAFgMDMAg0Q8AAMCwwMoMrDTs1ggt+IYAAPpAaB2gG8UA/AACHaANFQBYE5HqJAAJ2ASA +AOxEAAroBIAAWA7V0qDRDwAAAAAAAP/6bA2gDAUABL03ixDaIPtjABXgDAUAWBOEY//FAAAA+CBm +FeAKBQBZR6Ade5WK2IkTGHuW+V/zwJIAnQBj/4/pFgMpUASAAFuUtxh7kPggaBXv+nYAAABsEASF +IOJUDAKbIQAAwCAEMjnRD2wQBCogICsK8/tABAVwBAUA6iQgIZSdAABpMRj/QqAHkPzVAAysASwk +INogW/j6LSAgZNBp0Q8AAAAAAP9eoAfQAxUALgr+Dq4B7iQgKVAEgABb+RAoIAccfAspICL0REYd +oYgBAAyICS+B/qn/L4X+KSAiiisuwovkkDJk6/0AAADhBODdGgnYCoAA7aoIBdv9AAALqgL6QUYV +r/4+AIonKqwQWApZJCQUJCQF0Q+LKfpBRhXv/dYAAAAAbBAEKiAFwIh6gzTxRFAN4AUFACogIPNE +QIXgBBUAA6kC6SQgIZWZAADaIFv4zNogW/iuKiAgCgpBaqND0Q8AAOokAAnYBIAAWQj3Za/tKiAF +Y/+2iydksIAae94soY7uoW8mfYGAAI0gftumaTKjG3wiKiEaC6oB+kNEHa/+XgDaIFv4jyQkBdEP +ANogW/jSKCAHHHvNKSAi9ERGHeGIAQAMiAkvgf6p/y+F/ikgIoorLsKL5JBjZOv9AAAA4QTg3RoK +WAqAAO2qCAXb/QAAC6oC+kFGFa/9TgAbe5Qvsn/psn0nggmAAIqRjZCdoIyQmsGVkJWRKLJ/sIgo +tn/vIgAkw/8AAO+GPiTz4QAAniclJCD0RCYd7/0KAIkp+EFGFe/8IgApsoCNkY+Qn9COkJ3hlZCV +kSyygrDM/XBGFa/+/gAAbBAMJBYIJRYGKSAHJzAQKjAD+iDmFaGZAQApFgn04BbckA61AP7gFxwg +DwUALxYFKCICHHr4+vXyBaAJBQDpFgQsD54AACsSCRV69esWCiJ4KQAA9WAJIhANpQAMuREFmQgu +kp7/wB4b4gCdACaSnQq4CiiCvwhmAWRhmPr3CgWgGaUA+OAJpGIAnQArIATowggliZGAAPcAG4iS +AJ0ALlKuGnrgZOFJKqJ/KVKtCpsB+yAJ/iIAnQCwjZ3I+yAJ9iIAnQAuIBSk7g4ORy4kFPXAGm5S +AJ0A9OASnBIAnQCIFht63h162p1giiCMF5xj62YCLVYCgADqSgIDSEEAAPrAJhWniAEA+QARmVIA +nQDoPBAiDD0AALBKbakFCACGCQJhwICwSe4yACzPAoAAqWnoFgsk2EEAAPPAETqQDRUAKgqB+uAI +9CCMNQCYG/zgCKQiAJ0AjxruEggv/wKAAKX/LvadLSAEzNvAm3lxZCogBcyhZINv0oDRDwCIyPcA +F1CSAJ0AiRoMmRGlmSuSnrpO/2AXs6IAnQCOGiuSnQruCi7ivw67Aea0AAWXQYAAsI+fyGVuxGAA +WgAAKKGOZI7JYALZKSAUpJn4QoYd7/wqAAAAGnsvKqGOZK+RHHssiyAswW98u4baIFv+TMAg0Q8A +wLANjjTuxggt9lYAAPpAaB2gG8UA/AACHaANFQBYEmtgAB8oIASLGe1MCilQBIAA/gAiHeAMBQDo +/DgF2GEAAFgSYowVZMIZ6iQACdgEgADtEgYqYASAAFgL4o0iZd+ajhRk75X6QGgdoAsFAFuVzsAg +0Q8UewrAMfYhKBWgDBUA9I5EFaAIBQD4ICYVr/n1APggBhXgDVUA9oAAQjAOBQD2QAgVoA8FAOYW +AipQBIAAWAuW/AACHaANVQD+AAIdoAYFAPYgBhWgDwUA5hYBLVgEgADmFgIqUASAAFgLi4sYiSIq +IAaIG+OZAgXYGQAA6xYIJVAFAAAqJAb4QEYV7/mWAAAAAAAAAAD6QGgdoAsVAFv+7WAADAAA+kBo +HaALFQBb/rqKFgoKR/VACKESAJ0AwLH6IKYV7/QaAADaIFkJN2ShfowiZM2jY/71jRXoIgcmjDmA +AP0gaB2gCxUA6owwKmgEgABb/ff5QGgdr/cWACYgBy4gBBx6df5ACBXgphEACqoQDKoC5OGjb+YC +gAAfek4eejWalP8gxhWgOgUA+yDmFaAORQAOzgLulgUk0IEAAP4ACB3gDjUAbeoCCgJhGXpkKiAH +JiEI/PcgBaAPJQD14QAPsaoBAOy2Bi1UAoAACmYC6WYCBdCBAADmtgQtSASAANMPbfkFAwCGCQJh ++2gAFeAMBQDspAYiSBEAAPghBhXv9OoAAMCgWUYMHHoAiMj5H+QokA2lAGP93dogW5MmY/yuAAAA +//EkDaAGBQCNJ4jcLdww/R/3LGIAnQAujMhk7trA8f4ghhXv964AAOokAAnYBIAA7RIGKmAEgABY +DR3SoNEPAMCgWUX0HHnoiMgaeen5H+hIkA2lAP/0vA2gBgUAAAAA//RgDaALBQANiTT5gQYV7/Rm +AOokAAnYBIAA7RIGKmAEgABb/c/SoNEPKiAF+V/z1FIAnQDAsvpAph3v+cIAAP0gaB2gCxUA6owg +KmgEgABYBrf5QGgdr/EKABx6aizBjugWCyYBQYAAHnpmjSAu4W+YG37bFoknL5kU6BYLJ4BxgACK +megWCy1jFgAA2iBb/VWCG9EPmpQrIQjkec0SGA0AAPIhBhXh5gEA9SDGFaADNQDjwwIPdAKAAA67 +AvMgphXgLgUAnpckIBSOKYMrk53/IYYVoAMFAJOZ7no8GiICgAANRAKUmw67ApuYDv4C7pYKJNkB +AADyQoYd7+7mAGwQCCcgB+giAiJL/QAA6RYBImANAAD4IEYV4XcBAOl5nBwGtgAAFnmb7XmXG8cC +gACmiCuCngl5CimSv/1gB8OiAJ0AK4KdCbkBKCAE6RYDJId5gABkgI+I2PcAEiiSAJ0AK2Ku7HmM +FYVBgAAqYq0swn8MqwHvAgAEc/0AAP1ABI4iAJ0Antj9QASmIgCdAC8gFKT/Dw9HLyQU9eAQzlIA +nQD4IGgV54UBAPkABZFSAJ0A6DwQIgw9AACwSm2pBQgAhgkCYcCAijDzQAjikgCdAOsSAivnAoAA +pszrxp0sEASAANEPAAAtIBSk3fxChh3v/soAAAAA6iQACdgEgADsRAAK6ASAAFgMkdKg0Q8AAMCw +wOoOjjTu1ggt+6YAAPpAaB2gG8UA/AACHaANFQBYET9j/8EAAP/8OA2gCQUAjRHqJAAD2GEAAP4A +Ih3gDAUA6Pw4BugRAABYETVj/5cAjSeI2fmighXvxQUA69EVJuCBAAAFxQGVEOW1CApXAoAA6pkM +BEBBAACY2QiZMunVFCKpAQAA9QAMQuIAnQBoqz2oqyu88PqgCLviAJ0A7RIDIgx1AACwTm3pBQgA +hg0CYSvCAQurCOnJBCXbwQAA9WAOlGIAnQDrxgEtwASAAGWe5GABIAAAAAAuIAQtIAeKEYkTG3lw +7yIALVcCgAD7IABEsK0RAAqqEOuqAg/mAoAA63kvFwjRgACbkpqQ/vKIBaA6BQD7IGYVoA9FAA/P +Av8gJhXgDTUA7gAFBNBBAABt2gIKAmEdeVwuIAcsIQj+9RIF4AslAPVhAA2x7gEA75YGL3QCgAAO +zALtzAIE+IEAAOyWBC/QBIAA0w9tuQUDIIYKAmOMEcDQ7fQGJmARAAAMfRGm3ezWnSwQBIAA0Q8A +AMCgWUUDHXj4iNj5H+2IkgCdAGP+Z9ogW5IdY/3iAAAIXQz6IGgV5P0dAG35BQhAhgsCZYsTiBAP +Twytu+iMQCeMPQAAsP5t6QUIYIYLAmeIEC/JBA2pDKmIKIww6MYBL+4uAACKEMCw68UEJVEBAAD7 +gCYVoAgFAPuABhWv9qYAC4gM+aEmFa/51gAAABN5Z5qQ/kEEFaAqBQCak/sgRhXhTQEA4/UCCiQC +gAAE7gLzxgAPcAM1AAPDApORhCkqIBSDK5OZlJielPUgxhXgDhUA9CAoFaAFBQDllgUtUgKAAA6q +AuqWByIgDQAA5SQULBAEgADqEgQrrwKAAKZVJFad0Q+IECiMQPmAJhWv+MYAAGwQBGhDBmhCQsAg +0Q8oIAawiAgIR+gkBix/hgAAiSIsMAHHrvsgBASw+9UA6SYCLhiwAAB7ydH6QGgdoAsFAFuUBsAg +0Q8AAAAAAADrPBApUASAAFkIOsAg0Q8A6iQACdgEgABZCErAINEPAGwQBBt4oxl6FP3/4h2gChUA +/mBoHaMoBQDjMwkPAQqAAOgoKA1QCoAADKwDqYnikr4paASAAKuI65K/KZ8CgAAIMwgoksALywEr +lr8IyAEolsDizAEBmCMAAOyWvioUAoAA4jbfKngEgADiNt4iAGmAACuSvgurAiuWvsCk/PPuBaAr +BQBZSPLAINEPbBAIHHn0lxAoEhEpEhD4IIYV4CsFAOkWASloBIAA6BYFKtYCgADoFgIqfAKAAOr/ +AgnwBIAA/sYAD/AKRQBZSOEceeX+8OAFoA8VAPjzyAXgDQUA8IqQDe/69QBoQQTGKtEPAPogqBXj +JwUABycoAzgJqXSud+gSBCx3AoAAKRIS5+4ICYEKgADg/xoHcCsAAO67EQc6AQAA6bk4BAcBgAAJ +uTgp5cAsQr8Yec0qEgUM/AIsRr8q5cXo5lwihrmAAGlRmooUKxIFWUoS/AECHeAMBQBZSTv8AAId +o+2FAFlJR+5CvylQBIAA7LQAA+gLAADt0QAp2ASAAFkSysAg0Q8DOAn6YAQA0ycFAOcnKA/gCoAA +CswDqXSud+tCvyxHAoAAqHcYeawMuwHrRr8juCsAACh2XO11xSKEEYAA+L/46NIAnQCKFIsVWUny +/AECHeAMBQBZSRv8AAIdo+2FAFlJJtog7kK/LeAEgAD6YGgd4A0FAFkSq8Ag0Q8AKEK/CvkDCYgB +KEa/LeXF7OZcKvmOAAD43/Y40gCdAO5CvylQBIAA7BIEI+gLAADt0QAp2ASAAFkSm8Ag0Q8AyW34 +3/To0gCdAOwSBClQBIAA7kK/KdgEgABZEpPAINEP2iDsEgQp2ASAAFiRH8Ag0Q8AAGwQBikwAmSQ +/2iRP/0gwAFf4qUA0Q8iMAb48aYFoykFAAkpHamIKIKfJDAF4zIDJAUhgAD6AIIdoCsFAOx5bhlo +BIAAWUhjxirRDwAvMQgUd/EZeWIuMAf8YNAV7/j1APJkAh2gChUA7uwJDwEKgADi0h0NUAqAAAio +A6kpK5K+pCLkkr8uZwKAAKLMIpLABIQBJJa/AoIBIpbA64gBBmAjAADolr4v3AKAACvG3+vG3ieA +aYAAKJK+CKgCKJa+wKT88o4FoCsFAFlIQsAg0Q8AAAAA+gCCHaArBQDseUcZaASAAO5EAAn4BIAA +WUg5ZEBB+J/6iNIAnQDqJAAJ2ASAAFkHcMAg0Q8qMAYrMAcsMAMtMAQuMAWPMokzmRAoMQmYESkx +CikWAlv/OdKg0Q8AAAAA6iQACdgEgABZB6HAINEPAGwQBBJ4lCIgBCI0CCI0CSI0CvJhZh2gAgUA +IjQC0Q8AbBAEwCDRDwBsEAQmIhDTD/DDIA3gBQUAGnehKCIR5yB4JUlRAABtCBkjoo4ikoWoVKQz +CTMRoyLnJBYiqAUAAHZbAmP/39EPbBAEIiEF0Q9sEAYVd6X0AAIdoAYFAP7yHAWgBwUA+GBoHeAM +FQD4IAYV4AMFAP/PxBWgAM4AAAD8wGgdoAoFAFlJX44SjxELMwIKdwL34ABDMAwVAOVcASIgBQAA +6EkvYRARAAAAQAQOCBt/h+aLIGiwLCpQMAChBO4WAi5ICoAA+iAmFaCZTQB7kKvGKtEPixCTsfdg +BhXgAgUA0Q8AAPyAaB3gCiUA/PHWBaALBQBZR93GKtEPAGwQBAQAh8hWAiNgBABh0Q8CI2DRDwAA +AGwQCBp44SgSEJIV53dcG8gEgADmEgUrEASAAJgXmRT6wABDMAAqAABkUGzNKypigAo8AexJ8XKr +/QAAjRRk0Er7oAYVoAIFANEPjxdk8EAI6jAZd1EpkkHApQoqNwqZKKmImBYMAgCKFylyghh3SwqZ +AQkajgioCoiEC4AADOowixYMuwxrsdtj/6HAINEPANogWTwSY/+U3jDtEgUqeASAAPogBhWgC4UA +/PFwBaAKFQBZR6nHK9EPAAAAbBAEBeowFnc1KGJBwJUJKTcJiCgUdyv4oABCsABWAAaoCoiEC4AA +CeowCVkMapETDAIAKkKCCjoBChqOZS/faabc0Q/RDwAAbBAEKSECJiEEhCD0QKQV4AgFAOZs/yTL +/QAA6SUCKzcCgADmRAgKrwKAAPSPAApwAMIAACUhBSYhBLFV9EAIFa9VAQDlJQUjS/0AAOVhJ3zP +AoAA6UQICr8CgAAHRAwEAIcIWGDkAAcC8y+AACIhBQkiEaJS0Q8oJQX//1gNoAUFAAAAbBAE9PEC +BeMoBQAIKCioVS5SwilSvHPhAciQ0Q/ApPzw9gWgKwUA7SQACfgEgABZR2gjVsLqJAAJ2ASAAFkS +BxZ31SZgBP7dEA3gBAUA2iDsNAAKWASAAP63iBWgDQUAWRFzsUR2SeVj/68AbBAEiCIrIAcZdt8c +dt/xCiwN4bsBAAy4EayILYKe9aAFU6IAnQAogp0Jugoqor8dduIKiAHpduMUBJmAAI8yLiEHKiAH +Dg5K+gIABTf/wQDg/xENUoKAAO+qAg93AoAADqoCDaoCmoDuIgAiU/0AAAyvEf8AZhXn1QEA6YYC +L3YCgADuTgIESEEAAO6GAS6VTAAAKDwQ/IGgANACBQBtqQUIAIYJAmEMvxGs/yT2ndEPAAAA6iQA +CdgEgADsRAAK6ASAAFgJ39Kg0Q8A6iQABdhhAAD8gGgd4AwVAFgOkWP/0Ycngnn64qQVr8YFAOV5 +FCPogQAABtYB5qMICk8CgADpVQwBEEEAAJJ5CFUy5XUUIZkBAADyQAU64gCdAGibN6KaKqzw6jM9 +dDhBAADoQRxrwASAAC5M/23pBQIAhggCYYrRqprl2QQlU8EAAHOheurWAS0QBIAAZFBMDL0RrN0k +1p3RDwACOgwKTxRt+QUCIIYHAmMPQgzqjggDQQEAAO/sECEMRQAAsC7TD23pBQhAhg8CZS/ZBAqS +DKJiIiww4tYBL/2+AADAIOLVBCNBAQAAmNCY0Qy9EazdJNad0Q8KIgzy4SYVr/1aACJsQPOgJhWv +/hoAAAAAAABsEAQTdwQWdtsadwPiMyBxKCEAACtifZWxmiMpYn2ZIihif+VmfSRABQAAKGZ/0Q8v +YoDl9gEjcAsAAJ4jLWKAnSIsYoLlZoAmYAUAACxmgtEPAABsEAQTdu8Wd7oad7riMyBxKOEAACti +fZWxmi8pYn2ZLihif+VmfSRABQAAKGZ/0Q8vYoDl9gEjcAsAAJ4vLWKAnS4sYoLlZoAmYAUAACxm +gtEPAABsEAYYdqwWdqkogH0qYnwpYoYmYowJqhGqmeZgFCR8PIAAJ50B9vAAFeAAKgAnnQMnfID2 +wAd4kgCdANRg8gACHeACBQD072wF4AGWACOkOS6gDI2g+UDwFe/49QD5QsYdoAwFACylG/zvXgWg ++8UAC5kBCSkCKaQHkhKdEZMQ+LAQFaA7RQD4IGYVoApVAFlGlClQgAAwBAkJG3+fceIsASGYBQAA +42F8ciAFAAAbdn8vcA0qsnItcAwrsoaqTOo6CA5mQoAA7LwIDVZCgACrqiymEP1Bhh3l3sUALqUa +L6QNKVCAACAECQsb83/699IAnQBtCA+xIgAgBAkMG/Of+l/SAJ0AY//pAAAAAAAAAPoAoh2gO0UA +7HeEGegEgABZRm9j/3fRD2wQBBh23R93LI4rjS76QYgVoAMFAOzyiS9YBIAA+aAIzCBUZQAJ2RHp +zAgFA5GAAJ6rKyILZLACKrYMiieTK+MmDCVQQQAAWATgjSf9pAAVr8YFAAbMAePVFCZhAQAAnNmc +2CsgBSMkFfVgCXQiAJ0AJSAHG3bA9O2WBaBM9QAsJAUrsX7kQn8pUASAAPQgAALyux0AWIwzZKEX +0Q8AjcmKy3LZWu7GCS9YBIAALsAF8WBgDeBIJQCTvPnf++0iAJ0Aicnqwgss+54AAGWva/uACBXg +OPUAKMQFLvJy+/GIFaANFQD/bwANsAwFAFgCJxp1uImusJn5QcYV7/0CAAByqQmey/pBaBXv/pIA +jcpy2Qnb4P+BRhWv/lYALiAFdOmKLSE2/kbkFaAKVQD87moFoDslAFlGINEPiC0JiBHozAgNd24A +AInL8yAG/SIAnQCey4sryLCTvCrABcOw+1/2zWIAnQCNy2Xezy7CDGXuySjCDWWOw/uACBXgKLUA +KMQFLvJz+/GoFaANFQD/bwANsAwFAFgB/WP+ny0hNv5G5BWgClUA/O4wBaA7JQBZRgLRD40nGXcV +mUCL2CgiACzRFenSCybwgQAA98AEB7AHFQDvzAgMRgKAAAeIAihGAeuRbHZhAQAAKtkUKqwQ6tUU +JdBBAAB6w15kQAsEAIYLAmEq0ggqrBD9QASEIgCdAJrgiyJlvoPrXBgpUASAAPwAIh2gTQUAWA1U +0Q+NzXLZCdvg/4GmFa/8egCIzPMf+KUiAJ0A2+D/gYYVr/wqAADzoWYV7/76AOvMDAIBkYAADE0U +uNrqejYKSASAAG2pBQkghgsCY6xJ6vxAJoxJAAANewzTD225BQlAhgoCZQz9DC3cUP3ABhXv/eoA +KPxA+cAGFa/9vgAAbBAE+EAIFa/JBQDpKQEBgkmAANMPbQguc4E8KjAALDAHdKEzKiEF6asIDmcC +gADsMwgF2QEAAOs7FXm4BIAA43QAA4CpgABj/8gAAAAAAAD6bwALv/+uAPMPAA9wDQUADtM40jDR +DwBsEAQpIAcbdXknIQj4AgAFcZkBAOh1vBzMAoAA6XcCDVKCgAALqgIbdTj6YAYVoCwFAAh3Ao0g +mzL8YGYVoA41AOjYAg7uAoAADt0CnTGKKyYgFPhBKBXgBQUAlTWXNJg2mTjqNgkrMgKAAAZGApY3 +5SQUIZDBAADRDwAAbBAEJCAiGHWa8kFoFeAFFQDkQCFiM/0AACiCfwCBBOBmGgqoCoAA5jMIAqv9 +AAAFMwKTKtEPiSmZKtEPbBAE9OsYBeAEFQAlUn+GK4MpAFEE/IABA9/49QDmMgwDu/0AAAh3Awdm +AeYzDAqCCoAA8o0ACTEznQCjItEPAGwQBiggBSsgB9NQ+QAGFFG7AQCNK4wp/YAFtWIAnQCPJy/x +FMHg/8AFO+IAnQAXdOkMuhGnqiiinux05R3IBIAA9wAFmdIAnQAqop0MvQot0r8NqgHAYfFKUA3g +BQUAG3TsKCAHHXVpHnUj/kEEFeDIEQD1kAAWMYgBAO7MAgxEAoAACP8CDf8CnKD4QAgVoC4FAJ6j ++0BGFeAONQDtjQIMRgKAAA6IApihjCkoIBSLK5upnKidpp+k5aYFLEICgAAGiAKYpyUkFAyfEaf/ +LvadAwlHaZIDwCDRD4on+oBoHeAMBQDqrCAqaASAAFgBoNKg0Q8AAAAA//1kDaAKBQCMImTAigMN +R2nSbYknLpkULZwg7hYAJwOJgACKmRR2OvFIIA3vxwUA8AAcDaAjpQBkoHKL0OfeAQUBmYAAerEr +L6AAc/ElL9EFKaAH7vgIDM8CgADpqggEQQEAAOirIH1gBIAA6sQADn6uAAAKvAwMWjjLoI6idOm2 +wCDRDwAAAP9PAA5//4IA//5EDaAKBQDqJAAF2GEAAPzAaB2gDTUAWAxzY/9eixCK0effAQXYQQAA +69UEJVPBAADq1gEn+QEAAH+rIizRBR50lKrKmtGeoI0g5KYCLu4CgAAG3QL9QCYV4AIFANEPHnSM +nqCNIOSmAi7uAoAABt0C/UAmFeACBQDRD2wQCCggBSYgB/QghhWgGTUADwIA+QAKZWFmAQCIIvEV +rA3gCgUAE3RqDG4Ro+4r4p4XdGQfdGb3YBBLUgCdACTinQ9oCiiCvwhEAWRB9fpAaB2gCyUAWUB3 +ZqIHjHj3gA0YkgCdACkyrhp15/cgDftSAJ0AKzKtLaLhDbcB/WANhmIAnQAfdE+wzp74ZHGE20D8 +ACIdoA0FAPhBKBWgHqUA+kAIFa4PBQD4QYYVoAkFAPggJhXoiAEA7xYALEXCgAD4IEYVoA8FAFgF +eOh1zx1YBIAA+kAIFaAJBQCZECiAdCwKAf7pxAXgDQUA6RYCLEBCgAD4ICYVoB7lAFgFa8BG6iQA +C9gEgAD2QSQV4A0VAP7AABSwDgUA8yAARPD/9QDklp0r4ASAAFihkvxCsBXgDgUA/kKGHaBLBQDr +pAMr5gKAAO6kAC7pAoAA/YYADnANFQANzAKcofR1phWgGkUAKiQFBQ9HaPIMKCA6wJ/5AAhkYgCd +AMAg0Q8ABQtHabL0iScnmRTkcHFk8IEAAIuZFXWe8W/ADe/GBQD3wAQHsCSlAIzgyr17wSstsAAp +sAd00SIj4QXvOAgMzwKAAOm7CARBAQAA6LspfegEgADr1AAO/q4AAAvNDA2rOGSws4+ydfGR5u8B +Df3eAABgAKMAAAAA828ADv//XgD//kQNoAsFAADAoFk/+ox4+Z/ysJIAnQD6QGgdoBvFAPwAIh2g +DWUAWAvR//1EDaAKBQDAcBlz5MCKCMg0+SEGFa/5PgAAAADqJAADWGEAAPwAIh2gDWUAWAvF//yE +DaAKBQAAAAAAAPpAaB2gG8UA/AAiHaAtBQBYC73//AQNoAoFAIonjRTAwOqsIC7YBIAAWAC30qDR +D4rhK3wQK+UE5usBBVPBAADq5gEl2QEAAHurJCzhBarKmuEec9eeoI0gwOHlpgIu7gKAAA7dAv1A +JhXgAgUA0Q8ec9CeoI0gwOHlpgIu7gKAAA7dAv1AJhXgAgUA0Q8AAGwQBCggIiUgBxd0TQOIDPhE +Rh2hVQEAB1UJJFH+o0QkVf4jICKJK/zxaBWgChUA5DAeYdv9AAAAwQTguxoNUAqAAOuZCAVT/QAA +CpkCmSrRD40pnSrRDwBsEAQoIAbojP8pUASAAPhAxh2gCxUAW475wCDRDwAAAGwQBMBRAyQsAyIu +AlI5pCLRDwAAbBAEKSEEhyD/IAAUtYMdAOl3CAxHgoAA+O8AC7AKFQD2/4AV5JMBAOZyACyBCoAA +/UABBV/49QAIqAPmqgEMggqAAPsgBADROp0A6GYBCkAKgAAIZgLmdgAigNGAACUhAs1D6iEDItgF +AAALC08rJQJ7oQ/SMNEPsFzsJQIpkASAANEPwNDtJQUpkASAANEPbBAE9ECEFeVDHQDiIgAqJ4KA +AAxVEaUiBCIMIi3/8kfoFaRDAQD6gAQA0AUVAABVGuUiAQoCCoAAAgIZ0Q8AAGwQBCchBIggDwIA +9v/gFa+TOQDpJQUrLwKAAOWFCAzvAoAADV0MDQCHKiED+gAiHebDAQAMO2AKCkYPAgD8YmBHUAwF +AAydEQ1dDA0ghww7Ym8+F/jBPg3v/fUAyKFzoSoJkhGiMtEPAAAAAOchBCTIBQAACQlPKSUFd5FR +sHYMZRH1AABC//7+AAAACZQRpDT+4AAXNZQdAO6ICAzPgoAACYgM+R+AFaREAQDuggAqAQqAAOkh +BS2wCoAADW8DD+4BDmYCloD4QAgVr/6GACwlBf/+nA2gCQUAAABsEASIMw8CAAiIV2+EBIkiZZAn +iiflMgQqWASAAOMyBSpoBIAA+0QAFaAMBQBYAATqJAAJ2ASAAAtQAMAg0Q8AbBAE+EBoHa/MBQAM +jAHriQQpkASAAOJUDAoYBIAA7YIBKq8CgAAFuwzouzIJVwKAAKrSLYEFkoErhQSs2epaDATJAQAA +eSs5yqCqJXWTPchObUkFAgCGAwJhhYEriQSqVXlRaOWGASqQBIAAyLDRDybMQJaB9wAGFaACBQAi +hQTRDw0iDPMAJhWv/v4AApcMB0sU60QMCagEgADTD225BQIAhgUCYeczCAYRAQAAbUkFAiCGAwJj +LYkEB6IMosIiLEDihgEu/UYAAGP/oiLMQPMAJhWv/mIAbBAGE3Ry8iAGFaAFBQD6IAgV4AkFAPTo +3gWgB0UA+OjYBaAMFQDgsQQNtsKAAORkCA5QCoAA+iAmFaACBQD4wABDMACaAAAAAAAAAAAAwhrm +bCAiqAUAAOd8/yIggQAA5HDtYZgJAAAoMb4tQmLgUQQMI2wAAOpzHBb+sYAALkJeIkJgKqJ/iOHr +4gAne/8AAC/yPpuAi+DpFgIv/kKAAK+qKLYB6eYBIRP9AADp5gAm2/0AAOtGYidz/wAA4kZgJ3Px +AADp5YElgRGAAPqgBADQAhUA6xIBKRAKgABbjgmJEv/9qA2gDBUAAC1gfi7c6A4OR+lkfycwY4AA +GHM/+cABBD//9QAvhrsvhsMtYH7pFgIs+ASAAA/kFgECABhymyiCggDRBOCbGg6BCoAA/YABBt/+ +9QAO3QMNiAELiAIbcpMotoIP5Bb8IGgV7/4CAAnkFgECABtyjSqygo0Rx+8O3QOOEMDx4vI5DwEK +gADtqgEJYAqAAAyqAiq2ggnkFtEPAGwQBCkhOSghOCogB/kBBg3hqgEAwCDRDx5yehVyey7ggAyr +EaW77bKeJ3DdAAAOThTscnQXcA0AAO8CAA0YBIAA/6AEO6IAnQApsp0Mqgoqor8KmQEJlALrlAAE +g8GAACwgdWTAHQIqAuwiHCzYBIAAW40V7KsRDTAEgAD6gABF8AAaAMBgLCB0yscccwLtIhwpUASA +AFuM26au7XKSGf8CgACl/y72nfxDhhXgAgUA0Q8AAOhyjBnPAoAApZkmlp34Q4YVoAIFANEPAP/+ +FA2gCQUAxyTRD2wQCCogB4gi7HPdGUgEgAD6IAAFMA9FAOqrCgwFRgAADrsRrLwtzQIu0QIn0QP/ +wQAPcAQFAO4WAycFUYAAFnPRE3PPnBISckGaEZkQorIiFgQCcgviFgUhEFcAAPJegBWgCxUAiBUl +Ir+jiHhRWItRjFCcsIxQKvqACloB+4AmFeAJBQCZUJlRW/+n8UXoDeALFQCJFAt4AwmIC6aOL+J+ +jROjiJXwmFCfUeXmfiIgBQAAfUmuKxICK70C57UDLQIiAADAINEPghQLdwMCcgviFgUhEFcAACIs +9CUiv2P/jQAAAPegZB3gAgUA0Q+IFSkiv6OIlZGZUJhR9FfmFe/+3gAAHXIJLdCAixHqEgAm6N0A +APyOAA7wDBUA7dwCJdhhAABYCenAINEPAGwQBBpylRtylRlykvlQEBWv/vUA6ysKCQEKgAD3MBAV +4AwVAORIOQ4wCoAA+VAGHadGAQDnRQEJ64KAAOXFOQnTwoAA41Ene8AEgAANqgIOTgPudwEJ6AqA +AA13Avtz5hWndwEA55SAJAB5gADJf9EPAAAAAAAAAO9yexP/mYAALvLSxy4C7gEOzgIu9tLRDxVy +dSNS0seOCDMBI1bS0Q9sEAgbc24Zc27TDyiyHfzm2gXgCgUA7JAAJHP9AABtiRsMzwoN/wov8b7l +8BJlUAUAALHMDOwBDAxHLJQA0Q8AHXHLL9KPKrLirP8J/xGvqo+iKaAHwDDx8NwN4ZkBAB5zVAmc +CuJzUx5fgoAArrvlc1IV8AsAAOjhAia7mwAAB8cK98BkFaAPRQAPiDboFgMkBbmAAJoQmxKXFAdn +C+cWBSO4VwAA6RYBI7vRAACJFSRyv6KZeUF0jEGLQJvALUIAKvqACkoB/aAmFaALBQArRgArRgFb +/yHoEgQtBMoAAMDxD28DCP8Lpf0u0n6ME6L/lOCfQJ5B5NZ+IZgFAAB8OauIEulzLhRACwAA5oUD +LQPSAAAacyspkh0roADpnP8l2AUAAAuZASmkANEPhxTAgQhmAwdnC+cWBSO4VwAAJ3z0JHK/Y/9v +GXMdGnMdJuUDKZIdK6AA67wBJMv9AAALmQEppADRDwAbcxaxygrqASq0ANEPixUscr+iu5TBnECb +QfT35hWv/fIAHXF0LdCAixHqEgAm6N0AAPyOAA7wDBUA7dwCJdhhAABYCVUecwMfcwQu4h0o8ADo +jAEnc/0AAAjuAS70ANEPAAAAbBAEEnFsIiKTAsJQ0Q8AAGwQBBpxYdMPLaKEKKKHKaKH+WAABDuZ +gQB5iRQJ6jAacWErokHDwgy7KKuZKabM0Q8MAgAuooJ/7+Ei0AduK9vApfzjkAWgCwUA/kAAFzD/ +BQBZQcQiLPHKIvQB4h3gcxUAwKFZNiAFJDYEMwz6YGgd4AoFAFkdZAQiDGUv48ChWTYZ+g4iHeAK +BQBZHV8J6jAacUQrokHDwgy7KKuZKabM0Q8AbBAEFHHNG3HLFXLPI0CA/uOaBa//5QD9/+Id4AwV +AOQwDWK6MQAAsDIiRIDRDwAA9AACHaAIRQAPAgDTD22KaulR/CLQCwAAKqEAKLCA+oAEANAGFQD7 +INYNoAMVAMBgAMkaCQlHCJIB4jI5DFAEgADmISx7G4KAAA2SA+KIAQsTwoAA4yICCxgKgAADiALy +8+YVp4gBAOi0gCUAqYAAyYixROd8BCKoCQAA0Q8AAAD/HtAN4AMVAGAAAcAwKOLSD4gBCDgC+dpG +Fa//XgAAAGwQBIUjiCAWcQz84hIF4VUBAOSAZ2rWwoAApqYpYn8nYn4ccQLzJf4NoAsFAHJ5Lytm +fytmfoQhiCCYQIUgI2J9lFGbIOsmASGb/QAAI2Z90Q8AAAAAAADy4AQEIgCdAHKRHIohmKCMICli +fZrBmyHrJgAky/0AAClmfdEP0Q8ArN6urn6BMOhmfyqBrgAAzo0vYn1q8igjYnsjZn+FIYkgmVCI +IJWBmyDrJgEno/0AACRmfdEPAADrZn8i/qmAAIUhiSCZUIggJGJ9lYGbIOsmASIj/QAAJGZ90Q+O +Iazfr68P7wwPvjj+z8YVr/3SAGwQBCMhBBVwzPRgBAH2QzkABDMCIyUE0Q8AAABsEAQacRjoIgMh +W/sAACuyfCqif8ec6YgBDd5CgAALqggLgADSoNEPbBAEiTAWcQ2HQIqRJmJ/jJCcoIuQiDL7YCYV +oAsFAJuR65YAJEP9AACYMoUnCXcR52MIAqv9AACVJ+tFAyKASYAA0jDRDwAkIBomTOj6Q2Yd52YB +APzCYBNf+fUAGHE7CGgKKYa7KYbDJCAa4jQADdAEgAAK5BYBAgAdcJks0oL6gAQA0A8VAOC+GgoB +CoAAAP8aCf8DD8wBDswCLNaCCuQW0Q9sEAQkIQskTPgEBEMiTPywOAKDONIw0Q9sEAgYcguSFOYS +BCsQBIAA+MAAQzAAIgDKWc0nKmKACjkB6Unycqv9AADw4TAN4AIFAGAAB9ogWTVTY//emnDRD8Ag +0Q/eMO0SBCp4BIAA+iAGFaALhQD84+4FoAoVAFlA6Mcr0Q8AAGwQBhpwbhVyB/X/wh2gBwUA0w8j +Uq4AAgApotDTDwSZASmm0Bhw/ieGXFiEiVk7jllCY5IQFnH8HXCUCCwQDDwCDcwCLFauG3H50w8n +toQqYiD04/AF4AMFAOdx3xUCeYAAEnBYFHHyKyKUKmLfqzsJuxGrqi5CgC1wgAAwBPXABAdw3Z0A +7kaAJvw4gAAsohvIw8CxWRn6LWIgsTPtM8dyIIMAAPIgCBWv9OUAH3HiLvKCBO4B7vaCISwtAABY +hGLRDwAAWR0yGHHdL4LIGXHcCf8B/xkGFe//mgAAAAAAbBAE6iQACdgEgAD8ACIdoE0FAFgIGdEP +bBAEwEAE5BYBAgAWcC4lYoL6QAQA0AgVAOA3GgkBCoAA/QABBF/59QAJiAMIVQEHVQIlZoIE5BbR +DwAAbBAEE3HDIzF+ojLRDwAAAGwQBokihDPxOEwN50TBAPSACVJSAJ0AFXAY5nAWGk8CgAD0gAnS +EgCdAKWZKJKe9wALy1IAnQArkp0GTAoswr8MuwFksU0dca+KIC3Sf/1ABNPiAJ0AFnGq/AAiHaAN +RQD2z8QVoA4FAPogRhWv+PUA+CAGFaAPBQD0wABDMAgFAOgWAStQBIAAWAEy/AACHaANRQD+AAId +oAgFAPggBhWgDwUA6BYBLVgEgADoFgIrUASAAFgBJ8CWDE4Rpe4p5p0tIAaLIvrjIAWgDBUA7LsC +BugFAAAtJAabIvpgRhWgAgUA0Q8AAAAAAAAA/AACHaAJBQD4IAYV4A1FAPggJhXgDgUA+CBGFeAP +BQBYARHAkwxOEaXuKeadLSAGiyL64vQFoAwVAOy7AgboBQAALSQGmyL6YEYVoAIFANEPKyAG/uLk +BeAKFQAKmALoJgIl2AUAACskBv5gRhXgAgUA0Q8Xb8OKeGqhSwxJEaWZLJKebsZSK5KdBkwKLMK/ +DLsB5LBFZWv9AACdeGW+uWAABMAg0Q8A60wYKVAEgAD8ACIdoA1lAFgHm8Ag0Q8A//pMDaALBQDA +oFk7uIp4a6Gp//8cDaALBQDAsMDqDq40/uEGFa/+4gAAAABsEAaMJ+5xSxrQBIAA9YEoFe/LBQDp +yRQmQIEAAAuIAetvsRKrgQAA5cYJJMiBAADpxRQkQQEAAOhbC3nKAoAALcEVpdWVyZtQjyD6oKYV +oAglAOlWAy/+AoAACP8Cn1GJIvSghhWnMwEA7lYCLIxeAAD0YAkKUgCdABRvieZvhxnPAoAA9GAJ +ehIAnQCkmSySnveACzNSAJ0AK5KdBjwKLMK/DLsBZLE6HXEgiiDTDy3Sfw8CAP1ABJPiAJ0AFnEa +/AAiHaANRQD2z8QVoA4FAPogRhWv+PUA+CAGFaAPBQDywABDcAgFAOgWAStQBIAAWACi/AACHaAN +RQD+AAIdoAgFAPggBhWgDwUA6BYBLVgEgADoFgIrUASAAFgAl8DWDD4RpO4t5p0sIAaKIvjiAAXg +CxUA66oCBmAFAAAsJAaaIplS0Q8AAAAAAPwAAh2gCAUA+CAGFaANRQD4ICYVoA4FAPggRhWgDwUA +WACDwNMMPhGk7i3mnSwgBooi+OHYBeALFQDrqgIGYAUAACwkBpoimVLRDysgBv7hygXgChUACpgC +6CYCJdgFAAArJAafUtEPAAAAABdvN4p4aqFDDDkRpJkskp5uxkorkp0GPAoswr8MuwHksD1la/0A +AJ14Zb7E6zwYKVAEgAD8ACIdoA1lAFgHEdEP0Q8A//qYDaALBQDAoFk7Lop4a6Gx//88DaALBQDA +sMDqDq40/uEGFa//AgAAAABsEAQrIAcWbysZb8L83sYF4MsRAOohCC5igoAA/YYADnG7AQDsNgAt +3AKAAAuqAgmqAocgmTaUOJU59mBGFaAiBQDyYGYVoAgFAJg6mDuaNPzgABOwCDUACHcC5zYBIZDB +AADRDwAAAGwQBCwgB+siACnQBIAA/AAiHeAORQD8AgAGMD8FAFk8th1vIhhvRB5woO0ABQ1IBIAA +CQJhCQJhCQJhKSAHLyEICQlB7qYCLMwCgAD55gAP8AsFAOj/AgUQwQAA76YAJUhBAAAEIIYJAmME +AIYJAmErpBbRDwAAAGwQBCggBxpvLAgoQAqJEAqZApkwhyAdbu8WbvbqcA0bvgKAAAdXApcx5gAV +AbghAAAHAIorIQjtNgQsZAKAAOy7AgrPAoAA6rsCBOOhAACcNfpgxhXgDgUAnjcEAInqNggky0EA +AJk54yEXAZDBAADRDwAAbBAElzYZbtQcbtP83aoF4B6FAP5gZhWgCzUA7TYCKsbCgADsNgAqeEKA +AOj/AglWAoAAC6oCGHBiD28CmjGLGps5ihkJKQKZNJo4iRgI/wIYbsyZN5816AAVAZChAAACAIoi +PDDRDwBsEAQYcBQlgn/AkOaCfSKCCYAAimGMYJygi2CasZlgmWEngn+wdyeGf44hluCeYZJg5iYB +IzMhAADiNAALGASAAG1JBQIAhgMCYdJg0Q8AACaCgIVhimCaUIdglXGZYZlgL4KCsP//EEYV7/7+ +AAAAbBAEBQZHaWJIGG/2hScqgn/0pgAV4AkFAOKCfSUB2YAAhyGLIJtwiiCXoZkgmSEmgn+wZiaG +f41RktAtJgElJgDiVgEhYyEAAG1JBQMAhgwCYcAg0Q8AACKCgI8hhyCX8IYgn2GZIZkgLoKCsO7/ +EEYVr/8WAAAAbBAEiCD6QKQVr8wFAOIiAyk4BIAADHwB7KoICl8CgADoITh1UQEAAC15BKi5q90t +dQR5oy3JN8lF4jQADBgEgABtSQUCAIYDAmEpcgALmQjqkVl8EASAAJlw0Q/AoJpz0Q8ACKkM6boM +AYGhgAAJSxTtvAgpkASAAO1NNgwwBIAAbdkFAgCGBgJhCTII604MBhkBAABt6QUCIIYDAmOqzy/8 +QO92ACwQBIAA0Q8izEDidgAsEASAANEPAABsEAySG5QXlRmWGCgiBykwA+swAinwBIAA5xYMJECB +AADoFg0quASAAPVgHKESAJ0AIgoC+yHgFaAcBQD8IIYVpKodAOUSDCVgBQAA5hINLm8CgADt7QgJ +RwKAAKhV8sCkFe/IBQAIZgGWGqY25mxALtAEgAD3oCMaogCdAN+g7LQADk/CgAD5YBX1YgCdAIih +iaCZUAh4Ngh3DOhWASVIIQAA6QYAApghAAADAIqNoYPyiqMI3Qyd8aqKeKsCIzwBmvOT8vQgBhXg +CRUA/S0ADvAIBQDtmDgGSAkAAOibOQQWWYAAhRDkcsFimEEAAIQX70QRBdAFAAD1QBV6ogCdABhu +LMDQ6BYFJEBBAAD4IMYVoA8FAG0IFwABMAAAMSAMCSANAQABMQACAAACMCAMAYwdiRoswQUOtgup +yemcQCtQBIAA+MAH2uIAnQCMoAPZC+PWCgTIIQAA7Hg2BgLRgAAIdwzoZgAlYCEAAAwgiAkEioaj +iaCMoqaGCJkMmaCWo+hrBnf4BQAAsczspgIjiKGAAGTQtOgSBiGYYQAA8GAFjxANBQDoABUJyASA +AAkAigkAigkAioyh7Hk2BgNhgAAJdwwD2AsD1grpZgAlYEEAAOxGAARAIQAACAiKhqWIoSyiBAaW +CAmIDCimASamBelrB3f4BQAALMwB7KYEI4UhgABk0GToEgYhmGEAAO0SBSHxhIAA6AAVCcgEgAAJ +AIoJAIoJAIrA0ImgZJBU5HBxZdAFAAB0q2lj/tgAAAAAAAAA/M8ADT/8FgD//aANoA0VAIwV7AAF +CcgEgAD4AKgd4ADKAAAAAAAAAP/+6A2gDRUA7QAFCcgEgAD4AKgd4AByAImhs7j5AgAN//6OAAAA +AAD4AKgd7/yCAPgAqB3v/iIA/+AAgfAI9QAIMwr7wEYd5DMdAOMiCAf4BQAA71UBIRAFAACDGxlv +O4wUJDEHHm5aGG2d+iGIFepEAQDtbZ0aJwKAAAhEApSwgzAP7Tmcs+22AinWAoAACioCmrEpkn/z +IA0X0gCdAIgYZoGYjRsfbyoZbbX9o0QV4cgxAK/MLMCA6LYHLuoCgACdtusSCS3QBIAACTkCmaQM +uwybpdEPAAAAAAAAmxKEF5MRlRDvRBEF0AUAAPVK8g2gDQUA6xYCLRgEgADvFgMtqASAAG0IKu68 +Cw34BIAA5ssiflAEgACMoGXAmIyhsd3lwMhhmA0AALP75DsZfagEgABj/86KEfuPAA0//3IA//vQ +DaAPBQCLEg3cCf1gAEW/9KIAv5rxMdAN5KodAIMc4uwQIZiBAABtqQUCAIYDAmGHGQurCfNAQBWg +AxUA6XcMBMBBAADoFgQjgKmAACwSBw/MEf1vAA4wCQUADJM5/gAiHeANBQAD/Thl3Cn/+qQNoA8F +AI0QDHg2CHcM6NYBJXghAADvZgAG6CEAAA0MioyjiaCDoqyMCJkMmaB4ywGxM5yj80BGFeAA1gCN +EAx/Ng93DO/WASUYQQAA44YABughAAANEIqMpYihg6Ss/A+IDJihf8sBsTOcpZOkgxMYbfCDMAgz +AYgQk4CPoGX8R4mhs1j5AgAN//ECAI0ZHG1QixyPGBRuwv9g5hXgCgUA+2DGFaHvMQCk7i7ggAw8 +Apy0Dt0MnbXRDwAAAAAAAPOvAA1/7nYA8gBCHaAYBQD4IIYVr+1SAGwQBIQpgywEMwwDA0gjJR8j +JSHRDwAAAGwQBIknKpIM+SKCFaACBQDlnCAkoMEAAOSrDAVTIQAA66I5BAThgAAqkgnxRRAN4AbV +ACcKPM02K6AAd7FBaLUrdrkLLKAQaME1drkCaMIvLaAHwMDqVAAO2ASAAFv50itZBIpRyL9lr8tg +ABIsoBBpyM1gAAkAAP//rA2gCgUA8EHADeAj1QAtIABz0RmOLsAg7k8MB3MhAAAP4jllL+fAINEP +AAAAAOskAApQBIAAW+oM4qQADX6OAABj/+EAAP/9nA2gCgUAbBAEBQZHaWJSiyeIuPlipBXvzQUA +57ILJdCBAAANrQHtmQgKZwKAAOhxNHTJAQAALrkUrIWs7i61FHWTKsg/yE1tSQUDAIYIAmElogAM +VQj4qwYN4AIFAJWg0Q/AINEPwCCSu9EPAADolQwBgXGAAAVHFLh25kY2CZAEgABtaQUCAIYIAmEF +MgjnSAwGmQEAAG2JBQIghgMCYwXJDKnZKZxA+UAGFeACBQDRDyvcQPtABhXgAgUA0Q8AAABsEAQu +IAcfbOgOLkDtbK4fcoKAAA/uAp4w6CIAKlAEgAD02YAFoAtFAPxgRhXgPAUA7DYDLEYCgAALiAIb +bNzoNgEpyASAAOQABQGYQQAAAwJhAwJhAwJhLCAHKCEI/CAABjAEJQDlRDYOZAKAAP0GAAww1k0A +64gCC+QCgADrzAIEkQEAAOyWBiSogQAA6JYEKpgEgABtSQUKAIYDAmEtVAbRD2wQBPpAsBXgBbUA +9tu2BaAMhQD2ACId4BMlAPpllg3gBAUAc7Fl9WAGDZADlQB1sXT1YARMkgCdAHO5V4giZYBS28D8 +QKYdoAE2ANogWJn9+kBoHaALBQBb/18abGSLICMkBSqigSlimKuqCaoRqpmLl/tkABWvzAUADKoB +5LUUJVEBAACauZq4JJQFiyJksI4rIAXAyf1g1g2v8lUA0Q/SQNEPAACNImXf6AIqAlud8mWv3MDq +/kCmHaALpQCPImX/0NogW53LZa/FKSAGiCIjJAXniAIEyAUAAPhAxh3gC5UA+EBGFa/+tgCJImWf +o9ogW54LZa+YLCAGiiLAvCskBeeqAgZgBQAA/EDGHaALxQD6QEYVr/36AAAAAAAAAPpAaB2gCzUA +WThH5qCpbRgEgAAebCmK6PdABbiSAJ0AE2wpLDKudcNnLWJmLDKtDcsBfcBdsK+f6H3AY9og/EEk +FaANBQD+H+Id4A4FAFiZhvxEZBWgDQUA+0BoHeAOFQD6QGgdoA8lAFiZfyU2rSkgBogiwaEqJAXn +iAIEyAUAAPhAxh3gGxUA+EBGFa/7ngDAsMDKDKw07OYILf0GAAD6QGgdoBvFAPwAIh2gDWUAWAPr +Y/66AAD6QGgdoBvFAPwAIh2gLQUAWAPlZT6iY/+dAADAoFk4Ax5r+Iro+V/5+JIAnQBj/7dsEATi +IHApIASAAOI4AgEASYAAKERw0Q/6gGgdoAsFAFv+6ypAcAw5EQk5AgqZAilEcNEPbBAGJiAHKiIH +BQhH+CAGFaFmAQDnog4sFbwAAPSAC7kSAJ0AGG2L0w/TD/MACGviAJ0AKakUDwIA6qIJJIB5gAAr +IAb1YAwgkgCdAPpAaB2gC0UAW//dyKPAINEPAIszKiEJCwtD5KoRDd7CgAD7RgANcBsFAAuqAppw +LCAFbsh4LSByft9y2iBb/05loIwuIAXoIgIvQZAAAC8gcn7/WetrwRwDxgAA6WvAG1cCgAD0wAVa +EgCdAKmqLKKeC2sKK7K/94AK6lIAnQAsop0LywFksTfccPpAaB2gDSUA/gACHaAPJQBb/w4ea68M +bRH/oABGsAxFACzWnY8QaPI0iif6gGgd4AwFAOqsICpoBIAAW/iM0qDRDwAAAADqJAAJ2ASAAOxE +AAroBIAAW/7N0qDRDwDAINEPAAAAAPpAaB2gCyUAW/+hZa8OLDwQ7AMeC9gEgAD6AKgd7/yKAAAA +HWuPjNj3gAYgkgCdAAxqEamqLqKe98AGolIAnQAuop0Laworsr8L6wFksMKwzp7YZb9BYAB2AACP +Mv74AAfwOHUA+f/z/SIAnQD6QGgdoAuFAFv/hmWuoSk8EOkHHgvABIAA+ASoHa/61gAAAGSufIyg +/PgABjAtpQD9n/N9YgCdAB5r642i/7/zFSIAnQCIIrC6+kDGHa/55QAJiAH4QEYVr/kuAAAAAAAA +AADqJAADWGEAAPwAIh2gDUUAWANJY/75AAD/+qQNoAsFAMCgWTdmHWtajNgZa1wba1r5n/lgkgCd +AP/9HA2gCwUAwLDA+g/PNP+hBhXv/OIAAGwQCBts/ZsQ+EAIFaAJBQCZEpkTkxTkFgUpUASAAPQg +xhXgDCUA5hYHLEYCgAD9BgAMMA0lAOgWASjYBIAAW/9Z0Q8AbBAEwCDRDwBsEAaWESwgO4Yn4xYC +I9kBAAD0IAYV78gFAPTEABXj7AEA6FUBD3cCgAD+YABFMA0VAPtFABWgAK4AAAAsIDux3bHMLCQ7 +LmEV5egIBXhBAADojEAv0ASAAPngBvqiAJ0AiaHpTzYE/tGAAO9EDA1IBIAA6SYADeAEgAAMBIoJ +AIgMAIouogMjogIvtgEpogEO/ggupgMPmQzppgEl2EEAAO/rB3ngBIAALDwB7KYCIg4zAACOEC8h +IoURGmy9JCEHiRIjIQn6QPAV6kQBAOmSACojAoAABDMCJCEk+yAEBLC7EQDqa3Qd2oKAAPsmAAzz +hQEA62saHEICgAAIRAIYbK0KmQKZcIwgnnWTcxJrDJR0lXbi/wIOZgKAAO92AiaQEQAADCwCnHHr +ABUDqMEAAAUAigjYAph+0Q8A/+8ADT/8hgBsEAT+1eoF4BgVAOOLCXnewoAAwZVzm2Ovv4gi/EDo +Fe/+9QAOSQMJiAGK0ynRA4zSnKD9oEgVoJlxAAmZCQ+ZCprB/NkYBaAPBQCf05/S6CYCJMhTAAAi +ksrsuAgBE/0AACKWyiqCYLCq+wwGFaACFQDv1QMlAKmAANEP2zDsIgIpUASAAFk2mdEPLc0Brbsp +sH4qnOgKCkdvpg4Ya2MIqAouhrsuhsMpsH7a8ArkFgECABxqwivCggCRBOD9GgyBCoAAACgaDogD +CLsBDbsCK8aCCuQW0Q9sEAQrIAcearf+QOQV4bsBAOxqsx3PAoAArpn5M8gVqv8BAOy9Cg3QBIAA +7dK/L/8CgAD3AAWKUgCdACmSnQ2ZAeyUAASFWYAAGGq1KSAHFGrtLTEQ+CAAAvCZEQDrauocyoKA +AOn/AgqsAoAABd0CC90CBP8Cn8CPIJ3E+YBGFaA0BQD1gGYVoAkFAJnF+YDmFeAFRQDrxgYv/gKA +AOX/AgZYgQAA78YBIchBAAAJIIYLAmMJAIYLAmH4QOgVoAs1AP9AABSwDAUA/yAARLANNQDllp0k +UIEAAFv3adKg0Q8AAAAAAAAA//1UDaAJBQCKIsiiwCDRD+u8GClQBIAA/AACHaANRQBYAl3AINEP +AGwQBCghBYch9ECCFe/JBQDpKQEKVwKAAAp3DApVCCUlBOcmASTZAQAAe3sFCHcIJyYBqJLkMBph +EQEAAKeldSMW4jQAC5gEgABtSQUCAIYDAmHScNEPAAAHKQwJSBTqjAgrsASAAOpKNgmQBIAAbakF +AgCGBgJhA5IICEwMbckFAiCGCwJj0nDRDwAAbBAEiyeGuflighXvygUA7LEVJcCBAADqiAEDM0EA +AOa2CSTIwQAA+WKEHeAPNQDpalcUQQEAAPjBMg2gDSUApsYmtgkYa/CZYI4g/KAAFTALJQALqgLo +ZgIvdgKAAA/uAu5mASNIQQAAbdoFBACGCQJh6mYFIYEJgACJOI058SEADeAMBQCcO5nQjjid4Zw4 +nDnaMFk4oeokAAtYBIAA/ABiHaANNQBb/2/RD2wQBPrV7gWjLAUADCwoHWr4qsooor76YAQA0AsV +AO9qJh3YCoAAe4hGHmryCDgQ6EgCCU8CgACumZiQLqK/KaLAfrAoCbkCKabADuowAzgJ78kIDEcC +gAComa2ZKJJ/LpZ+BIgM6JZ/LAGCAADRDwADPQnvywgO7wKAAK27K70JK7x8K70CKrED9U8ADTAN +BQANqjUqtQPRDy6iwQ6+Au6mwSlQBIAAW7CF0Q9sEAT21ZgFoygFAAgoKKaGJGK++mAEANAJFQAA +lxp3SDIUasUMIhGkIoIgKmK/AnIB8y0ACTAIBQD64OCFoAkVANEPACpiwQp6AQqYOAgiAdEPAAAd +ae4DPAntjQgOZwKAAK3MLM0KLcHCK8HBLMHFDbsBfLsDwCDRD9KQ0Q8AAGwQBCogIikgIx5qYfsv +AAywDxUA5JBcZyNBAAAsIAcMDEEEzAkrwf4JvTYNuwwrxf4qICKMKa2q+kFoFeeqAQDqJCIlAkGA +ACjif+CBBAVL/QAA4JkaD8AKgADpuwgEQ/0AAAi7ApsqDLkMc5MqwCDRDwAAKiAFaKF1iyLAwgy7 +AvpARhXv8kUA0Q8AiymbKv1gaB2v/1IAACsgBw2sDPxERh2huwEABLkJKJH+rYgolf4qICLLqyzi +f40r4MEEBUv9AADgmRoPwAqAAOndCARD/QAACN0CnSrrvB8pUASAAPwAIh2gDQUAWAGIxyTRD8ck +0Q+NKfxBRhXv/34AAABsEA4qIhAooAUpCpX5AC2sYgCdAIwiZcXrLSIS/tNUBaHdQQDmaZMe/oKA +AO8WBS7vgoAArt38IMYV4AnmAAAAAAAAAPtgBAUwCAUACpg4ihgIqgFkpTwtVCf2IgYVoAkFAClU +IfikZh3obR0A9qTGHaALVQD6pAYd6GYdAPakph2gCwUA+qRGHehmHQDmVCQiUOEAAOoGAALAoQAA +CACKhj72pmYdqGYdAPamRh2oZh0A9qYmHahmHQAmVDD6YagVoAYFACZUOStUOipUN/inZh3oqh0A ++qbGHaiqHQD6pqYdqKodAPqmhh2gCgUAKlQ4KSIWK1RCK1ROJlRNJlRBKlRMKlRA+KfmHeAKBQAq +VEP6qeYdqJkdAPinxh3omR0A+KemHeiZHQApVDwmMhWxaCg2Ffao5h2oZh0A9qjGHahmHQD2qKYd +qGYdACZURCoyEysyFKq7+qlmHei7HQD6qUYd6LsdAPqpJh3oux0AK1RIKTISJhIQCpkM+aIeDeAL +BQAoUCEpCoAJiAIoVCEqQhkpTGjkoitiwWEAACs2Fys2FgraNipWFQkgiAgEii4yE6ruLjYTK0IZ +Ct4MLUIaCrsM60YZJZQpgAAuQhuurnrrAbHdLkYb/INGFeANBQApIQcbaS/9oACEepkBAOohGizP +AoAAC5kCmVDuIgAsR4KAACiMb/7TxgXkiB0A71YCL34CgAAPjwKfUR9qNPrTVAXgSQUA6VYDJsgF +AAAPmQIfaUQLeworsp8P7gIfaaMPuwGPFQv/AhtqKJ5UHmk8C/8CD2tBq+7u4IAtUgKAAJpWKVYU +Ds4M71YHJ3DBAACeVYspKiIVrLvsqggF2MEAAOsmCSVQwQAAKiYVDHkRppkolp0rIBYvCv9/sQcq +IAeMGlv+zCsyEyoyEvtAE0RiAJ0AJyAHBwdB6GjsG9cCgACmqiyingh4CvkX6BWgG+UA+4AWu+IA +nQAlop2MFghVAeRSx2LZgQAAiCovMhcuMhMtMhIswpCJNw7dDC4iFyqZFJsX/6EADrAEBQDtzAgF +ECGAAISZiSkP/wkE/wvpiAwGSMEAAOkWCi7wBIAA6YNtd/nBAAAoIBYqIAf4IWYVoPn1APkf6Hxj +KwUAmhkLqh0baZiJG5oUq6ooor77IAQA0AsVAAC7GvsAD5DiAJ0AiRkYaZEMmRGomYmQ+WAEBPAI +FQAJiTkoor+ZGCqiwfl/5YigCRUA+iEIFa/y5gCcHJ8dnR6dH+okAAzYBIAAW/7UjR+OHo8d7BIM +JXvRgADAINEPKjIWD6oKiqBkoHwK2jYqVhUrMhYPuwu4u+tGAALJYQAACQiKJDIWKDITD0QKqogo +NhOOQAruDJ5AJDIWD0sKi7Dq3gwFgRmAAA9OC4/jjeKvr5/jevsBsd2d4v/29A2gDQUAAAAAAADw +kkAN4AkFACgyF9MPDwIA6TYWJEAFAADoNhcn+GEAAPHOwA3gCQUA9GLIFaC5AQCIFw9KCoqgCLQK +Cuo2mkAtMhYP3QvouAsG6CEAAO1mAARAIQAACAyKJDIWKDITD0QKqogoNhONQArdDJ1AJDIWD0gK +iIAK7gzlgFRk6AUAAOoSByIB4YAAKDIX/+MAFeAJBQDpNhYkQAUAAPhi5hWgBAUA6KwYLsgEgAD7 +DQANcLkBAOoWBy97zgAAYABTAAD0ACIdoAkVAPhixhXv/14AD04LhOOP4qSklON6SwGx///ARhXv +80IAY/3+iTjKmYs5wKCaO5mwjDibwZo4+mEmFa+YlQD4YKYdoAIFANEPAMCQ/SBoHe/yegAt+pn8 +YKYd4AIFANEPAMCB+GLGFa/7ygAJmwkoEgQZaEoPAgDpiAgN3wKAAAi7CCu9Ciu8iCqx/umx/SXY +CwAAK7EBCpkB+z/WIuAKFQDrfBIpUASAAPwAIh2gDQUAWAAZwCDRDwDrfBgpUASAAPwAIh2gHeUA +WAATwCDRDwAAHGnajSAuIhiIMI+g/86QFaA7BQD4IAYVoApVAFk4mok4ZJ3WgjmZIIg48wAmFaAP +BQCfOJ85/mFmFeACBQDRD8Ag0Q8AAABsEAT404wF4BgVAPMABCvgBhUACzsRqbosomApnQHTD+m5 +CAYE+YAABE4JH2gV4k0RCeYCgAANzAKvvw/uCu0iBydAUwAAJ4LJDFwC7u0XJvghAADvdgAncIEA +AJ7Sl9MeaDkngsovhskOzALs1QMjuAUAACeGyi+iYO6Qfif4BQAAL6ZgjCIA4QQAbRoNzAKcItEP +weXz3/vL4gCdABhpogg4CiiCf9ow+kBoHeAMBQALgACJIgAxBABqGgqZApki0Q8skH71L+Yd4AcF +ACeUfOeUfSvABIAACOQWAQIAHWfhLtKCAMEE/MABBl//9QAPzwMP7gEOzAIs1oII5BYvPOgPD0f1 +//j7UgCdAB1ocA39CifWu/e4ZhXv/DoAAABsEASIJxtn9vUCghXvxwUA4oIJKTAEgADpgRUkIIEA +AOdEAQKpAQAA5YUUIRMBAADihgkiIQEAAPRBEg2gCkUAopKSiQsAh+1n0BkoBIAA0w9tqgIFAmGd +IIxgwNTjJgIuZgKAAA3MApwh0Q9sEATIMNEPiCcmiRSDifUEABXvxwUA51UBAzBBAADmhRQhm8EA +AOOGCSKpAQAAdTseKoEVG2e6o6qaiZugiSDAseSmAizOAoAAC5kCmaHRDxxns5wwiyDAweQ2Ai3e +AoAADLsCmzHRD2wQBGQgtmQws2RQsCgiAvjPLgXnZAEADwIA52eVHAT+AADtPB8jJXkAAAxoEQeI +CCqCnglrCvt36BXk3R0A/UAEk+IAnQAqgp0LqgFkoHEcZ68eZ5bsAAUNQASAAG3ZAggCYRhnj5ig +jyCdUJOj7qYCL/4CgAAP3wLvpgElEEEAANEPAB9neI74auFPDGgRp4gqgp7pawoB6H0AAPt36BXk +3R0AfaNWKoKdC6oB5KBPZ2P9AACc+GWvlGAAA8Ag0Q/aIPqDABXgDAUAW/9QwCDRDwAA//3QDaAK +BQDAoFkzbB9nYI746WdhFw6LAAD8Y+AV4AoFAP/+9A2k3R0AwKDAigjoNPnhBhWv/roAAAAAARAY +AQABAAAAAAAAAAAAACAEI6sgBCQNIAQjmCAEJA0gBCOYIAQkDSAEI68gBCOvIAQj9SAEI90gBCPG +AAAAACAELM8gBCzPIAQq4yAELM8gBCrjIAQsrCAELIUgBCrjIAQruSAEKuMgBCrjIAQrsCAEKuMg +BCzPIAQq4yAEKuMgBCzPIAQq7AMBAAIAAAAAIASyaCAEs/YgBLUbIASyaCAEtRMgBLT+IASyaCAE +smggBLJoIASyaCAEsmggBLJoIASyaCAEsmggBLJoIASyaCAEtO4gBLTeIASyaCAEsmggBLJoIASy +aCAEsmggBLJoIASyaCAEsmggBLJoIASyaCAEsmggBLJoIASyaCAEsmggBLPhIASyaCAEs9sgBLPb +IASyaCAEs9sgBLPbIAS0wSAEs9sAAAAAAAAAAAAAAAAgBNkGIATZBiAE17ogBNkGIATUdSAE2Pwg +BNjYIATUdSAE1HUgBNR1IATUdSAE1HUgBNeeIATZBiAE1HUgBNeeIATZBgAAAAAAAAAAAAAAACAE +6WsgBOf0IATr2yAE65sgBOtjIATrJSAE6wMgBOhIIATq3yAE6qMgBOpLIATp9SAE6asgBOmDARAY +AQACAAABEBgBAAIAAAAAAAAAAAAAIAWWLyAFkcwgBZYDIAWV1yAFlaogBZWVIAWR8yAFkgogBZV8 +IAWSPyAFkisgBZHzIAWSPyAFkfMgBZHzIAWR8yAFli8AAAAAAAAAAAAAAAAAAAAAIAXlgCAF38gg +Bd90IAXdKCAF2ZAAAAAAAAAAACAF37EgBd+tIAXfrSAF360gBd+xIAXfrSAF360gBd+tIAXfrSAF +360gBd+tIAXfrSAF37EgBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXf +rSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+t +IAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360g +Bd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF37EgBd+tIAXfrSAF360gBd+xIAXfrSAF +360gBd+tIAXfsSAF360gBd+tIAXfrSAF37EgBd+tIAXfrSAF360gBd+xIAXfrSAF360gBd+tIAXf +sSAF360gBd+tIAXfrSAF37EgBd+tIAXfrSAF360gBd+xIAXfrSAF360gBd+tIAXfsSAF360gBd+t +IAXfrSAF37EgBd+tIAXfrSAF360gBd+xIAXfrSAF360gBd+tIAXfsSAF360gBd+tIAXfrSAF37Eg +Bd+tIAXfrSAF360gBd+xIAXfrSAF360gBd+tIAXfsSAF360gBd+tIAXfrSAF37EgBd+tIAXfrSAF +360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXf +rSAF360gBd+tIAXfsSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+t +IAXfsSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360g +Bd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfsSAF360gBd+tIAXfrSAF +37EgBd+tIAXfrSAF360gBd+xIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXf +rSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+t +IAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360g +Bd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF360gBd+tIAXfrSAF +360gBd+tIAXfrSAF37EAAAAAAAAAAAAAAAAgBd/9IAXhVSAF4wsgBeL9IAXi6CAF4togBeLFIAXi +tyAF4qIgBeKVIAXigiAF4nUgBeJiIAXiVSAF4kIgBd/2IAXiLiAF4iEgBeIOIAXiACAF4esgBeHi +IAXh0yAF4eIgBeHTIAXhxSAF4bAgBd/2IAXf9iAF3/YgBd/2IAXhoyAF4BYgBd/2IAXf9iAF3/Yg +BeGGIAXhbSAF4WMgBeFEIAXf9iAF4TEgBeEXIAXhBCAF4OogBeDXIAXgvyAF4KwgBeCZIAXgMwAA +AAAAAAAAIAXlySAF5dMgBedKIAXnISAF5xogBecTIAXnDCAF5wUgBeb+IAXm9yAF5vAgBebmIAXm +3CAF5sQgBeadIAXmciAF5mkgBeZOIAXmRCAF5jogBeYqIAXmIyAF5hAgBeYJIAXltyAF5eAAAAAA +AAAAACAGecggBnccIAZ1tCAGc0wgBnDoIAZsSCAGbuAgBm+QIAZq5CAGcbQDDwgSg46TklJWAAAQ +AAAAY29uZmlndXJhdGlvbiBmaWxlIHBhcnNlciBmb3VuZCBhZGRpdGlvbmFsIGNvbmZpZ3VyYXRp +b24gYWZ0ZXIgW2ZpbmldCgAAMAAAAGZjb2UAAAAAdm5pY19pZAB2bGFuAAAAAHRvcwBldGhlcnR5 +cGUAAABtYWNtYXRjaAAAAABtcHNoaXR0eXBlAABmcmFnbWVudGF0aW9uAAAAYmFzaWN2aXJ0dWFs +AAAAAHN5bm1hcGVuAAAAAHN5bjR0dXBlbmlwdjYAAABzeW4ydHVwZW5pcHY2AAAAc3luNHR1cGVu +aXB2NAAAAHN5bjJ0dXBlbmlwdjQAAABvZmRtYXBlbgAAAAB0bmxtYXBlbgAAAAB0bmxhbGxsa3AA +AABoYXNodG9lcGxpdHoAAAAAbGFuAHdhbgBjbHVzdGVyAG1hbnVhbAAAdHBfcGlvAAB0cF90bV9w +aW8AAABuaWNfdm0AAG5pY191bQAAbmljX3VtX2lzZ2wAbmljX2hhc2hmaWx0ZXIAAG9mbGQAAAAA +cmRkcAAAAAByZG1hYwAAAGlzY3NpX2luaXRpYXRvcl9wZHUAaXNjc2lfdGFyZ2V0X3BkdQAAAABp +c2NzaV9pbml0aWF0b3JfZm9mbGQAAABpc2NzaV90YXJnZXRfZm9mbGQAAGZjb2VfaW5pdGlhdG9y +AABmY29lX3RhcmdldABwb2Zjb2VfaW5pdGlhdG9yAAAAAHBvZmNvZV90YXJnZXQAAABpc2NzaV90 +MTBkaWYAAAAAaXNjc2lfaW5pdGlhdG9yX2NtZG9mbGQAaXNjc2lfdGFyZ2V0X2NtZG9mbGQAAAAA +dGxza2V5cwBwcHAAZGNieAAAAABiMmIAc29ja2V0bnVtAAAAY2VlAGllZWUAAAAAYXV0bwAAAABh +bl9kaXMAAHBkbGl0ZV9kaXMAACADFHAABAAAAAAEAAAEAAAAAAAAAAAAAAAAAAAAAAAAIAZqqAAA +AAAgBmoAIAZnoCAGZnggBkbgIAXsKCAF2OggBdfQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIARM +3CAEWVggBFlYIARZWCAEaXggBH/AIASOaCAEl9QgBEngIARHUCAD/mQgBLFAIAP8FCAD+NggBdek +IAXWfCAFz7ggBFlYIAXO4CAFzYQgBcy4IAawKCADa4AgA8HMIAaEJAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAIAQhxCAECywgBBuEIAQY8CAEF3QAAAAAIAQVHCAEIPwAAAAAIAPwzAAA +AAAAAAAAAAAAAAAAAAAAAAAAIAa33CAGnlAgBrfMIAaeYCAGnnQgBp2AIAafHCAGnRT/GDBgYAAA +AAABAgMAAAAAIAZ6cCAES0AgAGHwAAAAAAAAAIEAAAAAAAAAAAAAAAAgA9ykIAPeMCAD3cwgA95E +IAPcwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgA91UAAAAAAAAAAAAAAAAMDEyMzQ1Njc4 +OWFiY2RlZkFCQ0RFRgAAAAAAAAAAAABBQkNERUZHSElKS0xNTk9QUVJTVFVWV1hZWmFiY2RlZmdo +aWprbG1ub3BxcnN0dXZ3eHl6MDEyMzQ1Njc4OSsvAAAAAAAAAAAAAAAAAAAAACAAIIwAAQAAIAAg +bAAFAAEgACBQAAMABSAAIDAABwAAY4JTYwAAAAD///////8AAAAAAAgAAAAFAAAABwAAAAAAAACI +AAAAhQAAAIcAAAAAAAAAQAAAAQAAAAKAAAAAAAAEBAgABAQIAAQECAAAAAAAAAAAAAAAgAAAAgAA +AAKAAAdDAAAAAAAAAAAAAAAAAP////////////////////8AIQAJAIEAQQAhAAkAIQAJAIEAQQCB +AEEAgQBBAIEAQQAhAAkAgQBBACEACQAhABEAgQBBAIEAQQAhAAkAgQBBACEACQAhAAkBAQBBAIEA +IQCBACEAEBBhAQEAgQEBAIEDAgEAAAAAAAAAAAAAAAAAAAAAgAAAAQAAAAIAAAAEAAAACAAAABAA +AAAAAAAAAAAgAwfgAAAAACADDmgAAAAAIAMH5AAAAAEgAw7UAAAAAiADB+wAAAAEIAMH9AAAAAgg +Awf8AAAAECADDtwAAAAgIAMIAAAAAEAgAwgMAAAAgCADCBgAAAEAIAMIJAAAAgAAAAAFAAAAAwAA +AAEAAAACIAZ92CAGfZQgBnx8IAZ7PCAGevwgBnrQIAZ7JAAAAAAAAAIAAAAEAAAACABZZXMAMDEy +MzQ1Njc4OWFiY2RlZmdoaWprbG1ub3BxcnN0dXZ3eHl6AAAAAG5vbmUAAAAAYWxsACoAAABkaXNh +YmxlZAAAAABlbmFibGVkADB4AABOQQAAVjAAAFYxAABWMgAAVjMAAFY0AABWNQAAVjYAAFY3AABW +OAAAVjkAAFZBAABWQgAAVkMAAFZEAABWRQAAVkYAAHBvcnQAAAAAcHJvdG9jb2wAAAAAZ2xvYmFs +AABmdW5jdGlvbgAAAABmaW5pAAAAAHJlZwBmaWx0ZXJNb2RlAABmaWx0ZXJNYXNrAAByc3NfZ2xi +X2NvbmZpZ19tb2RlAHJzc19nbGJfY29uZmlnX29wdGlvbnMAAHNnZV90aW1lcl92YWx1ZQB0cF9w +bXJ4AHRwX3BtcnhfcGFnZXNpemUAAAAAdHBfcG1yeF9mbGFncwAAAHRwX25yeGNoAAAAAHRwX3Bt +dHgAdHBfcG10eF9wYWdlc2l6ZQAAAAB0cF9udHhjaAAAAAB0cF9tdHVzAHRwX3RjcHR1bmluZwAA +AAB0cF90aW1lcnJlcwB0cF90aW1lcnZhbHMAAAAAdHBfYmFja29mZnZhbHMAAHNjaGVkX2lvcXR4 +X2JwX3ByaW9yaXR5AG52ZgB3eF9jYXBzAHJfY2FwcwAAbmlxZmxpbnQAAAAAbmVxAG5ldGhjdHJs +AAAAAG52aQByc3NudmkAAG5leGFjdGYAbnJhd2YAAABjbWFzawAAAHBtYXNrAAAAbmV0aG9mbGQA +AAAAbnJvdXRlAABuY2xpcAAAAG5maWx0ZXIAbnNlcnZlcgBuaGFzaAAAAHRwX2wydAAAdHBfZGRw +AAB0cF9kZHBfaXNjc2kAAAAAdHBfc3RhZwB0cF9wYmwAAHRwX3JxAAAAaXNjc2lfbnRhc2sAaXNj +c2lfbnNlc3MAaXNjc2lfbmNvbm5fcGVyX3Nlc3Npb24AaXNjc2lfbmluaXRpYXRvcl9pbnN0YW5j +ZQAAAGlzY3NpX21heF9zZ2UAAABwcG1fbWF4X3pvbmVzAAAAcHBtX3pvbmVfcmFuZ2UwAHBwbV96 +b25lX3JhbmdlMQBwcG1fem9uZV9yYW5nZTIAcHBtX3pvbmVfcmFuZ2UzAGZjb2VfbmZjZgAAAGZj +b2VfbnZucAAAAGZjb2VfbnNzbgAAAGZjb2VfbmZjYgAAAGRjYgBkY2JfYXBwX3RsdgBkY2JfZGNi +eF9wcm90b2NvbAAAAGJnX21lbQAAbHBia19tZW0AAAAAaHdtAGx3bQBkd20AZGVib3VuY2V0aW1l +AAAAAHZlcnNpb24AY2hlY2tzdW0AAAAAU2Vzc2lvblR5cGUAT0ZNYXJrZXIAAAAASUZNYXJrZXIA +AAAARGF0YURpZ2VzdAAASGVhZGVyRGlnZXN0AAAAAEVycm9yUmVjb3ZlcnlMZXZlbAAASW1tZWRp +YXRlRGF0YQAAAERhdGFQRFVJbk9yZGVyAABEYXRhU2VxdWVuY2VJbk9yZGVyAEluaXRpYWxSMlQA +AE1heENvbm5lY3Rpb25zAABNYXhSZWN2RGF0YVNlZ21lbnRMZW5ndGgAAAAASW5pdGlhdG9yTmFt +ZQAAAEluaXRpYXRvckFsaWFzAABEZWZhdWx0VGltZTJXYWl0AAAAAERlZmF1bHRUaW1lMlJldGFp +bgAATWF4QnVyc3RMZW5ndGgAAEZpcnN0QnVyc3RMZW5ndGgAAAAATWF4T3V0c3RhbmRpbmdSMlQA +AABUYXJnZXROYW1lAABUYXJnZXRBbGlhcwBUYXJnZXRBZGRyZXNzAAAAVGFyZ2V0UG9ydGFsR3Jv +dXBUYWcAAAAAQXV0aE1ldGhvZAAAU2VuZFRhcmdldHM9QWxsAENIQVBfQQAAQ0hBUF9JAABDSEFQ +X0MAAENIQVBfTgAAQ0hBUF9SAABEaXNjb3ZlcnkAAABOb3JtYWwAAE5vbmUAAAAAQ1JDMzJDAABD +UkMzMkMsTm9uZQBOb25lLENSQzMyQwBDSEFQAAAAAENIQVAsTm9uZQAAAE5vbmUsQ0hBUAAAAE5v +dFVuZGVyc3Rvb2QAAABJcnJlbGV2YW50AABSZWplY3QAAE5vAAA1AAAAQ0hOZXQgMS4wMAAAAAAA +DAAAAAABAAF8AAwBAAAAABAAAAAUIAbQEAAAAxUOQAAAH/wAAB/8AAAf/7SQH/+0kCAG2DAgBtvw +IAkmMCAJJjAgCgAAIAqAACAKgAAgCuaAAABAAAAAgAAAAAgA4QGOAAABkQyAAAAAIAtksCALZGAg +C2Tg///z/yALZJAgCwFgAABADh//kyAAAEAaIAsBkAAAEAAAAP/pAAD/4AAAUAAAAP/4AABADQAA +//cAAA//AAAPQgAA//UAACBAAAAgAAAAxBAAAMABAADIICALAfAgCwIQAADEAAAAyAAAAMQwAADE +MQACEAjg//4AIAsCMP//9/8AAOgAIAsCUAAAyBAAAMRFAAAQAQAAIHwAADIAAADMAAACSe8AAP// +AAJJ8OEAXgDhAC4AIAtoMCALaJAIAAAAH/zgdCADRNAf/61wIAsDICALBFAf/60gIAsDUA88AAAg +CwPgIAOvICADsQAgCwSwDwAAACALBRAf/4cQH/+FQB//iAAgCwWAH/+wECALBcAgCwYAIAMHkCAD +DCAgAwugIAtqICADC4AgC2pQIAtpACALaWAgC2nAH/+tzB//k6QBAAAAKAAAAOAAAAAAD0JAIAAg +rAACAAAf/67UAP///yALanAgCwaQIAsG8CALB0AgCwbAIAOM9AAIAAAgA5HYIAsI0CAG1mAAD/wA +H/+ZKB//mnAAADAAIAsJECALCaAgCwpAVQAAABAAAAAOAAAAIAtrECADDJgAAAgGIAsK0AAAD/4g +A21sAgAAACADcjwf/640IAsLIB//rbgf/6o0IAsL4CALazAgCwuAIANeYCADaDQgCwxAH/+teCAL +DLAgCw0AIAtrwEAAAAAAAPAAIAsNQAAehIAgCw2wIAsOECALDoAgBtuwIAbYcCALDwAgCw9A7/// +/yALD6AgCw/w/f///+EAAAAgCxLAIAsQUCALEMAgCxEgIAN0tCALEYAgCxHgIAsSMCALEnAgC2wA +IAMMkB/83gAf/6u0IAMMUAQAAAiBAAAADAAAAB//h3Af/4hwIAMOQB//h1A/////z////yALEyAf +/4gsIAsTYCALE6AgC2wgIAsUIH///6v//y5cAADRpP//0E0AAJxOIAsUoCALFGAgCxPgH/+HbAAA +SAAgAwxUH/+HaB//h9AgCxTgIAOVhCALFZAf/61sH/+thCALbLAgC2xAIAtskCADmFQD/9AAAEAA +AABQB/8AAQAAIAttwB//mfAgC20wIANeOCALbfAgCxYgH/+tdP/9//8gCxZgH/+tvCALF3AgCxaw +AExLQCADlUAgCxcAIAsXsAQBAAgAIAAAIAN3NCALF+AgCxgwIAsYkCALatAgA6fkIAtqoCALHBAA +AI4CIAscgCALHNAgCx0gIAscQCALHXADgAAAH/+wICALHcAgCx4Q//f//yALHwAgA6LwIAsfwCAL +IIAgC26AH/+r7CALblAgC26wIAOmKCALbtAgA6b0IAOhCCADlaAgC28AIAtvQCALb4AgCyDAIAsh +AAAAF3AgAwnkIAtw4CALcJAgC3BwAAAnECADw2QgC3BAIAtwwCADCcQAAeKAIAsh4B//rWjhAhYA +4QIaAP//yP///xf///8f/wACGRwAAhl8AAIZnAACGfwf/62A///x/wACGQDAAAAAAAIaJAACGqQA +AhooAAIaqAACGjQAAhq0AAIaMAACGrDhAg4AAAAThyADCrQgAwqkBAAAAeECEgAAACHBIAsiMAAC +EOD//7//AAIcIOEAegAgCyJgH/+sDCALIoAgCyKwIAsi0B//quQgCyMAH/+qAOEAfgAf/6wcAADz +/wQAAAAUAAAAKgAAACAD44Qf/6/AGgAAACALJACD/7cAg/+2ICALcgAf/64UH/+w6DAAABAgC3Lg +IAtzQB//lOjgAAkkAJwAACALJHAgCyVQIAslICALdKAgCyTwH/+d/CALJLAAAgTA4QICAOEAjgAf +/5UcAACWgAAAlkAgBtgwAACWoAAAlmDhAgAs//8AAAAB4ADhAZIAAAHjAB//rYwgCyWAIAslsB// +sQQAD///H/+yZB//rAQf/5U04QGWACAG2zAXkAAAFLAAAAAAYAAgBtpwgAAABCAG2zoAAB/KAACD +/xWgAAAgAwAAFqAAAAhQAQAgoAAAP/AAACOgAAAD/wAfAMAABB//smggBqtYIAQQ1CALc3AgC3Ow +IAarIN6tvv8awAAAIAbaOAAA/+ogBttAAAAIFAAAiAAf/61kH/+t4CAEKqAAEAAAH/+r3B//rpQf +/6vkIAMAECALdRAgC3TgOAAAAP8///8wAAB0IAMAQCALJeAwAAAIMAAADDQAAAjQAAAAAACJFDsA +AAg0kAAABV1KgCAEMOQAGAAA/wf//wA4AAAAMAAAIAt1MAYAAAAgBD+U+AAAAAH//+cAAcAAIAAA +gAQAEAAf/6oQ4QGaAOEBmkDhAZo84QGaOOEBmjThAZowH/+ucIAAAAOAAAAC//z//+EBDgAf/618 +f////x//lMwf/5VMIARM3CALeLAgC3jwIAt5ICALeWAgC3mQIAt50CALegAgC3pAD///8P/wAAAg +C3rgH/+xEB//mkQgC3qgH/+pJCAEWVggC3vgIAt8ECALe4AgC3sgH/+Z7B//nAQAAP+AH/+ToAAA +IyggCybQIAsnAAAEAAD/+///4QHiwB//qpDhAf4AkAAA8Pz/gMACAAAg/v+AwCALJ1AgCyeQAAD4 +AAACAQgAAgEMj////x//rBDhAZgAIAsn4CALKDAgCyhgIAsooOEA3gAAAgMIAAICAP//8ADhAO4A +//9//wAA/AAf/62UAADgAAAADAAAAgMEAAERHAABERggC3zgABAIAIAACAAAAgEE///AAAAA//4A +ACWAAAIDAB//rAjg/+LAIAt8QB//qwggC30wIAt9YCALfYAgC30QIAMAiAAA//MgC32gIAt9wAAA +KjAgC33gAAD/jwAAUrUAAEgKAACPggAAj4YAADgAAACPigAA4z8AAIOuAAD/8SALKPD//8+/AAAQ +QCALfgAgC34wAAASACALKSAgAwzgAAIZBCALKUAgAwCQIAspoCALKXAAgAAAH/+d+CALfoAgC38Q +AAIWCH8///8AAP7/AAD/wAAA/z8AAPn/IAt+sCALf7AgC39gIAsqUCALKhAgCyngIAsqcCALKsAf +/6/E7gAAAAHAgAAQAAUNCQAAAB//rqQgAwFAAACDACALLYAAAIIAIAssoCALLPAgCy0wEAAHAgAA +wAAgC3FAIAtxAAAADLwAAIkGIAsvICALc/AiIiIiH/+ZbCADAZAgCy+AIAsvUCALMnAgCzIwIAsx +8CALMbAgCzFwIAsxMCALMOAgCzCgIAswUCALMAAgCy/AIAuCkCALgsAgC4LwIAuDMCALg3AgC4Og +IAszoCALM1AgCzKwIAsy8AAAgMIAABshIAsz0CALg9AgC4QQIAsz8CAG2GAA/8AAEgAAACAFBpgg +CzSgIAs0YB//raQgCzVAIAs1gCALNOAgCzXgIAuEMCALNwAgAwwQIAs2MCALNyAgCzaQIAUPDCAL +hRAAAB9AAAAQNv//7/8f/7JsH/+w+AAACFAgCzdgIAs3sCALN5AA///8MgAAAB//suogAwHIM5AA +ACALhXAgC4XQIAuFsCALhhAgCzfQIAQ7qCADAdAgCziAAACABQAAgAcgCziwgYAAAN6tvu8gCzjw +AACD/TAAABwwAAAEMAAAFAAA/+///v//H/+xpAD/8AAAKAAAIAs5IAAACEAgCzlQAP//+iALOaAw +AAAAMAAANAAAgAEgAwHgAACAAiAFZfAgC4agEAAFEJkAAAA0AAAAIAuGUCALOeAAGQAAIAs6MCAD +DPAAABIPIAs6YB//qYAf/7CsAxUAAAUAAACD/wAbIAs7ECAFvJj//0AAIAuHwCALO+AgCzxgIAs8 +sOEBkawAAZGsv////9////8gCzzgCwAAACAFwyAgCz1QIAs9wCALPjAgCz5gIAuIkCALiRAf/5Us +IASZqCADCaQf/5xwAAE4gOAABgAAAicQIAuJsH///w8f/6zoH/+sPPwA///hAJYA4QCaAAAACf8A +ALAAH/+uCCADAjAgCz9g4QAOAAMAAADhABIAEwAAABEAAAAf/AAAH/+tUCALP6AgC4rQAAGUjwAB +lM8gAwJQH/+dWB//q4QgAwYgIAs/4CAG1MAgC0AQH/+qMB//q6ggAwbwH/+T1B//nFwf/5rsH/+V +JCALRcAgC0YQIAtGcCALRwAf/6s4AD///x//mwQf/6vYAAD/lwAA//0AAP7V//+rk8IAAA4F3AEA +A/8AAMAAAAEgC0dgIAtHoCALR/AgC0gwIAtIgCALSMAf///wH/+uRAIAABAf/5NAH/+dIB//lVgA +Av//AACcQCALdEAgC0sAIAtLcB//q/AgC0wwIAtxgCALTMAAAP4AIAuSoAAFAAIbAAAAOsAAACAL +TTAgC00AIAYDaCALk2AgC5LwIAuTMCAGCfAgC5LQAADAEAACYloAAMMwIAAAAPAAw3AQEAAAIBAA +AAAQB2D/7/ifHv4AAOECGAwgC02AH/+VIB//rjAgC4+AAAGQ+CALj8D//Ph/IAuQMCAG1egf/5O8 +IAtNsAADAAIgC04wIAtN4CALTmAgC35QAAGGoAAAGCAf/6sEACYloACYloACYloABfXhACALk6D3 +////ATD4wCALTsAgC06g/gAAAABf9qcAsjfHYgAAAB3///8gC5PgIAtPQCALT4AgC07gIAtPECAL +lEAgC5TAIAuUgCAGLrAgAwrEAAAZAAACHBgd//DvAAAKAOECAAQABAXuAACQIAAAkBDhAgEE4QHi +AB//lPAAUAAAAKAAAAAAdgAf/51EH/+dSB//mqDhAHIAIAgAAB//nVQgCC6gIAgGYCAIbvAgCAVQ +IAgEQCAIAzAgCAIgIAgBEB//rgwf/5s8H/+pzCAG1mQf/6gYH/+rECABwszhAHYA///wDyADCfAf +/60YIAtV4B//rBgf/6p8IAbbeiALWHAUkAAAQAAABCALWOAgC1kQIAtW4CADbQggC1cgIAtXgAAA +j/4gC1fQIygVACALWDAf/6wgIAuZ0CALmlAf/6+cH/+r4CAG2rgf/7OIH/+y+P/oD/8AEIAAIAtZ +8CAGaLAgAwdgAchABuEBmeAAAH5QAAB+QAAAfhggBnccIAMOcCADDnQgAw5oIAMOeCADDoQgAw6M +AFAgBh//nTjhAZoMH/+tkABwIAYf/54g/+AAAAACIAYgC1xA4QGaBOEBmggABCAGIAtccB//k7Ag +AweIIAtcoCALXQAgC1zQH/+rDAAA/uUgC10wIAuUICALXaAf/6yAAAST4P/7bCD/4XuAIAua0B// +mLzgAAEA3//+AB/8wAAAAGKAAAP/AMAAAAUgBqFYH/+sFCALXhD/7///IAubAB//rYjhAFYA4QGU +0CALXkAgC16AHQAAAB//riwf/6w0IAbWdCADDBQgC5wAIAucsCALmzAgC5twIAudMCALnFAgC5uw +IAbVdB//qzwf/63cIAtfACAGpGAf/68QH/+0RB//tFwf/7R0H/+w0B//tEgf/7RgH/+0eOEAMQAf +/5wYH/+cEB/84YDiAAAAIAqAAB//lCAAABvwn////x//qWgf/6t4IAueML//8P8f/6zEH/+VyP8P +//8f/6v0IAtg4CALYbAgC2IgIAthcCALYvAgC2MwIAbKJB//qiAgBthoH/+pICALY5AKAAAAIAbP +EAAAAABsEAb7964FpAwFAPn3rAWgBQUA9KBoHaDLBQDrOwEKyASAAOuJOQHkHIAACpkCCp0B7cQ5 +BMA0gAAe+8sPAgAORAImICHz95IF4BcFAPzYABMwCHUACGYCJjbBJzbCG/vF/AACHaA9JQD794IF +oA4VAPR4ZhXgDwUAW6j756BQbRAEgADApP33eAWgG0UAWOn2ZiB2JjbBJzbCG/u2/AACHaA9JQD7 +92QFoA4VAPR4ZhXgDwUAW6js56BcbRAEgADAof33XAWgG0UAWOnn0Q8A+/dSBeAMBQD8BkId4A4V +APv3SAWgCDUA+HhmFaAPBQBbqN3noBZtEASAAMCk/fdABaAbRQBY6dhnL4jRDykywvggBB3v/fYA +G/ubKhEAC6oBCkoCCgpPKjbCG/uS/AACHaA9JQD79xwFoA4VAP54ZhWgDwUAW6jI56ARbRAEgADA +of33GgWgG0UAWOnD0Q8AbBAG4xYCKfAEgAD99xAFoApFAPxEUBXgG0UAWOm7FPt7GPt/9/cGBeAG +BQD0AAId4AMFAOgWACRDQQAA+CAmFaAAOgCxZvTABbySAJ0ALCAh/ZgAFjAd5QANzAIsRsEnRsIa ++2v79tYF4AwFAPwGQh3gDhUA8phmFeAPBQBbqKJmoJca+2Mb+2P8AAIdoD0lAP4AIh2gCDUA+Jhm +FaAPBQBbqJlmoIMlQsIFBU9+VywK6jAZ+2ApkkGqmQjqMAiYDPcf+/iSAJ0AbQgNCuowCpoM91/7 +cJIAnQBj/+uMEiogIfwQAh3giwUA/W0ACbAb5QDs+1EZ8ASAAFhgaMAg0Q/eUPxEUBXgCkUA/faY +BaAbRQBY6X3HK9EPAMCk/CAoFaAbRQBY6Xhj/4HApPwgCBWgG0UAWOl0Y/9xAGwQBCogIR37P/oA +4h3gBQUA/qBoHaCDGQD5rQAPMCwFAFhgT+agU20gBIAAHPs3+gBCHaIJBQDqOgEK8ASAAAqeOSog +IfxAAh3gC3UAWGBE5qAnbSAEgAD6RDAVoQ0FAPogAh3gwwEA/W0ACrALdQD+oGgdoBwFAFhgOdJA +0Q8AbBAE/AUCHaArZQD8Y6AGUCpVAPpgBIwiAJ0A+mAFFGIAnQB8MVDGKtEPAAAtIEP7oAYcIgCd +APugBPRiAJ0AfNnjKiAh/fX+BeALNQD8AAIdoA4FAFhgImaglSogIRz7Cx37C//2EAWgC3UAWGAc +0qDRDwAAAAAqICH99eQF4As1AP/14AWgDAUAWGAVZqBgHPr/Hfr/KiAh//XUBaALdQBYYA/SoNEP +wLH6RDAVoAwFAPwAIh3gDhUAWGAJ0qDRDxz69B364SogIf/1vgWgC3UAWGAD0qDRDyogIRz67h36 +2voA4h3gDgUAWF/90qDRD9Kg0Q8AKiAh+gAiHeAMBQD8ACId4A4FAFhf9dKg0Q9sEATAINEPAGwQ +BikgIfX1mAWgBwUA/TgAFLAa5QAKmQIpRsEY+tkoRsIa+sbr+sYb4ASAAPwGQh3gDhUA9phmFeAP +BQBbp/0V+sPzQGgd4AY1AOVc0CUDvYAAKgoE/KBoHaAbRQBY6PVmMJcpEQAJOUH5IATw0AoVACol +ICwgIf2YABYwDXUADcwCLEbBwbMrRsIa+qz79VgF4AwFAPwGQh3gDhUA9phmFeAPBQBbp+NnoHHA +pPygaB2gG0UAWOjfKiAhKyw8WAG20qDRDxv6nvwAAh2gPSUA+/U0BaAOFQD2mGYVoA8FAFun1Oeg +GG0YBIAAwKT99S4FoBtFAFjoz2c/Z9Iw0Q8tQsL8IAQd7/1qAAD9KICBUA4lAP5EBB2v/XYAAAAa ++of79Q4F4AwFAPwGQh3gDhUA9phmFaAPBQBbp75noCzApP31BAWgG0UAWOi6Y/9pAAAAAAD5P/jp +0gCdAMD4/kQEHe/8TgAAAAAAAAD4mEgVr/0mAGwQBiggIRT6b/0YABQwCXUACYgCKEbBLgoBLkbC ++/TWBeA9JQD79NAFoAwFAPyYZhWgDwUAW6ei5qBEbRAEgAD79MYF4AwFAPwGQh3gDhUA+/S8BaAJ +NQD4mGYV4A8FAFunl+agLG0QBIAAKkLCKhUAZiAJKxEACytAmzDRD9EPwKT99KYFoBtFAFjojWcv +42P/6sCk/fSgBaAbRQBY6Ihj/80AbBAGKSAhKgoH8/SKBeAHBQALmREKmQIpNsEY+lQoNsIa+kHr ++kEb4ASAAPwGQh3gDhUA9nhmFeAPBQBbp3gW+j31QGgdoAU1AOZs0C0GEgAAG/o1/AACHaA9JQD7 +9GQFoA4VAPR4ZhXgDwUAW6dr5qEcbSAEgAAoMsIoFQBmQIEqICEc+jod+jr/9HQFoAt1AFhfR2eg +B8Al0Q8AAAAAKiAh/VgAFTALdQALqgIqNsEZ+jIpNsIb+hz8AAIdoD0lAPv0MAWgDhUA9nhmFeAP +BQBbp1LnoMltIASAAMCk/MBoHaAbRQBY6E1mQBUqICEc+h8d+h/+IAQVoAt1AFhfLNSgZk+RJBEB +eE8VwCbRD8Ck/MBoHaAbRQBY6EBj/10AACsgIdMP/XgAFbAMdQAMuwIrNsHA4S42wvvz9gXgDAUA ++/PwBaA9JQD2eGYV4A8FAFunMuagim0QBIAAG/nz/AACHaA9JQD7894FoA4VAPR4ZhXgDwUAW6cp +5qB0bRAEgAAkMsJmLw4EQkDRDwAAwKT989AFoBtFAFjoIGP+3QAAGvng+/PCBeAMBQD8BkId4A4V +APR4ZhXgDwUAW6cX56AUbSAEgADApP3ztAWgG0UAWOgSY/8RLDLC/CAkHa/8LgDcYPoAgh2gG0UA +WOgLY/+SwKT986AFoBtFAFjoB2P/ggAAbBAEIhrL0Q9sEAR/N2IqICH6ACId4AyVAPwAIh3gDhUA +WF7iKiAh/fOUBeALdQD8AAIdoA4FAFhe3SogIf3znAXgCxUA//OaBaAMBQBYXtcDmEHqICEsHIQA +AP3zkgWgC3UA/ABiHeAOJQBYXtBgABnGKtEPAAAA/fOEBaALdQD8AGId4A4VAFheyPpEMBWgC3UA +/fN4BeAsBQBY0RX6RDAVoAt1APxAAh3gDAUAWNEQKiAh+gAiHeAMlQD8ACId4A4FAFheucAg0Q8A +bBAEKDAIyILGKtEPKiAhFPmQ/VgAFTAb5QALqgIqRsEZ+acpRsIb+Yz8BkId4A4VAPvzEAWgDAUA +/JhmFaAPBQBbpsLmoDxtEASAAPvzBgXgDAUA/AZCHeAOFQD78vwFoAg1APiYZhWgDwUAW6a35qAp +bRAEgAApQsIImTIJiU+ZM9EPwKT98uoFoBtFAFjnrwiqMgqKT5oz0Q8AwKT98uIFoBtFAFjnqQiq +MgqKT5oz0Q8AbBAGIyEdwKX98wIFoBuFAO0gIinwBIAAWOef+/K6BaQMBQD58rgFoAUFAPSgaB2g +ywUA6zsBCsgEgADriTkB5ByAAAqZAgqdAe3EOQTANIAAHvlRDwIADkQCJiAh8/KeBeAXBQD82AAT +MAh1AAhmAiY2wSc2whv5S/wAAh2gPSUA+/KOBaAOFQD0eGYV4A8FAFumgeegUG0QBIAAwKT98oQF +oBtFAFjnfGYgdiY2wSc2whv5PPwAAh2gPSUA+/JwBaAOFQD0eGYV4A8FAFumcuegXG0QBIAAwKH9 +8mgFoBtFAFjnbdEPAPvyXgXgDAUA/AZCHeAOFQD78lQFoAg1APh4ZhWgDwUAW6Zj56AWbRAEgADA +pP3yTAWgG0UAWOdeZy+I0Q8pMsL4IAQd7/32ABv5ISoRAAuqAQpKAgoKTyo2whv5GPwAAh2gPSUA ++/IoBaAOFQD+eGYVoA8FAFumTuegEW0QBIAAwKH98iYFoBtFAFjnSdEPAGwQBPoAgh2gG0UA7Pkj +GegEgABY50MqICH6AOId4BwFAPQAAh2gkwEA/oBoHaEIBQD5DQAPcQ0FAFheHmagQB349xz5Fv6A +aB2gowkACt45+kQwFaALdQBYXhZmoCEd+P76RDAVoLMZAPutAApwLAUA/oBoHaALdQBYXg7SoNEP +0qDRD2wQBvXxzgWgBQUAAglH/TgAFLAKdQAKmQIpRsHBgyhGwhv44fwAAh2gPSUA+/G8BaAOFQD0 +mGYV4A8FAFumF+agXm0QBIAA+/GwBeAMBQD8BkId4A4VAPvxpgWgCDUA+JhmFaAPBQBbpg3moEZt +EASAAClCwikVAGYgIywRAB34xhv4xPqgaB2g7FkA/60ACrDMUQAMujkFqgIqNQDRD9EPwKT98YQF +oBtFAFjm/GcvyWP/6sCk/fF+BaAbRQBY5vdj/7NsEAQpICET+LX9OAAUsAp1AAqZAik2wRj40Cg2 +whr4sPvxYgXgPSUA/gAiHaAMBQD8eGYVoA8FAFul5/FEZA3gBDUAwKT98VAFoBtFAFjm4gkZQf0j +wADQCxUA/SwgAVAMJQD9IiCB0AqFAPpEBB2gACYAAAArJSAqICErLDxb/6/SoNEPGviW+/EuBeAM +BQD8BkId4A4VAPR4ZhWgDwUAW6XNZ6ARwKT98SQFoBtFAFjmyWP/mQAAKTLCY/+RAAD8RAQdr/7S +AGwQBCkgIcF+8/EGBeAFBQALmREHmQIpNsEY+J4oNsIb+H/8oGgdoD0lAPvw9gWgDhUA9HhmFeAP +BQBbpbXUoPFMuA3gBhUAJTbCG/h0/AACHaA9JQDq+HAbcASAAPZ4ZhWgDwUAW6Wq56AMbSAEgABg +AKoAAGZAkS0gIQvdEQfdAi02wRz4hSw2whv4ZPwAAh2gPSUA+/DABaAOFQD0eGYV4A8FAFulmuag +gm0gBIAAJTbCG/ha/AACHaA9JQD78KwFoA4VAPZ4ZhWgDwUAW6WQ56ALbSAEgABgAGEAZkApLyAi +EvhuA/8Rov8S+G2i/y7ygMcg88AEBzAC5QAC7gLu9oAqEASAANEP0kDRD9pg/fCKBaAbRQBY5n1j +/1bAof3wiAWgG0UAWOZ5Y/9GwKH98HoFoBtFAFjmdWP/nsCh/fB4BaAbRQBY5nFj/44AAGwQBCkg +IcFu8/BeBeAFBQALmREGmQIpNsEY+EooNsIa+Crr+Coa4ASAAPwGQh3gDhUA9HhmFeAPBQBbpWEX ++CjAQdMP53zQLQRiAAAoCqMoNsIa+B378DoF4AwFAP6AaB2gPSUA9HhmFaAPBQBbpVRmoIEqICEL +qhEGqgIqNsEZ+DIpNsIa+BD78CIF4AwFAPwGQh3gDhUA9HhmFeAPBQBbpUdmoD0rCmMrNsIa+Af7 +8A4F4AwFAPwGQh3gDhUA9HhmFaAPBQBbpT7xQ3gN4AIFANEP2kD84GgdoBtFAFjmOGP/jsCh/OBo +HaAbRQBY5jTAINEPwKH97/QFoBtFAFjmMGP/bcCh/e/sBaAbRQBY5izAINEPAABsEAT98BwFoApF +APxEUBXgG0UAWOYlKSAhwW7z78gF4AUFAAuZEQaZAik2wRj4ACg2whr33+v33xrgBIAA/AZCHeAO +FQD0eGYV4A8FAFulFhf33sBB53zQLQXqAAAoCqMoNsIa99P776YF4AwFAP6AaB2gPSUA9HhmFaAP +BQBbpQpmoLEqICELqhEGqgIqNsEZ9+gpNsIa98b774wF4AwFAPwGQh3gDhUA9HhmFeAPBQBbpP1m +oG4rCmMrNsIa97z773oF4AwFAPwGQh3gDhUA9HhmFaAPBQBbpPNmoGce99UtICIiISDA9/m4ABaw +DGUA7t0IARP9AAAC/Dge98+u3S7SgMfwD+4BDswC/bAGFaACBQDRD9pA/OBoHaAbRQBY5eFj/13c +cPoAIh2gG0UAWOXdY/+nwKH970gFoBtFAFjl2WP/PcCh/e9ABaAbRQBY5dVj/4cAAGwQBiYgIfXv +JgWgBQUADwIA/NgAEzAY5QAIZgImRsElRsIb9478AAIdoD0lAPvvFAWgDhUA9JhmFeAPBQBbpMTn +oFRtEASAAMCk/e8KBaAbRQBY5b9mIHomRsElRsIb93/8AAIdoD0lAPvu9gWgDhUA9JhmFeAPBQBb +pLXnoGJtEASAAMCh/e7uBaAbRQBY5bDRDwAAAAAA++7iBeAMBQD8BkId4A4VAPvu2AWgCDUA+Jhm +FaAPBQBbpKXnoBZtEASAAMCk/e7QBaAbRQBY5aBnL4TRDylCwvggBB3v/eYAAAAb94Ec91oqEQAD +xTkLqgEFqgIKCk8qRsIb91j8AAIdoD0lAPvuqAWgDhUA/phmFaAPBQBbpI7noBFtEASAAMCh/e6m +BaAbRQBY5YnRDwBsEAYpICH17o4FoBrlAPZEUBWgBwUAC5kRCpkCKUbBGPdcKEbCG/dB/OBoHaA9 +JQD77nwFoA4VAPaYZhXgDwUAW6R33aDxTPgN4AU1ABv3N/wAAh2gPSUA++5oBaAOFQD0mGYV4A8F +AFukbdmg6hYCLQX6AAAsQsIsFQDmkI9s6ASAAC4ZAP/oAAcwb9UA/+AFg6IAnQAoICH9GAAUMA4V +AA6IAihGwR/3RS9GwvvuPgXgDAUA++44BaA9JQD2mGYV4A8FAFukVuahJ20QBIAAG/cX/AACHaA9 +JQD77iYFoA4VAPSYZhXgDwUAW6RN5qERbRAEgAApQsIpFQLmIA5paASAACoRAgoKQJow0Q/S0NEP +AAAAAAAA/e4MBaAKRQD8IEYV4BtFAFjlPYkSY/9KwKT97gIFoBtFAFjlOYkSY/84LCAgaMTF3WD9 +7joFoApFAPpEBh2gG0UAWOUxIyAh0w/8eAARsBjlAAgzAiNGwSdGwhr27fvt2gXgDAUA/AZCHeAO +FQD2mGYV4A8FAFukJOeggG0QBIAAwKT97coFoBtFAFjlH+YgQGloBIAAI0bBJ0bCGvbd++26BeAM +BQD8BkId4A4VAPaYZhXgDwUAW6QU56CFbRAEgAAqCgH97aoFoBtFAFjlDt0gZt8gxyvRDwAAwKT9 +7ZwFoBtFAFjlCGP++MCk/e2YBaAbRQBY5QRj/ugAABr2xPvtigXgDAUA/AZCHeAOFQD0mGYV4A8F +AFuj++egFG0QBIAAwKT97XwFoBtFAFjk9mP/WihCwvggJB2v/VIAAAAAAAAb9rEqGQELqgIqRsIa +9rAb9rD8AAIdoD0lAP4AIh2gCRUA+JhmFeAPBQBbo+bnr1xtEASAAMCh/e1WBaAbRQBY5OFj/0ds +EAYoICBphAPAJNEPKiAhFPad9gACHaALdQALqhELqgIqRsEZ9sApRsIa9pfr9pcbYASAAPwGQh3g +DhUA9phmFaAPBQBbo87n9pQdGASAAPFBlA3gBTUAwKT8+gAVoBtFAFjkx2cwRMAl0Q8AGvaG++0O +BeAMBQD8BkId4A4VAPSYZhXgDwUAW6O956AVbRgEgADApPzgaB2gG0UAWOS4YAAGACxCwiwVAGY/ +uiMRAHA3dwOdRGjVdi8gIf34ABewCHUACP8CL0bBweMuRsIa9m4b9m78AAIdoD0lAPIgJhXgDhUA +9phmFaAPBQBbo6SCEeagPm0YBIAAGvZj++zGBeAMBQD8BkId4A4VAPSYZhXgDwUAW6Oa5qAobRgE +gAAiQsJmP0EC0kDRD8Am0Q8AwCLRD8Ck/eysBaAbRQBY5JBj/97ApPzgaB2gG0UAWOSMY//ObBAE +KCAh9ezUBaAW5QDz7JAF4AUFAAuIEQaIAig2wSQ2whr2ROv2RBrgBIAA/AZCHeAOFQD0eGYV4A8F +AFuje2ag4Br2PBv2PPwAAh2gPSUA/gAiHaAJNQD4eGYV4A8FAFujcmagzCkywvpEMBWhBwUA/ysA +BdACFQALrBEGzAIsNsEkNsIa9iv77FYF4AwFAP5AaB2gPSUA9HhmFeAPBQBbo2JmoJwlNsIa9iL7 +7EQF4AwFAPwGQh3gDhUA8nhmFaAPBQBbo1lnoFNgAJgLrREG3QItNsEkNsIa9hb77CwF4AwFAP5A +aB2gPSUA9HhmFeAPBQBbo01moFknNsIa9g377BoF4AwFAPwGQh3gDhUA8nhmFaAPBQBbo0RmoFjA +INEPAMCk/ewKBaAbRQBY5D9j/zXApP3sBgWgG0UAWOQ7Y//swKH96/wFoBtFAFjkN8Ag0Q/Aof3r +9AWgG0UAWOQzwCDRDwDAof3r8AWgG0UAWOQuwCDRD8Ch/evoBaAbRQBY5CrAINEPAAAAbBAGJCAh +FfYQ8+vMBeAGBQD8mAASMAhFAAhEAiQ2wSU2whv14vzAaB2gPSUA++u8BaAOFQD2eGYVoA8FAFuj +GOegVG0QBIAAwKT967IFoBtFAFjkE2YgeiQ2wSU2whv10/wAAh2gPSUA++ueBaAOFQD2eGYVoA8F +AFujCeegYW0QBIAAwKH965YFoBtFAFjkBNEPAAAAAAD764oF4AwFAPwGQh3gDhUA++uABaAINQD4 +eGYVoA8FAFui+eegFm0QBIAAwKT963gFoBtFAFjj9GcvhNEPKTLC+CAEHe/95gAAG/W9KhkA0w8P +AgALqgIqNsIb9a78AAIdoD0lAPvrVAWgDhUA/nhmFaAPBQBbouTnoBFtEASAAMCh/etSBaAbRQBY +49/RDwBsEAYoICHAl/PrOgXgBgUAC4gRCYgCKDbBJjbCGvWZ6/WZG2AEgAD8BkId4A4VAPZ4ZhWg +DwUAW6LQF/WV+iBGFaAENQDlfNAtBtoAABr1jPvrGgXgDAUA/AZCHeAOFQD0eGYVoA8FAFuiw+oW +Ai0GYgAAKTLCKRUAihIPAgBmoLQrEQAqICHzYAYs0gCdAPoAIh3gDJUA/AAiHeAOFQBYWpv6RDAV +oAt1AP3rPAXgLAUAWMznKiAh/esUBaALdQD8AGId4A4FAFhakCogIf3rLAXgCxUA/+sABaAMBQBY +WosqICH96uYF4At1AP/q4gWgDAUAWFqF+kQwFaALdQD96xYF4AwFAFjM0iogIfoAIh3gDJUA/AAi +HeAOBQBYWnvAINEPwKT8oGgdoBtFAFjjkowSZ89KghLRDwDApPzgaB2gG0UAWOOMY/8tAAAAAAD9 +WAAWsA51AA7dAp0RLTbBJjbCGvVH++qOBeAMBQD8BkId4A4VAPZ4ZhWgDwUAW6J+56BVbRAEgADc +UPoAgh2gG0UAWON5ZiB2jxEvNsEmNsIa9Tf76nAF4AwFAPwGQh3gDhUA9nhmFaAPBQBbom7noFpt +EASAAMCh/epgBaAbRQBY42nRDwAAAAAa9Sn76lIF4AwFAPwGQh3gDhUA9HhmFaAPBQBbomDnoBZt +EASAANxw+gCCHaAbRQBY41tnL4jRDygywvggJB2v/fYAKRkBKioACpkCKTbCGvUV++osBeAMBQD8 +BkId4A4VAP54ZhWgDwUAW6JM56+JbRAEgADAof3qIgWgG0UAWONH0Q8AAGwQBhb1M/PqCgXgBAUA +9+piBeAc5QD56gwFp7IBAOgWAC3ewoAA/WYADbACBQDrFgIkQ0EAAPggJhWgADoAsUT2gAU0YgCd +AIwSLDbBJjbCGvT0++noBeAMBQD8BkId4A4VAPJ4ZhWgDwUAW6Ir5qB8bRAEgAAa9Oob9Or8AAId +oD0lAP4AIh2gCDUA+HhmFaAPBQBboiDmoGNtEASAACUywuYgQGlQBIAA/qcADlACBQAK6jAb9OMp +skErskELmQoKmQoK6jAKmgz3X/uAkgCdAG0IDQzqMAycDPef+viSAJ0AY//r0qDRD8cr0Q/ApPwg +KBWgG0UAWOMHY/+jwKT8IAgVoBtFAFjjA2P/kwAAbBAG9+niBadyAQD8+AATsBjlAAh3Ahj0whX0 +7PPpeAWgCwUA6BYAJENBAAD4ICYVoAGGAAAnJsEoCgAoJsIa9LT76WgF4AwFAPwGQh3gDhUA+Fhm +FaAPBQBboetmoHAa9Kwb9Kz8AAIdoD0lAP4AIh2gCTUA+FhmFeAPBQBboeJmoF8kIsIEBE92STux +O3WxMNOwCuowHPSnKcJBLMJBDJkKCpkKCOowCJgMaoGGbQgNDeowDZ0M97/70JIAnQBj/+vAIdEP +AAB1MfbAINEPAMCk/CAoFaAbRQBY4st2Qatj/+TApPwgCBWgG0UAWOLGY/+VAGwQBBj0j/IAAh2g +JvUA9QBoHeATBQBtOgwkUZDmQQxyqAkAALEixyvRDwAAZiBnFvSrKWKEwKD6QAQA0AUVAPygAQLf +9PUA5FQDCQEKgADpSQENUAqAAAqZAilmhAPqMCKCQSeCQQciCgMiCgfqMAcnDGpxDm0ICAjqMAgo +DGqBAmP/8ClihAlJAQlZAvjQhhXgAgUA0Q/RDwAAAGwQBMBQGPSPKIKucY4uHfRl+gACHaAs9QD7 +oGgd4BkFAG2aDi6xkLK7/cAFHCIAnQCxqseb5pEFbNAEgADaIFv/jGag+NogW/9GZqDwFPRLAghH +/RgAFDAZ5QAJiAIoRsHC8C9Gwhr0RvvojAXgDAUA/AZCHeAOFQD0mGYV4A8FAFuhfeagu20QBIAA +GvQ9G/Q9/AACHaA9JQD+ACIdoAk1APiYZhXgDwUAW6Fz5qCnbRAEgAApQsIJCU/mIH9pUASAAPhg +BhXgAgUA0Q8AAADmr2FtSASAABz0WtMPKMKE+0AEANALFQD9YAEF3/n1AOm6Aw0BCoAA6KgBCsgK +gAAJiAIoxoQO6jAp0kEv0kEPmQoOmQoO6jAOngxq4Q5tCAgN6jANnQxq0QJj//AuwoQOrgEOvgL/ +kIYVr/wOANKg0Q8AwKT96CAFoBtFAFjiSv/9nA2gCQUAwKT96BoFoBtFAFjiRWP/52wQBCIay9EP +bBAIJCIYHPQ0IyAH/ICQFeAKVQD+gAgVoDsFAP6AsBXhMwEAWOI4KUAFKApyeYMEwCDRDwAc9CmP +SC1ABP6ACBWgCBUA/+AIFedFAQDjFgAiK/kAAPUNAArwClUA9CAmFeA7BQBY4ij6QGgdoAuFAO0c +ECngBIAAW6oQ7vQZFQHpgACNIMDwn6EO3QKdoBz0FekSBCnfAoAArLvptgAiFkUAAIon+gAiHeAM +BQD7RAAVoA0VAFuehMAg0Q/aIOz0ChrYBIAAW6njwCDRDwAAAGwQCBP0Bg8CAA8CACcyjCcWBidy +Ff3oBAWgClUA/OBoHeA7BQBY4gT24BCgkgCdAIQW9EcAFeAGBQDlFgUiIXEAAPQghhWgBQUA8AFI +Da/09QDHjQjYAZgyiTjKlf3n4gWgClUA/GAIFeA7BQBY4fKLOY04nbCMOPuAJhXgCgUAmjiaOYgv +7xIFIfCBAACegJ84mDmeL7FV9OAKJGIAnQCKFuqiFCJYBQAAW54MGPPeE/PbKIKHIzKGqojs89wc +RkKAAKgzLzAF6jIKLSAEgACOMIg8iqCaECkyAvggJhXgOwUA6SIAKmgEgAD4IEYV4IgBAPggZhWg +ClUAWOHPjzouMAVy+WEpCpZ54VsqCpd64VUrCpp74U8sCpns4WFzMAUAAC0KmH3hVi8Km//ABARi +AJ0AjTJk3x/zv/ivkgCdABjzu/0f+I5iAJ0AZNDowLBtCBAu+gB94DANjRTk0EFl2CEAAGP/6Pxg +CBXgClUA/ediBaA7BQBY4a9j/xcAABnzrvhhZhXv/rYAx6B9oAu0u/AAGA2k3R0AsbsNHRRl3/f6 +YGgdr/z1AFumPWP+qIs88X/799IAnQCKFCsyElswCSwxKyo2E+3znRZgDQAA/GFmFeHMDQD8ZUQd +r/1iAIgVjy7Apf3nLAWgDRUA+e8AD7AOBQD/ogAPcDsFAO0iAit4BIAAWOGMZGBGGfOOiiJ5oAQK +K1PJtisgB9og+iAABfAMFQD7YwAV4A0FAFuo8tEPAAAAAAD//ZgNoAsFAPxHABWgBgUA/CCmFa/+ +UgAAAADApf3m+AWgOwUA/EAIFeAORQBY4XT6QggVoAtFAFgbbtEPAAAAbBAEijelQvtByBWgDAUA +LCQAI0AAw5r4YAkMYCvFAGQxNQRFAm0IECNQAbFX1XDpMQl7wASAAMgxY//oKXABLHQA+yQGDeAD +BQDJmMAwbQgRJ1ACsTPrcQxyqAUAAMh0bzUCY//nqDvstAEhgqmAAPgMAh3gBwUA9QBoHeB8pQD6 +ById4Cj1ANMPbTowI1ABc4sMc7MJ8noAFeAAZgAAAHObDHPDCfJ1IBXgACYAAAAjPMkHdwrjdwkC +qAUAAGAAAcBw/AACHeAMBQDwAGQNoCvlAC6QALGYDok57FwCDKAEgACx3WjUNyNAAOQ/9G5mAoAA ++mdmDeAIBQDAUG0IGgVVCuNVCQRABQAApIkjkADkP79iq0EAAHsxt2P/3gAA7KaSJTALAAD2xEQd +4AIFANEP2UD//mwNoAUFACVAASxEAOtRI34YBIAAyVvYQPSAaB3v++oAAAAlQAEsRADrUQd+GASA +AGVf4viAaB2v++YAAAAAbBAEG/MXCzsLI7CA2iDrsiEp4ASAAFjZtxvzEhjzEw1EEatLqEQkQIDy +YABFMDzVAOykACVQBQAA67LRKmAEgABY2aykPPOAAEcwDQUA7eQCJhAJAADRD2wQBIYg+mAEANAE +FQAAQxp2MAPAINEPBjMC4yYAKZAEgADRD2wQGII3G/L7gi7s8vkQ0IEAAOsABQFIEwAA6gwABJoB +AAAvMAIuMAEtMAAoMAOYECswBJsRKjAFmhIpMAaZE/hg8BWgOwUA+CCGFaAKVQBY4OAc8ukvMAou +MAktMAgoMAuYECswDJsRKjANmhIpMA6ZE/hh8BWgOwUA+CCGFaAKVQBY4NMkLQUlQGTlFDQg0QEA +ANMP5EBgIioBAABbMZQqHED6JoAV4AwVAFsxPyhQYNMP8QGwDeAMBQDZUG0IDCqQYbHM5KAJZMgF +AABj/+wAACstBeocQCXbgQAAWzEy6y0DKmAEgADqHEAl2YEAAFsxLeocQCDYgQAAWzDe+iQAFaAb +BQBtug8soAArMACxM+vJC3VQBQAAwCDRDwAAAP1g1g2v+vUAwKFkr+vAov3lZgWgOwUAWOCmwCHR +DwAAbBAGKCAAw5DpiS152ASAACogAfPlVgXgXIUA/UAJXCIAnQAtCnj9QAkEYgCdAMTifqEJLwpi +f6EDxirRDywgAvOF0A3gBgUA+iBGFeA+1QD/gBXkIgCdABnym/uAaB2gBQUA+CAmFeAPBQD3IBAV +4AQFAAoNR/zlhg3gCgUAG/KS0w/TD+uwgC3gBIAAbQgS5LCaZVAFAAArwIHtsQd2YAUAAGP/5okR +qanxKAAN630FAAOZDK2ZZpBz6v8RAqgFAADvnwIKoLgAAIgS9IBgFaAFBQD/AEYd76+BAPsABh2o +nx0A6YQBJEANAAD4IEYVoA8FALFmomsssALqxAAGAOGAAP+f+2UiAJ0AyMx+qR0qsAPlr/Zl2AUA +AGRRhPSgEDESAJ0A9KAQgZIAnQDGSvoAoh2gOwUA7PJlGmgEgABY4FPSQNEPAAAALCAC5PJgHbAE +gAD8QEAV65oFAPGCoA3gCQUAbQgMLiADsZnk4AlhEAUAAGP/7AAACQhACA8GCY879eAJMJIAnQDA +ICvQAMu870CALqgEgADyIAYVoAcFAAsOR//L5g3gCgUA60CAKmAEgABtCBLksBJlUAUAACvAge6x +IXZgBQAAY//mxir6AKIdoDsFAOzyPxloBIAAWOAr0Q8AAADzQABE+54FAH6R2mav1ytQAWS/0Wqr +FP1fQBWgAE4AAC66kH4xvytQAWS/udyg9YBoHefrAQD/ycYN4AoFAOtAgCpgBIAADwIA0w/TD20I +EuS/kmVQBQAAK8CB7rEJdmAFAABj/98AAPNAAEX7nAUA/X/7lCIAnQBmr2pqqxT9X0AVoABOAAAA +LrqQ/n/6vCIAnQDcoKZ45xIAKq8CgAAFxQLlhAAhEAUAAAcnDA11CStQAGW/A2P/LsBAxor1AgAK +P/oeACLQAC5AgPPHJg2gDAUA30Bk7w0u8IGxzOLp9Hf4BQAAo8n7P/fcIgCdAObO82boBQAAassX +/Z9AFaAAUgAAAAAAAAD6f/bUIgCdALHd7LQAJbAFAAD/+hwNoAIVAAAAAAAAAOrEAAlYBIAA9AAC +HeAPBQD/96QNoAQFAIsS9IAgFaSvHQD7YAYdr/22AI0S9IBAFarvHQD/oAYdos8dAP2gJh2v/U4A +bBAIIyIYLzAF/mAIFaB0JQB/S3f6AKIdoDsFAOzx2hnoBIAAWN/FLzAFKApz9eKGDaACBQD54Adc +IHlVAPngB9xiAJ0AwKX946AFoDsFAO4yACnoBIAAWN+5yiyDONMPJTIYHPGqIjAH/KCQFeAKVQD+ +oAgVoDsFAP6gsBXhIgEAWN+uKFAFeEM80Q/8QAgV4ApVAP3jfAWgOwUAWN+nLzAF9fyGDaB5BQB5 +8dz6YQgVoAsFAPwAAh2gDSUAW4hf0Q8AAAAAABzxko9YLVAEjlD/4AgV4ApVAPIgBhWgCAUA+CAm +FaA7BQBY35T6YGgdoAuFAO0cEClgBIAAW6d87vGFFQKJgACNMMDAnKEO3QKdoBvxgegSBClPAoAA +q5mYkNEPAII4Lwp0LzQF/kCmHeACFQD+YLAV7/w2AIk4KAp3KDQF+SCmHaACFQD+YLAV7/vWANow +/eLiBaALBQBbp0rRDwBsEA4oIAUpCpXTD/kACzRgBQUAKTIS/yKACtGp8QD1QAuwkbnhAPVgC3CS +AJ0AhCeETiZNAiVkEYcw9pDmFeAJFQApZBIsIG7n8XkTMgEAAPWABiCSAJ0AGPF2GvFbiSz8QigV +r50lAC0kBSwmFP3i5gWv+9UAC5kBmSwqopApcm8ogH3lZJEtVkKAAKqZjiCcFvvi0gWgD0UA6hYA +JHw8gAApnQH5MAAV4AAqACmdAymcgBjxYOgWAi92AoAAD+4CnhEtkAcrkSkNDUEA3RENuwIMuwKb +FArqMJUX6hYFIkgLAADpZgAA0IEAAAoMiglAiAoIigkgiAoEigkAiAoAiuokAAjYBIAA/ACCHaAN +JQBbl9jRDwAAKkKQZK85HPFIizcMqijqFhAl2EEAACsWEVstiSwSEB3w5x7xQo8w6hIRLVgEgABb +LUAf8T8uMhKNNw/uAu42EiaYQQAA2jBbLRojFhL5X/d40gCdAPpgaB2gAPIAiicqrBBbnZuLLPRG +pB3v/OUADLsB+kGGFe/6FgAAAAAAAAD6YGgdoAsFAPwAAh2gDSUAWy1/0Q9bLSMT8ScLqBHoMwgF +AbGAAAzqMCsyhYuwsKPsuwgJ0ASAAFjgeypymfpgBADQCxUAALsaC6oCKnaZWOCxY/5qAAAA+gDi +HaALFQBbnk8sMn/8cGYVr/laAAAAbBAKkhiVGfaAI0CSAJ0A8gACHaAGBQDwAPQNoDfVAAD3YAmM +YgCdAMDQJmzq9ILAFa/rpQD8AAIdoA4FAIoYjxkLawyrO68vWy+zoqJmISf2gAkgkgCdAKNvK/AA +ZL+/92AHjGIAnQBqQbzb8P6AAELwDQUAbQgaLrABsdrtpAAF4AUAAOvEAAcAeYAAd+EKdcoEY//e +AAB36Y4owAHAsOvEACVwBQAA5IOsZmAFAAD1wBthogCdAMDgbQgTse6t66+5KZAB5JAMZdAFAAB0 +qgZj/+UAALG6BKkMCbo476gIBUgFAAD3IABDMAsFACuEAOlEDAzYBIAA99/6AZIAnQBk4p4vwABk +8pgV8LclUuuZECpQAPfAFniSAJ0A/0AWPWIAnQD0IEYV4AsFAG0IIevpDAXYBQAA9SATOJIAnQCK +EmqSNKq6rLUlUAAqoAB1qTNj/9co8AH94CAVoAkFAOn0ACQYIYAA9oAR4RIAnQD//RANoA0FANEP +ihKstSVQAKq6KqAAixCfF3pTEPVB9g3gDxUA8AAcDaAPBQAAx/9k8f8V8JElUufA8OpQACcMJ4AA +iBd4oQr0IOgV4ADSAAAAAJUWD+kM9SAOuJIAnQDqEgYn+AUAAPcgD8ESAJ0ArPWq+iVQACqgAA/p +DHpR13pTD/VB1g3gDxUA8AAYDaAPBQDH/2TxmhXweA8CACVSrcDw6lAAJwwngACIF3ihCvQg6BXg +ANIAAAAAlRUP6Qz1IAt4kgCdAOoSBSf4BQAA9yANYRIAnQCs9ar6JVAAKqAAD+kMelHXelMP9UHW +DeAPFQDwABgNoA8FAMf/ZPEyFfBeDwIAJVKVwPDqUAAnDCeAAIgXeKEK9CDoFeAA0gAAAACVFA/p +DPUgCDiSAJ0A6hIEJ/gFAAD3IAqhEgCdAKz1qvolUAAqoAAP6Qx6Udd6Uw/1QdYN4A8VAPAAGA2g +DwUAx/9k8MoV8EQPAgAlUsXA8OpQACcMJ4AAiBd4oQr0IOgV4ADaAAAAAJUTD+kM7wIAB/gFAAD1 +IAS4kgCdAPcgB+ESAJ0AihOs9SVQAKr6KqAAD+kMelHVelMQ9UH2DeAPFQDwABwNoA8FAADH/2Tw +XxXwKSVSr8Dw6lAAJwwngACIF3ihCvQg6BXgALoAAAAAlREP6QzokTdn+AUAAPcgBqESAJ0AihGs +9SVQAKr6KqAAD+kMelHdelMQ9UH2DeAPFQDwABwNoA8FAADH/2X8mccv0Q8A9IAGYJIAnQDAkcDg +/yAARHANBQDthAAk2AUAAPdgAEMwDQUA+o8ACn/xtgCKFqz1JVAAqvoqoABj/gwAAAAAAPXgaB3v +9moAihWs9SVQAKr6KqAAY/5YAIoUrPUlUACq+iqgAGP+sACKE6z1JVAAqvoqoABj/woABOsMC644 +2eD/IABEcA4FAO6EACTYBQAA92AAQzAOBQD6jwAKf+/mAIoRrPUlUACq+iqgAGP/MgDk6wwPSASA +APtCAAz//xIAaEEU//0MDaAJFQDAINEPAP/82A2gCQUA//y4DaAJBQBsEBQrMAcoMAQqMAUkIhDp +MAYsRgKAAAqIAupCByxGAoAACYgC6e/mHEYCgAALiAKKrioWHPkABARyDAUA+CNmFaALBQBY1nUo +MAH2ZJAVoE51APggAAS3eDkA+AYABbFYEQDphyR6+ASAACsWGukWGSOMsYAAwKL936YFoDsFAFjd +sfADGA2gTnUAKxYa6RYZI4uxgABkUqn0oBWAkgCdAO8CAA/cwoAA/+AFBCIAnQAqIhIpEhsPAgAL +qgLqJhIkgamAAOyUAAlQBIAA7RIcIdjBAABb/qjnoBxtSASAAMCi/d9yBaA7BQBY3ZfwAXANoE51 +AADAkOkWGCMTIYAAKyIY9MAIUJ+MdQCOQPxACBXgCiUA7LR0K3gEgAD931YFoDsFAFjdiO0SGivw +BIAA7BIZKvgEgAD8IAYVoAolAP3fSAWgOwUAWN1/xOca73od75QpopoqopAY75Mt0H3v75MdVkKA +APsgAESwDEUA6hIcJvxAgAApnQH5MAAV4AAuAAApnQMpnIDt74YVWAsAAC60EYtAnx6dGugWCC3e +AoAADLsCmxkokActkSkICEEAiBEI3QIP3QKdHAzqMJwd+UBAJeALBQDrFg8g0QEAAAlgiAoMiglA +iAoIigkgiAoEigkAiAoAiuscICpQBIAA/ACCHaANJQBblfraIFv9g8Ag0Q8AAAAA/qBoHe/6VgAA +jSCOQP1uhh2gCiUA/d7aBaA7BQBY3UjyQwgV4AwFACxGECxGFfyChhWgDhUALkYS/oJmFa+dVQAt +RAUvMAUuMgD8giYVoHQlAP6AChLiAJ0A+gCiHaA7BQDs70kZ6ASAAFjdNS8wBXTxFSgKc/ngD9wi +AJ0AKQp1+eAQRGIAnQDAIMCl/d5+BaA7BQDuMgAp6ASAAFjdKGQg7YU4IlIYHO8aI1AH/ECQFeAK +VQD+QAgVoDsFAP5AsBXhMwEAWN0eKCAFDwIADwIA+IAF2qIAnQAc7w6PKC4iAC0gBP/gCBXgClUA +8iAGFeAJBQD4ICYV4DsFAFjdEPqgaB2gC4UA7RwQKeAEgABbpPhkocQc7wCLUMDQnaEMuwKboBnu +/uISBCnHAoAAqYjzAAYVoAIFANEPzZFj/gQAAAAAAGSd/PU/78iSAJ0A/iMoFe/1FgD6QGgdoAsF +AFukrywiEgzZQe+SY21YBIAALhIcLRIYLaUKnqT/3ioFoIoFAArKAp6yKiYSjyJk8TfAINEPAAAA +/EAIFeAKVQD93fgFoDsFAFjc5S8wBXTx3ygKcHjx2fphCBWgCwUA/AACHaANJQBbhZ7AINEPAAD5 +P+ph0gCdAC4iGBru/i36iy3kdArKAiomEikwHCowHSxCEu0wHizOAoAACpkC6jAfLM4CgAANmQII +mREKmQJ8mQUtzAEtRhIuQG4vMCAsMCEpMA7oMCIv/gKAAAz/AuowDy/+AoAACP8C6DAjLM4CgAAK +mQLpRhAv/gKAAAj/Au9GEy8CjgAAx6+atCgiEh7u3v9gRhWgiQUACYgC+EJGFa/8bgCMOCsKdCs0 +BfuAph3gAhUA/mCwFe/4CgCOOC0Kdy00Bf3Aph3gAhUA/mCwFe/3qgCKJ/oAgh3gDAUA+0QAFaAN +RQBbmRLqRAAJWASAAFv9KcAg0Q/aIPwAgh2gDTUAC+AAwCDRDwDaUP3dIAWgCwUAW6RpwCDRD2wQ +DB7uso00GO6pFO6OjzYogH0sQpr6kggV6/8BAJ8cDt0B7RYJLd5CgADsuwgEfEiAACm9ASmcgPgh +RhXgADIALb0DLdyAnRqGOYUcJEKIhziXGKVE5zEPKiZCgAAExAj0gSgV4A4FAC4mDi4mDy4mEC4m +ES4mEi4mEy4mFC4mFS4mFi4mFy4mGC4mGS4mGi4mGy4mHFuJoxzulY0g/UAGFeA7BQDuIhItQASA +APhA5hWgClUAWNxniRgrIAcd7o2OGvyg8BWgDwUALyUbLyQiLuEH/qGQFeHMAQD9gABGcP21AA27 +AS8kDCzAgC9QDS4lBy8kDe/ugB5ngoAADLsC+kDmHeD8xQAMuwH6oPAVoB6FAC4kBJkrKSYJKSYV +JiYM9kKGFaGqAQALqgIb7lX2QmYVoA2FAC0kBSyysh3ubyokB3z7CAxoDA+ICCgmFC7SfSiytC/S +gO6ICA+CCoAA+R/gFaDuTQD5wAQHMA8lAPmwSBWg7p0AD+43H+5h+cEADzPHYQD/gACGcP/1AA/u +Ni4kIyzBvizM2P4hZhWvzAEA7CUaI+A0gAAszPQMDE8sJRr6otAV4I4FAOXuUhZwM4AA/kNEHaCM +BQArJBYo0n0IVTZ/sQ4KCkFbUnIsIRoKVTYMVTcMWCwIyBzoJRspUASAAFuWjIUYHO5DjScuIAQv +IAWKG5oQ+EFIFeA7BQD4ICYV4ApVAFjcDhzuPI0cjhkkJhjiRgghUOEAAJou+kHmFaB5NQApRAUp +JAWPNJYQlREoQAWXE/ggRhWgOwUA+EAIFaAKVQD4IIYVp//BAFjb/P3cVgWgClUA/IIIFeA7BQBY +2/eKJ/IAIh3gCwUA+0QAFaAMFQBbnQYc7iKcoIsgjE0IuxEDuwLrpgEmAHmAAOtCEClQBIAAC8AA +wCDRDwAAbBAEiicqrBBbmmmOLMnh+kBoHaALBQD8AAIdoA0lAAvgAMAg0Q8AAGwQBIsrKSA5LSEb +/2GAARAGRQD3JgANMAAaANqQ6O4HFYQ8gAAE1RH4pgAKsAAaAMBQHO4DLyAMLiAN+uAABbAppQD4 +YGQd4AoFAOo1Ai/+AoAA7+4CDdwCgAAOuwIMuwL6YAYV4ApVAP3b7AWgOwUAWNvBlTLqPBYhEOkA +APpAaB3gDGUAWNRmK0ANLEAPLUARKEAQKkAOKUAMDYgCDKoCC5kCCpkCCYgC5YASYdBBAAD728oF +4AxlAFjUWWAACvqBgBXgDGUAWNRVG+3gH+1q9mRmHaAMZQAsNCKOQPyASBXgCRUAKTQf/mQmHeAI +BQD4Y8YdoAqFACo0HCs0He00LylYBIAA/mSmHajdHQD8ZcYd6O4dAP5khh2o3R0A/GWmHeAOhQD+ +ZAYdqN0dAO00LCHQmQAAWNQ6KkATK0AVLEAXL0AWKUAUKEASDP8CC5kCCogCCYgCCP8CyPwqPDD6 +gkAV4AxlAFjULY1B/GcmHejdHQD8ZwYd6N0dAPxm5h3o3R0A/GbGHeACBQDRDwBsEAQc7a/8YkQV +4ApVAP5CCBWgO0UAWNt0KjES1CD7YAAFMAwFAOvtpxUUe4AAerIWKSIQ5MQABIcBgAAtkRsNDUsK +3QwNlDhkQMiLR4u+JbwQ4xMeCsgEgAAJAmkDYIYJAmcDQIYJAmUDIIYJAmMDAIYJAmH72uwFoAMV +ACO2GY1ALyA/KCA+gkf14AAXt+1BAOy2GixkAoAA78wCD3YCgAD/hgAON90BAA3MAuy2GyEQQQAA +WymsHO1mHe0K7u2CHVgEgADvQgApUASAAFspYoJHIiwQ2iBbKT9ooVQZ7XuISxzte+mIAgpQBIAA ++IFmFaJb5QBbL5zrpAAFAJmAAIpCwCDltgglALmAANEPAMAs0Q/BJtEP9YBoHa/8sgDaQPwBQh2g +DTUAWy9RwCDRDwAA2iBbKUUS7UkLqBHoIggFAbGAAAzqMCsihYuwsKLsuwgJUASAAFjcnRztXirC +fwAhBAA7GguqAirGf1jc02P/agAAAAAA+gDiHaALFQBbmnEsIn/8UGYVr/1SAAAAbBAGHO1R/GJE +FeAKVQD+QggVoDtFAFjbECoxEuftTBkgBIAA/GFIFeuqAQDr7UIVFKuAAHqyIikiEGSSQiyRGwwM +S/uPAA4wBAUADJQ4zEjUIPABhA2gEmUAhUcmcmD52ngFoIoFAOVSDiaBGYAAKXJ0KIJ/CWYRqWZt +iRCLZ4u+K7Kq/WAGXGIAnQCqZiJSretSqyEIIYAAHO0uLVKqLlKr/rYoFeAKVQDyIAYVoDtFAFja +6cEgG+zkLEAMK7KQKnJ0rLvt7NQd3kKAAKuqLKEpfcF7jkeO7hjs9xzs6O/s9RdoCwAA5ez1FtlB +AADsAAUNyASAAAkCYQkCYQkCYQkCYYlAL+aW9dNGFeAMRQDo5pQszgKAAAyZAinmlSigBy+hKQgI +QQCIEQj/AgX/Au/mmCbJwQAAAyCGCQJjAwCGCQJh2dDzMMYdoA0lAFuTadEPHO0BLmA5j2CdEfog +BhXgClUA/eBoHeA7RQBY2rpkbxr03/i8IgCdAI0g/scwFaAKVQD92eoFoDtFAFjasvIMYh2v/I4A +AIo6ZKDEijssUrF6sQIqVquKPC5SqnrBAipWsY06DwIA/6AFpCIAnQD4YGgd4AYVAOZWkCLQCwAA +6RseBVPBAAAKAm0JoIYKAmsJgIYKAmkJYIYKAmcJQIYKAmUc7NsiUgDyYUgV4AslACtWkPpAaB2g +O6UAWy7y66QABQCpgACWqPNBJhXgDQUAnaqMImTAXoJHGuyl5laRIRBBAABbKOgc7KEd7Ebu7Mkd +WASAAO9CAClQBIAAWyiegkciLBDaIFsoe2ihOMAg0Q/AgChWqihWqyhWsShWpf/5CA2gAgUAAAAA +AAAAAP/3KA2gBAUA2iD8AUIdoA01AFsuk2P/kNogWyiIEuyLC6gR6CIIBQGJgAAM6jArIoWLsLCi +7LsICVAEgABY2+Aqcp4AIQQAaxoLqgIqdp5Y3BfAINEPAAD6AOIdoAsVAFuZtSwif/xQZhWgAgUA +0Q9sEAgc7J2NIP5AkBWgClUA/mKwFeA7RQBY2lMX7GsW7Gkd7JYoIDgV7Gnk7D8W4qEAAPUABPsS +fwUALgpkKCAMK8KQKtKEqLsJuxGrqimhKfUgDOQiAJ0AjSeN3hnsTCvdAiu8UOkABQ3IBIAA+ACo +HeAIRQAJAmEJAmEJAmGMICbWlifWlOXWmi5mAoAACMwCLNaVKaAHKKEpCQlB79wIDMwCgAAJiAIF +iAIo1pgDIIYMAmMDAIYMAmEv3QP/8AAV4AxFAP/gxh2gDSUAW5LNwCDRDwAqMBX1QAsgkgCdAPVA +CIOQCJUA+UALPCAJtQCaEvlACZRiAJ0AHOxh/EAIFeAKVQD+IEgVoDtFAFjaFhzsEv4Cwh2ifwUA +/YsAFe/8egDBppoRLiAMK8KQKtKErrsJuxGrqiuhKRjsFPVgBEQiAJ0AjyeP/iv9Aiu8UOgABQ3I +BIAACQJhCQJhCQJhCQJhjiAn9pT38sYVoAhFAOX2mi92AoAACO4CLvaVKaAHKKEpCQlBAJkR6YgC +B/ALAADliAIHccEAAOj2mCnIBIAACWCGDgJnCUCGDgJl+eBgJaAMRQDvEgEkQgEAAP8Axh3gDSUA +W5KPjhGeE/3YWgWgClUA/EAIFeA7RQBY2eKOExzr3S8qcOXuUWZpYQAAwCDRDyoxEgoKS/df+SkS +AJ0AG+wQ+3/40yIAnQAuIhDuFgAvCOYAAMDy/iAmFe/8JgAAAAAAAADqJAAJ2ASAAFv+U/9AaB2v +/lIAAAAAAADqJAAJ2ASAAFv+sf9AaB2v/fIAHOwN/EAIFeAKVQD+YmQVoDtFAFjZwCkiECoxE+ol +GiSAOYAAKpUaG+u3LCAMGuv/K7KQDwIAKqKErLsJuxGrqiihKfUABHQiAJ0ALCIHLMIOHeu6K80C +K7xQ7QAFDcgEgAAJAmEJAmEJAmEJAmEoIgAlxpr3ksYVoAlFAOfGlCxGAoAACYgCKMaVL6AHLqEp +Dw9BAP8R7+4CBmgLAADl7gIG6cEAAO7GmCnIBIAACaCGDQJrCYCGDQJp/YBgJaANJQD9kAAVoAkF +APmAxh3gDEUAW5I3//p8DaAOBQArIAcsIBYtIRsuIDkvIA0oIRqKEJMUKSEYIyAMKaUYKKUaL6QN +LqQ5LaUbIqYRK6QH7KQWIVjpAADzQYYd4AxlAOMSBCVQ6QAAWNIlG+vEiisc63ULqgKLEC3MWOq2 +CyUUhIAAGOu/LrEbCO4BLrUbKDESCAhLCO4C/2NkHaAAKgApMRIptRvAsPogJhXv9aoAAAAAbBAG +gyeDPhzrso0g/nIIFaAKVQD+cigV4DtFAFjZYYonKqwQW5fjLzKQZPFu9eALWJAORQAb61ca63AV +60oc62IW63H31t4F4AQFAO3rbheUZQAA9eAE+ZIAnQAkNpHkNpAqEASAANEPKDKRH+uQ9QAKalIA +nQCFMPZwyBWgO6UA/eBoHaAJJQDpNpAq0ASAAFstpOukAAUAyYAAlqn1QUYVoAwVAJyoKlICDwIA +ZKIXLTKRhCfq64cW6AUAAO02kSIgQQAAWyeXHOuCHer17ut4HVgEgADvIgAqUASAAFsnTYInIiwQ +2iBbJyr5QAY40gCdAGAB8SggDC+ykCqib6j/Cf8Rr6oooSl1gX6PJ4/+Jf0CK1xQ7AAFDcgEgAAJ +AmEJAmEJAmEJAmGCICb2mi32lOf2likWAoAADiICIvaVLKAHKKEpDAxBAMwR7IgCAcgLAADmiAIE +y8EAAOj2mCKRwQAACSCGAgJjCQCGAgJh+eBgJeAMRQD5MAAV4GglAPkgxh2gDSUAW5GtJDaR9HIG +FaBiJQDRDwAAAPxACBXgClUA/mBAJaA7RQDs60sXc8EAAFjY+8Ag0Q8AAAAAACoyiCsyhygyhpgQ +KDaq6zarIcgPAADqNrEkykEAACk2peoyAC/gBIAA+iAmFaA7pQBbLUzrpAAFAMmAAIwR/iAIFaAN +FQCdqJ6qnqmMwmTAxxvq4CwgDBrq+CuykCqib6y7CbsRq6ovoSn14AR0YgCdAI0nLdIOHOrkK90C +K7xQ7AAFDcgEgAAJAmEJAmEJAmEJAmEZ6uwoIgAm1pon1pYp1pT9AAAUMAlFAAmIAijWlSigBy6h +KQgIQeLdAixEAoAA6O4CARHBAADm7gIByAsAAO7WmCTLwQAACWCGAgJnCUCGAgJlLt0D/9AAFaAM +RQD1wMYdoA0lAFuRYSQ2kfRyBhWgAgUA0Q/aUPwBQh2gDTUAWyzWY/3XihH8AUIdoA01AFss0mP/ +J9ogWybHEurKC6gR6CIIBQHhgAAM6jArIoWLsLCi7LsICVAEgABY2h8c6rnTDyrCmfpABADQCxUA +ALsaC6oCKsaZWNpTwCDRDwAAAPoA4h2gCxUAW5fxLCJ//FBmFaACBQDRD2wQCBzq4xfqkCgwCJgV +6DIAKWgEgACO0ClyhvbzSBXjiAEAKBYECYgI79AELEZCgAAIdwjkcgsqEASAACMWAPIgJhWgClUA +9CBGFeA7RQBY2IIc6tD+4AgVoApVAP7gkBXgO0UA5BYAK+gEgABY2Hrp6soZwASAANMPbSkFCACG +CQJhHOrHLTAJGerELjAK/iCoFeAKVQD1IoYV4DtFAFjYbiowCY576+piFQBJgAAL7gKee4ww/CCo +FeACBQD51S4FoBsFAP+BQAKQCmUAaNE2aNJgdOkWJvqNdiEM2lDrNAAJYASAAFjVucAg0Q/dQPoA +oh2gO0UA7OqrGXgEgABY2FVj/9AAAClwOOwSBCS1hQAAwKAqdDjo6wIL0ASAAOt2CynYBIAAWLnT +jnvzQGgdr/6OAI1wCN0RnTMscDhpxpFz5o4f6piMFCp0OA/uAevMAgvQBIAA7nYLKdgEgABYucWO +e/NAaB2v/a4AAAAA89/7ANIAnQCMFCp0OPuGAA5//l4AAAAAbBAGEuovHOqHKCKGhTHyU0gVo5QB +AKmI/QgAFDAKVQD4QABBMDtFAP5HMBWnVUEA/kcQFeBVTQDkFgAq6ASAAFjYI8hd2iDrNAAKYASA +AFi5qNEPKCA4sYj4RwYdr/+iAAAAAGwQBoMngz4c6m+NIP5jKBWgClUA/mNIFeA7RQBY2BMoMhkU +6mkX6ijm6mkUICGAACgyGRXp/vUACVEQBAUAKDIZ9QAMIhIAnQApMhn1IA3CkgCdACoyGdtA9UAc +fBIAnQAtMhqx3fxjRhXgbDUAfctH/dSuBaAKVQD8QAgV4DtFAFjX+hrqDxvp9R/qDo4nJDYaJDYZ +L/B9K7KQKqJv7uIOLd5CgADrqggH/GiAACqtAftQABWgAFYAjSJk02DAINEPAAAqrQMqrIAroSl1 +se0Z6f+IoPfExhXv7BUALOSoKeYk/QAAFDAZZQAJiAIo5iUvoAcPD0EA/xEPvwIG/wIv5igN6jDt +5ikhyEEAAPgNwh2hLAUA7OVXJ1gHAADo5MYl2sEAAAkghgsCYwkAhgsCYSkxGow+LOY0iD/5zYQd +4A0lAOjmNSdYBwAA7zIQJdpBAAD/xuYV4BxlAFuQasAg0Q8AwKX91DYFoDtFAFjXvxzqGfxACBXg +ClUA7yA5IfBBAAD+IAYVoDtFAFjXt9og/dPgBaJb5QBbLBT7QGgd4A8VAPwAIh2gCQUA+4IADLAO +BQDp/jgFAEGAAI0Qnahl7p1j/vcAAAAc6gT8QAgV4ApVAO8gOSHwQQAA/iAGFaA7RQBY16HaIP3T ++gWiW+UAWyv+66QABXYxgACIEPlBBhWv+X4AAAAc6ff8QAgV4ApVAO8gOSHwQQAA/iAGFaA7RQBY +15Ic6fD8QAgV4ApVAP5jyBWgO0UAWNeMJDYaLDIfLTIhLjIe+kDoFaALhQArNhkuNqotNrHsNqsl +UEEAAFuWBh3p2YwrGOmWjycNzAGcKysyHioyHykyISk2EJo/mz4b6XYa6Y4ogH0rspAqom/v8g4t +3kKAAOuqCAR8PIAAKq0B+1AAFaAAKgAqrQMqrIAroSn1YASEYgCdABzpg4ig9+TGFe/tFQAt9Kgs +9iT9AAAUMBxlAAyIAij2JS6gBw4OQekSAC90AoAADr4CBu4CLvYoDeowLfYp9fjGHaEsBQDs9Vcn +2AcAAOkPHgXawQAACwJnCUCGCwJlKTEajD4s9jSIP/nthB3gDSUA6PY1J9gHAADuMhAl2kEAAP/m +5hWgHGUAW4/whDAlMqoc6Yn6gGgdoDulAFsrpOukAAUAqYAAlar1QSYV4A0VAJ2ojEJkwNAtMhxk +3UHApf3TNgWgO0UAWNc5GOlSJDIcgyfTDwhEKA8CAOpEAAGYQQAAWyWS7ejxGmAEgADu6WgdWASA +AO8iACnQBIAAWyVHgycPAgAjPBDaMFslJPVABDiSAJ0AGelfiCsJiAL4QWYVoAIFANEPAAAAAAAA +/dMCBaAKVQD8Y4gV4DtFAFjXG9og/dLuBaJb5QBbK3jrpAAFZXGAACo8EPthBhWv8RoA2iD8AUId +oA01AFsrMsAg0Q+KJyqsEFuVkYsrBLsB+kFmFeACBQDRD9pA/AFCHaANNQBbKyhj/x7aMFslHRPp +IQuoEegzCAUCMYAADOowKzKFi7Cwo+y7CAnQBIAAWNh1HOkQKsKZ+mAEANALFQAAuxoLqgIqxplY +2Kod6S2MKw3MAvxBZhWgAgUA0Q8A+gDiHaALFQBblkUf6SaOKygyfyg2gw/uAv5BZhWgAgUA0Q8A +bBAGiyv0gAgVpdjFACgVAOkgOSWQOIAAwKT7JgANMAAaANqQ7SEbJYRIgAAY6Q0E1RH4pgAKsAAa +AMBQHOkKLiANLyAM+uAABbJJ5QD4YGQd4AoFAOo1Ai/+AoAA7+4CDdwCgAAOuwIMuwL6YAYV4ApV +AP3SWAWgOwUAWNbI5TYCIdBBAAD8ScIdoAsFAFjPeMd/JzQQJzQR5zQSIdBZAAD2YmYd4AxlAOc0 +FCEo6QAA5zQVKtgEgABYz2InNDEa6Hfz0OgFoE9FAP5mZh3gDgUA/mZGHaBNNQD8ZqYd4kgFAPhk +Jh2otx0AKzQw8mSmHaAJhQApNBz6Y6YdoAYlAPZkBh2gDAUA/GaGHaAGFQAmNDr2Z2YdoAxlAPxn +hh2gCiUA+mbGHaBJBQApNCT4ZMYd4BIVAPJk5h2oux0A6DAeIZDRAAD6ZeYd6LsdAPplxh3iK8UA ++mbmHeOIAQD5BgAMcPkFAPkABARwCVUA6YgCAdFZAADoNB4q2ASAAFjPMfpnwBWgBWUA+ouAFeAM +RQBYzyzr6OUR0AcAAPtEwBWgDEUAWM8nJiT39l8GHaA9VQD8XsYd4D51AC4k+SxAF3jHCvRfRh3g +ADIAAAAAwPUvJPomJPv0X6Yd4Ak1ACkk/ChAFyk9AeKcMiRgOIAAwaHqlDIkkM0AAMHa/EAGHeAF +JQD0QGYd4BzFAPxAJh2gO5UA6yQCIVARAADrFAAK4ASAAFjPCBvoaSuysS6wAPHBkA3gCgUA2bBt +CAwskAGxquTAB2TIBQAAY//s+kDmHaA9xQD8QMYd5zoBAOw0AAFQIQAAWM73oj4n5Aj0gqYV4AIF +ANEPAABsEAaLK/SACBWl2MUA+CAEHaAGRQDpIDklkDCAAPcmAA0wABoA2pDtIRslhFSAABjobgTV +EfimAAqwACYAAAAAwFAc6GouIA0vIAz64AAFsknlAPhgZB3gCgUA6jUCL/4CgADv7gIN3AKAAA67 +Agy7AvpgBhXgClUA/dEYBaA7BQBY1ijlNgIh0EEAAPxJwh2gCwUAWM7Yx18lNBAlNBHlNBIh0FkA +APRiZh3gDGUA5TQUIRDpAADlNBUpWASAAFjOwyU0MS0wHhjn1f3PrAWgHxUALzQn/GOmHajlHQD+ +ZgYdoAuFAPpjhh3iSgUA+mQmHaAJJQApNCD4ZKYdoAklAPhmxh3gCBUAKDQ6+GdmHaIqxQD6ZuYd +oAsFAPpmhh3o7h0A/mXmHaBMNQD8ZqYdqO4dAP5lxh2gDGUA/GeGHaBOBQAuNCT+ZMYdo90BAP+m +AA6w/gUA/6AEBrAOVQDu3QIJWASAAPxjxh3gTkUA/mZmHaANBQDtNDIh0VkAAFjOkyo8PvqLgBXg +DEUAWM6P6+hIEdAHAAD7RMAVoAxFAFjOivqMgBXgt4UA8mAgJaANFQD8RWYd4DllAPhFph3gPFUA +/EVGHaAINQD2RcYdoAxFAOgkLClQBIAA6qwvIRLhAABYznomJHz6jQAV4AxFAPpgICWgPiUA7iR7 +JVDVAABYznLbEPpgICWgP5UA/lAmHeAMJQDsJIIlUO0AAFjOaxvnzCuysSiwAPEB4A3gDAUAC7kC +bQgMLZABsczk0AtkyAUAAGP/7AAAAAD6YCAloAY1APxQxh2gPsUA/lCmHacsAQDsJAAFUP0AAFjO +V6Mvp/8l9If2gqYVoAIFANEPbBAGJiAHiCIZ6Av0QggV4WYBAOWCQmtXAoAAqaooop4b6Ab3ABHq +0gCdACSinQtoCiiCvwhEAejnoRIRSYAAJyISiin4QUgV4HdBACcWAAh3CidykOqZDAPYwQAA+yAP +g+IAnQArIBYoCv94sQ36QPAVoAwFAFubGGSh/yshBxzn8PnP3AXquwEA6ufuHd8CgAAMuwKbQIgg ++86ABeBOBQD+gGYVoA9VAOpGAixuAoAAD90CnUEsIhKNEC4hGvvPxAWizFEA6t0RDmWCgAAM3QIL +3QL7BgAMMc0xAKnM7MCAL3ICgACeRphEDHwM7UYHJmDBAACcRYspKiIVFudzp7vnqggF2MEAAOsm +CSVQwQAA+kKmFa/pBQD4gAnkYgCdAOYABQJIgQAACQJhCQJhCQJhxIYoRCD8YlAVoAsFACtEIytE +IitEJytEJvqEph3gDgUA/oSGHaCNBQANzAIsRCHmAhUCSKEAAAkAiikwEuoxCCSAeYAA+oamHaiq +HQAqRDSKXvqG5h3oux0ADwIA60Q2JVAFAACaXlsqN/qGZh2oqh0A+oZGHaiqHQD6hiYdqKodACpE +MClSEfiHZh3omR0A+IdGHeiZHQD4hyYd6JkdAClEOCgiFviH5h2oiB0A+IfGHaiIHQD4h6YdqIgd +AChEPOYABQJ5AQAADwJhLiAHDg5BH+eMDO4R/8AAR3ANVQAt5p0rIBYsCv98sQr6QPAVoDwFAFua +gYon+gCCHeAMBQD7RAAVoA1FAFuRiisiEiz6fwy7AfpCRhXgAgUA0Q/aIFuawWSuC8Ag0Q8AAAAA +AAAA62wYKVAEgAD8ACIdoA1VAFuce8Ag0Q8A62wSKVAEgAD8ACIdoA0FAFucdcAg0Q8AbBAMJyIQ +o0YS52wocG+JdysiFSwgUJwYiZ74IKYV4IgJAOgWDStQBIAAWM2jHedjihiMHRvm/frAAEUwNdUA +5aQALmdCgACryyuy7a3MLMCA7BYOJVAFAABYzZePGI4eKyIXLCBYr+73wABDMA8FAC9kAi1wb+wW +CSdwCQAArkTygABDcN0RAO0WDStQBIAAWM2Jihkc50mLHapqJaQADLsLLLCAnB7rsiElUAUAAFjN +go8Zjh4rIhksIGCv7vfAAEMwDwUAL2QCLXBv7BYKJ3AJAACuRPKAAENw3RkA7RYNK1AEgABYzXSK +GhznNIsdqmolpAAMuwsssICcHuuyISVQBQAAWM1sjxqOHisiG6/u98AAQzAPBQAvZAItcG8sIGjs +FgsncAkAAP6AAEIw3QEA40YIBug5AADtFgYrUASAAFjNXR3muYobG+a3jBaqaiWkAAvLC+uy0S5n +QoAADcwILMCA7BYHJVAFAABYzVKNG4wXKyIdrcymzvZOEBWgDQUA7eQCJmAJAACsRONKCAtgBIAA +WM1IEucKpkQY5qWYHKNGJWQA63E0KOAEgADoAAUI+ASAAP4AqB3gDqUA/iCGFe/9ZQDAkG0IHtqw +DrstDb8or6qqKiqgAOrEAC5QBIAA5LAOZmAFAABj/9gAAAAAAAAAKaQBehss2xBtCCLtsAAmc/8A +ACng/+3k/yVT/QAA6bQAJdgFAADquwl2Y/0AAGP/1gAALxAA8eGQDeAFBQDZEG0IDCiQAbFV5IAH +ZMgFAABj/+yxausUAArgBIAAWM0ZsVurRBvm1yWweKNKsarrsh8q4ASAAFjNE48c6RIFItgFAACr +RPKAAENwOtUAKmQA6ZKPKOAEgADvBAUI8ASAAP4EqB2gDaUA/iCGFa/7ZQDA4G0IHt+QDZktC5go +qP+vLy/wAO/EAC5QBIAA5JAIZmAFAABj/9gALqQBehss2xBtCCLusAAme/8AAC3w/+70/yVT/QAA +7bQAJdgFAADquwl2Y/0AAGP/1gAAKBAA8QGQDeAFBQDZEG0IDCqQAbFV5KAHZMgFAABj/+yxausU +AArgBIAAWMzjsVurRBvmoSWwoANKCCqsAeuyKSrgBIAAWMzc7xIMIsgFAACpRPKAAENwONUAKGQA +6XIYKOAEgADvCAUI8ASAAP4IqB2gDaUA/iCGFa/7ZQBtCB7akA2ZLQueKK6qqioqoADqxAAuUASA +AOSQCGZgBQAAY//aAMCAKKQBehss2xBtCCLtsAAmc/8AACng/+3k/yVT/QAA6bQAJdgFAADquwl2 +Y/0AAGP/1gAALxAA8eGQDeAFBQDZEG0IDCiQAbFV5IAHZMgFAABj/+yxausUAArgBIAAWMytsVur +RBvmayWwqANKCCqsAeuyKyrgBIAAWMym7xIMIsgFAACpRPKAAENwONUAKGQA6XIXKOAEgADvDAUI +8ASAAP4MqB2gDaUA/iCGFa/7ZQDA4G0IHtqQDZktC58or6qqKiqgAOrEAC5QBIAA5JAIZmAFAABj +/9gALqQBehss2xBtCCLtsAAmc/8AACng/+3k/yVT/QAA6bQAJdgFAADquwl2Y/0AAGP/1gAALxAA +8eGQDeAFBQDZEG0IDCiQAbFV5IAHZMgFAABj/+yxausUAArgBIAAWMx3G+Y2sVysRCWwsANKCCqs +AeuyLSrgBIAAWMxw7hIMIrAFAACmRPKAAENwP9UAL2QA6XIWKOAEgADuEAUI6ASAAPwQqB3gD6UA +/CCGFe/+ZQD6IGgd4AoFAG0IHtiQD5ktDp0orYioKCiAAOjEAC5oBIAA5JAHZmAFAABj/9Iq1AF9 +uzfq1AAI2ASAAA8CANMPbQgi77AAJkP/AAAugP/vhP8lU/0AAO60ACXYBQAA6rsJdmP9AABj/9EA +ACkQAPEhkA3gAgUA2RBtCAwqkAGxIuSgB2TIBQAAY//ssWrrFAAJYASAAFjMPaQisiLRDwBsEA4i +FhEkIhCUHRLl+4dHJEBvJiBIKyIT53IOKdAEgAD8wGgdoEQxAFjMMJcVG+WLFeXv5joICidCgACr +S6VEJECA+32oFeA11QAlpADsRAAFUAUAAFjMJaZKKyIVhB3zQABDcAcFAOdkAiVQCQAAmhcmIFAk +QG+jqpoe/MBoHaBEOQBYzBkb5dqKHgtLCySwgKaq5aQAJVAFAADrsiEqYASAAFjMESoSEYgXjR6M +HaZErU0n1AIswG6oRLJE6qISJhcpgAArIhejRvxLEBWh6uEA7BYPJ3AJAADuFggrUASAAFjMAh3l +XoofG+VcjBgKagglpAALywvrstEuZ0KAAA3MCCzAgOwWCSVQBQAAWMv3jx+OGSsiGS0SEa/uBu8I +J/QCLdISLCBg7BYQJ3AJAAD+gABCMd3xAONGCAboCQAA7RYKK1AEgABYy+gd5UQqEhAb5UKMGqpq +JaQAC8sLK7LRDcwLLMCA7BYLJVAFAABYy94tEhCMGysiG63Mps0mIGjn1AImYAkAAKxE40oIC2AE +gABYy9UY5TSmRKNGJWQA6AAFCJAEgAACAmGSFBLlkS8gAJgc9iAmHeAFBQDvFAAngMmAANkQbQgM +KJABsVXkgAdkyAUAAGP/7LFq6xQACuAEgABYy8GxW6tEG+WCJbCwo0qxquuyLSrgBIAAWMu7jxzp +EgUi0AUAAKpE8oAAQ3A61QAqZADvBAUEyAcAAOkWBijgBIAA6ZGUKPAEgAD+BKgdoA2lAP4ghhWv ++2UAbQge3pANmS0Lnyiv7q4uLuAA7sQALlAEgADkkApmYAUAAGP/2gAAACekAXobLNsQbQgi7bAA +JnP/AAAp4P/t5P8lU/0AAOm0ACXYBQAA6rsJdmP9AABj/9YAAC8QAPHhkA3gBQUA2RBtCAwokAGx +VeSAB2TIBQAAY//ssWrrFAAK4ASAAFjLiRvlS7FcrEQlsLijSrGq67IvKuAEgABYy4OPHOkSBiLY +BQAAq0TygABDcDrVACpkAOmRlSjgBIAA7wgFCPAEgAD+CKgdoA2lAP4ghhWv+2UAbQge3pANmS0L +nyiv7q4uLuAA7sQALlAEgADkkApmYAUAAGP/2gAAACekAXobLNsQbQgi7bAAJnP/AAAp4P/t5P8l +U/0AAOm0ACXYBQAA6rsJdmP9AABj/9YAAC8QAPHhkA3gAgUA2RBtCAwokAGxIuSAB2TIBQAAY//s +sWrrFAAJYASAAFjLU6QisiLRDxzlFRvlFSgSEQyqAQuqAfsCRhWv9DIAAABsECSGN4ZuLmKOHOUO ++gCiHaA7BQD8oGgd4e65AFjSmRLlCvyh4ADQBwUAwYh4UT/ScNEPAAAlICz6gGgdoDPVAOsiDCrg +BIAAWMs4KyI2JiDUpUojpADsZAAFUAUAAFjLM6VipCjnhAIhEAkAANEPFeSBiD0pUoolUpqpiOsi +EixGQoAACFUIJVIHLCBELBY85VIOKlAEgABYyyQrEjzTD/qAAEbwM9UAI9QALFACJRY96sE7blAE +gAApXQLpnIAu0ASAAG3JEi6QSO6kASTIBQAA5OAPZVAFAAAlFj36oFAVoAA+ACUWPazfJ/QBKlAC +GORtq6IoFjroAAUAqIEAAAUCYeUWDCNAFwAAKYBk6RQ0INEBAADogGEkKgEAAOgWNSEQCQAA0w9b +Ix7qHEAg2NEAAPQmZhXgDBUAWyLHKhI90w8prQMpnIArkCjxYYAN4AwFAG0IDCuQKbHM5LAIZMgF +AABj/+wAK60D6hxAJdqhAABbIrnqHEAjWBMAAOwSNSXaAQAAWyK16hxAINiBAABbImUc5K0vECIu +ECEtECAoECOYECsQJJsRKhAlmhIpECYpFgP4JPAVoDsFAPgghhWgClUAWNIvHOShLxAqLhApLRAo +KRArmRAoECyYESsQLZsSKhAumhP4JfAV4DsFAPgghhXgClUAWNIiG+STBCoIDwIALLBMLBY0K7IU +WMrHLBI0GeQsrCKkKvNABh3gPwUA9UAkaBB+hQAvpAEupALtHCAtYASAAPgCAh2ge+UAbYoy92Ai +4dIAnQAu0AAOT0P/IABH8+4BAK6eLuCA7/CAJmAJAADvxAEl2/kAAO7EAiboBQAA90RmHeAvNQAv +FjstEjv9yOYFoApVAPwnJhWgOwUAWNH7KBI7ZoRA+gCiHaA7BQDs5GwcaASAAFjR9SsSOypijvtg +IBXgCTUA+kAAQXiquQD7ICCWIgCdAOPkYxDR/QAA+0QgFaAJFQAL6jAN6jAL2zgd5F8e5F0NuC0O +jCgV5F2suxzkXAWIKAy7KKuIo4sIuDoL6jAP6jAL+zgNvy0O/iiuuwX/KAy7KKv/o/sPvzoL6jAO +6jAL6zge5EwNvS0F1SgO3Situwy7KKtV8qAARnS/DQAL/wP1kQAK9LgNAPsXAAx05Q0A9dcAD3K4 +DQD7FwAMcr+NAPv3AA/yiAEA/wgAFDL/AQD55gAPso6NAAjuA//wABex7gEAD+4C7qQAJMv9AADl +nz9lUAUAAKQqLRCgG+Qn/cgOBaAOFQAO3Tf+JmgVp90BAC0UoCXGEy3k5CywNCwWNyuyDljKVh/k +GCwSNyoSOikQoPxAAEEwDqUA9EAARjA71QDrxAAg6f0AAOoEBQbAxQAA6CwABujFAAD4JgYVr/tl +ANiQDpktC5ooDwIAqogI+AgogADo1AAu0ASAAOWf4WboBQAAKxx/56QBJdjFAAB6uyxtCCLvsAAm +w/8AAC6A/++E/yVT/QAA7rQAJdgFAADquwt26/0AAGP/1gAAAAAqHH8qrDEroADAkOkWNiWA+YAA +bQgMK6ABsZnksAxlUAUAAGP/7AAAAAAAKRY26swBINn9AADsEjYl2MUAAFjKHywSNuvj5RZgBQAA +rCKkKiywPCwWOOuyECVQBQAAWMoXLRI46xI6JugFAACtIvRAAEUwPNUA7KQAI0gPAADrAAUEyYEA +AAkCYQkCYSoWPvImRhWgCQUA9CYmFeAeBQBt6scM6jAO6jAM7Dgb49Ie49ELyi0OrSgf49CtzB3j +0A+qKA3MKKyqo6UKWjoM6jAE6jAMTDgLyC0OgigPiCiizA3MKKyIo4QISDoM6jAC6jAMLDgLyy0O +vigPuyiuzA3MKP1gAEW0yg0ADKoD82AARvTIDQAMiAP7sQAN8soNAP1XAA00Ww0A+rcACvLIjQD9 +FwAMMqoBAP9IABUyiAEA+wYADDKljQAKVQP/EAAUMVUBAAhVAqaYKI0D6xYxJEIBAADlhOAkyAUA +AC8SMxnjeygSMSoSPvkiZhWgHQUALfTg9UAGiBIAnQAryqD6wAeUYgCdABnjMP9AaB2geIUA+UBG +HaA7BQDrpAEjeA8AAP/wABXge+UAbdotbrM4LPDgDE1D/SAARvPMAQCsnCzAgO3QgCdwCQAA7eQB +Jdv5AADs5AIn+AUAACekI/AAPA2gIzUAAAAA98BmHe/jpQD6AKIdoDsFAOwSOSnoBIAAWNEBZjBJ ++gCiHaA7BQDs43kZ6ASAAFjQ/CISMrE4qCLRDwAAAAAAAPeAZh3v6aUA+CdmFe/vSgDGqvonZhWv +7yIAAAAAAAAA//6QDa/jpQDApf3G0gWgOwUAWNDrxy/RD9EPwKX9xsoFoDsFAFjQ5scv0Q8npAH/ +/dQNr+OlAABsEAgmIhAU4tyWFIVtJ0KKiGckQpomYG6IjqdV5+NZGq5CgAClRIRHJXAkKBYB63IK +KdAEgADkQg4q4ASAAFjJfB3i2Ovi1xtnQoAA9GAARXA21QAmpACryyuy0a3MLMCA7BYCJVAFAABY +yXGKEqWqJXCEmhPzQABFcAsFAOukAiVQCQAA63IiKuAEgABYyWiME6XFo1ompAIrQAjxYgAN4AwF +ANlAbQgMLZAJsczk0A5kyAUAAGP/7AAAAAAAAADqrAMiWCEAAFjJWS5ACPHBsA3gCQUABEoCbQgM +L6AJsZnk8AhlUAUAAGP/7ACllfKgAEVwCAUAKKQEKEDo5IEDYqgRAAArcIxqsS+jXOpyJC5IBIAA +bbkSLaAA7ZQAJVAFAADk0AlkyAUAAGAADAAA+4AAR/AOBQAu9ACrVfKgAENwOdUAKWQAKEDo8QGw +DeAMBQDZQG0IDCqQ6bHM5KAJZMgFAABj/+wAACtNAeu86CNQBQAAWMkuK0Do8WGQDeAJBQDaQG0I +DCyg6bGZ5MAHZVAFAABj/+yyna1V8qAAQ3AEBQAkZACOFC7gbmjhYCgiEiJw3PrnCBXgM9UA+sBo +HaJ4yQDnfAYpYASAAFjJGBvidBzidKJqI6QADHwLI8CA63sLBVAFAADrstEp4ASAAFjJD6I4pYKm +iOSEAiEQCQAA0Q/AQPKgAEN//nYAAAArcjAscLzsFgArUASAAFjJBI8QihGvVfKgAENwPtUA7mQA +JWgLAAAp0HDAwOSQIGbqAQAA2dBtCAwokPGxzOSADmTIBQAAY//sAAAAAAAAAO0WBSVYCwAA67xw +I1AFAABYyO+JFSuQ8PFhkA3gCgUA3ZBtCAws0PGxquTAB2boBQAAY//ssq2tVaNW9MAGHa/8QgAA +bBAMJyAHJiIQGeKa+8U2BaF3AQDsYgcrxwKAAKmIKYKejM78IWYVoEslAPsgJAPiAJ0AJIKdCnkK +KZK/GuIz+IAEAnBJJQDoRAACIyGAAJoa+gAIHaA6BQBtmgIIAmEsMQrJyOsyBCJRQQAAWMjHLDEK ++4YAFaAAIgAAAAAAKyIS+i0AB3APdQDzwvAN4AgFAPXAFMCSAJ0A1YAtIgkrIgoFxQjypgAV4ZUB +AAk+DC7sBAnjOQ27DPNgD0PiAJ0AKyAWKQr/ebES+kDwFaAMBQBblZHzX0AN4A91AB7iaxniaSwh +Bxvifxrifh3iZftwEBXqzAEA6CEaLmcCgAANzAILOwycQO0iACxCAoAAmEbrRgUh4EEAAJxD6kYH +IeC9AAD4gEYV4Ek1APiEBh3kzB0AnBgO3gLuRgQu7gKAAA3MAuxGASJQoQAA+EJIFaALBQD6hEYd +4IkVAPqEZh3gjQUA+iFoFeGIaQD5IgAOsAxlAO/eAgRD/QAA6O04BdgPAADtFgkl2UkAAFjIgCxi +EIpu/IXmHajMHQAsRC5bJMaJGfqGZh2o6h0A/oZGHajuHQD+hiYdqO4dAC5EMCxiEfyHZh2gDRUA +/IamHeANBQD8hoYd6MwdAPyHRh2ozB0A/IcmHajMHQAsRDj8IUgVoAoFAPxCyBXo5R0A+obmHaj+ +HQD4hCYd6I8dAChEJC9EJS5EJiVEJ/yH5h3gCwUA+obGHejdHQD8h8Yd6N0dAPyHph3o3R0ALUQ8 +7AAFAlkBAAALAmGJKSoiFaOZo6oqJhWZKRjiCO4SCCv/AoAAqP8u9p0rIBYtCv99sQrqIAcp4ASA +AFuU/Yon+gCCHeAMBQD7RAAVoA1FAFuMBisiEiz6fwy7AfpCRhXgAgUA0Q8AAAAAAOokAAnYBIAA +W5U6/0DQDeAPdQDAINEPAI0bLd0FJdBlC55W5FDsZuoBAAD//+42IgCdAO0WBygECoAA8qAE59IA +nQDB2PW/7WZiAJ0A6kwIC1gEgADszCApUASAAFv8144XLeDlJQr39aAEBvDl9QD1oAQG8A91AO3k +5S0oBIAA/GFEFa/1zgAAZc1oqkUrXCDrFgUpUASAAFv72Chgbtyg9QAKmJIAnQAY4eYugID3wARA +kgCdAKWq64IhLUAEgABt6RIpsADphCAl2AUAAOSQXWRABQAAYABgANtg+oAARjANFQDszCApUASA +AFv8s44XLeDlJQr+9aAEBvAPdQDt5OUtKASAAPxhRBWv864AAAAAqkvrvCApUASAAFv+ZvVAaB3g +D3UA/GFEFa/zLgD/QABHsA0FAC30IBvhGh/hrIoars0tFgb1oABC8DzVAOxUICjABIAA6gQFCMgE +gAD4BKgd4A6lAPgghhXv/GUA2bAOuy0Muiiqman5KZAA6YQALFAEgADlv+VkQAUAAMCwK6QBehsk +2xDtsAAkc/8AACzg/+3k/yVT/QAA7LQAJdgFAADqs+F0Q/0AAC8QAPHhMA3gDAUA2BApgAGxzOWf +92RABQAAnBzrFAAC0IUAAFjHwYgWhRyoVbJVwPf8YUQVr/AaAAAAAOsSBSlQBIAAW/oL9UBoHe// +kgCJImWeAut8GClQBIAA/AACHaBNJQBblnfAINEPAOt8EilQBIAA/AACHaANBQBblnHAINEPAGwQ +BigiEiYiEBnhf/EAFAsSAJ0AJyAHijSLIvVAE7AQBQUA82asDeF3AQAf4VkMehGvqiiinilcX/vC +rAXkmR0A+QAXi+IAnQAkop0LeAoogr8IRAHs4PASFumAACsiEioiCfhBSBXgu0EAmxAMuworspAK +mQwPAgAFuwjrFgEl2MEAAPsgD+PiAJ0AKyAWLAr/fLES+kDwFaAMBQBblGXv4TwVFWGAACkhBxrh +PP/CdAWqmQEA6OE6HM8CgAAKmQKZQBngje0iACLhAQAA7EYDIrl9AAD4gEYVpHcdAOjhMR7eAoAA +C3sCm0EqIhKLECwhGvmmAA6yqlEA6rsRDVWCgAAKuwIJuwL4ICgV4asxAK6qKqCA7UYELmICgACc +RgqaDOtGByVQwQAAmkWIKS4iFRrgvPkAAER/7QUA6e4IBEDBAADoJgkncMEAAO4mFSJIgQAA/IAJ +xGIAnQD6AAgdoAhFAAkCYQkCYQkCYS1AIfiEBh2gjgUADt0CLUQhLGIR/IdmHajMHQD8h0YdqMwd +APyHJh2ozB0ALEQ4KyIW+ofmHei7HQD6h8Yd6LsdAPqHph3oux0AK0Q8iTSaEvxgqBWgCwUA9SAH +ABjZHQApRDcrRCcrRCYrRCUrRCQtRDb8hmYdqN0dAPyGph3o3R0A/IaGHejMHQD8hkYdqMwdAPyG +Jh2ozB0ALEQw+oRmHejLHQAsRCLqAAUCWQEAAAsCYSkgBwkJQQyZEa+ZJ5adKyAWKAr/eLEK6iAH +IuDBAABbk9GKJ/oAgh3gDAUA+0QAFaANRQBbitotIhIsYhH6wogV7371AA7dAe0mEiZgBQAA7GYR +JdgFAAD6woYV4AIFANEP2iBblAvv4MEVb/mAAMAg0Q8lkICxVQUIQQhfDLT/+e0ACr/19gAAHOBz +/cCIBeD+9QAuRDQtRDX8hsYdr/v1ACtEN4pusaqablsjQRvgzvqGZh2oyh0ALEQy83AQFejMHQD8 +hiYdqMwdAOxEMCJRQQAA67IhKeAEgABYxuof4KOKEvKAAEdwCwUA6+RQIegFAAD8hOYd6N0dAPyE +xh3o3R0A/ISmHejdHQD8hIYd7/tqANog63wYIul9AAD8jgAO8AwVAFuVncAg0Q8A63wSKVAEgAD8 +ACIdoA0FAFuVl8Ag0Q8AbBAEKCAFKQqQ0w95gSn9wUoFoApVAPxACBXgOwUAWM4eiyeLvuzgoBlQ +BIAA+2BAJeANBQBYzgSKJyqsEFuMmsAg0Q9sEASJKsifipjIq8Cw/AACHaANJQBYzl3RDwAAbBAO +HOCSjSAuIAWPMCgwBfQgJhWgClUA+CAGFaA7BQBYzgUf4B0e4Bsd4BwX4ImMN/owAh3glSUA+hIC +HaBmVQDswg4iDUEAAG9EH25CHCQwBXpBZigwbvUACKCQmVUA+IAOpGIAnQDAINEPaUT4KjBuaaHy +KzAFdbnsjSj6YGgdoAwlAP2sph2gCxUAWAfnwCDRDwAkMAX6gAdkIgCdAC4wbmnhwXVJvo8owLHr +9GUp0ASAAFgH3cAg0Q+ryylyd4g9Et/zI3J9KnKHIiB96YgICZ5CgADjowgMRkKAAOiqCAF8PIAA +KT0B+TAAFeAAKgApPQMpnIAmtJGIoJ0a/iCGFeALRQDuFgYsRgKAAAuIApgVK5AHKZEpCwtBALsR +C5kCDZkCmRgI6jD4ISYVoAsFAOsWCyZICwAA6WYAANjBAAALDIoJQIgLCIoJIIgLBIoJAIgLAIor +HBD8AIIdoA0lAFuGXMAg0Q8AAAAAAAD0n/dlYgCdAPpgaB2gCxUAWAeqwCDRDwCryylyd4g9Et/A +I3J9KnKHIiB96YgICZ5CgADjowgMRkKAAOiqCAF8RIAAKT0B+TAAFeAAMgAAACk9AymcgCa0kYig +nRr+IIYV4AtFAO4WBixGAoAAC4gCmBUrkAcpkSkLC0EAuxELmQINmQKZGAjqMPghJhWgCwUA6xYL +JkgLAADp5gAA2MEAAAscignAiAsYigmgiAsUigmAiAsQiiscEPwAgh2gDSUAW4YowCDRD/pgaB2g +C1UAWAd6wCDRDwBsEAQW4AIT35AlYnckYoEjMH3oYocqrkKAAPSAAEJwBgUA/mKgB9AFBQAkQieE +TiRCFPSf4BWgAD4AACRCp4ROJEIUsERtSRPkIA1jMAUAAIeEpXcpcCbIlSVcSMAg0Q+JdHKZ89Jw +0Q8AAABsEBIc3+guIAWNIIYpJyAH+ENoFadFAQD+n8AV4AkVAA+fOS8WF/ggBhWgClUA+EcEFaA7 +BQD4ICYVoXcBAFjNUYpniq4lIhMroqsjIhIkFhYLXAELOwF8sQIjorEsoqveUPygBAawCxUA/GAE +BjAPBQD9jwAOcApVAOy/OAnoBIAA/b+SBaA7RQBYzT7JPNowW//A6hYYLUgEgADkpAAFGMGAAP8i +BBWgACYAAMBAx+/6AKIdoDtFAOzfvBpoBIAAWM0wE9+78IOcDeAFBQArIhv3YBDx0gCdAC0SFiUm +G/WgDCkSAJ0Aiif8oGgdoAsVAPtEABWgDRUAW4mSYAFoAAAAAPpAaB2gKwUA7RwQK+AEgABblQjj +pAAFFwmAACUiCvu+EAXhDAUA9FgAAvAOFQAFzjn/YAtZogCdAPoAAh2gDdUAbQgXsar7oArDIgCd +AAChBAC4Gv8AClGiAJ0AY//hABzflIkrL2EYDJkCLCE1LDUCKCE0mTD4QmgV59oBAOg1Ay3RAoAA +6EEQLuuCgAAK3QIqQRHpNgIsRwKAAAjdAigiEpgz/sAABHP/GQDpIAcsR8KAAP+mAA73iAEA5O8R +DGECgAD/hgAOcZkBAO/fehzPgoAA7JkCCuYCgAAMmQKZNQ/dAhzfdZw3/GCGFeAPBQCfGClAKJ8c +/iImFeANFQD8IgYV4AxlAJwdmRmYHxjesRnem5ke+UAGdCIAnQAd32cNqgIvYRgqFgv+wAAHco9B +AP0IABQw/zkA6O4CD/wCgADv7gIA2UEAAO4WCiDQgQAAW4TDZKDzwKX9vrIFoDsFAFjMx40vyNva +IPpCCBXgDBUAC9AAjC4PAgDIyfpCCBXgCgUAC8AA+kBoHaALBQD8AAIdoA0lAFiIacAg0Q8AwKAv +YRod30fTDw8CAOzR/yeHgYAA/f/1O6ALBQD6AAId4AzlAG0IGu3cAiXYBQAA+5/0Y+IAnQAo0f/5 +//QLogCdAGP/3gAA+7z2Ba/84gAc3zb8QAgV4ApVAO4gBSXIBQAA+ENmFeA7BQBYzJ2EJ+rethIg +QQAAWxr5HN6zHd5XHt8qjyDrpAAKUASAAFsasIgn4yYMJBBBAADaIFsajPVABjiSAJ0AwCDRDy8S +Ff2+QAWgClUA/mDGFeA7BQDuEhQv6ASAAFjMhi0Kci0kBRzeeykSFuoSBCvfAoAArLvqtgAklLEA +AIon+gAiHeAMBQD7RAAVoA0VAFuI6dKg0Q8vWtz9/+3DoAsFAGP/DQDAINEP2mD9vWgFoDulAFsg +0MiularzQSYV4AwVAJyoi2LIuykSGGWcxmP8zgAAAAD7QGgd4AylAPrAaB2gDTUAWyCFY//cAAAr +Ehfs3uwZUASAAFuUMsAg0Q8A2iBbGnUS3nkLqBHoIggFAfGAAAzqMCsihYuwsKLsuwgJUASAAFjN +zRzejirCf/pABADQCxUAALsaC6oCKsZ/WM4CwCDRDwAAAAAAAAD6AOIdoAsVAFuLnywif/xQZhWg +AgUA0Q9sEBAc3ssnIAeFKY0g+ENoFaB+FQD+QKYdoApVAPggBhWgOwUA+EcEFaAPBQD4ICYVoXcB +ANMPWMw2ileKriYiEymiqyMiEglrAQk5AXuRAiOisSyiq95g/MAEBrALFQD8YAQGMA8FAP2PAA5w +ClUA7L84CegEgAD9vV4FoDtFAFjMI/BiEA3gBAUA2jBb/qTqFhYtSASAAOSkAAUVIYAA/yIEFaAA +GgDH7/oAoh2gO0UA7N6hGmgEgABYzBUT3p/wmMwN4AYFACsiG/dgD/HSAJ0A9kNmFaAEegAAAHjj +D+jB/yXYBQAA62vxdmAJAADr3pQd8QKAAPpBaBWnygEA6FEYLmOCgAAOzAILqgIrITUrNQIpITSa +MCsiEyk1AypBES5BEJsyKSIS6TYDL3cCgAAOzAL4YwAHNogBAOkgByxHwoAA/4YADjeIAQDk3hEM +WQKAAP9mAA2xmQEA7t55HM+CgADrmQIP3gKAAAuZApk1G951DswCnDT6YOYV4A4FAJ4YKUAonhz+ +IiYVoAwVAPwiBhWgC2UAmx2ZGZgfGN2xGd2bmR75QAxsIgCdAB3eZw2qAi9RGJob/sAAB3KPQQD9 +CAAUMP85AOjuAg/8AoAA7+4CANlBAADuFgog0IEAAFuDw2ShkcCl/byyBaA7BQBYy8eNL8jb2iD6 +QggV4AwVAAvQAIwuDwIAyMn6QggV4AoFAAvAAPpAaB2gCwUA/AACHaANJQBYh2nvIgApcASAAP28 +mAWgClUA+gYCHeANBQBYy7PAINEPAAAAAAAA+kBoHaArBQDtHBAr4ASAAFuTmOOkAAULOYAAjyr7 +uzAF4QgFAP5YAAfwDRUAD405/WAFYeIAnQDAoPAAKA2gDNUAALgafYoJsarqyvR9AQqAAC5RGhze +K+zB/ycF6YAA/d/x46ALBQAc3if6AAId4AblAP2AQBWv+GYAAAAc3iL8QAgV4ApVAO4gBSX4BQAA +/kNmFeA7BQBYy4mEJ+rdohIgQQAAWxnmHN2gHd1EHt4XjyDrpAAKUASAAFsZnYgnDwIA4yYMJBhB +AADaMFsZePlf+DjSAJ0AYAC0AAAAAAD//bgNoAoFAPu6lgWv+eYA2lD9u2oFoDulAFsf0civlqrz +QSYV4AsVAJuoiVJkkFcpEhZlnTlj/T4uWtz93+wDoAsFAGP/QAAAAC8SFf278AWgClUA/mDGFeA7 +BQDuEhQv6ASAAFjLXi8Kci8kBR7dU+wSBCvvAoAArt39oAYVr/nyAAAAAAAA+0BoHeAMpQD6oGgd +oA01AFsfc2P/kdog/bu2BaALBQBbkyBj/kwAANowWxlkE91nC6gR6DMIBQHJgAAM6jArMoWLsLCj +7LsICdAEgABYzLwc3X0qwn/6YAQA0AsVAAC7GguqAirGf1jM8WP+BQAAAPoA4h2gCxUAW4qPLDJ/ +/HBmFa/3xgAAAGwQBIs897uQBeAEBQD6YLAVr5YFAP9hYAeQnCUAx40IuwGbPP1ABYQglVUA9UAF +RGCZBQD5QWYN4Js1AHuhA8Ag0Q+JOCJyi+wyCSSAcYAAmcCNOJzRlDiUOShydIo3hTD7QcgVoAsF +APivAAq1fAUAWMPMlDiUOZQ6lDuUPJQ9lD6UPyQ2ECQ2ESQ2EiQ2EyQ2FCQ2FSQ2FiQ2FyQ2GCQ2 +GSQ2GiQ2GyQ2HCQ2HeQ2HirYBIAA9mCmHaAMBQD6QmgVoA0VAFuG9ysiFrC7+kLGFeACBQDRD2Qv +Yy0iEn7eOnyhWJQ69UR+DeAChQB/vxv6YGgdoAtVAFgE8o48wPgP7gL+YYYVoAIFANEPArIC8mGG +FaACBQDRDwAAiicqrBBbiW8e3YItIhKLPCowBQ7dAfxCRhXgnCUAfKmmf7ejijfHzgy7Aes2DCVQ +QQAAW4lkiTjicoskgIGAAI05mdCOOJ3hlDiUOShydIo3hTD7QcgVoAsFAPivAAq1fAUAWMOJJjQF +JDYeJDYdJDYcJDYbJDYaJDYZJDYYJDYXJDYWJDYVJDYUJDYTJDYSJDYRJDYQlD+UPpQ9lDyUO5Q6 +5DYJKtgEgAD0YQYVoAwFAPpCaBWgDRUAW4azKyIWsLv6QsYV4AIFANEPbBAOHN1RjSAuIAWDJy8h +NYgs8mHIFeAKVQD4IAYVoDsFAFjKsY8s97qSBaAFFQD6QWgV4AQFAPPgC19QByUAKiE1taoKCk8q +JTXz4BMXkgCdACuxGftgCXqiAJ0AiicqrBBbiSWILP26dAWvmjUA+kCmHa/55QD5AAQEcDsFAOgm +DCGoCwAA9qJGHeAKJQDtITUiqgEAAFjKlBzcrB/cqRrcjhvcpylicyqikCuwfSRUke4iAC1WQoAA +6pkIBfw8gAApnQH5MAAV4AAqACmdAymcgJwaGNyc7xYGL3YCgAD4IIYVoA9FAA/uAp4VLZAHK5Ep +DQ1BAN0RDbsCDLsCmxgK6jCUG+oWCSHICwAA6WYAANDBAAAKDIoJQIgKCIoJIIgKBIoJAIgKAIrr +HBApUASAAPwAgh2gDSUAW4MSjywPAgAPAgDkJTUn8OSAACogBf/hYAeQmyUAx50J+QGZLPtD5g3g +nFUA/ULmDaCdBQD9QAUEYgCdAC4Kk/9ABKwiAJ0AwCDRDwAlJhIkJhAkJhUkJhEkJhPqJAAJ2ASA +AFgFnMAg0Q+KJ9MPKqwQW4jSJCU1/bnQBaAKVQD8QAgV4DsFAFjKSYMn6tzkEZhBAABbGKUc3OEd +3AMe3OCPIOukAAnQBIAAWxhcIyIHDwIAIzwQAzoCWxg49UAGeJIAnQCILAWIAvhBhhWgAgUA0Q8A +AAAAAIko42J5JICBgACKKZmgiyiasZQolCkoYmKKJ4Ug+0HIFaALBQD4rwAKtXwFAFjC3iQmHiQm +HSQmHCQmGyQmGiQmGSQmGCQmFyQmFiQmFSQmFCQmEyQmEiQmESQmEJQvlC6ULZQslCuUKuQmCSrY +BIAA9EEGFa+dBQD8QKYd4AwFAPpiaBWgDRUAW4YHLjIWsO7+YsYVoAIFANEPAI0g/kCwFaAKVQD9 +uVIFoDsFAFjKCcAg0Q8AANowWxgfE9wjC6gR6DMIBQHxgAAM6jArMoWLsLCj7LsICdAEgABYy3cq +Yp0AMQQAWxoLqgIqZp1Yy66MLAXMAvxBhhWgAgUA0Q8AAAAA+gDiHaALFQBbiUmNLC4yfy42gwXd +AvxBhhXgAgUA0Q9sEASJKBvcgPhBKBWgBQUA47KLJICBgACZgIoomKElJgglJgmEIIonKLJ0+0HI +FaV8BQD4jwAKMAsFAFjCkpUolSmVKpUrlSyVLZUulS8lJhAlJhElJhIlJhMlJhQlJhUlJhYlJhcl +JhglJhklJholJhslJhzlJh0qWASAAPRDxhXvnQUA/ECmHeAMBQD6YmgVoA0VAFuFuy4yFrDuLjYW +0Q8AAGwQBBncTygiCvpBCBWgCwUA+QYADHAMBQD4QUYVoA0lAFjKCNEPAABsEATwTFAN4JhVAIo3 +KzAFiq75YwYNoJwFAP1iBg2gnTUA/WAF9GIAnQDAINEPG9vRKaKOLyIY/bcIBeAEBQDyQgYV4Vnh +APnhSBWhyfEA9ELGFaKpyQDtJhctUcKAAPGQABYxmbkA5FUQDMpCgAD8pgAKsojBAOpVAgxFgoAA +CYgCCFUC9EJGFe+OpQDu9HQpUASAAFuRS4wiLSISJKUK9UCGFaCOBQDu3QINWASAAO0mEi57tgAA +iKLAxPpAaB2gDTUAC4AAwCDRDwD6AEIdoDsFAOzcIxnoBIAAWMmBwCDRDwAqIhhb/7zAINEPAABs +EAoY23iSGBrbkSmCkCiCmiqgfeUWASzOQoAAqYj/QkAH0AkVACuNASu8gPogRhXgADIALI0DLMyA +nBIV28Ye29Id23WHGJ0UjTSdGYd3LdAHGNvMh372IOYV4d0BAJ0Q7RYGI7gLAACXFel0Ei6/AoAA +6HcIDu+CgACu3fwgZhXgA/YALnKeAoI2JixP+CBoFaRmHQD3wBFTogCdACRynSiCvwhEAWRB54kU +0w/pAAUKQASAAG1pAggCYYsZLbAHLLEH/UAABjDdEQDq3RAOZwKAAA3MAh3b548SGNuuDcwCnED7 +YAgV7+oVAOpEGCFIwQAAmUPoRgIt3gKAAAtrAptBKPAHL/Ep+CAABDAOBQDuRgUsRAKAAAj/AgX/ +Ap9EDeowizWdRYw34kUPIlEBAADsuwgJYASAAFjB2Ik3iDaOF6KZAogMmDaZNyLmgS0wKOTQEmJI +gQAAzIoc28cq4oAMqgIq5oCIFQgghgkCYwgAhgkCYSZ2nY02ZNBljhiO4i8SBmXg3igyBvn/97JR +wgUAGdtpKZIIKBYK9yAJYJIAnQD688gVocIFAAKCNiYsT/ggaBWkZh0A90AJw6IAnQAkcp0ogr8b +21wIRAHkQSZk0/0AAJq4ZU7OYACzAAAAAAAA+iEoFaALBQBbkLmOGS3iEi8KgA/dAi3mEiwwKOuk +AAYCAYAAwIAopBIopQgY25uYookZiZLLmooRCgpHaKIaihiKp/oAgh3gDAUA+0QAFaANRQBbhV/S +oNEPwCDRDwAAGNsSjDmNOJ2knKX5QEYVr/8KAIoZ/ACCHaANNQALgABj/7OPEY0Y/bcKBaAKVQD+ +4AAH8AQVAO3SACf7+QAA/o0AD/A7BQBYyNrAINEPixDqEggraASAAPtjABXgDAUAW5BDjRgc23b+ +IAgVoApVAP2gCBXgOwUAWMjNwCDRDwD/93wNoAQFAMCgWMRaGdsZiZiIGvk/9kCSAJ0AIhrAAoI2 +9kngFaAEBQD/+5gNpGYdAMBAGtsQwIoImDT5QQYVr/tSAGwQNiMiGIM3IzIOKiIQKTAiLTAhLDAg +LjAcKDAd7zAeLmYCgADtzAIPdgKAAAjuAi0wAOgwHy92AoAAD+4C7zAjLmYCgADpzAIPdgKAAOju +Ag5mAoAAD8wC/48ADDXdAQD9BOAgUD/1ACuiEn6xCAvoDGaAAi6mEiuiE9MPDwIAfLEIC8kMZpAC +LKYT9aASJhAqNQD7oBJMIAUFAPoEgh3ghgUA+6AFzGAsZQD9oAy0IAcVAMPiftESf9F7wKL9tmAF +oDsFAFjIidEPAC8wGCQwGegwGi/+AoAABP8C5DAbL/4CgAAI/wII/xEE/wKx/y8mFikwJCQiEP88 +wA3gkyUA9SAQqJIAnQBrlLxqkrnApf22OAWgOwUAWMh0KEBuaYGmKUAFc5mgJyRl+oBoHaALFQBY +AmvRDyowGCswGewwGi1WAoAAC6oC6zAbLVYCgAAMqgIIqhELqgKxqiomFtEPLDAYLTAZJCIQ7jAa +LmYCgAANzALtMBsuZgKAAA7MAutAbi5mAoAADcwCLMwB7CYWLfm+AADr2vwaUASAAFuQCxzacCkw +BC8wBS4wBu0wByzOAoAA75kCDVgEgADltgcszgKAAO6ZAgHQwQAA6rYFLM4CgAANmQIMmQGZtigw +AZK0BogBKLQoLzAUKDAV6TAWL/4CgAAI/wLoMBcv/gKAAAn/Agj/EQj/Ap+4LjAQLzAR6DASL3YC +gAAP7gLvMBMvdgKAAAjuAgjuEQ/uAp65jUJl3pOIstpA/ACCHaANNQALgADRDyswGyowGC0wGSQi +EOwwGi1WAoAADaoC50IHLVYCgAAMqgIIqhELqgLncg4lSAUAACkmFnqZBLKrKyYWLDACZc5B2iBb +6EktQG75v/Gw0gCdACt9AvogaB2hXAUAWMC8GdoNHtonKpKQKZKa/8+wFaAPJQDvFBAtVkKAAKqZ +8cAHL9IAnQD3IABEsAOOAADqJAAJ2ASAAFsbYdEPKjAYKzAZ7DAaLVYCgAALqgLrMBstVgKAAAyq +AgiqEQuqAuqsASnYBIAA6iYWKVAEgABb6iTRDwAAwKX9tTgFoDsFAFjH8StAbmixaiwiEnjHIoon ++gCCHeAMBQD7RAAVoA1FAFuEWSsiEiz6f9MPDLsBKyYSLiIY+7UMBe+NxQDt5HQpUASAAFuPmCWk +EigiEo8iJaUI5ogCDVgEgADoJhIv6jYAAIiiwMT6QGgdoA01AAuAANEPKUAFc5mO+oBoHaALFQBY +Ac5j/4ApnQMpnIAd2ecb2eUa2eQlFBGPQCoWVvoqhhXgCEUA7RZaL/4CgAAI/wIvFlUukAcskSkO +DkEA7hEOzAINzAIsFlgL6jArFlnlFlsg0AcAAOqscCjIBIAACWCICgyKCUCICgiKCSCICgSKCQCI +CgCK2kD6ICAl4AxFAPtqABXgDSUAW4BW0Q8AbBAEiTcomRSFmWSBmi5SA/ygSBXgClUA/bSiBaA7 +BQBYx6UkIAce2ggEBEHq2ggaTwKAAK6ZK5KeCkoK6qK/KmAEgAD3YAtCUgCdACiSnRbaAh3aOQqK +AejZsRUKoYAAKyEHJCAH+KEEFeq7AQD0IAAHsEQRAOpEEA3fAoAA5LsCD/wCgAAPmQIImQINuwKb +oI8gmKb3QEYVoAQFAJSl9UDmFaA7BQD7QGYV4A1FAOmmBC/+AoAADf8C76YBJUiBAAAFIIYJAmMF +AIYJAmEf2iSfqQzMEa7MLcad/bREBaAKVQBYx3WIOMqEHNof/GAIFeAKVQD+YLAVoDsFAFjHbok5 +lDuLOJuQijiZoZQ4lDmMPP+CYAffnbUALTQFji4vLDh/4VrAINEPHtlcizCIN/XRiBXvmmUA+mCm +Ha/JBQDkNgwkeIEAAAn/AeSFFCf5AQAAn4mfiC7ic/qiiBWgDAUA/28ADbANFQBbg0grUhWwu/qi +phXv/p4AAAAAAAAA/bP2BaAKVQD6BgId4A01AFjHSfpCCBWgCzUAWAFEwCDRDwAAAAAAAP/5hA2g +BQUA/bPgBaAKVQD8QEgV4DsFAFjHPYwiZc9c60wYKVAEgAD8ACIdoA1FAFuOp8Ag0Q8AbBAG8iBG +FeAKVQD9s8QFoDsFAP5gCBWn9QEA///AFeAIFQDvjzkJ6ASAAFjHKxbZjhPZkRfZ2Y0SGdnYmRAl +0hPt0Soky8EAAPggJhXgACIAAAAAAMCl/bOkBaAERQD1oQAKMDsFAO9UAApwBIAAWMcaKyAHCwtB +7Nl9HdcCgACmquiinipOgoAA7LwKBMi9AAD9l+gVpJkdAPkAC1PiAJ0AKqKd+iAoFeWVHQDtEgAq +doKAAP1ABAU1jh0A5KFHZ3i9AACXoJmjmKKIIP0AABQ0/x0ACPgCmKHtABUFSEEAAAkAiu6mByVA +gQAA46YGJ0g9AAD6AAgd5JkdAG2ZAggCYS4gBw4OQYoS7aEqKkaCgADoVQgPdwKAAKbuBN0M/9Om +Fe/dAQDtpSou+U4AAIkSiZjxIUAN4AUFAIoSi6mVq5mwjKibwZWolamPEhbY24389NGIFa+eZQDu +9AUm/e6AAIoSiKf1QYYV78kFAOuiACR4gQAACf8B5YUUJ/kBAACfiJ+JLmJz+oKIFaAMBQD/bwAN +sA0VAFuCxC1CFYsusN3tRhUhYOEAAHyxCcAg0Q8AAAAAAAD9svYFoApVAPoGAh3gDUUAWMbD+kII +FaALRQBYAL7AINEPK/ISLkIpC4pE+1oADTAMBQD/QAEFMA0VAPtACBWvu4EAW4KsjBL1gkYV7/1+ +AI0iyNqOEvXCZhXgAgUA0Q8rIAfaIPyQABawDBUA/aXgFeG7AQD7YwAV5N0dAFuOGI4S9cJmFeAC +BQDRD2wQDBvYoBjYuSmymiuykCiAfdog4zo5Dd5CgADrmQgEfDyAACydAf2QABWgACoALJ0DLMyA +7digGMgEgADzQZ4N7/v1APphaBXgABIADQCHCQJhCQJhCQJhCQJh5AceAMiBAAAJAmMEAIYJAmEd +2KAZ2KGIoB/YoJ8W+CAGFeAORQDtFgIsRgKAAA6NAp0RKcAHLcEpCQlBAJkRCd0CD90C7RYEL2AE +gAAJ6jCZFSUUMfojhB3gDwUA/iDmFeANJQANiALoFgko2ASAAFt/GNEPAAAAbBAEE9hrKCEMJDKK +IzKaqEQJRBGkM4Q3KCAThE5kgB/0gQAV4AsFAPqgaB2g7AUAWL8Y2lDsIBMhWIEAAFi/CiggEsqB +JE0B9J0AFaALBQD6gGgdoOwFAFi/DtpA7CASIVgHAABYvwAo+oXoNAUpkASAANEPAAAAbBAEFNiW +KSEMKEJ0I0KEqYgJiBGoM4o39JFIFaALBQD7QcgVozwFAFi+/CpCEishDPwAAh2gDRUAW4I4HNgz +/EGEHa/79QD6YWYV74pVACo0BdEPbBAEFdiBJFKKKkISKKECIyEMyInbMFuCUMinwCDRD8Ag0Q8A +6kISKdgEgAD8ACIdoA0VAFuCJBjYKyiCiiRShKg1CVURpUSFRywgE4VeZMAK6ywgItAhAABYvtAs +IBLIzCpdAestASVToQAAWL7LKSENikCaJSlFGCkhDvEhwA3vi2UAk0spRRkjJQzrRAUqEASAANEP +AAAAAAAA8oFmFeAd5QAtRRnyQYQd74xlAOxEBSoQBIAA0Q8AAABsEATiSQgJMASAANMPbUoSJTAA +5WQAIZgFAADkUAZjMAUAANEPwIAolADRD2wQBsBR+kFIFeAEBQD5sWYF747lAP2v9gXhhgUA8WQw +DeCcVQCKuO8CAA04BIAA9GAEKJIAnQDsrDghlQUAAPRgEtGSAJ0A9GASyhIAnQD0YAg6kgCdAPRg +DKsSAJ0A0Q8AAAD0YBCIkgCdAPRgD6kSAJ0A9oBoHe//HgCIrnyBJ4p+iaDrogEkgHGAAJmwjaCb +0ZSglKGIfsDx/Q8ADDAOBQAI/jhk79ecfux2DyvQBIAAW+PI0Q8vohIrchiKogn/AS92Ei60dOwk +BSUAmYAA8UAGf5IAnQDHjQioAZhyKXIS8yALK5IAnQCIKhzYfIqIjSAuIAWPoCqgBZoQiYCZEfkA +sBWgOwUA+CBGFaAKVQBYxb6LJ4u+92AARrAONQAu1JLl1JMl4BcAACTEZSQmECQmFeQmESlQBIAA +5CYUJdgLAAD0QkYV4A0FAPRCZhXgDAUAWMWZ0Q+DJ+rYSBGYQQAAWxQJHNhFHddnHthdjyDrpAAJ +0ASAAFsTwCMiBw8CACM8EAM6AlsTnPVADGCSAJ0AiCwFiAKYLNEPAAAAAAAAAPu/+cYiAJ0AZKFM +/eACHaALBQD7gkAdr/0FAAqKFOSgHGXYIQAAesjxetALtLvwABgNpKodALG7ChoUZa/3+uBoHa/8 +9QBbiiJj/u8c2D6IuC4gBY0gj4AogAWYEIqwmhH5YLAV4ApVAPggRhXgOwUAWMV9iyeLvvpAaB2g +DAUA92AARLANBQDllJIl2AsAAFjFYR3XmixyEi76ki4kBQ3MAix2EtEPAIp3KqwQW4PyHNgFK3IS +DLsB+uJGFe/6KgCNTsPI/aAEvCIAnQD2gGgd7/gyAChCEopCL0IYCYgBKEYSLvR07CQFJQMxgAB+ +p0n2gGgd7/kCANpwW+NN0Q+Kd/oAIh3gDAUA+0QAFaANFQBbgcUpcGVokUxoklH5P+zJ0gCdAPrg +aB2gCwUA/AACHaANJQBYgp7RD3rQCfaAaB3v+xoAAAD2gGgd7/fCAPaAaB3v96IA//uIDaALBQD2 +gGgd7/aGANpwW+Vw0Q8AKnIYW/t60Q/aMFsTVBPXVwuoEegzCAUByYAADOowKzKFi7Cwo+y7CAnQ +BIAAWMasHNdtKsJ/ADEEAFsaC6oCKsZ/WMbijCwFzAKcLNEPAAD6AOIdoAsVAFuEf40sLjJ/LjaD +Bd0CnSzRDwAAbBAEiiz8AIIdoA0lAPNAB19QmVUAiycusg4MrwLvJgwncAsAAC3kECggBfkACGxi +AJ0AKSIKI5IIKzISKPqNKJR082AGW5IAnQAY18QkIgca16sIuAHoNhIiIEEAAFsTaxzXph3Wye7X +ph1YBIAA7yIAKlAEgABbEyGEJyRMENpAWxL+9UAGoJAFFQCLLIoqKDIS9WYADfCEBQD6QYYV74nF +AOmkdCRglIAAijf6AIId4AwFAPtEABWgDUUAW4FjKzISLPp/DLsBKzYS69eSGdAEgABbjKXA8C+k +Ei4yEo0yL6UI5O4CDVgEgADuNhImgdGAANEPAAAAAAAA/EAIFeAKVQD9rzQFoDsFAFjE39EPijcq +rBBbg2Ec13MrMhIMuwH6YkYV7/ySAIiiwMT6YGgdoA01AAuAANEPACq8EFuDV4sni77s11UZUASA +APtgQCXgDQUAWMS50Q8A2kBbEuQU1ucLqBHoRAgFAYmAAAzqMCtChYuwsKTsuwgKUASAAFjGPBzW +/SrCfwBBBABbGguqAirGf1jGcmP+7/oA4h2gCxUAW4QRLEJ//JBmFa/7egAAAGwQChzXbi0yk/eu +2gXgClUA/nJIFaA7BQDiFgkhoAcAAOZy7SIhIQAAWMSrKHIRIxYHJBYI5UJBJAJJgAAS12H2IMYV +oAQFABbWoCZihiNy56ZGCWYRpjOGN4ZuwKX+oGgdoDtFAO1iqilgBIAAWMSaKGKqKXIR5YE3ciAF +AAB5Q8XAMIQZ+gCiHaA7BQDs104Z6ASAAFjEkMAsixfs10saUASAAPtgQCXgbVUAWMR20Q8sYq2K +Fg8CAOQSCSZgBQAA7GatIf3xgAAqohEPAgAtoQL6IMgV4AYFAOVkAAaAyYAAi7krsQKMFu1yFiWA +UYAALMIKfcNB/rnQDeADJQAuQG76YGgdoA0VAA7aOfVACriSAJ0A9UAMmR/ipQBkIaD6oGgdoAsF +APwAAh2gDSUAWIAZY/9jAAAAW3zSmhUY1mKNFi9yFiiCiI3bJXLnqojpiBEOkASAAOhVCAeCIYAA +wGCKFuqiCSlYBIAAW4Bz63IWJQ4hgADsEgYhEAUAAOK7EHMwBQAAwLCby/riyBXgAgUAe2PLjRb9 +oWgV4AYFAI8WwOCeFI/67NcJGXAEgAD4IIgVoApVAPggBhWgOwUAWMRFG9cEGtcEGdcEjBguMAcv +UAeNFfyhZhXg8sUA8+AEB7HuAQAP7gKCFCJVNS5UBy7CQC5WEi3CQS1WEyzBfpNZJFYQmV8sVTSa +XvqhphXgClUA/a3kBaA7BQBYxC4tMAf+ZzAVoApVAP2t3AWgOwUA/oBoHeHdAQBYxCeNFhzW6i3S +EY8VLnISLdEClRCIUPIgRhWgClUA+CAmFaA7BQBYxB1j/o8ALnLti+8u7Dx+sUOPsYmwmfCIsJ+B +lrDmtgElhSGAAO5SACX7/wAALvY+jFotvPidV/uGAA4wAgUA/KFGFa/54gAAAAAocu2LjSiMNHi5 +W//5lA2v8kUAAAAc1sr8oAgV4ApVAP6g6BWgOwUAWMQAKkBulUrpUgolAnmAABvWNQubAptajkwD +7gL+gYYVr42FAPyuhh3j/PUA7FU4KtAEgABb96/SoNEPAAAAj7GJsJnwiLCfgZaw5rYBLfsmAAD/ +98gNoAIFAPihRhXv/uYAihb6QGgd4AwVAPtBKBWgDRUAW3/YiBaPii6BGOzWghFoBQAAnYvuLggH ++AUAAJ+Ki1oODk/+IIYVoAYFAOy7Ag6QBIAA+qFGFe/4ogAAAABsEAwe1ewb1c4c1egY1ecpspor +spAogH3t1eYZUASAAOQ0ES3eQoAA65kIBHw8gAApnQH5MAAV4AAqACmdAymcgIignRD+IMYVoAtF +AOwWAixGAoAAC4gCmBEvkActkSkPD0EA/xEP3QIO3QKdFAzqMPwgphWgCwUA6xYHIMiBAAADYIgJ +DIoDQIgJCIoDIIgJBIoDAIgJAIrbEPwAgh2gDSUAW3xS0Q9sEAQT1j8lMosoUhMogQIqCobmMoUh +orEAAOSBWmK5cQAAiSUoMnWpiAmIEQhmCClgBXqRBSr6hipkBSpSE1t8BSRCiSMyhapECUQRpDOE +Nx/VVoRO/mHGFeAOFQAuNhP+YkYVoA0FAC02FC02EYwo+0BoHeHM8QDsNG4qUASAAFsdFhzWTy5h +GfzDBBXv+vUAmj+JJfhhphXgOwUA9mFmFaAIBQD4ZqQdoApVAFjDfvpAaB3gBgUA+oBAJaFcBQBY +vCMqQojTD9MP/0JAARAMJQArMG/TDw8CAAy7Ais0b/9BoADQDkUALTBvDt0CLTRv/0JgAJAIhQAv +MG8PAgAPAgAI/wIvNG/8AGIdp9rBAP9BoAFQCxUAKTBvC5kCKTRv/YJgHeAfBQAuMG8PAgAPAgAP +7gIuNG/pMgAiYAcAAC3Bki01NCzBkyw2FitCiys2GCpCjCo2FylGhihwOCg0cCJSFpY45jYJIRAF +AADiVhYpkASAANEPwCDRD2wQBiQgIfOqFAXgBQUA/JgAEjAIdQAIRAIkNsElNsIb1Qb8oGgdoD0l +APuqBAWgDhUA9HhmFeAPBQBbgjznoFNtEASAAMCk/an6BaAbRQBYwzdmIHkkNsElNsIb1Pf8AAId +oD0lAPup5gWgDhUA9HhmFeAPBQBbgi3noGBtEASAAMCh/aneBaAbRQBYwyjRDwAAAAD7qdIF4AwF +APwGQh3gDhUA+6nIBaAINQD4eGYVoA8FAFuCHeegFm0QBIAAwKT9qcAFoBtFAFjDGGcvhdEPKTLC ++CAEHe/96gAAKhkAKyoAC6oCKjbCG9TT/AACHaA9JQD7qaAFoA4VAP54ZhWgDwUAW4IJ56ARbRAE +gADAof2pnAWgG0UAWMME0Q8AAGwQBuUyAyqgBIAAiDCGMvykYBLf7KUA8QAFypAMBQAZ1cJ2mxbG +yupEAAnYBIAAWMBUwCDRD2P/7QAAAPSgCMmSAJ0A6SIHKoIKgAD6TzAVoAzVAPxfAA4wCxUA6ZIO +KoEKgADvxwx9OASAAC0gDdMPZd+w/WABBd/99QDtfAMDArmAAAq6AhvVqQVfCQn/CutbCgNwBQAA ++2/oFeeqAQDqJHkn+BcAAC718e718iWAqYAA7BYAKVAEgAALsAAsEgAqIHlkcGR8qET//WQNoAwF +AAAAY/9PmxH9QAS4ogCdAA28AwyqAQoKR+okeSP+4YAAZa/UwKX9qxwFoAsFAFjCw4on0w8qrBBb +gURj/7rdUP7AaB2gClUA/asMBaALBQBYwrpj/6IAAAAAAOwWACV8uYAAwKX9qwAFoAsFAFjCsxvV +fuzVfxlQBIAAWL4bjBAqIHlj/28AAFuAz2Wu5MCi/arwBaALBQBYwqhj/rUAAAAAAPygaB3gClUA +/armBaALBQBYwqH6ICgV7/31APpPMBWv/ToAAGwQBiMiBxXVax3UfvJhyBXgBgUA+k8wFeAEBQD6 +IEYV4AdVAOvVZRGYFwAAjBIAQAQMDBvxgATv0gCdAC8x8C4gen/pHykgIgaZEauZrZkpkoAJCUH1 +IATAkgCdAPUgBWmSAJ0AKjHxsKoKCk/qNfEtAyYAAGhDRiwgDeogDC4FhgAACKoRGdSHCghHCYgK +mBEogvgKihSaEAuAABvVR/2osgXhmgEA7wIADXAEgAD1IATIkgCdAPUgBKGSAJ0AKFJ/2iALgAAp +MfEb1Tvt1E0UhVmAAOVcBCMwMQAA4zwMI7v9AADlf0NiIAUAAGAArQAsICLTDwbMEavM/YAARnAK +BQAqxoD5P/rZ0gCdAC0x8P2qVAWgClUA/k9QFaALBQBYwlQb1SX9qG4F7/6qABvVJIogK7J/C6oM +/UAAFTALFQD7RgANf/0CAAAAfKcVjieO7qbu/8DAJaAMFQD9ziQdr/3SAIgRKIL6+iAIFa/7xQAL +6wELgABj/0MAKSB5+oAEANAKFQD9QAEFX/z1AAyqAwqZAfhPJh3v/PIAABvVAezVARlQBIAAWL2e +wCDRD2wQBBfT+/eqBgWgCSUA/EeAAd/4BQAJLDYMDEcDzBGmzCvCzAi7AQs7AivGzArqMCVyQapV +BOowBFQMakEPbQgIDeowDV0MatEDY//wAGgiNgksNgwMRwPMEabMK8LsCLsBCzsCK8bsCuowDuow +JXJBqlUOXgxq4Q5tCAgN6jANXQxq0QJj//BvImUW1OMJJTYFBUcDVRGmVSZSTAhmAQY2AiZWTATq +MA7qMCJyQaQiDi4MauEObQgICeowCSkMapECY//wJlJsCGYBBjYCJlZsBOowCuowInJBpCIKKgxq +oQ5tCAgI6jAIKAxqgQJj//DRDwBsEAQX1MkZ070Y1MX8SQAB0AolAAotNg0NRwPdEQjdCCzSy+RP +EQn2AoAAD+4CB8wBDswCLNbLC+owJpJBC2YIBeowBWUMalEObQgIDuowDm4MauECY//waCJBCi02 +DQ1HA90RqN0s0uvkTxEJ9gKAAA/uAgfMAQ7MAizW6wvqMA/qMCaSQatmD28MavEObQgIDuowDm4M +auECY//wbyJwGNShCiY2BgZHA2YRqGYoYkvkRREJngKAAAUzAgeIAQg4AihmSwXqMA/qMCKSQaUi +Dy8MavEObQgICOowCCgMaoECY//wJWJrB1UBBTUCJWZrBOowCuowIpJBpCIKKgxqoQ5tCAgI6jAI +KAxqgQJj//DRDwBsEAQY1IUZ1IUX02v3pvQFoA0lAP2m6gWgCgUA69R8ER2xAADllAANIASAAA0v +Ng8PRwP/Eav/LvLfA51ADYU5Bj0B9cAEB3BTWQDtdDgKrIKAAPSGAApwU1EAA1URBe4CBO4CLvbf +DeowJcJBrVUO6jAOXgz9wmAg0A0lAG0ICATqMARUDGpBAmP/8GgiZO6UAA14BIAADSU2BQVHA1UR +q1UkUv8Dm0ALjjn+gAQCMLNZAOY+AQ3cgoAA/uIAD7DjUQDr+wIPdMKAAA5EAgtEAiRW/w/qMA7q +MCvCQa+7Dr4MauEObQgIDuowDr4MauECY//w9EAFKVIAnQAe1EUNKzYLC0cDuxGuuyWyX/ZgBAcy +AgUA8mAEATAEFQDyjQAJMA8FAA5POP7tAA1w41EA8w0ADLBDWQDllQEKJIKAAOpEAg8cwoAABDMC +BTUCJbZfBOowD+owIsJBpCIPLwxq8Q5tCAgN6jANLQxq0QJj//Alsn8FlQEFNQIltn8E6jAO6jAi +wkGkIg4uDGrhDm0ICAjqMAgoDGqBAmP/8NEPAGwQBGgjRfeoPAWgByUAByc2BwdHA3cR9uAAQzBr +BQDsNAALUASAAFg5CBrUFisqANMP6noICmAEgABYOQPIS9pg/KBoHaAb9QBYOP8W0v/8TyABX+kF +APuoGAWgByUAByc2BwdH+PgAE7BrBQDqeggJ4ASAAFg49BrUBSsqAA8CAOp6CApgBIAAWDjv8IPA +De/pBQAd0/atfSzS5wnMAQxcAizW5wvqMCpiQauqC+owC6sMarEVbQgIDuowDq4MauEJY//wAAAA +AAAAAPRACWFSAJ0ALfqf/afMBaALJQALKzYLC0cDuxEMuwguskcN7gEOPgIutkcM6jAP6jAqYkEM +qggPrwxq8Q9tCAgM6jAMrAxqwQNj//AALtr/L7JfDv8BD08CL7ZfDOowD+owKmJBrKoPrwxq8Q5t +CAgI6jAIqAxqgQJj//AosmcNiAEIOAIotmcP6jAM6jAqYkGvqgysDGrBDm0ICAzqMAysDGrBAmP/ +8C2yfw7dAQ1NAi22fwzqMA3qMCpiQayqDa0MatEPbQgIDuowDq4MauEDY//wAGRAVy2yRwndAQ1d +Ai22RwzqMA/qMCpiQayqD68MavEObQgIDuowDq4MauECY//wLLJnCcwBDFwCLLZnCuowD+owKWJB +qpkPnwxq8Q5tCAgN6jANnQxq0QJj//DRDwAAbBAEFtOjJWKC+aUeBaAJlQDnYnwig4GAAKJ3CXcR +p1cmcCP8xMAJUAQFAGZgHiNwLGgyIWgxHmg2G2gzGGg0TsBCBCIKCCIKIiJb0Q95Yd1ragJraQoE +IgoIIgoiIlvRD2g1TWg3Pfxh4ARQBAUA/GZABNAFtQB1MSoEIgoIIgoiIlvRDwwiEaKCIiJb0Q8j +cDZ5McBpOafAMQMiCggiCiIiW9EPwDIDIgoIIgoiIlvRD8AxAyIKCCIKIiJb0Q8AbBAEGtNzKaKC +FdJf8yTQDeAMtQAronyiuwm7EaubKrAj/UYgCVANlQBmoCkqsCxoojFopS71QBSaEgCdAPVAFBiS +AJ0A9UATghIAnQDwAFQNoAklAAB9odJrqgf5QBHskgCdAMCQAiQJpJQFRAokQnv0YAk8kgCdAPxg +CPwiAJ0A9GAIuRIAnQAECkLzppAF4AclAAcnNgcHRwN3EeNzCA03AoAA9kAIQV+K9QD0QA3ZEgCd +ACwy4ArMAQxsAiw24AvqMAjqMClSQauZCJgMaoEObQgIDeowDZ0MatECY//wfEcX2iD8AAIdoGsF +AFv/FGAAGgAAAAAAfE/n+kBoHaALBQD0hAAGsgwFAFv/DOokAApYBIAAW/6ic0dpHNMtLTLcBNpC +7N0BDVXCgAANrQItNtwL6jAO6jApUkGrmQ6eDGrhDm0ICA7qMA6eDGrhAmP/8B7TF65+LeJ8DN0B +Da0CLeZ8C+owD+owKVJBq5kPnwxq8RBtCAgP6jAPnwxq8QRj//AAANog9FAABbHEmQBb/j52TwzR +DwAAAP/7sA2gCkUA+kBoHaO0qQBb/frRDxrTCSsKcA8CAOp6CAtgBIAAWDfxLDLgKfqPCcwBDGwC +LDbgC+owKlJBq6oL6jALqwxqsQ5tCAgN6jANrQxq0QJj//Ab0u+rey2yQAndAQ1tAi22QAzqMA7q +MCpSQayqDq4MauEQbQgIDOowDKwMasEEY//wAAAssmAJzAEMbAIstmAK6jAN6jApUkGqmQ2dDPe/ +9OCSAJ0AbQgNDeowDZ0M97/1GJIAnQBj/+sCJAkFRAr0j2gVr/gqAAAsMsAKzAEMbAIsNsAL6jAI +6jApUkGrmQiYDPcf8riSAJ0ADeowDZ0Ma9H2Y/5GAAAAaDVDaDc4aDgFaDkyfDEv//bYDaAJBQAA +AAAAAC6wNvnf7GzSAJ0A//Z4DaAJFQAvsDb9/+tVYgCdAGP9iQAA//YYDaAJJQD/9fgNoAkVAGwQ +BBfSuCVygubRpBKK6YAAKHJ8oogJiBGoWCeAI/zkwAlQCZUAZnAeI4AsaDImaDEjaDYgaDMd9GAK +qhIAnQDwAFANoAMlAHlx3Wt6B/jgCTSSAJ0AwDADJQoGVQolUlsT0qPjUwECiDiAAMJU9GYACvAA +JgDAWAU1AvelIgXgCSUA/EegAd8YNQAJKzYLC0cDuxEHuwgqsoQIqgEKWgIqtoQE6jAjYkEEMwgK +6jAKOgxqoQ5tCAgM6jAMPAxqwQJj//BoIjkJKzYLC0cDuxEHuwgqsqQIqgEKWgIqtqQE6jAN6jAj +YkEEMwgNPQxq0Q9tCAgM6jAMPAxqwQNj//AAbyJjFNJwCSM2AwNHAzMRpDOHNAh3AQdXApc0BOow +DeowImJBpCINLQxq0Q5tCAgE6jAEJAxqQQJj//AnMiQIdwEHVwInNiQE6jAH6jAiYkGkIgcnDGpx +Dm0ICAjqMAgoDGqBAmP/8NEPDCURpWX0q2gV7/u6AABoNTxoNzFoOAdoOSvAi3gxJv/7LA2gAwUA +I4A2+H/19GIAnQD4f/UE0gCdAP/6wA2gAxUAAAAAAP/6kA2gAyUA//pwDaADFQBsEATqJAAJ2ASA +AFv+ogqkAus0AAlQBIAAWAHzE9Ew+6RwBeAFJQAFJTb0QAaRkgCdAANZEfsgAETz1KkALZaIDOow +KjJBrKoI6jAIqAxqgRBtCAgM6jAMrAxqwQRj//AAAAT9RS2WiQzqMA3qMCoyQayqDa0MatEQbQgI +DuowDq4MauEEY//wAAAErUQtlooM6jAP6jAqMkGsqg+vDGrxEG0ICA7qMA6uDGrhBGP/8AAABH1C +LZaNDOowD+owKjJBrKoPrwxq8RBtCAgO6jAOrgxq4QRj//AAAAQMRiyWjArqMA/qMCkyQaqZD58M +avEObQgIDeowDZ0MatECY//w9EAGoRIAnQADWRH7IABE89SpAC2WqAzqMA7qMCoyQdMPrKoOrgxq +4RBtCAgL6jALqwxqsQRj//AAAAT8RSyWqQvqMAzqMCoyQauqDKwMasEQbQgIDeowDa0MatEEY//w +AAAErEQslqoL6jAO6jAqMkGrqg6uDGrhEG0ICA3qMA2tDGrRBGP/8AAABHxCLJatC+owDuowKjJB +q6oOrgxq4RBtCAgN6jANrQxq0QRj//AAAAQLRiuWrArqMA7qMCkyQaqZDp4MauEObQgIDOowDJwM +asECY//wFtHL9EAFyVIAnQADUhH2QABFM7SpAJuoDOowDeowKTJBrJkNnQz3oAeQkgCdAG0IDQ3q +MA2dDPegBwiSAJ0AY//rAAAa0cYE90XqKggL2ASAAFgAPhrRwuoqCAvYBIAAWAA6GtHABKdE6ioI +C9gEgABYADYa0b3qKggL2ASAAFgAMxrRugR3QuoqCAvYBIAAWAAuGtG36ioIC9gEgABYACsa0bQE +BEbqKggKWASAAFgAJxrRseoqCApYBIAAWAAjBQpHA6oR90AARTAMFQAspqIL6jApMkGrmQvqMAub +DGqxEG0ICAvqMAubDGqxBGP/8AAAwMAspqIL6jAM6jApMkGrmQycDGrBDm0ICA3qMA2dDGrRAmP/ +8NEPAAArpigM6jAO6jApMkGsmQ6eDPff+JiSAJ0AbQgNDeowDZ0M97/4EJIAnQBj/+sAAABsEAQY +0PqoKJOACOowFdBqJVJBqFIE6jAEJAxqQQ5tCAgJ6jAJKQxqkQJj//DRDwBsEAQY0X8DJxGodyNy +u/5nwA/QBQUAFtF7+aC2BaAAPgApcrvvnyhyqAUAAHZRLQPqMCKCQQMiCArqMAoqDGqh320ICAnq +MAkpDGqR02P/8Cpyt/r25hWgAgUA0Q/HK9EPAAAAbBAE9IALiRIAnQDLOfRgC3iSAJ0A9GAK+RIA +nQBoMydvNwf0YArKUgCdAPRgCkuSAJ0AbzkCbzgObzoH9GAKBNIAnQBgAA0AwDAV0VYOMxGlMyMy +f/WieAWgCCUACCg296BiBaeIAQD5GAAUP3cFAOSECAEQ04AAKULAB5kBCTkCKUbABeowImJBpSIJ +6jAJKQxqkUptCAgK6jAKKgxqoT5j//AAAAAA9EAG4RIAnQAoQuAHiAEIOAIoRuAF6jAL6jAiYkGl +IgsrDGqxDm0ICAnqMAkpDGqRAmP/8NEPAAApQuAHmQEJOQIpRuAF6jAK6jAiYkGlIgoqDGqhDm0I +CArqMAoqDGqhAmP/8BTREKSEKUJAB5kBCTkCKUZABeowC+owImJBpSILKwxqsQ5tCAgF6jAFJQxq +UQJj//AoQmDTDweIAQg4AihGYAXqMAjqMCJiQaUiCCgM9x/7+JIAnQBtCAgJ6jAJKQxqkQJj//DR +DwAAAAAAAP/7OA2gAyUA//sYDaADFQAoQsAHiAEIOAIoRsAF6jAK6jAiYkGlIgoqDPdf+bCSAJ0A +CeowCSkMa5H20Q8AAABsEAQYz9oX0OP8jOABUAklAGQwX2gxJGgyWWgzVm83Am80GGg3TW85Am84 +R/RgBgVSAJ0A9mAFxNIAnQAJKzYDuxH3YABF8Pr1ACq2hgXqMAPqMCKCQdMPpSIDIwz2YAR4kgCd +AG0ICAzqMAwsDGrBSWP/8G8iRQItCQjdCi3Se3bWb2g4bGg5acDrfjFkCSs2A7sR92AARfCqBQAq +toYF6jAigkGlIg/qMA8vDGrxPwzqMAwsDGvB9tEP0Q8JKjYDqhH3QABFcAX1ACWmhgPqMA3qMCKC +QdMPoyINLQxq0Q5tCAgL6jALKwxqsQJj//DRDwAJKjYDqhH3QABFcAVVACWmhgPqMAzqMCKCQaMi +DCwMasHabQgIC+owCysMarECY//w0Q8AAGwQBvSACgkSAJ0Ayj5oMST0YAmhEgCdAGgzIW83Am80 +E/RgCRuSAJ0AbzkCbzgNbzoZbjkW8AAYDaADFQDAMBXQog40EaVEJEJ/lBAWz371oQwFoAglAPxH +QAHf9wUACCo2A6oRpKorooCJEAe7AQuZAimmgAXqMCNiQaUzCeowCTkMapEObQgIC+owCzsMarEC +Y//waCI4CCo2A6oRpKoroqApEgAHuwELmQIppqAF6jAM6jAjYkEFMwgMPAxqwQ9tCAgL6jALOwxq +sQNj//AAbyJsCCM2AzMRpDoprQSJkIgQB5kB6YkCBVATAACZoAXqMAzqMCJiQaUiDCwMasEObQgI +BeowBSUMalECY//wGdBXqTklkiAHVQEFhQIlliAE6jAJ6jAiYkGkIgkpDGqRDm0ICArqMAoqDGqh +AmP/8NEPAAAAAAD/+8wNoAMlAGwQBBfQTiVygubPOhKLaYAAKHJ8oogJiBGoWCeAI/zkwAlQCZUA +ZnAeI4AsaDImaDEjaDYgaDMd9GALKhIAnQDwAFANoAMlAHlx3Wt6B/jgCbSSAJ0AwDADJQoGVQol +Ulv3oFoF4AklAPxJYAHf6AUACSs2CwtHA7sRB7sIKrKDBZ1R/7AAFrHF2QANzAIIqgEMqgIqtoME +6jAjYkEEMwgK6jAKOgxqoQ5tCAgM6jAMPAxqwQJj//BoIkUJKzYLC0cDuxGnuyqyowWdUf+wABax +xdkADcwCCKoBDKoCKrajBOowDeowI2JBpDMNPQxq0Q9tCAgM6jAMPAxqwQNj//AAbyJzGtAGCSc2 +BwdHA3cRqneKc/Q7AAHxRckA6KoBCieCgAAEMwIKOgKacwTqMA3qMCJiQaQiDS0MatEObQgICeow +CSkMapECY//wJXIjCFUBBTUCJXYjBOowCuowImJBpCIKKgxqoQ5tCAgI6jAIKAxqgQJj//DRDwwl +EaVl9KtoFe/7egAAaDU8aDcxaDgHaDkrwIt4MSb/+uwNoAMFACOANvh/9XRiAJ0A+H/0hNIAnQD/ ++oANoAMVAAAAAAD/+lANoAMlAP/6MA2gAxUAbBAG2iDrNAAKYASAAFiNymaiivWeHgXgCRUAFs/g +AycRpnYsYoAdz+ENzAIsZoAqYoYbz5ILqgIqZoYYz92od4t0HM/cDLsCK3YEKHIdKioACogC6HYd +IQ5pgAD0QA7YkgCdAPRAD0kSAJ0A9EAQwZIAnQAsYoYdzv7tzAENWgKAAAy7AitmhihQffMABGfS +AJ0A6iQACdgEgABb/2XaIOs0AApgBIAAW/8N2iDrNAAKYASAAFv+wPqkCBXgCgUA9B9CHeACHgAA +AGgxBW83Sm40R90g8iAoFeAKRQD9n2wFoAsFAFi8xB7PtRvOnK4z7M6bGdAEgABYNIriEgAp0ASA +APudLAXgDAUAWDSG2iBb/iLxWLgN4AkVAChigBrPqAqIAShmgIJzCSICknMvbQSP8On/AgMQEwAA +/kAGFeACBQDRDwCxqnWhHwnqMKuZDOowDJwMasHsbQgIDOowDJwMasHgY//wAAAA3EDqJAAJ2ASA +AFv+IvZAB3lSAJ0ALiz+/ACiHeAEpQD/ogAKMA0FAPmfGAWgBSUABSU25RYAKqzCgADlFgEqWASA +AOhVCApgBIAA7RYCKtAEgABYNFksEgIK6jAZzlcpkkEKmQkI6jAImAxqgQ5tCAgK6jAKmgxqoQJj +//DqVAAKWASAAFg0TMCRDeowDOowG85K0w8rskENuwkMvAz3n/XokgCdAG0IDQzqMAy8DPef9WCS +AJ0AY//rKlJyCktD/2AAFbOqAQD7RgANf/j2ACpScgrLQ/9gABWzqkEA+0YADX/4ngAqUnIKG1D/ +YAAVsKqBAPtGAA1/+EYAAAAAANowWDQ9/V4gFaD09QD8oGgd4AsFAP1iAA6//D4AKlJyCjtQ/2AA +FbCqkQD7RgANf/dqANKg0Q/SoNEPAABsEARj//wAAGwQBBPPRcCIKDZSwCAiNlPRDwAAbBAEwKL9 +noAFoAsFAFi8ScCmW3tiwCDRDwAAAGwQBIkniZ4pnQYokkZkgATAINEPABXPNsCxK5ZGKlIg95x0 +BaADBQD/XkAN4AcFACxS1ShilIsgJFLfqDjsuwwMRkKAAKhEKkIZW3hMyKgpQFPJkrGaKkRTK1Ig +sTN7M85j/6sAAAAA+gBCHaALBQDszyEZ6ASAAFi8JydESytARuxALSnQBIAAWECrKUBTY//BAABs +EASJJ4meKZ0GKJJGaIEFwCDRDwAAFM8RwDAjlkYqQiAWzhT/XoAN7/f1ACxC1ShilIsgJULfqDjs +uwwMRkKAAKhVKlIZW3goya8pUFOwmQkJR+lUUyyArgAAJ1RLK1BG7FAtKdAEgABYQI4qQiCxM3oz +t2P/mABsEATApP2d8gWgCwUAWLv/GM4V0w8ogH17h0Edze4azcb8DwIdoAsFAP2wiBXgGQUAbZoM +LqGQ7OEJdVAJAACxuyv6+wCwBPyfAA/wCiUA/gAAB/ALFQAPujlYjX7AINEPAAAAbBAEwKT9ncIF +oAsFAFi75sAg0Q9sEAQeza8dzt0u4pP9r+gV4O7pAA7uCg/uES7sc/3Czg3gb0UAgieCLiItB/5A +ZB3gAgUA0Q8AwKH9naIFoAsFAFi71MClW3rtgieCLvJA4CWgb0UA/kBkHeACBQDRDwBsEAQazsjq +on8pWASAAPxgaB2gDRUAW3e80qDRDwAAAGwQBBrOwCqif9sgW3fb0qDRDwAAbBAGlBGSEOfOuxtg +BIAA7VQACfAEgAAoGkAodhAnchHnFgMjj3GAAPgAAh3gCgUA+gACHeADBQDwAnANoAUVAPJj8A3g +JwUA+u8AC/AEBQDnFgIjkqGAAIYSJwoA+uAEANACFQDmbP8qmAqAAG1pD+AhBAEQBQAA40QCCpgK +gAADQwIn4gADhgHj8wENgQqAAOLSACmYCoAAA3cC5hICKxgKgAAn5gADIgInEgDjEgErAgqAAPOg +BhWhb50A9uAGFaEonQDyYAYVoAMFACus4PUgCfSSAJ0AhhMAkQQAVxr2wAQDcCgFAO5sTGTIBQAA +doN8L8Al6MAkJVAhAABkr871X/neUgCdAIbg4tIALYEKgAAA9xrnZgIMGAqAAOMiAg1YBIAA98AG +FaADFQDzoAYVr/56AAAAbmRW9MAEytIAnQAmwBzvwRclUEUAAPmCxBWgdhEA+OAAE7BmIQDn/wIL +NAKAAPcGAAw//lIAAAD8x4BH0QcFAPbgBOOiDwUAiMj7QGAVovgBAP/9wA2iiBkAbmJi9N/5udIA +nQCIyPtAYBWi+DEA//1MDaKISQAA9sAEJ1CPBQD3//ijogCdAIjI+0EgFaj4cQD//MANqIi5AAAA +AAAAAPzOgEXQHwUA9//3Y6IAnQDvwCclUCEAAPmE0BWv/CoA+N/2oNIAnQCIyPtAIBWg+GEA//vA +DaCIaQDAINEPAAD+3/WlYgCdACjAHPtAIBWg+DEA//s8DaCIOQAAAAAAAPjf9KbSAJ0A78ENJVBB +AAD5gYQVr/rKAPjf8+RSAJ0AJsAc78EVJVBFAAD5goQVoHYZAPjgABOwZikA5/8CCzQCgAD3BgAM +P/n+AIYQgmAAsQQA9xoHIgKSYIYRgmAAhxoHIgLywAYVr/i2AAAAAAD/91wNoAMFAGwQBPifoBXg +tQUA9kBoHaCCBQDjUjgDAImAACgsQAmCONEPAAAAAADrTP0hEAcAAOos0CESQQAAC6I40Q8AAABs +EAQVzgHTDyRSGiVS5P6YABIxCAUA5UULAnhfgAD1DwAMMAoFAG2JBylRAHKRA7hV0Q8qVQGaUSpV +ANEPAAAAbBAEH83xKfIaLvLkwND/OAAUsQsFAO6aCwT5m4AACbsMbbkcK6EA3KDisUN8wASAAPFj +MA3nmQEA6swIJEgFAADu1gsGgdmAACJlACLy5ALSCyMlASzy5C1UAAzcC/WAJhWgAhUA0Q/9IgAO +//82ACOlAZSh+KAGHeACBQDRDwDHL9EPbBAEF83Q0w8pchoocuT/OAAUsQoFAOiYCwT4Y4AACaoM +bakNK4EAcrEL6ZwBJEAhAADHL9EPI4UBlIH4oAYd4AIFANEPAAAAbBAEHcy8jzQu0pP9s0gV6/8B +AK/uCe4Rrt0o0AT9AMAF0AIFANEPwOAu1FgvMBQp0hUbzbPqzHMXgIGAACiRAAuIAQqIAiiVAI/S +K9IUKtITLtYT/6KGFa/45QAI/gH/oEYVoAwFAFi4BMAg0Q8AAGwQBCghBxnNowgISgyIEQmIApgw +hyAWzVDpzQYbvgKAAAdHApcx5gAVAZAhAAACAIqVNek2BCGQYQAA0Q8AAABsEAaGM+oiBypoBIAA ++oBoHeAMBQD8ROYdq3YBAPtEABWvZoEAW3b7KiAmwJHtzO4TCZmAAOXM6x0YBIAA9UAHGhIAnQAM +qxEFuwgosp4qFgD3AAm50gCdACSynQ2oCiiCvwhEAWRBAy0hBx7Neg0NSgzdEQ7dAp1AjCAbzSf9 +gAAWMA01AA3MApxB6wAVAlAhAAAKAIofzNf+gIYV4B6FAO5GBSlQBIAAWxa8G81rLSEYLCIR+EJI +Feb3AQDhbhAP+wKAAA/uAplL+0YADf9/9QD+4AQH8Ic5AO5GByxFQoAA+eYAD7LdHQD6gMYV4AgF +AOhGCS7sAoAA7cwCD/wCgACfSJxKiSLAoQqZApkiDDgR9QAARHACNQDzE6YVoAIFANEPH8ydjvjq +FgAnDZ+AAAw7EaW7KLKeboNzJLKdDTgKKIK/CEQB5EBmZ1v9AACb+GVPEvogBhWgAE4AAAAAAAAA +APhLBh3v+zYAixD6QGgdoAwFAPtjABXgDTUAW4GlLCAny8fAINEPAAD/+1QNoAQFAMCgWLXAH8x/ +jviKEO3MkhcONwAA//6YDaAEBQDAQMDaDe00/eEGFe/+XgCKJ8Cw+0QAFaAMFQBbfzYczSQfzSKf +oO0iACt0AoAADn4C/UBGFaALFQDupgMu7gKAAAvdAp2h+kTmHeACBQDRD2wQCIggJyAHkxSJFPWY +7gWgBaUA85joBeAGJQD5ANIN4XcBANZQ9OAJ0hIAnQAMeBGjiCmCnvcgE9OiAJ0AKIKdBHkKKZK/ +CYgB1IDoFgMkCqGAABrMCQoAh21pAggCYSkhB4sgGsxi/CCIFaqZAQAMmRHqmQIN1gKAAP1gCUug +BQUAH8z4mUD/mLYFoAyFAJxDLkYC+ZnoBeANJQANqgIqRgEJuQIpRgQq8oAu8n/rIQkrxwKAAPMA +AERwDAUA5oadJ3P9AAD/7+YVoA0VAFt11oonjiL/RAAV78gFAAj/AeWlFCf5AQAAn6nvpggnAumA +AP2ZvAWgClUA/EAIFeA7JQBYudSIImSAkMCw+/4CHa8JBQBtCA14kA0IiBTkgB1l2CEAAGP/63ig +DLS78AAcDaSIHQAAsbsIGBRlj/f6QGgdr/z1AFt+XYonx5+ZoJUnW3LeJSQE5SQFKhAEgADRDwAA +GswNiaj3IAp4kgCdAAx4EaOIK4Ke92AKy6IAnQAogp0Eeworsr8LiAFkgUewnP1BBhWv+tIAAAD/ +/nwNoAsFANKA0Q8fzLKOExTLbJUR9CAGFeAMRQDsqwINx4KAAC0gBwSIAvnAhhWgLIUAnOP7wCYV +4d0BAJ0SmeAP3QLpzAQY0ASAAOnmAiFZhQAA/cCmFeAMNQBYskC0GvpLoBXgDDUAWLI9HMuCKSEH +jhMazJiIEpXr9cFGFe//9QCf6Z/on+eV5o0QixEKiAL7l+AFp93BAA27Apvs+iAIFeqZAQDvy+wc +zwKAAAqZAunmEC3eAoAADLsCm+2LICXmFiXmFyXmGCXmGSXmGiXmGyXmHCXmHfnCphWgKoUA+8Jm +FaANRQDv5hIt1gKAAO2tAg3/goAA5P8CB0AHAADv5hQkIgEAAP3CJhXv92YAAAAAAAAA//ZIDaAI +BQDAoFi09hrLtYmo+T/1OJIAnQD/9eANoAgFAMCABZs0+0EGFe/1rgAAbBAIKCAFw64PAgD7AAzk +IgCdAIsnKiQFDwIA+WQAFe/EBQD1IAQEsAMFAOO1FCTJAQAAmbnptggl0EEAAFt30PpAaB2gi0UA +WDX7gylkMSf/mKIFoFdlAP4gphWgRuUAijcvqRSFOyswBeSiCSeBUYAAd7Eq92AGPCIAnQDmNAUl +UEEAAFt3vhnMRCiRfn6HFs1GYACpAAD//1gNoAQFANowW3LFYACXZECUjBWIMI5CjUOJQCpABy8x +CJ8X+iCGFaeZwQCZFvggJhXgOyUA+CAGFaAKVQBYuSYbzC8rsX6KF/9qgAdT/PUAfKFijRZo1ihk +QErqNAAKWASAAPwgiBWgj0UA/oEEHeAOFQD+YqYdoA0FAFhzbWAAJI0UijcsCgAPAgDr1AAFUIEA +AFt1gPVAaB2v/voAAAArOv97oQ/jVAAK+OYAAPJBKBXgALIAjTf9pAAVr84FAP+ABAYwDgUA7tUU +JmEBAACc2f2hBhWv/0IAAAAAAOsiCiGBeYAAyrwpsgsPAgAPAgDInm0ICemSCyzYBIAAyJFj/++T +u/phhhXgDwUAnynRD9EPAAAA8kFGFeAIBQCYKdEPbBAcKDAiFcv2CIgJDIgRqFMlMn/qJAACghGA +ABLLKy2gDC4ieioihK7d6d0RCtgEgAD9QABFcAwVAFikQ8Jt/DACHaAnZQDwgRAN4P3VAGhDCfSA +BZqSAJ0A0Q+sOy6weX/n9Q3sASy0eYqn+0IAFaGCBQBbd1OiPi3gefJwaBWv+PUA+c9GHaD/tQAP +3QHt5HkpAU4AAGP/vwAA+mBoHaALFQBYWcsjMgnIOSkwBXaZ6YM5ZT/0gilkL50qIhV1qfQrIAV3 +se6NJ/WhyBWvzgUA5yQFJuCBAAD/gAQGMA4FAO7VFCZhAQAAnNns1ggm0EEAAFt3My9BHYMqsf/v +RR0p/VYAAGP/rSw9AizMgCvAeQ27AfuPJh3g/eUADbsBK8R5KqIHKqwQW3cmIjKDZC8nGstR6hYt +IMhlAADpFi4gwHUAAOgWLCD44QAA/iXmFeAArgAAAAAAAAAA+mBoHaALFQBYWZsjMgnIOSswBXa5 +6YM5ZT/0gilkLt0sIhV1yfQtIAXC5X7RQ4gn9QHIFa/JBQDnJAUkeIEAAPngBAfwCQUA6YUUJ/kB +AACfie+GCCRQQQAAW3cDKkEdgyqxqupFHSn9RgAAY/+rAAAAKyAE8kFIFeAcxQD9YApEIgCdAPwl +qBXuDwUA/iVGFeAOBQDuFisowASAAPwAChXgGVUAbZoCCACKHct3nRD4YAgV4AtFAPojBh3gDAUA +/CJmHaP69QAqFQj9IAAUsAq1AAqZApkRKDAEDwIA9QAFUpIAnQAqEi76aiAV4Aw1AFixAyoSLPpp +wBXgDDUAWLEAFMqaKDIWJEKECYgRqESPR8OPKDQFj/4u8HAo8HEI7hEI7gKx7v/uJh2o7h0ALvRw ++iXoFaAJVQD4JgYd4AgFACgUMegUMiJZAQAA+CZmHaAMhQBYsOrrTEgg0f0AAPtFoBWgDDUAWLDl +LhIr6jQACNgEgAD/DgAPMAy1AP4hphWgDSUAWFRiY/6hKhIu+mkAFeAMNQBYsNorHH8rvCkqsAHo +sAIgyHUAACiUAiqUAeuwACmgBIAA+yAGHeAvtQD+YKYd7/22AIM6ZTCxY/5cAAAAACoSLvpqIBXg +DDUAWLDHKhIs+mnAFeAMNQBYsMQUyl4oMhYkQoQJiBGoRI1Hw+8uNAWN3izQcC7QcQjMEQ7MAizM +Af2uJh2ozB0ALNRw+iXoFaAJVQD4JgYd4AgFACgUMegUMiJZAQAA+CZmHaAMhQBYsK7rTEgg0f0A +APtFoBWgDDUAWLCpLhIr6jQACNgEgADTD/8OAA8wDLUA/iGmFaANJQBYVCWDOGQwn/4lqBXgCAUA ++CVmFa4JBQDpFioowASAAP4AChXgGVUA0w9tmgIIAIofyvgvFgD6YAgV4A1FAPwjBh3gDgUA/iJm +HaP89QAsFQj9YAAVsAy1AAy7AisWASowBPlf97rSAJ0AKhIu+mkAFeAMNQBYsIQsHH8szCkrwAHp +wAIg0HUAACmkAiukAezAACmgBIAA/UAGHaAotQD4YKYdr/weAAAt6gD8JUYV7/QeAABsEAYpMCIY +ytQJmQkMmRGpgysyf2Sw9xXKCi0gDC5SeipShK7d/agAFrAMFQDtqggCkqEAAFijIsDB/ITAEdGH +BQDJTio9AiqsgCmgef8jYA+QDCUADJsC+08mHeAAQgAAAAAA9IAKExIAnQCnOisyfySgeyageuWg +eCWEoYAALaCAGsnvLiKQKqKEDt0ICd0R/UAARXAMFQBYownqoActEASAACwK+/ZCxh2gtREA7KoB +Dd+CgAD7RgANcPnFAPlABAVx5QEADqoCKiQHLzKIKPAHpz0JiAEI7gIu9Act0Hkcyp/6BkId4ApF +APbgAAewjREA6BYAKnAEgABYt4sjMoPIORXKmPiYABIwAC4A0Q+DOWQ/+C0xGS4gBywgFiw0Fv5g +5h2r3QEA/IYADvAKRQD8YyQd4DslAO0yACrgBIAAWLd6izrMtmP/xIu5ZL+/LjAHLzAWKDEZiroo +tSkvtBbutActAHYAAGP/3gAAiqhkr9cssActsBYusSmJqS6lIi2kFuykByT/MYAAbQgWKKAWL6AH +LKEiLJUiKJQWL5QHiZtkn8dj/+KnOimgefM/9c/SAJ0A/SYADrD+1QAO3QH9TyYd7/qaAAAAbBAE +95TMBeEYhQAIKCinhydynwR3KAd3Cv74ABOz6YUACXcsGskaAikLA5kJ6ogICbcCgACoZhrKWhjK +WgyZEaqZCHgCmJD22gYV4JXFACVmz9EPbBAKlRgbyTSMMfZgCBXgBBUA9mBoHaAOBQD+IMYVoMyJ +APZIAAHyhxEA+CFGFaF3AQAZyTIosq7A0J0X6ZKXJARcgAAYyg8ogoKjmQmZEamIiIeIjpgUiGD/ +BSACkA8FAO0SCiODWYAA/GBoHeAKJQD9lHAFoCtFAFi3JMbq/iEmFaAA9gCfGYkaeYYx5Mk7FI4p +gAD1IA7ZkgCdAOUSBCSlkQAA3pD8YGgd4AolAP2UVAWgK0UAWLcVxsosFgmKGOwSCStYBIAAWLRt +wCDRD2TQmPWgIWmSAJ0A9aAc2hIAnQD1oArKkgCdAN0w/ZQ2BaAKJQD+IUgVoCtFAFi3A2P/tgAA +jmKKF/TgIpkSAJ0A9OAnkJIAnQBkdPfAMMCAA+4CnmItUEUsUEaJYe1KOA5jQoAA7KoCDFsCgAAL +qgIKmQKZYfZ/+7CSAJ0AwCDaUOx0AAtYBIAA/EBoHeAOFQBYLnuxInMp5WP/UhjI5CVgFRLJwyiC +ly1gESIigqOI62ICLEZCgACoIoInKWAT+MKQFaDrQQDyQcgVoPuBACRgECpgEqTdJGAWraotYBeq +mamIqFWlRKTdKApk+aAi/CIAnQDAov2TzAWgK0UAWLbPxsr8ISYVoJz5AOkWBi4nigAAHcjGHMmm +LdKXLMKCo90J3RGtzIzHjM6LYyvGFo5hfeYfjxpk82P14BsBkgCdAPXgIkoSAJ0AwKD6ISYVoAAm +AADAsJsZjhb8ACId4AwFAA7cOGTOhvjACBWv+TIAL7Ku8eAQaFIAnQAo+rn4ISYVr/m2AGRwVPTg +IliSAJ0A9OAnaRIAnQDAMPABqA2v7KUAAP4BAh3gDEUA8PBADeALJQD04CLgkgCdAPTgJ3kSAJ0A +wHDyAAId4A4FAPwAAh3gCwUA8AUQDa/spQCLFCOwVuK8XCXZkQAAyLn6wwAVoAyFAFivO8gr2yD6 +wgAVoAyFAFivN8DAiGKcGQOIAuhmAi5u2gAAynb04B54kgCdAPTgI7ESAJ0A9gACHeAOBQD8AAId +4AsFAPAAWA2v7KUAjhQr4hYt4FT/yrAVoAcFAMDAGsh2j2AZyVUqopf5MEgV4i9BAKKq6NIRDVZC +gADqmQgPVAKAAAKqAomXghcKigKJnptjn2CaYiqQLymQMJwZj2HqQjgMy0KAAOkiAgubAoAAAyIC +Av8C/sAmFe/1AgAAgxQnMPP64AQHcieNAPpABAFw1wEA/dgAFzC3jQDvuwEO7kKAAP+mAA6wVzkA +BSICDH4BD3UB71URD3dCgAD1xgAPcVeNAAxVAQW7AgK7Ag67Ai4w8Q27Avx+UBXgBwUA8n4QFee7 +AQDAwBrIQxnJI/tS6BWgD8UAD48C+TBIFeIoQQCiqujSEQ1WQoAA6pkICdQCgAACqgKJl4IXCroC +iZ6eYp9gmmMqkDopkDucGY9h6kI4DMtCgADpIgILqwKAAAUiAgL/Av7AJhXv8cIAAPoAgh2gK0UA +7MlCGegEgABYtikbyUCrO/VwBh2v9j4AAAAAAAAAiGIICEL1ABtK0gCdAIoU/AAiHeALBQDvyPsa +cASAAP2iAA2whAUA+0imHeAMBQDi/KAlULEAAOik4CtQBIAAbYlC6CKXJVARAAAp8oKLo6OICYgR ++SAARD+bgQD5AOgVotsZAAsLQgCxBOiCDi9YCoAA7IgIBmARAAAphYikiC2EjyuEjmP8/AAAG8f+ +gmMvspeJYiqymqP/67KUL/5CgAD/QABH8A0VAP/g6BXgDgUADN44o7vv8g4t3kKAAKuq80WmHaCZ +AQD5/iYd4IKBAPn+Bh2oQh0AJPTyIvTz/+dGHa/yjgAjXGwoMMojMLhj+7MAhRToUhYiyLEAAPgg +phXgBAUA+KRmFaDy9QDaMPqAaB3gDAUA/AACHeAJBQD4ICYV4A4FAPggRhXgaEUA+CAGFaAPBQBb +bOXmoCxtYASAAKVMLMBcihcCywwLrDjqNAAKWASAAFtsuuagDG1gBIAAsURpSKUKrALsFgkuVroA +AIwVAzoC/ZjwFaALFQBYOkzqFgklYUWAAGP6tyNcbCgw4iMw0GP7DAAjXQHyYZAV7+wOAC4kVC8k +VfpLgBWgCRUA+krGHeAIBQDsmDgDWEEAAPhF5h2gDIUAWK5bKixk+sMAFeAMhQBYrlj/7eQNoAwF +AIUUKlwsmhUqoOD3X91okAgFAOWEAAKQBwAA5RYLIRJBAAArIUAsIH7tIH8p0ASAAFg6uYQVJEDg +sVXkUuRxEBEAAPQhZhXv7dYAixTjsL4l2AcAACK8xPt5gBXv8AoAhxQrcjAtcLwucL32/RAV7/Fa +AIoW+AAiHeAIBQAKmDhli1dj+dsAhxQnfGwlcJn6oAQHciWNAPpABAFw1QEA/dgAFzC1jQDvuwEO +7kKAAP+mAA6wNTkAAyICDF4BD1MB7zMRD3dCgADzxgAPcTWNAAwzAQO7AiNwlgK7Ag67Ai5wlw27 +AvzzEBXnuwEA9vNQFe/yegCLFOOwiiWQBwAA4iyQJdgHAAD7cwAV7+1OAIcUK3IjLXCILnCJ9vaQ +Fe/ungCHFCVw/PqgBAdyJY0A+kAEAXDVAQD92AAXMLWNAO+7AQ7uQoAA/6YADrA1OQADIgIMXgEP +UwHvMxEPd0KAAPPGAA9xNY0ADDMBA7sCI3D5ArsCDrsCLnD6DbsC/P9wFee7AQD2/7AV7/AaAAAA +/GBoHeAKJQD9kLwFoCtFAP8AaB2gD0UAWLVBY/hxAABsEASIMCowCCswCfEACUpTKAEA9IAGCtAO +1QD8YgAV47sBAPogAAYyqiEA5MERZkP1AAD+AEId4AQVAOj0OAUHyYAA9UAHi9IAnQAEpgwGBkem +ufnABwtiAJ0AZGBeGMhB78d/GXTCgAAOvgro7ggLSASAAO/vCA7YBIAA9SAG2JIAnQDotAAN0ASA +AA8Ah+sABwdwQQAA6gYABMv5AADqJgAH+EEAAOiHHgXYQQAA6IMeDP5OAAANaxENvQoEDkdk4Fz6 +QGgdoAslAFgAKWAATgAAAAAAAAD5kEgF4B+lAO9JVHnYBIAAAygR+QAARHAJ1QDTD22aFCmCsOqC +sSRAIQAA6bYDJdghAACasPpAaB2gCyUA/G4AFeAMNQBYABTAwOpUAAnYBIAAWLJSwCDRDwAAAAAA +AAD//5gNr+ylAP9fQA3gBAUA6r8IBTuzgADv4uR9MASAAGP/AhjGzajoKYKAmbAogoH5YCYVr/0u +AGwQBBjH/sec6IKAIgCBgAB0mAgax/toMQtoMgjGKtEPAAAAAAAqoIAAIAQKCht/p+r0YArpEgCd +ABfH8xzH8ggDQWQxV/RgCviQCxUADykRHscoGsfu7cayGAQKgADwgAQ/0gCdAMAk7ngIDRgEgAD0 +QAhgkgCdAO80AAmwBIAACACH4wAHA7hBAADmBgABE/kAAOYmAARAQQAA74ceAZhBAADvgx4Jfk4A +AOm3CQ2XwoAA55swcXgFAAAKmAojUgDyoCgVof8dAG35GoeAo3OTUIaAdjsDsSKSUY+BovLiVgEk +QCEAALhV7kd8fRgEgAD/gABCMAJFAOghcGmwBIAA1zAEIIfjIAcGYEEAAOdGAAET+QAA52YAAiBB +AADmjx4BmEEAAOaLHgl+fgAA6bgJDZfCgADomy9xWAUAAAqUCoNQ8qAoFaG7HQBtuRqNQKPTk1CM +QHw7A7EiklGOQaLi4lYBIiAhAADAINEPrc8i8oCSMC/ygf5gJhXv/s4AAK12KGKAmDAmYoH2YCYV +r/x2ABzHn/ePPgXv+poAwJD/+rQNoAtFAAAex5wDLRGu3Y3Q+gBCHeAKBQD9tWAl4AlFAP1CAAz/ ++ioAAABsEASIMCowCfYDQh2v7KUA8QAHglMoAQD0gAcC0B9lAOgwCCHoQQAA+qAABbAOJQD6BwAF +MAQVAPggAAYyiCEA5MFAZkv1AADp5DgECYGAAPUACUPSAJ0ABIkM+sIAD7dpAQCmvv/gCJuiAJ0A +ZGBsH8atF8Zw+IACHaVOBQAKjjnuvgoJRMKAAAjuCOfuCA7YBIAA7+8IC0AEgAD1AAggkgCdAOm0 +AA3QBIAADwCH6wAHB3BBAADqBgAEQ/kAAOomAAf4QQAA6YceBdhBAADpgx4Mfk4AAA1rEQ29CgQO +R8jp+kBoHaALFQBb/1LwAEwNoAwFAAAAAAAAAADmQRV52ASAAOpUAAnYBIAAWLGKwCDRDwAAHcdF +AywRrcz5gGgdoBl1AG2aFCmCgOqCgSRAIQAA6bYDJdghAACasPpgaB3gGbUAbZoVKcLQ6sLRJmAh +AADptjEl2CEAACq2LgIqAvxgQCXgCxUA/bMAFeAMNQBb/zD//jANoAwFAP/+EA2v7KUA/x9ADeAE +BQDovggEO7OAAApvOH7z4fcAaB2v+0oAAAAfxeyv7yjygJiwL/KB/2AmFe/8igAAAABsEAQaxyQX +xlgZxmMYxvOnN9MP0w9tCEzoUU5qWASAANxALYJALpLSCuYBBiYCJpbSL5LSBwCHBABhLpbS7ZLS +IiBBAADsBgABmEEAAOwmAAO4QQAA64ceAqv5AADrgx4CgDmAAGP/qNEPK4JAL5LSCvcBBycCJ5bS +JpLSFcXHpTUmUoCWQSVSgZVAL5bSLpLS0Q8AbBAEizDGyvFgBgpQqzkA9oAFyVCGBQAfxi/uxboY +BAqAAPSABQrSAJ0AJDEEBM1C8aqADeREAQD1oAUD0BwFAPWgAESwGBUACsg5+QAEa+AMFQDAkPuC +AAyzCAUA6YY5AeBBAAD2gAICMAoFAPNgCAYQCIUAKSAMGsbjBpkRqUmqma+a9aAJ8JIAnQDrxAAO +eASAAAoAh+wABwTIQQAA7wYABuv5AADvJgAFUEEAAOuHHgZgQQAA64MeDv5OAADwACQNoAwFAMCJ +eEEX6lQACdgEgABYsQTAINEPAP//sA2v7KUA6Lc5ceAhAADAoPmNjAXgC4UAbboPLZKlDQ1S5NCi +ZVAhAAC4mcCgpqv6RvAVoB0FAFv/lWAATQAAAAAAACogDBvGugaqEauq/0AARfAZBQDokXxuaASA +AN/ACyCH7CAHBVBBAADvRgAEy/kAAO9mAAXYQQAA7Y8eBmBBAADtix4M/n4AAP/9fA2gDAUAGcal +0w9tig8rkqULC1LksBRlUCEAALiZwKAEqwgqIDdb/3Zj/ycqkqQKCkn/UAAVP/+qACqSpAoKSf9Q +ABU//XIAAK6rLbKAncArsoH7gCYV7/6eAK6fKPKAmMAv8oH/gCYV7/uuAGwQBCggBGiGBMAg0Q8A +FMaKjC4rQn8JzBGsu4u3i74usB0psBwqsBgtsBnssB4szgKAAO6ZAg1WAoAADaoCLrAa7bAfLM4C +gAAMmQLssBsszgKAAO2ZAg1WAoAADqoCLbAV7rA1LVYCgADsqgIE4AUAAP1j5h2ojB0A6csGd3AF +AACxqiywFCi0Hi60NSq0Gy6wFvtiEBWo+h0A/2NGHeiIHQD5Y6YdqP8dAP9jJh3oiB0A+WOGHaj/ +HQAvtBjvsBEuZgKAAA3MAu2wEi5mAoAA7swCDVYCgAAPqgLvsBMtVgKAAA2qAi2wF+4xCy1WAoAA +76oCDmYCgAANzAL9wABGMA0FAP+A0g2o/B0AsaoqtBMstBf8QagVqIodACi0Ev9ixh3oiB0A+WIm +Haj/HQD/YqYd6IgdAPliBh2o/x0AL7QUK0J/CcwRrLuLt4u+L7AZKrAYKbAcKLAd7LAeLVYCgADv +qgIMzgKAAAiZAi+wH+iwGizOAoAADJkC7LAbLVYCgADoqgIMzgKAAO+ZAg1WAoAA7KoCBOAFAAAs +tB/5gNIN6IwdALGqLLBZKrQb+WPGHaj6HQD7YhAVqIgdACi0Hf9jRh3oiB0A+WOGHaj/HQAvtBn5 +YjAVqP8dAO+0GCZgBQAALLRZLLAU77AVLVYCgAAIqgLosBYuZgKAAA/MAu+wEi5mAoAACMwC6LAT +LVYCgAAPqgLvsBctVgKAAAiqAuraCA5mAoAAD8wCrOx+ywGxqi4hNy0hNiq0E/1i5h2omh0A+WJG +HejMHQD9YsYdqJkdAPliJh3ozB0A/WKmHaiZHQD5YgYd6MwdAP1ihh2gCiUA/YviBaA7JQBYsseN +N/5hKBWgCiUA/YvaBaA7JQBYssItIAXE5f+/610iAJ0AxfX+QKYd4AIFANEPAABsEAYmIAcYxePz +iQYF4WYBAAZkCQxEEaNDqEQqQn8jPSAjPOD6YR4NoA0FAMAg0Q+JoYygnJCLoCipEJmxnaDtpgEk +AZmAAIynysmLwR/Fzh7F0v/v6BXoux0A7rsBBXPhAADrFAAN1kKAAOr6CAr4BIAAW1naLEJ/c8Gv +jSJl36rrbBgpUASAAPwAIh2gTQUAW3oGwCDRD2wQBhPFwAIkCQxEEaQzKzIgJDB/JjB+5TB8JYlZ +gAAaxIktMIQuopAqopoO3QgJ3RH9QABFcAwVAFid6y2gByakFvQCAAdw/7UA790BD3eCgAD/pgAO +sPzFAP2gBAaxlQEACd0CLaQH6jIpLRAEgAAroAcMuwELmQIppActMH0GD0fsxYEacASAAPwCAARw +OyUA+CAGFaAKRQBYsm4jMiRkMKIVxXr4mAASMAAmAIM5ZDCSjTAoMRkuIAcvIBbvNBYq4ASAAP5g +5h2riAEA+IYADDAKRQD4YyQdoDslAFiyXYs6zLZj/8WLuWS/wCkwBywwFi0xGYq6LbUpLLQW6bQH +LQB2AABj/96KqNMPZK/XLrAHL7AWKLEpiakopSIvpBbupAck/yGAAG0IFi2gFiygBy6hIi6VIi2U +FiyUB4mbZJ/FY//i0Q9sEATySAAFcIkFAOk5AQUoBwAA8mAJrhIAnQAlXID0oAmXUgCdAPE1oA3i +g0EALyAMFsVjKSAN+eAKTSajAQAtIFEsIFAbxWAexV/tzAgEgWmAAOSRJWZj/QAAKOB9LWIdJ5z/ +CHcoD90IB90IC9kKKZKACQlGeaESLSBR/UAII+IAnQD9QAfiogCdACkgDewgDCSBiYAA6OB9JICJ +gAAvYh2wnQjdKKz8DcwIC8kKKZKACQlGeakI8ABUDaALBQAAKSANKyBRwMEJyTkLqwyrmyoiE1tu +JujECRUFSYAAKIKTLWLhpYUJVRGl1RvFOBnEEOkABQ3IBIAACQJhCQJhCQJhCQJhHMUyGMQMEsRR +4UoQCkiCgAD7JgAMsAQVAASZAikmsfkZ6BWgDVUA7DwCCtAEgADsJrAqYASAAAuAACIisQKCR8ki +0Q8AAP/7WA2mUwEA9UBoHe/7OgAqUCYZxRcKqgkMqhGqmSiQfSoK/QqIAQSIAiiUfdEPAP3gaB3v ++7YA//2QDaAFBQBsEAomIAwUxQ4Yw+YlIA2YFegABQpIBIAACQJhCQJhCQJhCQJhHcUIGMPiHsUI +F8UI78UIG04CgAAJWQIvdjHudWQp5wKAAPz6Bh2gCwUA63TRKVAEgADogswqWASAAP0mAAzwDEUA ++OYGFeANVQALgAApcjEJiUfIlNKQ0Q8AABrE9xjDiitxZAM+CRnEyB/E8u8WBi93AoAA+cAARPu7 +AQCbGJkUK5Z/qoio7u4WByTACwAAJoQAJYQBLPABLfAALeQALOQBKfADKvACKuQCKeQDLfAFL/AE +/8CGHeAMFQDt5AUpUASAAFidCosXHMTQKSEIKCEJJiEH5SANLRgEgAAtMCYvIAwqIBQN3QkvNAwm +NQcoNQkpNQjqNBQu7wKAAP2AAEbwHgUALjQEJTQNjhWFGC3Qfw4Ah+4SBipIBIAACQJhCQJhCQJh +CQJhEsTF+YmGBaAMRQAsdjHiUgIOtQKAAAhmAiZ1ZCJ2MC+wACawASbkAS/kABbDjSqwAi2wAy3k +AyrkAiiwBCmwBSnkBSjkBCZizdow+oBoHeANVQALYAAtcjEPAgAPAgANjUfxu4AN4VwFAPWgDYUS +AJ0A6dQADvW2AAAfxKYaxKeNFCsRAOmhAiDwIQAA6eUCJugLAAAr1QSKoJrgKDAmiRUdxJAIiAnp +AAUMRwKAAAjdCO3QfypIBIAACQJhCQJhCQJhCQJhInYw6cSQH2AEgAD9gAQVoAhFAOh2MS7tAoAA +D90CLXVkKOEC7uEBKdAEgADulQEqWASAAP0gBB2gDVUA+SBEHaAMRQALYAAtcjENjUdk0b/1oA3l +EgCdAI4VZdD2FsNP7gAFCkgEgAAJAmEJAmEJAmEJAmEoYs4ZxHj7iPAF4AwVACx2Met2MinQBIAA ++KYADPANVQDpdjAqWASAAAuAAC1yMQ2NR2XQqo4V84Z4BaAKRQD8ACIdoAsFAO4ABQpIBIAACQJh +CQJhCQJhCQJhKCLYGcRjK3VlKnYxLHVk/YjCBaANVQDsdjQp0ASAAOlZAgpYBIAA+OYGFeAMRQAL +gAAtcjENjUdl0EmOFe4ABQpIBIAACQJhCQJhCQJhCQJhKCLbGcRR+4iiBeAMJQAsdjHrdjMp0ASA +APimAAzwDVUA6XYwKlgEgAALgAAicjECgkfRD9LQ0Q8ocWQICEkoFQD5n/I6ogCdACoSBeoABQpI +BIAACQJhCQJhCQJhCQJhLRIELd0CLdyALNCEL9CFKNCGKdCDLtCC6IgRD/wCgADo/wIMzgKAAO3Q +hy90AoAACe4CDswCD90CDcwD8uYGFazcHQD9lwAOcAsVAP+IUgWm3B0A/ZcADnAKBQD+5iYVpcwB +AFiyR8DE/0BoHaANVQDrdjMp0ASAAO52MipYBIAAC2AALXIx//aEDafdQQAAL3Fk/yAAB/FeBQD/ +3/HK4gCdAIgV6AAFCkgEgAAJAmEJAmEJAmEJAmEsEAouEAgoEAwpEAkvEAvtEA0sRgKAAOiZEQ90 +AoAA6e4CD/wCgAAI/wIP3QIOzAINzAPy5gYVrNwdAP2XAA5wCxUA/4f6BabcHQD9lwAOcAoFAP7m +JhWlzAEAWLIbwMT/QGgdoA1VAOt2MynQBIAA7nYyKlgEgAALYAAtcjH/9nQNp91BAABsEAaNMiog +DBzCpRvDsokwJ8KQKLF+K7Jd+uAAQ7NpAQD86AATt93BAOt3CAQDOYAAepYd7sPgGyC0AADwAFAN +oBJlAADacOwSACtYBIAAWACnwCDaUOs0AAlgBIAAWK3wwCDRDy/ClBrDnAZoCeb/CAxnAoAAqsrp +of8v/kKAAO+7CAaAwYAA9aAG8JASZQBj/8AA84TsBa/+8gCZEJsR6hYDJIGhgADbkPrgaB2gDBUA +WJvjiRMtnQEt0Pnuw70W+hCAACqSg2Sh/C+gBcKFePEEialkke+NES3QIg3bCepwDC3fAoAAq+sr +sID7QAxcYgCdAI4iEsOw8cAND9IAnQCJdy+ZFOTx0WTQgQAAK5IJZLHGKLAAKQoqeYkFLLICcsFB ++gACHeAMJQBbdXMew6MYw2CYoI9wkqKTpSSkHPVAxhXv/fUA/0CGFaAIJQDtpB0v/gKAAAj/Au+m +ASrQBIAAWK3VwCDRD5wSmxHqFgMkihGAABnDhBjCF4QSghOpiOhECAHQgQAA+oBoHeAMZQBYqPCO +OY04AEQE/6sADrT9HQD+YQYV4F4FAO40ICHQoQAA/GEmFeAOBQD+ZOYdp91BAObdAgpYBIAA/GTG +HeAMZQBYqN+IO486AEQE+esAD7SfHQD4YUYV4FgFAOg0KCpYBIAA/mFmFe+IBQD4ZeYdp/9BAOb/ +AgHQaQAA/mXGHeAMZQBYqM8rIf/64GgdoAwVAFv93goCT2UuJtpgW/2KLRIBLdAiGsNfDdsJ6XAM +Ld8CgAALqggqoID7P/AlIgCdAP2GtgWgCkUA+gZCHeAOZQBYsBHacPogKBXgDGUAW/hDY/3cAAAA +AAD9hqQFoApFAPoGQh3gDlUAWLAHixH64GgdoAxVAFv3F2P+Vdpw7BIAK1gEgABYABD/9pANoAIF +AOp0AAtYBIAAW/4bCgJPZS2OY/6t2nDsEgArWASAAFgABmP9eQAAAAD6AAId4AwlAFtxBWP+RAAA +bBAGF8Mc/YY4BaCJBQAJRgHygBGeEgCdAASJQiqdASqsgPVAEVdSAJ0AmhLlwxQTBjGAAC0gDASO +Qv+gBcUmNAEAKyBRKSBQLiANq5nk4gdk+/0AAGTiRynAfStyHbDoCYgorbuouwW9Ci3SgA0NRn0x +CCsgUXszfH87eSkgDcqd6yAMJICZgAAvwH0uch2wnQ/dKKvrrbsFuAoogoAICEZ4OQjwAFQNoAsF +AAApIA0rIFHA0QnZOQs7DKubKiITW2vY7MLtFQ9JgAAawbmIEi+iky5y4aj/Cf8Rr+7uFgEjAPmA +AAQDRm4+ICk8gPggBhXgAHIAwLDrFgErf04AAASDQiM9ASM8gG8+3pMQ8NlgDeK0QQAqIAwtIA37 +QAxFZjQBACsgUSkgUOuZCAaJkYAA5NATZPP9AAAowH0vch2w2wi7KKr6q6oFqQopkoAJCUZ5MRIr +IFH6YApj4gCdAP5gCiKiAJ0AKSANyp7qIAwkgJmAAC7AfS1yHbCbDrsoqtqrqgWvCi/ygA8PRn85 +CfAAWA2gCwUAAAApIA0rIFHAwQnJOQs7DKubKiITW2ud6MGAFQehgACJECiCkyJy4amICYgRqCIb +wq4ZwYbpAAUNyASAAAkCYQkCYQkCYQkCYRPByBjBg/mFTgXgBRUAJTax6ILPKVAEgAD4hgAM8A1V +AOk2sCrgBIAAC4AAKTKx/YUwBaD71QD8ICgV55lBAPEkQA3g/uUALdAmDd0JDN0Rrc0q0H0LqgEO +qgEq1H3RDwAAAAAAAAD/93gNpqQBAPggRhXv92IA/yBoHe/4agD/IGgdr/vSACogJgqqCQyqEarK +KaB9iBELmQEFmQIppH0ogCYIiAkMiBGoyC+AffvgBAfw+eUACf8BL4R90Q8A+6BoHe/3DgD//GwN +oAIFAMCw+iAmFe/4ygAAAGwQCIowF8JBiCL5hJIF46oBAAqqCevCfh1XAoAA+yAARLAspQDmkf8k +AzmAAIknLZkU5NNmZNCBAAAtkglk01su0AAo0gJ86QJ7gT/6AAId4AwlAFt0Px3CbhvCbpegjCCT +pSSkHJWm+0CGFe/59QAppB0tpgL9gAAWMA0lAA3MAuymASrQBIAAWKyhwCDRD5kQ/4SSBaCLBQAL +bQHywBdeEgCdAAaKQimtASmcgPUgFxdSAJ0AmRXswkIWh7GAACggDCsgDfggRhWilkEA+QAHBWZ2 +AQAqIFEpIFDqmQgFlyGAALCa6hYBJZiRgAAZwjMpkH3q4h0lw/0AAAmIKIkSqaqoqgyrCiuygAsL +RntxFCogUfrgBNOiAJ0AiBH44ASCogCdACkgDcuS6iAMJICxgAAYwiIogH2wmwi7KCjiHaqKq6oM +qQopkoAJCUZ5eQrAsPwgxhXgAG4AACkgDSsgUfwgxhXgCBUACYk5C3sMq5sqIhNbavwcwhKNFu7C +DhUV2YAAGsDbixUqopMp4uGrqgmqEaqZ6RYEJoEJgAAGB0ZufiIrfID6IGYV4AB6AAAAwPDvFgQu +/z4AAAaHQid9ASd8gG9+3JcT86ZgDeKmQQAtIAwrIA37oBLFJnYBACogUSkgUOqZCAWPEYAA5LIS +ZPv9AAAZwfEpkH0q4h2wuAmIKK2qqKoMqworsoALC0Z7cRIqIFH64BDLogCdAP7gEIriAJ0AKSAN +y5PqIAwkgLGAAB/B4i/wfS3iHbCbD7soqtqrqgyoCiiCgAgIRnh5C/AAYA2gCwUAAAAAACkgDSsg +UcDBCck5C3sMq5sqIhNbar1kobwYwJ+JExfBzyiCkydy4amICYgRqHcbwc4ZwKbpAAUNyASAAAkC +YQkCYQkCYQkCYR7A6BjAovmDjgXgDBUALOaxKILP6WkCC9AEgAD51gYV4A1VAAuAABvA3iuysRrB +uProAAXw+dUA5hIEJYeRgAAmYCYGZgkMZhGmpi9gffngBAfw9+UAB/8BL2R9iSeOEC2ZFPeDigWg +BwUA7CICJNiBAADn5oMmhHmAAOqSCSYHkYAAZKCCKKAAwpp5iRQdwbeMov2ABnxiAJ0A95/qPCIA +nQDasPwAQh2gCwUAW3OAHsGzGMFsmKCPIJaik6UkpByVpvdDph3gCCUA7qYEL/4CgAAI/wLvpgEq +0ASAAFir4sAg0Q8A//SYDaaWAQD6IKYVr/SCAPoAAh3gDCUAW29rY/ysAABkwGfasPwAQh2gCwUA +W29mY/+WAAAAAAD4ICYV7/UyAP8gaB3v+R4ALXAmDd0JDN0Rra0s0H35gAQGcA4VAA7MAv2vph2v ++9YAAAAAAAAAAPsAaB2v9BYA+6BoHa/4AgD3n/o1IgCdAGP8dNpQ+mBoHeAMBQBYq5XaIFild8Ag +0Q8AAAAAAAAA//lQDaAHBQDA8P4ghhXv9YIAAABsEAYmMAgnMAn2IAAEsmYhAOgyACMGUYAA9MAG +E9A6hQDxAAZiUHuFAPSABZLSAJ0A8OAJ/hIAnQAawCkYwVCYEOoABQxQBIAACgJhCgJhCgJhCgJh +CZoJGcFbDKoRqpkikh9kIHgawBEtkIAuopAqopqu3endEQlYBIAA/UAARXAMFQBYmXKLEB/BVRjA +GP+CqgWllwEA6Zz/K2UCgAAMmQIk5j8p5YAogtL+RgAP8A1VAO/mPipgBIAAC4AAGMFJKII/+GIA +FeeIQQDshAAEA3mAAAgMBvAAHA2vzAEAHMFC6lQACdgEgABYq1HAINEPe4bqjTFx1uXpwKESK4uA +ACggBGiBSPUABcoSAJ0AaYXMBwhFCG8IDf8R//8AFeBOBQB/47gDiAvpABUDAFGAAG1pAggAiv/+ +nA2gDAUAGMErbWkFCACICQCKY/+TAAAHCEWoaw27ESu8+HujgAOIC+kAFQN+kYAAbWkCCACKY//E +AAAoIARogXP1AAUaEgCdAPkf+srSAJ0ABwhFqG0N3RH9vwAV4EwFAP2f+gviAJ0AiieKruqICwHI +QQAA5G+FZEPhAABtaQUIAIgJAIpj/3QAAAcIRahsDcwRLMz4/X/4Y6IAnQADiAvpABUDesmAAG1p +AggAimP/SwAAAAcIRahtDd0RLdz4/V/3E+IAnQCKJ4qu6ogLAchBAADkbyZkQ+EAAG1pBQgAiAkA +imP/FQcIRahsDcwRLMz4/X/1e6IAnQCKJyqiDuqICwHIQQAA5G7yZEPhAABtaQUIAIgJAIpj/uEA +AABsEAobv6HyRFAVoAYFACY0HyY0HiY0HSY0HCY0GyY0GiY0GSY0GCY0FyY0FiY0FSY0FCY0EyY0 +EiY0ESY0EOsEBQjQBIAACgJj6wAFAMhRAAAJAmGIMOoWBCHQQQAA6RYJJCRegAAFWgL6YGgd4AwF +AFiq2cAg0Q8AFL9FAigJDIgRqET0hAAloAxlAPSZQBWgKOUA6DQPKlgEgABYphuLNYk0AEQE+ysA +DPSpHQD6YIYVoFcFACc0EPhgphXgDGUA9mLmHaeZQQDimQIKWASAAOk0FiHQYQAAWKYMG8CwjDYf +vx+ON/mBWAWk3B0A/GDGFeIAPQAOzBicN/ZjBh3nzEEA84YADj+NBQAtNB/sNB4g6FEAAJbQltGW +0pbTlhCWEfYgRhWn3AUAnBP4IGQdoB71AC4VBf4gRB3gCaUAKRUB+iFEHeAKFQD6JCYdoPn1ACkV +BCkVDugVDSj4BIAA7w8eAfCBAAAOAmftCx4B4MEAAPwIqB2v+74AAGwQBIgw8QAFYtIAnQCJMWeQ +ohTAhCpCjCuhAmSxaVtlnR2/gizSDizMASzWDvVACrgSAJ0AGL8oKIKDHb80JEKJCogICYgRCEQI +7QAFAkiBAAAJAmEJAmEJAmEJAmEJAmEJAmEvIAwuIA0tIQcsIAcrIBYpIHz6QUgVoAhFAChEBC9E +DC5EDS1FByxEBylEVfqCxh3gO/UA60QFJQehgAApogjJn20ICemSCCzQBIAAyZJj/+/BxupUAAnY +BIAAWKpnwCDRD5So+oYGHeAGBQCWSeZGCCHYQQAA60YAAlDRAADqix4ByGEAAOkmAAJA8QAA6Ice +AfiBAADvBgACcXEAAA4Aio077UYZIeDBAADsAx4CWaEAAOsMAAJROQAA+EAIFeAMNQDpRhYh2DUA +AFilmCpMUfpJABXgDDUAWKWUGcAVj0CNRywhKSsiEyogUCpETCtGEvyERB2vyAUA6f8BBvCBAAAI +7gHvNgoncQEAAJ7Z/6EGFaAMBQD3ooQdr/ziAAAAAAAA//ysDaAMxQD0QUYVr/zSAGwQBoonxbDr +JAUlUEEAAFtrVBXAH4stDwIAKlJqCbsRDwIAq6qKqmSgFSusYPogaB2gDEUAWKVw+iAIFaAAJgAa +v5CaEB+/jo0nHr8N++IADTPshQAMrCwOzCjsFgAm0EEAAFtrP4QQgycPAgDqRAABmEEAAFr7Fu2+ +dBpgBIAA7sAFHVgEgADvIgAp0ASAAFr6y4InIiwQ2iBa+qlooQLRDwDaIFr6wxK+xwuoEegiCAUB +sYAADOowKyKFi7Cwouy7CAlQBIAAWK4bKlKU+kAEANALFQAAuxoLqgIqVpRYrlHRDwAAAAD6AOId +oAsVAFtr7ywifywmg9EPAGwQDBu/5okw933IBeAqtQD1f3gFoB3FAOubAQSsQIAALDIBDwIADwIA +ZsGR7L/dFKkwgACIMi/C6wSEAe0iAComQoAABPQI7kIeIdiBAADpPCgh+OEAAOpMQCJBUQAA/6AJ +TCIAnQDBxupUAAnYBIAAWKnVwCDRDwAAAADuwtUkpdSAAIsyLcITBLsBfrsErt1709IkwusJuBHo +RAgB0EkAAPqNgBXgDGUAWKUU+IcAFeAMBQDpRgABwGEAAOiLHgJ5AQAA7yYAAfCBAADuhx4CaVEA +AO0DHgHYoQAA6wwAAlGRAADqBgAByOEAAPiCaB3v/eIAZL9uizHuwtUoBAqAAPF/+whSAJ0AizIv +whMEuwHuuw59zkKAAK7/+//6O+IAnQAkwuuIKqlE9R/5xCIAnQArQAX7YCFUIgCdACwgBP2AE5Vi +AJ0AhkrIbdpgWFNbhmjTDw8CAGVv8PqAaB2gCwUAWE1D2kBYTP/qRAAJWASAAFhM3y1yEP2/4BXg +DAUA/OIGFe/7jgAAAC48GO6mAAJo4QAADRSKC4CIChCKCSCG+ASoHaAMBQDvZgACcZEAAP6aaB2v ++soAAAAALyAEG78u/eAQJGIAnQAqsmEooQJkge5bZIopchCxmSl2EPVADwASAJ0AG74XHb8kKLKE +HL4hJtJdqogJiBEIZgjsAAUDSIEAAAkCYQkCYQkCYQkCYQkCYQkCYS8gFi4gByohCCkhCSghBycg +DSwgDCxkDCwgDCdkDShlByllCSplCC5kB/7Cxh3gDlUA/sCGHaAvtQAvZAUrspAq0l0LywgJuxEL +qgj6QqgV4AwVAFiXXosq56QABZcxgACJueq0AASAeYAA6ZIJLNAEgABln/SWqZJoiCAvIRn+RtAV +oAkFAJlpmWqZay5kSyhmHu9lKSNRyQAA7SBTIVkBAAD8z4Yd4AxlAFikjRy/MR+/L/oiABXgCAUA +mLCYsZiymLOYEJgR+CBGFaAZ9QD4IKQd59oFAPogZhWg/vUALhUE/iBkHeANFQAtFB0uFQwvFQv8 +IQQdoA+lAC8VARi9jugVAijgBIAA7AseA3FRAAAOAmXrxgADaZEAAA0YiooqnBibGXahEhu+zopg +K7JHC6oM+iGmFaAAIgDAwJwdHb7ILdF+672IFvXsgABoVXOOMio8GOoWDCGQgQAA88AJslIAnQDF +seoGAANA4QAA+IJoHaAMBQDi5gADeQEAAO+fHgtQBIAAWEaNiWD1IAQEsAwFAPhgRhXv8t4AANpA +WFLAY/2cAAAAAAD/8oQNoAzFAIoy+p/v1iIAnQD/8jwNoBxlACJwJhu9ZwItCQzdEf1gAEXwDGUA +6jwYJdiDAADqFgwl2ykAAFikPo83jjYARAT/ywAPdI4dAPhgxhWgXwUALzQYnjf+IagV5+5BAALu +Ai40Hi80HyJwJhu9UgItCQzdEf1gAEXwDGUA6jwgJdiDAADqFgsl2ykAAFikKYkbjR2MOPohiBXg +jgUADt0C/mEoFaSMHQD4YQYVoF8FAP5kBh3iAD0ADswYnDn8ZOYd58xBAALMAiw0JutGAANQ4QAA +CgiK6SYAA0EBAAD4imgdr/viAAAscCacGgzMCQzMEQy7CCu9IPt5QBXgDGUAWKQMjjeNNgBEBP+r +AA60/R0A/mDGFeBeBQAuNBiPHY4anTf+Y+Yd591BAA7dAi00HidwJhu9IAd8CQzMEay7670gKVAE +gAD7eUAV4AxlAFij+Igdjzj6IYgVoIkFAAmIAvhhKBXkzx0A/GEGFaBbBQD6ZAYd4gA9AAn/GJ85 ++GTmHaf/QQAH/wL+ZMYd7/iiAAD2QUYVr/TGAOpEAAlYBIAAWEvh/+vYDaAMBQAAbBAEKDIA/wJA +AlAcZQAqIAT9QwAA0BnFAHmhEOpUAAnYBIAAWKiKwCDRDwAAKCEWKDURLyEYLzUQLiEZ7jQkIdAp +AADtIDYhWQEAAPxhRhXgDGUAWKPLKTwY6ixIIWDhAADsJgAB2EEAAPqKaB3gDDUA6gYAAVlBAADp +gx4B0JUAAFijwCkgUyk0MSohF/9BQAQQTQUADZkCKTQx/0FABFAuBQAOmQIpNDH/QYAHUAwFAMHw +D58CLzQxKCA0+GCEHa/9bgAAAABsEAQcvQAfvleIMCzCkCnyPepUAAnYBIAA/YgAFjfeBQDsmQgE +JaiAAC2Sxxi9xig1Big1B43eKDUFLjUEHr5KjdSdNCziEJw1KfJAZJBMKZECCckMLPLLmbfxhGAN +4AkFACnBAgnZDJm2LeISnbgs4hGcuSni7suRKZECCdkMmbop4u3KnCmRAgnJDCm2C8DAWKg9wCDR +DwAAAAD//tQNoAkFAGP/wAAAAAAA//88DaAJBQD//1ANoAkFAGwQBCogU+sgFingBIAAW3A00Q8A +bBAE4r00GTAEgAD3emYF51UBAOxYEQKlWQAAAogIKYKe0w9zk30igp0HWQopkr8JIgFkIGMavMwe +vSobvSrqAAUJQASAAG05AggCYS1hBw0NSgzdEQ7dAp0gjGCUI+smAi5mAoAADDwCnCHRDxy9BonI +apE2DFgRoogugp4HWgoqor9z4zsigp0KIgHkIDRk2/0AAJvIZS+bwCDRDwAAAAAAAAD//igNoAIF +AMCgWKY2HLz1ichrkbv//2QNoAIFAADAIMDaDZ00/YEGFe//JgAAAABsEAQqIFPrIBYp4ASAAFtw +JdKg0Q8AAABsEASOMvl71AXgCLUAeOsaCekKiZAKkADqJAAPWASAAO1UAAHgMQAAW0X0wCDRD+ok +AAnYBIAA7EQACugEgABYRFTSoNEP6iQACdgEgADsRAAK6ASAAFhB7dKg0Q/qJAAJ2ASAAOxEAAro +BIAAWAJV0qDRDwDqJAAJ2ASAAOxEAAroBIAAWATs0qDRDwDqJAAPWASAAPygaB3gDAUAW0XXwCDR +D2wQBioiBxa9dQ8CAIWpKKkUKWF+8RHADeBbZQDulwd6oASAAGRRBCkgBdMPDwIA+yAJrGIAnQDE +z+wkBSVQQQAAW2jg8K5ADeP89QArIQgjVQgtYX6OUOdQByb05IAA/WAHDCIAnQAOjlf1wAgbEgCd +AMpG3HDrRAAJUASAAPKBBB3gDxUA/kKmHeANBQBYZKBgAAf9YAVkIgCdAPpBqBXgXAUALCQFKmJd +CbsRC6oIKqIKZKAVK6xg+iBoHaAMRQBYoub6IAgVoAAmABq9BpoQGL0EjicfvIP7AgANM+2FAA2t +LA/dKO0WACdQQQAAW2i1hBCDJw8CAOpEAAGYQQAAWviM7bvqGmAEgADuvXsdWASAAO8iACnQBIAA +WvhBgiciLBDaIFr4H2ihYtEPAAAAAAAA/ybgD5AFBQD/+5wNoAQFAIkn+SQAFa/LBQD7AAQEcAoF +AOqVFCRBAQAAmJn5IQYVr/ziANogW2Ok0Q/RD4on+uBoHeAMBQDqrCAr6ASAAFtmfPVAaB2v+6IA +ANogWvghErwlC6gR6CIIBQGxgAAM6jArIoWLsLCi7LsICVAEgABYq3kqYof6QAQA0AsVAAC7Gguq +Aipmh1irr9EPAAAAAPoA4h2gCxUAW2lNLCJ/LCaD0Q8AbBAuF7w3hi0qcoQJZhGmpiliBymSDowu +DwIAKJAw65AxLmZCgACsouoiByxGAoAAC4gCKIwB+SYmHaiIHQDolDAlUEEAAFtoZSMiCdMPyzMV +vTaEO/xgCBXgClUA/kAIFaA7JQDvMAUq4ASAAFip1/pgaB2gi6UAW/9n40QACn6mAACDKcBA6yIL +IYCpgABls8/yQWYV4AAiAJO7mzyUKSkgDCoiFvl3igWgHKUALCRUJXKE64KQJEAPAAAoFlDoABUN +VkKAAKpaq5nqFk8szkKAAKlV6SEZKMAEgAD4KcYV4BkFAG2aAggAih67qC1RKSMsTv+gEiQgBxUA +HL0MjSD+QLAVoApVAP5KkBXgOyUAWKmuH7vFHrvF/EAIFeDoFQAoFBj+IAYVoAyFAO8WAi7uAoAA +DN0CnREoIAcuUSn/d/YF4YgBAACIEQjuAg/uAp4UC+owmxWdGft56gWgSQUAKRUPmhgoIFQoFDEv +IAUvFDIuIDAnFDAuFDMtIhbtFg0g6IEAAIvVitSJ04jS79IBIPEBAACf4ZjimeOa5JvljdCd4Isg +KxYWKiBUKhRdKSAFKRRgKCAwJxRc5BReIVjRAADoFGEg0aEAAFiiKSoccPpHgBXgDIUAWKImKxJP +Khx6+25AFeAMZQBYoiIqHH36YGgd4Aw1AFiiHussXCDR/QAA+0CgFaAMhQBYohkuEk4oEUIpEUP4 +JiQd4L4hAPgNAAewWHEA/i4ADrDOKQDt7QIOZ8KAAOlVEQ/+goAA+AUABDCeWQDl/wIMRsKAAPRB +iBXg3QEA/WYADbDOQQDszBEO7kKAAPmmAA60VZkA9aYADvCOUQD8LIYd4F45AP4GAAaw7kkA7VUR +D3bCgADuzAIO74KAAAXdAuUgaCzOQoAA7iBpLEaCgAAJiAL5hgAOMFUZAP6oABKwjjkA9eYAD/Be +MQDuiBEKr8KAAAhVAvXmAA/w7ikA/8YAD3A/BQAP7gIuFGWKLA3MAgy7Avos5h3gmoEA+hEABDCq +kQDqiBENVkKAAOqIAgzOwoAA+QYADHAJhQAJiAIoFGYsIgclyRTkUVVmUIEAACvCCWSxSvogaB3g +DIUAW2o4G7x+KiITJCUZLCAFC6oB+kJmFaA1lQB1wQULrAEsJhMpElAoHH8ojBH4AAoV4BlVAG2a +AggAihi8cegWJCFZRQAA/EAIFeAMNQD0NGYdoA61APY1Bh3j//UA7xVQLu4CgADu3QIA0f0AAO0W +JSVQqQAAWKGx6hx/KdgEgAD7RcAVoAw1AFihrCQUwSQUwiQUw/wCAh2gCzUA6xTAINH9AADqrEUj +WVEAAFiho+tsQCDR/QAA+0qgFaAMhQBYoZ7rbDgg0f0AAPtLoBWgDIUAWKGa62xkINAHAAD7QIAV +oAyFAFihlScViCQU5CQU9PpAaB2gLgUA/jiGHaD89QDuFMUg6AcAAOTUFCDZ/QAA7BWGJdhFAAD8 +AWIdoA0lAFhFCiUkBdEPibvTD2ScMG0ICumSCyzYBIAAZJwiY//uAADqJAAI2ASAAPwBAh2gDSUA +W2F2Y/6pAABsEDYTu/QXu32LLS0yfx68JuogBS3eQoAAq9uLt+y6zBVS7QAA/0ABBzAFFQD7YcgV +4BglAPlBUg2gBAUAjuAK4AAA2iBbYk7AINEPHLwYjSD+RsQVoApVAP5G5BXgOyUAWKi1hi4jMn8J +ZhGmM+Y8TiDQFQAA+sBoHeAMNQBYoVjnABUAwGEAAPt4EgXgGVUAbZoCCACKmxb4QAgV4Aw1ACwU +K/QmBh3j+vUAKhUU/SAAFLAKtQAKmQKZFygwBCocMfUADdKSAJ0AKzxRWKFE22D6JqAVoAw1AFih +QSUUSCQUSSQUSiQUSyQUTuscGClQBIAA/ABCHeAMlQD8KaYdoAy1AFhEutogW2IdwCDRD9ogW2Ib +wCDRD4onKqwQW2cKiC4jMn/nABUMRkKAAOgzCADB/QAA+QggFaAZVQBtmgIIAIocu9wsFjDpIg4h +sUUAAOUU2CDR/QAA9DpmHaP79QD6LQQd4Aw1AP0gABSwC7UA65kCBVFpAADpFjErWASAAFihF+s8 +TiDR/QAA+0vAFaAMNQBYoRIkFPEkFPIkFPP6wGgd4BwlAOwU8CDR/QAA+07AFaAMNQBYoQnaMP5A +CBWgDLUA/i+EHaANJQDuITcg2f0AAO4VfSXZBQAAWESEwCDRD4kuCZkRqdmJl4meKJA7sYgolDsv +sF+x/++0XylQBIAAW/5ZwCDRD4knKpkUyKCEmSjCRPpAaB2gDQUA7EAHKlgEgAALgADAINEPLx0B +6LuhF9mhAACUsJSxlLKUs5S0lLWUtpS3KBZaiSDowkQpUASAAPXvhh3gDiUA5PR7LM4CgADumQIK +aASAAOkWWy9gBIAAC4AAwCDRDwAAKzxIWKDXtRkrkAHskAAg0NUAACykACukASmQAvlARh3v+PYA +bBAKLSEpKyAWJCAHHLstKiB8hieHKAquCYZulhfmcgcvdwKAAA7MCCzCfywWCfbByBWg+PUA+WSG +DaFEAQD8IQYV58UBAP2fwBWgCRUADJw5W22djBntEgglF5GAABW6ceO6cRpPAoAA9IARohIAnQCl +mS6SnvfAFlNSAJ0AKpKdA0MKIzK/A6MBZDJJGLoKDwIADwIA6AAFCcgEgAAJAmEJAmEJAmEJAmEJ +AmEJAmEoIQf5dL4F4vxBAP3gABe27AEA/8YAD3qIAQD/AAAUMPw5AOmIAg/9QoAA+GAGFa2NHQDp +ulQcRQKAAAj/AvhACBWgSoUAmjP4YEYV4AxlAOm7RhxGAoAADIgCmDEoIHzv7gIO/QKAAAn/Au82 +BixsAoAADt0C/3QaBaALBQD6YUQd4DpFACo1C+7dAgHQgQAA7TYEIVnJAABYoHzqPCYhWbEAAPog +xhXgDGUAWKB3HLmMH7su/mWmHeCOlQD+ZYYdoB0FAPxlxh3gCCUA+GcGHaAKNQD4ZyYdoAsVAPpm +Zh3gCXUA7DQ3I9lhAAD4ZqYd4AxlAPpmJh2gCQUA+GaGHeAKBQD6ZgYdoIkFAOk0NiHQ6QAAWKBd +KxIG+mhAFaAMVQD8aCYdoA21APxoBh3gDGUAWKBWKjxJ+kkAFeAMNQBYoFL6aYAVoAdlAPpIABXg +DIUAWKBNjRcs0CAu0CHv0CIuZgKAAA7MAu7QIy5mAoAAD8wCCMwRDswCscz9pGYdqMwdAP2kRh2o +zB0A/aQmHajMHQAs1CCLYIphK7w462YAJVAFAACaYYgnDEkR9SAARP/PBQDnlp0kQIEAAP8ABAfw +CQUA6YUEJ/kBAACfgC+GASsgFi4K//9gBMQiAJ0A+k+QFaA8RQBbbODAINEPABu5zYq490AGAJIA +nQAMSRGlmS6SngNDCiMyv/fABoNSAJ0ALpKdA+MB5DDEZUP9AACYuGU9tYkiZJBPH7rR/kAIFaAI +lQCYEp8Q/cAAFzAPFQAP7gKeEY4n+8QAFa/NBQD9QAQG8A8FAO/lFCbpAQAA7eYJKNgEgAD9wQYV +4AwVAFtocMAg0Q8AAADqJAACWGEAAPwAIh2gDWUAW27FY/+ZAAD/9QgNoAMFAIkiZZ+KKyB8+kBo +HaAMFQD7YkAV4A0FAFtuu2P/cZ0Y/CEmFaAKBQBYotgbuZiKuIwZjRj5X/lQkgCdAP/9IA2gAwUA +wDDA6g6uNP9hBhWv/OYAAGwQOiggBftz2AWgKeUA+QAmVWwLBQAqFmPrFgAgwCEAAPoAChWgGVUA +bZoCCACKGrqM6hYCIOCFAADsFlohUSEAAPoshhWgCLUA7yIAINDxAAD6K2YVoAQFAPQjZh2gBRUA +9CQGHeP59QDpFQwv/gKAAAj/Ap8TLSBJLiBILsQALcQBKyBKK8QCJBQ5JBQ69CdmHaAJNQDpFDgh +WVEAACsWXCgQAC0QAu8QASDwlQAAL+QBLeQC+cAGHaAcBQBYn7cTuV0WukEXum8YuRYvIEEpIEAb +ujjrFmUg8TEAAC4WXSnkAC/kASwgQy0gQi3kAizkAyogRCkgRSnkBerkBCDJUQAAKRZfLSBHLyBG +L+QGLeQHKiA5LCA4LJQAKpQBLiA7LyA6L5QCLpQDLSA8LCA9LJQF7ZQEIOHxAAAsFmEvID8qID4q +lAYvlActIGUuIGQuxAAtxAEpIGcqIGYqxAIpxAMuIGkvIGgvxAQuxAUtIGoqIGsqxAftxAYhUZEA +AOoWYiFpAQAA7RZeIWDhAAAsFmD5HYgVpB8FAC8VQf4kJB3gLgUALhQ8LhQ9JBRcJBRsJRVE9DGG +HaD59QApFUKJII0nKBZmB5kCjd7mNrEpUASAAPws5hXgDEUA+HYGFeANVQALgAAqMrEpEmcKikft +uhEVGCGAAC6QOi+QOwjuEQ/uArHu/ydmHajuHQAulDovEmP4L+AVrQkFAOkWLCRA5QAA/gAKFeAZ +VQDTD22aAggAiisSZB+6DS8WLo0gJBTL9DoGHeP+9QD+LIQdoAw1AP2gABawDrUA7t0CANH9AADt +Fi8lUUkAAFifTCgSZiQU6SQU6iQU6/ov4BXgDTUA/D3mHeBsJQDsFOgl6MUAACrQAuzQASXZWQAA +LLQBKrQCLdAALbQAiSCMJysSZQeZAozO5jaxKVAEgAD8LQYVoA1VAPh2BhXgDEUAC4AALjKxKRJo +Do5HZOKdL5A6KJA7CP8RCP8Csf//J2Yd6P8dAC+UOikSYyiqAOgWACDAIQAA+AAKFeAZVQBtmgII +AIorEloqEmQYudKYEo4gJBQb9CQGHeP/9QAvFQz9wAAXMA+1AA/uAp4TLKABLaAALbQALLQBKqAC ++2BGHaAJNQApFDgkFDkkFDokFDsqElsrElwoEAAtEALvEAEg8JUAAC/kAS3kAvnABh2gHAUAWJ8H +KRJeKBJdLpABL5AAL4QALoQBLJADLZACLYQCLIQDKpAFK5AEK4QEKoQFL5AHKZAGKYQGL4QHKBJg +LxJfLYABLoAALvQALfQBK4ADLIACLPQCK/QDKYAFKoAEKvQEKfQFLoAHKIAGKPQGLvQHLxJiLhJh +LPABLfAALeQALOQBKvADK/ACK+QCKuQDKfAEKPAFKOQFKeQEKBJmLfAHL/AGL+QGLeQH9CiEHeQc +BQAsFUH8JCQdoCsFACsUPCsUPSQUXCQUbPQxhh2g+vUAKhVCiSCEJysSZQeZAvSByBWgDVUA5jax +KVAEgAD4dgYV4AxFAAuAACgysdMPCIhHZIF5KUA6KkA7CJkRCpkCsZn4h2Yd6JkdAClEOoonwrzr +JAUlUEEAAFtkl4Mn6rl3EZhBAABa9HAcuXUdt84euXSPIOukAAnQBIAAWvQngycjPBDaMFr0BPVA +DNCSAJ0A+kBoHaALBQD8AAIdoA0FAFv93MAg0Q8AAAAAABu5KioyuijSaguqAe25ZB1WQoAAqoiL +jBy5YA27AQy7ApuMKpBuK5BvCKoRC6oC6BZYJVAFAAD7LeYdqKodAOqUbiRmsYAA2xD7CcAVoAw1 +AFielOoSWCDYIQAA/AFiHaANJQBYQhMsElgtwAX9hgYd4DsVAPuAph3v8oIAAAAAABi5CR65Li8y +ui7iagj/Aeu5Qx/+QoAAr+6I7Bq5QQuIAQqIApjsL5BuKJBvCP8RCP8C7hZZJ/gFAAD/LeYd6P8d +AO+UbidpqYAA6uxOINn9AAD7ZiAV4Aw1AFiecioSWfov4BXgDLUA+2cgFeANJQBYQfAtElnDyP2g +ph2v8/4AKDK6E7kOGbjoIzJqCYgB6bkjHEZCgACoM488GLkiCf8BCP8CnzwuQG4vQG8I7hEP7gIu +7AH+jeYdqO4dAO5EbiHy8YAAKjxO+iBoHeAMNQBYnlTrHAgp0ASAAPwBYh2gDSUAWEHTKTAF+GYG +HeBIFQD4YKYdr/iqANowWvO4E7e8C6gRDwIA6DMIBQHBgAAM6jArMoWLsLCj0w/suwgJ0ASAAFin +Dxy45SrClAAxBABbGguqAirGlFinRWP+JAAAAAAA+gDiHaALFQBbZOMsMn/8cGYVr/g6AAAAbBAK +KyAWIyAHhycqIFMsIRnkuI4aaASAAJwbnRoKqAnncg4sRwKAAKhE9I/oFaD49QD5Y6YNoTMBAAUM +R/2fwBWgDRUADNw5W2sC7BILJRpJgAAWt9flt9cZzwKAAPRgEBoSAJ0Appktkp4PAgD3oBjyUgCd +ACqSnQU1CiVSvwWlAWRSFRi3b+gABQrIBIAACQJhCQJhCQJhCQJhLSEHHrfHDQ1K6bfGHu8CgAD/ +pgAOsvRBAO1WAC/+AoAA+kAIFebkAQD/xgAPcDoFAPqgZhWgDUUA6VYCLd4CgAANuwKbUfxKcBXg +CAUAKFUKmFiYWZhamFuYXJhd+KHGFaD0OQD4oeYVrYwdAOX/EQxFAoAACP8C7+4CDuwCgAAO3QL/ +cUIF4CsFAOy3cB51AoAAK1ULD+4C7NwCAtCBAADsVgQhWQEAAP6gxhWgDGUAWJ3e6lwmISFhAAD6 +gGgd4AxlAFid2f1xIgWgDSUALVQ1LVQ4/KcmHeAZBQD4pcYd4AgFAChUNPylph2gi5UA+qWGHeAP +FQD+pmYd4A41AO5UMSLQ6QAA/228BeAOBQDuVDAqWASAAP6m5h3gjgUA/qbGHaAMZQBYncCIc4xy +sY75wPINoApFACzMAY9wjXGec+x2AifwkQAA7nYAJuAFAACccY0a6SIHKd8CgAAGuwj7c6YVoAwF +AOqcIC7YBIAAW2F0iif5RAAV78sFAPsgBATwCwUA66UUJMkBAACZqZmoKyAWKAr/eLEK+kpwFaAs +BQBbalosIDf9n+AVoP3lAPxG5h2nzAEA/YAEpGIAnQDAINEPAAAAAB63P4ro90AKUJIAnQAMORGm +mS2SngU1CiVSv/egCrJSAJ0AK5KdBbUB5FFKZUP9AACY6GVd6YkiZJDXH7hD/kAIFaAIhQCYFp8U +/cAAFzAPFQAP7gKeFY4n+8QAFa/NBQD9QAQG8A8FAO/lFCbpAQAA7eYJINhBAAD9wQYV4AwVAFtl +4sAg0Q8cuDcuIAf8QLAV4ApVAPhACBXgOyUA6RYAKXgEgABYpMWMJy36wPOByBXgLmUA7iQFJliB +AAD9YAQF8A0FAO3FFCXZAQAAm8nrxggmUEEAAFtjPC4xHYIqse7uNR0heJGAAPAAVA2gI9UAAPpA +aB2gCxUAWEW3gilkLvQvIAVz+emCKWUv9GP+5gAA6iQAAdhhAAD8ACIdoA1FAFtsFWP/EQAA//O4 +DaAFBQCIImWPAisgU/pAaB2gDBUA+2JAFeANBQBbbAtj/ukAAPwhZhWgCgUAWKAoHrboiuiMG/lf +9SCSAJ0A//sIDaAFBQDAUMDaDa00/cEGFe/6zgAAAAAAAAAAbBAKKCAFwpX5ABK9YgCdAC0hGSsg +FiMgByogU4YnF7ePCqgJ5mIOLEcCgACod/bv6BXg/PUA/WLmDaEzAQD8IUYV4AwVAFtqB+0SCiUa +WYAAFbbb5LbcGc8CgAD0YBBCEgCdAAWZCCuSnvdgGRJSAJ0AKpKdBDQKJEK/BKQBZEIZGLZ06AAF +CkgEgAAJAmEJAmEJAmEJAmEsIQcetswMDErptsseZwKAAP+GAA4y90EA7EYAL/4CgAD6QAgV5ucB +AP/GAA9wOgUA+oBmFaAMRQDpRgIt3gKAAAy7AptB/EpwFaAIBQAoRQqYSJhJmEqYS/iBhhWg9zkA +6EYNL/1CgAD4gcYVoCsFAPiB5hWtjR0A60ULLEUCgAAI/wIP7gLvt6UeZAKAAA7MAu22cx71AoAA +D+4C7kYGIlCBAADtzAIBWQEAAPyAhhWgDGUAWJzi6kwmITlhAAD64Ggd4AxlAFic3ftvKgXgiZUA ++IWGHeAPBQD+hoYd4BgFAPiFxh2gDCUALEQ1LEQ4LEQ5+oWmHeAOFQD+hmYdoA01AO1EMSJQ6QAA +/2vEBaANBQDtRDAr2ASAAP6G5h2gjQUA/IbGHeAMZQBYnMSPYytiAiz8Af+A0g3gCkUAsbuOYY1g +LGYD62YCJ2AFAADsZgEm2JEAACtmAPhA6BWgCwUA/mAAFLAMBQD1IABE8A0FAOqWnSRQgQAAW2B3 +iSf5JAAVr8oFAPsABAQwCgUA6pUUJEEBAACYmZiYKyAWLwr/f7EK+kpwFaAsBQBbaVwrIDf7f+AV +4PzlAPpG5h3nuwEA/WAEdCIAnQDAINEPABu2Q4q490AKOJIAnQAMORGlmSySnveACspSAJ0ALJKd +BDQKJEK/BMQBZEFHsKiYuGVN5YkiZJDXH7dH/kAIFaAIhQCYFp8U/cAAFzAPFQAP7gKeFY4n+8QA +Fa/NBQD9QAQG8A8FAO/lFCbpAQAA7eYJINhBAAD9wQYV4AwVAFtk5sAg0Q8ctzsuIAf8QLAV4ApV +APhACBXgOyUA6RYAKXgEgABYo8mMJy36wPOByBXgLmUA7iQFJliBAAD9YAQF8A0FAO3FFCXZAQAA +m8nrxggmUEEAAFtiQC4xHYIqse7uNR0heMGAAPAAVA2gI9UAAPpAaB2gCxUAWES7gilkLvovIAVz ++emCKWUv9GP+7AAA6iQAAdhhAAD8ACIdoA1FAFtrGWP/EQAA//OoDaAEBQCIImWPAisgU/pAaB2g +DBUA+2JAFeANBQBbaw9j/ukAAPwhRhXgCgUAWJ8sG7XsiriNGvlf9TiSAJ0A//sIDaAEBQDAQMDK +DKw0/WEGFa/6zgAAAABsEAYoIAUrIAf4AoId52UBAPkAB31huwEAHLXv+MAHoVIAnQCTECcyBuW1 +6h2YBIAA9WAEohIAnQAMuhEFqggtop73oAg00gCdACqinQy9Ci3Svw2qAWSgwvpACBXgDBUAWwRo +HrYpKSEJGLXeLyAHKyEiLCEk/W2+BeD/EQDouwIP+oKAAA/MAg3MApygjyCbovdAphXgCCUA6aYD +L/4CgAAI/wKfoe4AFQVIYQAACQCKDDkR9SAARPAIpQDolp0rFbAAAMAg0Q8dta+J2PcgBBCSAJ0A +DDoRpaouop73wATk0gCdACqinQw+Ci7ivw6qAeSgimT7/QAA/6EGFe/9YgAAAOokAArYBIAAW2eU +0qDRDwD2YGgV7/xGAIgi6xYBJAURgABoYmPAINEPiif6gGgd4AwFAOqsICpoBIAAW1+x0qDRDwAA +AAAAAP/8GA2gCgUA+iAmFeAKBQBYnsodtYqJ2IsR7LWcGAQKgAD5P/sgkgCdAP/7cA2gCgUAwKDA +ygycNP2hBhWv+zYAiifAsPtEABWgDBUAW2Q+HrYsnqCNIBu2lYwQ/aAAFrAOFQAO3QKdoYzGnKP7 +QEYV4AIFANEPAOokAAXYYQAA/AAiHaANpQBbaotj/0sAAGwQBBS1yvtqHAWgCRUA6iYAKcYCgAAJ +iALoJgEhGCEAAOQAFQEQQQAAAwCK0Q8AAGwQBP1s9gXgCyUAKzQAKiAVHrZ4GLUS6EkRDVECgAD7 +JgANMAtVAAuqApox6AAFAaBBAAAEAmEEAmEEAmEEAmEoIAf/bNoF4YgBAOBVEQxEgoAACFUClTaF +IJ4/nz78YgYV4AQFAPRhBhWgCzUA5DxQKubCgADsNgcjAdmAAPxCsBXgDhUALjRYLjRQ5zRZLukC +gAANnQIO3QItNhUM6jDsRQUq1gKAAAuqAupGAyGRgQAA0Q/SQNEPAAAAbBAE8kBIFe/0FQAEMwGT +ItEPAABsEATaIPxgaB2gCxUAWJ+j0qDRD2wQCIdGiEcvQQspQQr0gQgV7IA9APxgaB2k/x0A+AIA +BvBpcQD4AwAHcDXxAPQfAAL5uB0A6HgYDdwCgADvVRAJnAKAAOUzAg93woAA7t0CCzRCgAD0gIgV +4ekBAOYzAg93goAA+iDGFeFpYQD62AATMAsVAPggphWgiXkA6/83DEVCgADoZgIP/wKAAP/GAA9w +iVkA5jMCDEaCgAD4hgADcZkhAO7dAgzOAoAA7RYHKzfCgAD4xgALMIV5AOYzAgxDwoAA+QYADHFl +YQD1YAAE8FVxAOUzAgswgoAA5bR2HMuCgAAJZgL4xgALNnfJAAdmAhe2CQUzAuMWAylQBIAA9sYA +C3AOBQDmFgQo6ASAAFieYtKg0Q9sEATaIPxgaB2gCwUAWJ9Y0qDRD2wQCItHh0bjQgQp4ASAAOYh +BylQBIAA+ICoFauAPQD3FwAE8VOhAPdAAAMw45EA8cgAFzL4uQDk/xALNEKAAOt3GAqswoAA9qYA +Crm7HQDpFgUt24KAAPngAASwaJkA52IRCzZCgADnFgYsz4KAAPRGAAl0eNEA65kCC74CgADyGQAF +8lihAPdgABWwiPkA52YCDETCgADyuAASsXOBAOX/Agu8goAA+OYAC7CDwQD3CAAUMFOxAPsGAAxw +s7kA71UQDduCgAD6pgAK8LPRAPimAAqwM5kA6TMCDdiCgAAL7gKTFwdVAhO1wgZVAg/uAvQgRhXg +H4UAD+4C7hYDKOgEgADyRgAJcAsFAPIghhWgDgUAWJ4X0qDRDwAAbBAEFLW1DwIADwIAKkKA2yBb +XlfooRxtGASAAOpCfylYBIAA/AACHaANFQBbXizSMNEPAOpCfyFYBQAA/AACHaANBQBbXibqQoAp +WASAAPwAAh2gDRUAW14iY/++AAAAbBAE9Ws8BaAIBQAetZ0o5tQdtZwo1tQctZwoxtQbtZsottQZ +tZsqCgD5OoYVoAnFAG2aGYkrBKsKjTsptsDjPPwhE/EAAO221CVQBQAAGLVpKEa9wPUvRrxYj5Di +pAANAM4AAChC5ccv/wHgB9OYQQBokwciQujRD9EPANEPAABsEAQStX0iIn8iIQLRDwAAbBAEFbWA +JVCAFrQQCFURBQRHBkQKJ0L4BYYUBmoCC3AACglBaJEH+SAEWdIAnQAoQv7aUNMPC4AAGbO7ZKB2 ++EYADPALJQCboemmACEDeYAAaCEcbyQZ7iIWZUghAAADQIgJCIoDIIgJBIoDAIgJAIraYAtwAMcs +80AEATAIpQAIIgIcs9nTDyzCrv+A4AMQCEUACCICKEL8+qBoHaALFQALgAAoQvrqZAAJWASAAAuA +AMAg0Q/HJNEPAAAO6DCeog3uMJ2jDMAwnKQLsTD7QKYV4AkFAJmm+UDmFe/+VgBsEAgkFQT5apAF +4A0lAJ0RmRAsIAwrIA0IzBEMuwLrFQUpUASAAOghCSmUQoAAAlICmRCdERmzyiQVBCgWA+kABQDA +QQAACAJh8iCGFaAMBQDmFgUo2ASAAFhgRNEPAABsEAj7amQF4A0lAJ0RmxCPMg8PXy8VBC4gDCwg +DQjuEQ7MAiwVBSkhCZsQ7RYBKVAEgADpFgMgwEEAAPIIaB3gDAUA6AwACNgEgABYYDDRDwAAAABs +EAgctM6NIC7C2CkyACzC4u7dDAmwBIAA5RYALu6CgAD9gABGcAUVAOrMICSpbIAALTAJ6TwQLVgE +gAD8BwAHcN0xAAkghgsCYwkAhgsCYSjAASkK4AmIASjEAS8wCPnmAA+wufUA6f8BD0aCgAD55gAP +sNn1AOn/AQ7GwoAACP8CL8QBKTIA57NDFKVQgAAuYgEowAEH7gH4BwAHtJgBAPjBBh3g2DEA6d0R +D/hCgAD/xgAPcIgpAO5mASxGgoAA6N0CA1hBAADtZAktSASAAAlghgsCZwlAhgsCZSliAHuWZC7A +AYhhKwqA/gYABrCeKQDzAAxIEPj5AB6zbO4ABQ1wBIAADgJhDgJhwIAoxAEuIFDxwwAN4AQFAJwS +HrPp5hYDLpvCgADttNUcxAKAAAgzApMR82miBeADUgCGE/wgSBWgBRUAKcAA0w8PAgD/IYAOEAIV +ACrAAXivAcAg4xIALlAEgABbFggesxIKXxQO/xEP7gz/w4AlpKoBAO3imy0BCoAA/KABBF/59QDp +iAMLWASAAOjdAQl4CoAA/6YADvAMBQDt5psp0ASAAFiek8Ag0Q+rZgqpAgdsAizWsyjStCUSAQ8C +AAOIAfimAAqwDAUA9baGFeAYBQBtih3x5YAN4AUFACWRAA5VAu3ICgTICQAA5Ya1JmAFAAAm1rMp +IFCxRPif+aLiAJ0AKSANykssIFHAgQmJOaxMCckMLCAMCMwRDJkCC5kC/zDABhJpQQD//gANpmkB +AGP/rgBkn88sIAzKnhi0Pha0PCiAfSZiHeIWBCST/QAACCIorGmimYIUHLQ3DJkKKZKA//64DaaZ +AQAAAPmAaB3v/6YADghGC4gC+YAmHa/6HgAAAGwQBBq0fIsgLKJ6iTAqooQMuwz9cAAVsAQVAOuq +CASo2IAALaAA7KABIchBAADpBx4NWASAAAsCYwkAhgsCYSswCP1AJh2g7gUADt4BDrsCK6QAiTB5 +lkEooAAZtGePMQgLRPphBh3giDkA6f8BDEBCgADo/wINSASAAO82ASHYQQAACWCGCwJnCUCGCwJl ++GAIFeALBQArNQj/JYAC0AIVAIwxHbLe5sB9bUgEgAAroAENAIcJAmEJAmErpAH5QBAV4AAuAAAA +AAApoAB4nwcuoAF47wHAIFsViBiykgpZFA6ZEQmIDPkDgCWk2gEA74KbLoEKgAD8gAEFX/z1AOyq +AwnYBIAA6v8BCUgKgAD55gAP8AwFAO+GmyrQBIAAWJ4TwCDRDwAAKaAA+MAABPCLBQALmQL5QAYd +7/5OAAAAbBAE6SIAKSAEgACTkYghk4AEAIgDAIqSIJIh0Q8AAABsEAQpIA0qICL5ZV4FoAsVAOm5 +OQ1WAoAACpICAgNHCDMKKDL4AooUC4AACglBaJECaZMbKDL+2iALgADboPpAaB2gDAUAWJ3x0Q8A +AAAAAP//qA2gCwUAbBAGyDPAINEPACwhE6TM7BYAKf+WAAATsnrktAobg9YAAHNhMows+kBoHaAL +BQD8wABGMA0FAFiczWag/o4QLEF/+kBoHaALJQD/gABGMA0FAFicxmagN/KgBhRiAJ0AjCz6QGgd +oAsFAPygAEYwDQUAWJy+ZqDHgxD6QGgdoAslAPxgaB2gDQUAWJy4Z6CP0qDRDwAAAADkxAAJUASA +APoAIh3gDRUAWJywZq/hc1Eu+kBoHaALJQD8gGgdoA0VAFicqmavyCwiDPpAaB2gCwUA/KAARjAN +FQBYnKRmoFdzYawcs9gswX/6QGgdoAslAPWAAEYwDRUAWJycZq+QjCz6QGgdoAsFAPzAAEYwDRUA +WJyW0qDRDwAAAAAAAIMQ+kBoHaALFQD8YGgdoA0FAFicjtKg0Q8A0qDRD9Kg0Q/SoNEPbBAgiCIX +si3kMgQqMASAACcWBCcWBfsAUMCSROkAAioC9iWmFaALdQBYm+33QGgdr/5FAP9AU7QiAJ0A7bOC +HQPiAAAoMgAvMQYmMQfqMgEkLByAAGahsy4gDeoxBScEaYAAKhY0d/ECZOE3nxQvFjZ3YQJk4UGW +FSYWNWRAhcBgBvpQ+AAiHeAIBQAKmDjKiSoyAHqmCWRB3MBgZmAcijANpwF9oAorMgHzYAmIUgCd +AMh2iTEJ3FJkwQ/aIPwfoh2gCwUAWJ0QLfqNfaECBqY42iDsEi0p2ASAAO1UAAtwBIAAWIoe0qDR +DwD6gEVoEgCdABix8igWNPf/+11iAJ0AY/9mJiESKRI0DwIADwIA9yBNuqIAnQDqIgos2ASAAFtc +D+2zSBgECoAA+0BOIBIAnQAqEjZ3oSGGK/dATsKiAJ0Aii0rEjZbXAXtsz4YBAqAAPtATyASAJ0A +LBI195/45GIAnQCGK/eAT5qiAJ0A6iINLlgEgABbW/ntszIYBAqAAPtAT+ASAJ0ALxI29+BQlGIA +nQAYs1sogH1ljt5gCi8AiSwJ+QwpFjaZFPbf9hViAJ0AY/69iiwKagwqFjX6IKYVr/reAAAAAPMg +PWDSAJ0A8T/3IRIAnQBgChssEjTtEjYpUASAAO4SNSpYBIAAWH8+5q7DbTAEgAAYsxGHMPjgBAO/ ++rIAAAAvMRP6Y2QV4AoFAPYiABWgDAUA78Y4AMhRAADrqTgCPBmAABixoygWNMBgZm6BZEe+LiAN +ZOfGKhI0iRQpFjZ3kQJk570mEjaLFSsWNXexAmTnuSkSNSw1BCo1BSk1ByY1Bv/4fA2gBgUAAC4S +NCwhE+7MCApwzgAAJjEKjzT2DwAENOYxAP4sAATxtiEA/WAAFbuvAQDuqhAMyIKAAOqZAg93woAA +/g8ABXD/cQDv7gINU8KAAPtGAA1x9mEA7xYuLEVCgADqmQIP/cKAAAj/Aoo4iDEK7VD4HAAEMLrR +AOK7EQxEwoAA+wYADHC6+QDg3REN28KAAPumAA7wtnEAAbsRC90CCN0CD90CLyAN+hsABTCGGQD/ +GAAUMAsVAP9tAA1w9hEA+eYAD7CGWQDpqhEMRoKAAAqIAgjdAigxC/YgAAM0iB0A64g3CzeCgADu +3QIMRwKAAAhmAog3Bv8Chjb8IWYV6agdAO8WDy1UAoAA+iHGFaamyQAKmQL7ZZoFrIA9APjLAAsw +DgUA5hYNIOiBAADqmQIOMASAAOkWDClQBIAAWJsk7bKkGAQKgAD7QEWgUgCdAIs05hYyJYzcgAAY +sXMuEi4sIAcI6AgogIAmFjL2RSQdoP+1AO/MAQxHgoAA+YYADjD/xQAPzAEOzAIsJAcpEjbWoPcg +FTRiAJ0AKhI2iSwrMggsEjL8KAAV4A4FAPsgAESzu6EA6xYXKVAEgAD4JeYV4As1AFibAuasfG0w +BIAALBIyhjiIMfhhRBXgDQUALRYf+BwABDDmYQD4hgAH8JlZAOmZEQ/+AoAA+eYAD/CWaQDi7hEM +zEKAAOnuAgxEwoAA+cYADzCGcQD/xgAPcPZ5AOCIEQ/7woAA+eYAD7CmCQD4JegVoJYRAO6qEAzL +QoAA+yYADLFm4QDsZhAMUoKAAPrGAAs6iB0ACO4C/iOmFaALJQDpZgIA6YEAAOb/AglQBIAA/iPG +FeAOBQBYmtTmq8RtMASAAIY7KzESiDqKOPonAAdwD0UAD+4CH7J9KhYrKBYwL/B8JhYx9iZIFaCa +WQDtmRAPxUKAAAmIAikgDfolhhXgylEA+kQABfCqAQDoZgIEgHmAABmxEPjGAAtwChUAKTETLSEH +JBY36BIwLyECgADsMgEucEKAAOTuAg2hwoAABO4C+mFEFehIuQAkFiUkEjEBdAT1CwAMOUQdAO6Z +EQojgoAABJkCFLEgKBYm5O4CCybCgAD1xgAPNY8dAOqx0R0nAoAA+IYACjDM4QDvEisvwUKAAOTu +Ag5kAoAA/iRmFaG7YQDqiAIN3IKAAP4liBWqrQEA+UgAFTDfMQD7BgAMMK9JAO/dEA1TAoAA/YYA +DnBPGQD4hgAKcJ9BAPcoABSw3nkA6pkCDuzCgAD+BwAFcf8hAP1mAA303lEA6N0RD/zCgADo/wIN +U4KAAPsmAAywCCUA+IYACjCuGQD9JgAMsu4BAOwSLy1WQoAA7aoCD3XCgAAP7gIkFicLmQIkEjf/ +YNwF4AsFAOqZAgDp/QAA6RYiJugFAADv7gIJUASAAP4khhWgDgUAWJpoHbHn5qoQbTAEgAAoEjUP +AgAPAgD3H878YgCdAIk8HLIQJyIM7hIyKVAEgAD9h+QVoAs1APjgAEOzmaEA6RYXIOkBAAD/gABG +MA4FAFiaVOapxG0wBIAAKDEKjDwtEjL4hgADMIhZAPwCAAUwvAkA/AwAB7CccQDgmREP/IKAAO67 +EA1TQoAA6YgRCzYCgAD4xgALMIx5APtGAA1wzGkA6zIBLmRCgADs/wIMQ8KAAAmIAhyx6/hhCBXg +DgUA/iPmFaC74QDswT8t3MKAAAv/AvTwABWxmeEA5v8CDMsCgAD7JgAM+mcdAAb/Ai8WHf2AAEZw +DgUA+yYADLALJQDpiAIJUASAAOgWHiDpgQAAWJok5qkCbTAEgAArMRqKPBax0Ik/iD4oFjApFjEo +EjIvYHwmYT/6JSYVoJpZAOsWKizLQoAA6GYID8VCgAD5BgAMcMpRAPhBsBXh6zkA+kQABfCqAQD4 +xgALMAhFAOjuAgSAeYAAGbBd+MYAC3AKFQApMRstIQckFjfoEjAvIQKAAOwyAS5wQoAA5O4CDaHC +gAAE7gL6YUQV6Ei5ACQWJSQSMQF0BPULAAw5RB0A7pkRCiOCgAAEmQIUsG0oFibk7gILJsKAAPXG +AA81jx0A6rEeHScCgAD4hgAKMMzhAO8SKS/BQoAA5O4CDmQCgAD+JGYVobthAOqIAg3cgoAA/iVI +FaqtAQD5SAAVMN8xAPsGAAwwr0kA790QDVMCgAD9hgAOcE8ZAPiGAApwn0EA9ygAFLDeeQDqmQIO +7MKAAA27Av6KAAawrzkA91AAFTH/IQDqmQIP/MKAAOj/Ag7uAoAA/gMABTAIJQD4hgAKMu4BAOyZ +Ag1WQoAA7aoCD3XCgAAP7gILmQIkFifkEjcr4ASAAP9fdgXgCwUA6pkCAOn9AADpFiIm6AUAAO/u +AglQBIAA/iSGFaAOBQBYmbUdsTT3QGgdr9ySACwSNC0SNu4SNSpYBIAA+kBoHaAPFQBb/Ur3QGgd +r9yOACghEwioDPgmhhWv3U4AAAAAiioroQLpFiglkymAAFtWOx2xISoWM+oWNCMAoYAAii0soQJk +wldbVjQdsRuaYC4SKGToUootL6ECZPJcW1YvKBIoHbEV+wAGFa/g+gAALhI0LCET/4AARj/g3gDJ +RmP4NoYs+MAAQ3/g/gCJLPsgAET/4Q4AACsSNCohE/tAAEV/4GIAiScsmRQUsPTkwF5k0IEAAIuZ +ZLBULbAAwup+2QSPsnTxQfoAAh3gDCUAW2K7GbEqHLConKCLIJSik6UmpBz1QMYV7/j1APlAhhXg +DCUA6KQdLd4CgAAMuwLrpgEq0ASAAFibHcAg0Q8AAPoAAh3gDCUAW16pY/+0AAAAACYSLfpAaB2g +G8UA/AACHaAtBQBbZP5j/2oAAAAAAP1iIAWgCiUA/EGQFeAbJQDmFgAs+ASAAFidhR2w1//WTA2v +5qUAAAAcsQctIAz+QbAVoAolAP4miBXgGyUAWJ18/WGaBe//ZgAAAAAcsP8vEjYuIA38QZAV4Aol +APYgBhWgGyUAWJ1y/WGIBe/+ygAcsPctIAz+QbAVoAolAP4myBXgGyUAWJ1q/WF2Be/+RgAAAAAc +sO8vEjUuIA38QZAV4AolAPYgBhWgGyUAWJ1g/WFkBe/9qgAcsOctIAz+QbAVoAolAP4mqBXgGyUA +WJ1Y/WFSBe/9JgAcsN8vEjUuIA0tIAz4JsgVoAolAPggBhWgGyUAWJ1O/WFABe/8igAAAAAAAAAA +HLDVLSAM/kGwFaAKJQD+JqgV4BslAFidRP1hLAXv++oAAAAAAAAAACwSNC0SNu4SNSpYBIAA+kBo +HaAPBQBb/Kr3QGgdr9KSAOmvKB8wBIAA+CaGFe/YPgArEjOKKvwAAh2gDRUAW1klHbCC/9fQDa/2 +RQDIa4tg/AACHaANFQBbWR8rEjOKKvwAAh2gDRUAW1kb/WDuBe//VgAAAAAAAAD3QGgdr9CSAGwQ +IogihzAkFhsPAgD7AEkQl3fBAPpAaB2gC0UAWJjR90BoHa/8RQD9QEekIgCdAOSwPx0YugAAiDAP +AgDpMgIkLCiAAC4yAWbkHS4gDYorJxYT5JkBBxzJgADXkPrgSjqiAJ0A6iINK9gEgABbWR37QErg +EgCdAMCg5qQADRZuAACKMPFAFSqSAJ0ALyETjTSMMiYgDYk3KRYkJhYf9YAEBbvMoQD/jwAMf+0B +AA/kDAZOOAaMOOSwFR5jAoAADLsCjDYrNgIE3QEO3QIuMgUtNgQmIgz8JGYVr00BAPXgAEI3qsEA +9sAAQ3/OAQD8I8YVoBglAHihDigKIXihCAtJWwn5CCkWBfQjhhWg/ckA/iSmFeCduQD4JOYV4I2x +APglBhWgvcEA+iTGFeCNkQD4JaYVpL7RAPolZhXgnpkAKRYsGa/Z+mAoFeD++QD+JUYV4BiFAPkv +xBXg/dEA/iXGFeC74QD6JSYV4v6hAPkFAB3ivrkAKxYVLxYU4hY2JUu5AAD4pAIdpUIFAAmCOCIW +L/ImyBWgAEoAKxYV/iKGFeVIBQAoFi8NS1H4AiId4v6BAPlAGIxg7ZkA+iZmFeAYJQD5QCacIgCd +AMGD+UAavCIAnQDCkflAQKxiAJ0AKhYiLRYhJBYgKBIjCHlYmR0pEiQBdAT5CwAMeZkdAOgWDi5H +goAA7BIVLMuCgAAJiALtEhQuzsKAAOjuAg5hAoAA6BItLunCgAANzAItEi7hiBAO6IKAAA2IAgyI +AiwSLx2wHAxMFA3MAS0SIv4h5hWn6gEA6YgCD3cCgAD5xgAPN90BAP+oABayjCkACN0CDt0CnRst +EiEMDEflzBAO6YKAAA3MAi0SHCkSKvmoABa3pAEA7cwCDVSCgADtEiAszMKAAAqZAuoSKC3cwoAA +/WYADbfdAQDn/BEO7QKAAA3MAgy7AisWDCsSKQ+qEOgSJS3cAoAAC6oCKxIm7IgQDdtCgAALiAIr +EicOuxALiAIKiAIJiAIqEiwpEiv8JAAV4A4FAOmqEQzOAoAA6pkCC2AEgAD5BgAMcAsFAOgWCilQ +BIAAWJgp1qBmYB+KMByvpgyrAXygCi0yAfOgBxhSAJ0AyLaJMQneUmTgtNog/B+iHaALBQBYmU38 +QOgVr4/VAH+hAgamOCjJFIki56+MFliBAADqwgkkAzGAAGSQ1PFF4A3gLKUAKaAADwIAfJkLHa9/ +LKICfcF6d8E92rD8AEIdoAsFAFthSigSGx6vfRmvNpmgjyCXopOllaYmpB2epCikHP3gABewCCUA +CP8C76YBKtAEgABYma3AINEPZJBy2rD8AEIdoAsFAFtdOWP/t4cs9y8AC//xngAAAAAAAADzIDGQ +0gCdAPE/+fkSAJ0AYAY/d8mRY/+/AAAAAAAAAOwSEylQBIAA+uBoHeANFQBYe8P3QGgdr/hFAPlA +J6QiAJ0AZq8EHK9gizD9YAQFv/vKANpQ6zQAC2AEgABYmWXaIFiTR9Kg0Q+KLS2hAmTV/ltUboYv +mhT6wABDMBslAPrgCzRiAJ0AwYP44ArkIgCdAMBg5xYTK3WeAAAar4aHFIssiTIsIA2nuwqZAes2 +AyvQBIAADLo4+yYADLAGBQD4YEYV7+76ACggXA0EUQBABAgIG/EAIgfSAJ0AJiUJGa4Q+kGwFaAI +BQAoJBT4QqYdoA0VAC0WIQraOalJjRUqFiL5MBAV4AoVACoWIC0lCO0iACzPgoAA+IYADPAKFQD4 +QOYd7/MSABiu4IkvKIJAKiBc9yAARPFNgQDomQoKAgqAAPkgCBXgqp0A8UAeT9IAnQApFhArFjMv +FjQuFjUYrfAtIQcqIAwmlQkqlAz9IOQd4AoVACoWIPiAAEQwDRUALRYh+RAQFa/99QD9JYYd4AqF +ACqUBI0VKhIfKpQN/SEEHeAKBQAqFiIqlAUqlAYqlBTqlBUsR4KAAAhIAiiUB4iXjZAtFjEoiRQo +Fh37IEYVoAoVAOoWMiwk9gAAwIj5IKYdr/ASAAAAKyISKbECZJTs2rBbVA0crZ4frqsuIhErwo4v +8l3q6ggNaASAAKq67iANLVZCgACq+v1BRhXgGyUA+uAPTGIAnQDqFjAnECGAAB6unY0gLuJUDt0M +Hq1bDV8UDv8RD+4MLu0H/8aoFaSNAQD7AAQA0A8VAOyvFh/4CoAA/8AEB3AIFQD/DQAPMApVAP4i +5hWgCwUAWJuAKRIwLxIXL5R5GK6HKIJACGgK+QAGFe/38gAvFjSLOBiugoQvLhY1KIJA9oAAQnDb ++QD8IyYV4JvxAPgjRhXru4EAKxYY6EQKCVAEgAD0gAgVoAwVAFiGzy4SNS8SNCsSM+QWECURmYAA +hDQpIFwEBFEAQAT9RNAV4JmdAPEgEMfSAJ0ALCEHKBIQKiANKSAMKYQMLIUH+wGmHaAMBQCcgiyE +FSyEFCoSGCyEBiyEBfpIAASwyjkA6JkRDmVCgAD5hgAOdqoBAAyqAikSHBytdCmFCPsC5B2gCYUA +KYQEGq7XKRIerEwqoIAswIAKahTqmQwOZ4KAAAxMAiyEB4w3ijbmhQkszoKAAKycecsBsaopEhCa +mP0hJhWgChUAKhYhKCAN/SWGHeANBQAtFiCNkAioOSgWIoiXKiB4KpQWKIkU/CYmFeAKFQAqFjLo +FhYsGV4AACyQBygSGiiUdSgSGSiUdPEIEA3hzAEAKJIaZIDGGK0T+SOGFaAMhQAslAWMNf/njA2v +zAEAAAAAAAAAAOoWMCcA+YAAKMKRHa4jjyAt0l4I/wwK/xGv3f1BhhXv9/IAHq6jLSAMLuCAANAE +/l8ADzAKVQD9XT4FoO4BAP4iRhWgCwUAWJsKKRIwLxIS/y8mHe/4lgAoEhplj3wokhroFhEke+GA +ACiSGyIWNiMWOAzCChOukCwSEZyAAyIKI5IaLCH++GAmFaADBQAjlhojlhvjEjgmY/0AACwl/vIm +yBWv/PoAACMWOBOugyIWNgzCCgMjCigx//QnJhWgBBUABIgDFKy19EABAT+IAQACiAsojRgojPCC +gSScaJQgKJYaIpYblIEiMf7kEjkhEAUAACI1/iISNvInCBXv+5IAAAAAAAAAAP/oMA2v5qUA+kBo +HaAbxQD8AAIdoC0FAFtiQ4wnKMkU5IDsZlCBAAArwglksOEpsAAsCip8mQgerg8tsgJ+0UT6AAId +4AwlAFtf2x+uWisSGxyuCB2tx52giCCcopOlK6Qclab/QIYV7/n1ACmkHf0AABQwCSUACYgC6KYB +KtAEgABYmDzAINEPAAAAAAAAAP1clAWgGyUA7SAMK/gEgAD6IAYVoAolAFiar//azA2v6qUAAAAA +AAD9XIIFoAolAPxBkBXgGyUA7iANK/gEgABYmqVj/9QAACggXA0EUQBABAgIG/Ef+MfSAJ0AwKD8 +AAId4AgFAPgkJhWgCQUA+CRGFeAJFQD4JAYV798aAAAA+gACHeAMJQBbW6dj/yyMLPpAaB2gCwUA +94AARnANFQBYltj3QGgdr+PyAIws+kBoHaALBQD3gABGcA0FAFiW0fdAaB2v434A94BoHa/oggAc +rhgpEh3uIA0r+ASAAPxBkBXgCAUA+CAmFaAKVQD4IAYV4BslAFiaeCkSECsSM46X+iZIFa/IBQD8 +YKgVoA0FAO3lFCd4gQAACP8B7RIxJ/kBAACf6Z/o/iaoFa/MAQD+JogV7+wKAPtAaB3gDAUA+kGo +FaANFQBbVlj/5nQNr/ZFAByt+O4gDSv4BIAA/EGQFeAJBQD4ICYV4ApVAPggBhWgGyUAWJpYKRIQ +KxIzjpcqEjL8AAId78gFAO3lFCd4gQAACP8B7RIxJ/kBAACf6Z/oLhI1/iaIFe/yCgAAAGwQBhSt +xw4tEaTUJUKEBQVKCVUR9KAKB5IAnQAfrTks8iBkwSobrVzAkPtwEBXgCgUAbckRAJAECwwb78cG +dMgFAACxqtMP90AIKJIAnQAWrc7+AAIdoAsFAPzT6BWgCIUAbYoQALAEDAkZCQlD6dEUddgRAADm +bAQncAUAAPvbHg2gCwUAZuC+GqwjKqKUKfLfquoJqhGqmSmRJimdIymcKBytug7rCay7L7CAA/oc +93BQFeANpQANqi0JqjcKWgzmoMttMASAACn6nAl5HampZpC7L7CBDz8cHq2tDf8tHK2tD582/+/g +Fe+HBQAH/wH9kBAVoYgFAPngQAezeo0A+OAAE7P/jQAH/wIvRqP1gAUpUgCdACxCiAwMSgnMEWTA +RC08fw7dAf2PAA70DwUA79JVdtPzAAAKfhL+kuYVoAIFANEPAAD5WygF7/1aAP/81A2gDgUAH6wZ +/pRmFeACBQDRDwAAAPoAgh2gG4UA7K2LGWgEgABYmesGchLykuYVoAIFANEPAAAvsIAnsILsrYQZ +aASAAOiwgSnwBIAA9iAmFeAKJQD4IAYVoBuFAFiZ3cck0Q8AKTx/DpkBCVkMZp/F7KucFNAHAAAq +rIAKehIMqgL6kkYVr/z6AAAAAGwQCMCB+1qcBe/39QATq5EDIwLjtrMpcDAAAPxQABWgAZ4ALLK0 +H6zj8q4ADzQyAQDjFgQpgQqAAO7uEQxoCoAA7+4ICYEKgADn3QMK+AqAAPCoYA3izOEAI+KEDwIA +A9MBA/MCI+aE+AAiHa/39QAl4oiJFACRBOXVAQpICoAACVUCJeaIKrK0Ha1OHq1PwPAE/TkOqgEN +qgIqtrQAwQTitrMsIAqAAOdCAwMBIYAAKbKvCSkBCUkCKbavGK1EBsUR+KAAQrADNQCTUNEPAAAq +sq8KKgEqtq/RDxmtPSOSf/QAAh3vCgUA7xYFIYHZgADvrTgYwASAAG0IJiPyhCfyhAp3ASf2hCeS +f/SgIBXnMwEA44YAJEARAADnWwd3+IMAAGP/0o8VI+KE0w8D0wED8wIj5oQokn//ErAN4AUFAO+t +JBjABIAAbQglI/KEJ/KEg4AKdwEHMwIj9oQnkn+xVe/9ICRAEQAA9r/30uIAnQBj/9MAAABsEAaD +IBWscCwgXvhBCBXgCxUA+rqIFaAIBQD0u2gVoMw5AAy4OOozDAzOQoAA6UQIBAmJgAAvQF4uQF// +wAiC4gCdAC1S5S3RAmTRArHuLkRfKlLlW1HJ/0BoHeCKBQAK+AIoJF4sUhtkwPspzP8JywF5wBVt +CAywve27AQ3gBIAAfcACY//sD8wRGKsRDIgsKCUaCPgcKCUZLlIafjsVG6tIK7KTKVLbo7sJuxH7 +IABE8AAaAMCQHKxDwODD2i3GEC7GEcO7K8YQG6zlCvoCCgpGCKoRC6oCKsYRjMAdrOEYrDjtzAEJ +3gKAAPuGAA5wLQUADc0CnYAbrNsYrNwdrDELzAIIzAHs1gAkgEGAAJqanpuNICshGZsQKiEaq6rs +rNQVU/0AAJoRKUBf6RYCKfAEgAD4i9AVoBulAPggZhWgClUAWJkiwCDRDwAAAAD8YGgd4ApVAP1Z +jgWgG6UAWJkbwCDRDwD//HwNoAwVAGwQCBSsaYkw5JoBBKxAgACIMdMP8wAXEFIAnQDAYOesuhUA +SYAAjTFm0ZbAQPMgBJqSAJ0AeZZ6KzEE2iD7YAAF8AwVAFiEa+KkAAUY4YAAK6AmLTEMDwIA590B +Df8CgAAvNBAtNQwsoRrA4QzsOA3MAiw1DOygXCHoKQAAWJbZ5qAvbSAEgAAqMBHTD/FB8A3gCwUA +bQgSLiBA5OARYRAFAACxu3q7B2+0BGP/5gAAKzQR2lDrNAAKYASAAFiWRcAg0Q8mMQT6QGgdq2YB +APrAaB3gDBUAWIRHZKKKK6A282AUJ1IAnQAtMBAoIF0NTUMA0AQICBvxABNv0gCdAB+rAC2kJq/f +//AQFeCOBQD+wAQGMAglAAi4AuikNi//goAAD98CL6QHfmgNBotCK70B+3AAFeAAHgAGC0YvIhMo +8QMv8QJ48Uzj2REN8FAAABisby28gPkgAESwAF4AAAAdrGwLXhQJ6Qr9IABE9NsBABaqn6aWKGKA ++6AEANAPFQD94AEH3/71AA7+Aw6IAQj/Ai9mgMrEGKwwLKAMHapzDb0CLYazKYK0Haxa7ZkBDmEC +gAAMmQIphrQrhrOJMGP+ciQwEC8gXfhghBXjRCEAAEAEDw4b8cAMt9KZYQAqIhMooQLpFgQsAK4A +AMfE9CCmFaABAgAAAAAAAAAAW1ED6SANJQlJgAAsIFEtCgEJ2TkKzAgJyQwmIAzkFgUrNgKAAPcm +AAswiAUA+MYACzAMBQDkxAAOCvYAAAIqAvrAaB3gDAUAWIPr56QABQshgABb/w8ocDYmdS365vAV +oAkVAAmIAih0NlhzSysSBewSBCvQBIAA7HRcIegpAABYllnmoVRtIASAAB2sIB+qvy4xBCwxDNMP +D+4BDcwBLDUMBu4CLjUEK3Ea+mIwFaANFQDTDwvbOP1mAA2wBgUA6zUMJQFBgAAarBQqouUuoQLJ +51tQzqdsKsRAKzAR6qwPEzAFAAB7awJuZN4XrAeJMPZiJh2v9O4AAAAAACYxBPpAaB2rZgEA+sBo +HeAMFQBYg7nspAAFAqGAAOokAAtYBIAAWHiNiTD1IAQFP/PWAGSe1+ogDCSBYYAAHat4HKv3LdB9 +LMIasJsNuyiqyauZGqvzDpkRqpmJkP/68A2mmQEAAPlAaB3v/6YA//UcDa/kpQAcq+wuIA38QZAV +4Ao1APQgBhWv6KUA+CAmFaAbpQBYmDRj/9MtIAwuIA36AGIdoBulAOyr4Bp4BIAAWJgtY/0C7Kve +G3gEgAD8QZAV4Ao1AP5BsBWv6aUA+CAGFeAbpQBYmCNj/5EAAByr1Y8ULiAN/EGQFeAKNQD0IAYV +oBulAFiYG2P8vAAAbBAGbj4J+nAAFaAAJgAAAAMKRPlTsAXgBhUA9VciBeAHBQAAoQTpKwILQAqA +AOtWxS0BCoAA/OABBd/69QDqigMJ8FwAACxSxgysAQy8Avy4xhWgAGIAAAADXhQF7got4scNrQEN +vQIt5sciVsWXECNAJpcR6iQACNgEgADtNAAA4BEAAFgFTB2rrIwRixD8QAIG8Uj1AHKDTS7SgSnS +gAAxBABvGu6yF2yYBIAA7v4CDhCoAAAH+RD4ZgAM8AB+AJIS8/gAFD/y9QACiAMImQEC8gMC4gEM +LjiCEi7WgfmwBhXgAVoAAPh4ABcyWTUA8yAJoqIAnQAfq5EZqZr/wABHcACGAMSQH6mX7p4KCYEK +gADoq4sbSAqAAACZEQ+ZAqjuH6m1r+4v4oD3YAipUgCdAAn5AinmgB6reeCvEQ5WAoAAD6oCCroC +CglH69KAJIf5gAD5IAQo0gCdABmreQoPX+m5AQfwr4AAGKmCCPgCKFazJ1K0B8dSCHcRB/cC9yYA +C/CIBQD45gALsAA+AAAAJ/yACHcRB5cCKOIf8QMwDeAMBQAaq1PzVqQF5L8BAOn8gC2BCoAA4GUa +DIEKgAD8wAEDUAsFAG0IVm7+MiiiwnhgOinSgSnWgSfWgOpCDilYBIAAW1O5yaHqQg4pWASAAPwA +Ah2gDRUAW1OQwCDRDw9YFAuICqOIKILDeFjEKeIf7MwBJdiDAADpy7Z1UIMAAGP/ogAALypP8//2 +u6IAnQAKOREJKQwpnf/5NgAV7/siAGixPP/7rA2gCQUAABypdh+rPQwrEa+7LLaDx68qtoIstoEq +toAn1oHbIPewBhXgDAUA+9wIFaANFQBbU29j/1kZqzL54AQE//quAABsEAQBBAQEORjxIXAN4AoF +AG0IDLCYCJkB5JAHZVAFAABj/+wEC0/xYXAN4AkFAG0IDLC8DLsB5LAHZMgFAABj/+wYqVX//+Id +oAsVAB2rGgxcEa3MKMaDLsaCKMaBLsaADj8DDw9PL8aDDk4DLsaCAw1PLcaBJMaA7qsLEMCBAACI +gPoAAAYw2QEA6N0RDmXCgADtzAIMAQqAAO5eCw3YCoAADLsCK+aB/1IyBebXAQDoiAkLZMKAAPsA +BADSt0EA6LsRDmAKgAD9ZgANsIc5AOvdAgxGQoAACN0CD90C7eaAKXBUAADz/+Id4AQVAPZQABWg +AD4AAMc/8oAAAzAEFQAXqrPuqPcbAQqAAABEGgNDAw5eAu52xSlwWAAAL3LGDz8BD08CL3bGJXbF +0Q8AAlgUB4gKJoLHBjYBBkYCJobHJXbF0Q8AbBAEG6kfLAoA+VU+BeAIBQD7a4AVoIcFAAhSFAki +CiIix8siLbKTJqKDqN0J3RGtZm0IH38nES8wDC5gDCUwDSRgDX/pAnVBHAISFOdmCAEAQYAAY//Z +ALHM6IwgLibsAADAINEPwCHRDwAAbBAIHKq8GarB66oFEeBKgAADhkImbQH20AAVoAAeAAMGRgwo +CyiCgPEACUTQChUA56p7G3BIAAAsbIAAwQT9QAEGUAA2AAYNRADRBACsGh2ouA0uAu52xStwXAAA +KHLG+YAEBjAPFQD97QAOMABuAAZdFAfdCi3Sxw8CAP2ABAZwChUADKw5ZMDJ6lIOKVgEgABbUv0r +QQGOQRyqmv4qAh3gDQUAbfoTKMKBeLkFKcKAeeEH7MwQJugFAADr1AAO4ASAAPOgBWwiAJ0AmhSc +FfwgxhXhTfUA+6AFQuIAnQAXqowucn8u4QIZqojrqc0XAyGAANog62QACuAEgABb/q8qcn9bTzKM +QStBAe5BACn4BIAA6FAmLRAEgADqZAAJaASAAPggBhWi7mEAW/9HjxTI/OpSDilYBIAAW1LTZKEy +GapyKEEACYgBAogCKEUA0Q8AACpBAPtABAV0DAUADKoCCgpP+UAEBXP89QAMqgIqRQDRDxypqitB +AAy7AStFANEPAOMSBilQBIAA62QACuAEgABb/oniEgUrcGQAAC1sgPugBADQDBUA/YABBlAAUgAA +AAYNRPugBADQDBUAAMwaGahbCTkC6XbFK3BgAAArcsb7gAQGcAoVAP1NAA4wAGYAAAZeFAfuCi7i +x/+ABAYwDRUADNw5ZMBbbm4H+tAAFeAAHgAGC0QpdsX7YAQA0AsVAP1gAQXf//UA77kDC3BcAAAs +csYMnAEMvAL8+MYVoABiAAAABl4UB+4KLeLHDZ0BDb0CLebH2jAjdsUrUCZYBCxj/tcYqicIOAsr +goErhoEvgoAaqC8K/wL/EAYV7/4uAOpSDilYBIAA/AAiHaANFQBbUlwZqiEoQQAJiAECiAIoRQDR +D2wQBB+pYe2oJBHgUoAAA4tCK70B+3AAFeAAJgAAAAMLRvtTsAWj/NUA/E1eDaAOFQAjQQGHQRyq +DfgqAh3gAgUAbZoXKMKB0w/TD3g5BSnCgHlxB+zMECEQBQAA92ALZ1IAnQAsvIAAwQT9wAEE0AWe +AAAAAAtcFArMCizCx/0gBASwCBUACYk5ZJFnKBpQ+EALDCIAnQATqfEDIwssMoDxgAqE0gCdAOi8 +gC3wQAAAAIEE/cABBNAAOgAACwlEAJEEAOkaDS0C7abFLfBgAAAoosb5IAQEsAgVAPkNAAzwAGYA +AAtYFAqICiiCx/kgBASwCBUACYk5ZJD484AIrRIAnQBuvg0pvIAAkQT9wAEE0AA2AAsMRADBBADp +Gu2mxS3wYAAALqLG/yAEBLANFQD5rQAM8ABuAAALWBQKiAoogsfTD/kgBASwDxUACfk5ZJB3+kBo +HaAMBQBYBBwep/v7/+Id4AwFAB+pwQwtEQ8CAA/dCC7WgyvWgi7WgSvWgCw2gRqpvew2gClYBIAA +DwIA+0/oFaANFQBbUfHqJAAK2ASAAFv+1WSgXOpiDilYBIAAW1IPyaHqYg4pWASAAPwAAh2gDRUA +W1Hm0Q8LCEQAgQQA6RoNLAIspsX3f/S3UgCdACyixv0gBASwCBUACYk5ZZ6XLUEA/6AEBvQOBQAO +3QItRQDRDy5RK7Du/qVkHa/+agDqJAALYASAAFv9wWP/eQAAbBAIG6lYKkEBiCgnsoP+gCgV4A4V +AOyyeixGQoAA+OAAQ7JTQQDpcgAiqAcAAOhwDSHgSoAA9LAAFeAANgAAAAAAAAADBUbsmQwEALmA +AC2yhAqYEajdLNAA84ATVhIAnQAcqXn4KgId4A0FAG2aFyjCgdMP0w94qQUpwoB58QfszBAm6AUA +ANrQ96BoHaFM9QD9gATb4gCdAOypMRrwSAAALVyAANEE/cABBNAANgAFD0QA8QQA6RqaFB+nbg+v +Au/GxSrwXAAAKsLG+yAEBLAIFQD5DQAM8ABiAAVdFAzdCi3Sx/0gBATwCxUACbk5nxXzIGgd4AgV +AOmDOQSFUYAA+gAiHaAJBQADqThkkH0qQQAdp9/7IAAFs/zlAHyxXg2tAQbdAvyABB3gAgUA0Q8q +cSsucSqxqP9ADgKiAJ0AKHUrKrKFL6ECZP/CW03xjEErQQHuQQAp+ASAAOkgJi0wBIAA6lQAC2gE +gAD4IAYV4u5hAFv+BmP/lAAAAAAA6iIOK1gEgABbUZBkoOkSp8AvQQAC/wEG/wL+gAQd4AIFANEP +AAAAAOoSBCvYBIAAW/5JjBXuqOoVB0GAAG5eEipcgPtABADQCRUA/SABBNAASgAFCkT7QAQA0AkV +AACZGuzmxSrwXAAALOLG/SAEBLALFQD5bQAM8ABiAAVfFA7/Ci/yx/8gBATwDRUACdk5GKkKhxTo +dwsEhLmAANtQ+iCIFaAMFQBYA2CKFCsgJlgDBipBAPxE0BWiqmEALXKBKXKALXaBG6kEDMwJ46oR +DgEKgADgqhoOAQqAAP1gAQXf/PUADLsDC5kBCpkC+PAGFe/6RgAAAADqIg4rWASAAPwAIh2gDRUA +W1EsEqeBL0EAAv8BBv8C/oAEHeACBQDRDytxK7G7+uVkHe/8TgAAAC5ygS52gSxygB2m6w3MAvzw +BhWv/WoAAA0hhwoOPw8NPw0Ah/gFCB2knAEA+R/sE+IAnQAcqCAqQQAbqNwMqgELqgL6gAQdoAIF +ANEPxyTRDwAAAGwQCvIhBhXgChUA9CDGFaRTAQDlFgQpoASAAPxgwEdQBQUAwKD8gMAXUAkVAMCQ +9gACHaBLBQDrFgcicgEAAP4gphWgjwUACW85nxPmqIQbQASAAApIOfggRhWgCRUAihboXOAqgQqA +AOuiAyy4CoAA6qICIuBTgAD3YAQD8AwVAPeNAAvwAFYAAIEEAJca90AEA/AIFQAHhzkjICbqIg8p +noKAAONTCArYBIAA4z0BK+AEgADyagAV4A0VAFtQ3iwgJhuongPMEfygAQYwCRUA68wICveCgAAa +psaqzC3CgPGgB0LSAJ0AnRHsFgAqcDQAAPogqBXgAB4AAIsU6qacHYEKgAD9IAEHX/31AO3rAw2B +CoAA6j8CC+AKgADvZsUqcFQAAChixgi4AQjIAvjYxhWgAFoABF0UBt0KKtLHC6oBCsoCKtbH2jAj +ZsUrICZYAnwKC0f9YyAA0AkVAGSwXh+mgI4RD+4CjxD/8AYVoAPmAByoc/ogKBWvuoEAL7yACP8R +7KoBBfCvgAAepngOvgIuZrMtYrQNzVII3RENvQL9RgANcIwFAP1GAA0wACYAAAAPqgKIEPsQBhWg +ArIAixDAoPtwBhWgAoIAZHCY6hIFKnAgAABgAAIAihQAoQTrpmMc4AqAAPtABADf+vUACsoDCzsC +K2bF4HsaCnBYAAAsYsYKzAEMvAL82MYVoABeAAAEXxQG/wot8scK3QENvQIt9scqICYjZsUtIAzr +qDAdAQqAAOgSAy1UwoAAquqrqusSAizgCoAA6N0RDmQCgAANzAIMuwILiAIbp9TriAIFUBMAAJig +ixflXAEl2/0AAOsWBy3vRgAAKiAkG6Z1jBYtICYuspSMwSuymq7dCd0R7bsIBiRYgABkoEgpsCrq +qC4UjV0AAMmf0Q9kr+0psCr5P+AV4AoFAPpEhh2nmQEA+WVGHe//agAALCAmA8wRqswdpkitzCvC +gB2n4g27ASvGgNEPLbAqsd34RIYd550BAPllRh3v/qYALyAmA/8Rqv8Ypjyo/y7ygBimGQjuAi72 +gNEPAGwQChim2ZMYiRgqgnspkCaSFCiCgaqZ5RYDLM5CgADpiAgKGASAAOgWASJwS4AAGqgGBFkU ++yABBLAAHgAZqAMWp8EXpgX9TEoF4AUFAPKAAAdxWwUA+8AEANAOFQDtnQgPIAqAAG26DQdYAihm +xS/SgH9IBLFVJRpQKRpP9SAJ8+IAnQDuPgZpyASAAMCQ+CAGFeABHgCxVfZgCJdRUgUAGafqH6YO +BSoM/yAAR/ANBQBtqhKl3gfpAilmxSjygOhIBnboBQAApd71wGgd4Ur1AP9ABzuiAJ0AihgSp9CK +rgJSC5IS4iKAKtgEgABbUDCIE8iBaKGeyaOKGPqgaB3gDAUA+0HIFaANFQBbUAQYp8cMXxGo/58V +LvKBjRgt0hHv8oAmgLmAAH7ZD40YLdISZNAH/eAONGIAnQB0L0kapor6oGgd4AwFAPtQaBWgDRUA +W0/z4hICKtAEgAD6YGgd4AwFAFgCCY0VHqXoLtaDx88s1oIu1oEs1oDAsCsmgfpQBhXgAEoAANpQ +7BIIKdgEgABb+9QiGlDrEgQq0ASAAFv8xmShF/R/97dSAJ0AGqemA1kU+yABBL/7rgDA4YsY0w8P +AgArsCYsPIDgwQQN3oKAAODtGgXYBwAA7RYGJdlBAAD6IOYV4AIFAOkSBinwHAAAYAAB2UCFF6Ul +B1wC7GbFKfBgAAAqYsb7IAQEsAgVAPkNAAzwAGYAAANcFAbMCizCx/0gBASwCxUACbk5ZJBBihj6 +QGgd4AwFAPtB6BWgDRUAW0+22lD6YGgd4AwFAFgBzo0YLdAmG6dyA90RDS0Kq90ZpZ2p3SzSgHDP +IMDgLtaAsSL4X/uO0gCdAI4YLOAkwNDt5F0mBTGAAGAAD4sY67AmKtAEgABYAWRj/9OIES+AKrD/ +Dw9H74QqJ4D5gADAIPPEhh2gAgUA0Q+KFCmhK7CZ+UVkHe/7dgAt4Cbup1wfWASAAAPdEa7dHqV/ +rt0s0oAepxkOzAH9sAYVoAoFAPtkhh2gAgUA0Q+MFIoYixD9gZAVoA0FAC2mES2mEu2kWS5mAoAA +/WYADbCNBQD9ZgAN8AwVAFgC4WP+C8Ag0Q9sEAoZpqyLKIowKZJ//WgAFbtqAQDrmQgDYFKAAAqH +Qid9AfbwABXgACYAAAAKB0YoIDZ+jxrGSikgWGSQiSUmE+MmFCrQBIAAWJD2wCDRDwCLMOkWByWs +JIAAijFmos/AQHq20osx+lcABPAMFQDxJmAN4A4FAPUgFpCSAJ0AaZKzJyAmjzWNMos4hDT6IGYV +6AA9APQgRhWv3YEA/CAmFe9EAQDvSRgPWASAAO0yCSSC4YAAbQgMsJgImQHkkExl2AUAAGP/7NpQ +6zQACmAEgABYkK7AINEPijD64AAE//31AP0gAIT7qgEAmhX3IAi8UgCdAMbqnhSMFNTALyA2wIQI +/wL+RsYd7/y+AA8JT/EhkA3gCgUA0w9tCAywmAiZAeSQB2VQBQAAY//qkxmaG5Uakhj1SlAF7/L1 +AIkRGKbuDJMRCDMIJTaDIjaCJTaBIjaAJRICKBIDAlIDAoIBIjaDKvr/CvoDCtoBKjaCCFUBJTaB +DfIB4jaALOgEgAAqEgv7TbIF4IsBAABxBPsYABQwqgEA65sLDVYCgADqiAIOKAqAAAhVAiW2gSUS +CvbgAIHyJkEA4xIJKYEKgADg7BoJdgKAAP+GAA42pgEADKoC/Um+BaDmOQDiEggvdkKAAA6qAuyq +AgpwBIAA+3AGFaAKRQD9TZIFoAsFAFiTBv/75A2gBAUAZJFUnhTjlAsBuCEAAPQgxhWj/9UA9GBo +HaAA8gAAAAD+zAYN4Uj1AHaDFesSBStQBIAA7HQACWgEgABb++wvOv2JFud8CCIgIQAA0w/4n/UE +YgCdAClBBPE/9KwR6VEA/cfAAVlpAQBo4zYqOv76wASwIgCdACtBBexCAy39HgAAZc+b2mDrEgUr +4ASAAO0SBylwBIAAW/x7/n+iHe/+kgAAnhB/YVAuQQWJQ/HEUA3gDRUALiYRKSYSLSRZ5yYVKVAE +gAD6IKgV4AwFAFgCKY4Q/dKggVP/1QAapcwpMQQKmQEpNQQoQQQICEn4gIQdr/1GAABln7vAsCsm +ESsmEvpLJh3v/uYA2iDrEgUr4ASAAFv85S86/eoWBCV5LYAA//c8DaAMBQDqlAAJWASAAPzgaB2g +DRUAW/5iizD1QGgdr/RmANtw6iQACeAEgABb/Zdj/Z8AAAD+IIYVr/ZWAGwQBh+mTpQRkxAUpicW +pGsGJgImRsUDXhH4EAIdoAUFAPwAAh3h8vUA+pjIFeAKBQD+IEYVoAwVAP/AAEdwBoUAbWojAFEE +AMkaebAS4oQABVAFAAAv4sIPAgB58AGx3eVcASRABQAA8gACHeAPBQD+IEgVpV8dAARbCiuyx+5V +EQ/ABIAA9cAAR3AmBQDlpisVgbGAAPXAAEdwBQUAbWomAFEEAMkaebAV4oQABVAFAAAm4sMPAgAP +AgB5YAGx3eVcASRABQAAsTPv/CAppogAAIkQiBGakJ2A0Q9sEAQcpfIbphYXpDUHJwInxsX4uAAW +sAIFAPeYyBXgBQUA+6AARHADhQBtOg7BnwKZDHl1BLFVKoLCsSL6AAIdoAkFAAlWFAxnCidyx/7Q +ABMwAgUA96AARDAuBQDriAgDgLGAAG3qDsHvAu4MfnUEsVUvgsOxIrGq6ZwgLSccAAANQgrrIggK +kGAAACMtBIMwFaQP5TMCASATAACTQNEPJC0EhEAYpgToRAEBKBMAAJRQ0Q9sEAbaIPogaB3gCAUA +6BYAIOARAADoFgEp6ASAAFv/lIwR+iAIFeFJ9QDzKVYNoA4VAB+l7w8vCynygfpgBADf+PUA7fKA +L3AKgADo4gMNkRAAAOnpAg4RjAAAB+IQAtICKfaBCMgR4vaALRQCgAAIIgICsgLRDwD5S74F4lg1 +AOKLTHnswoAAHqPl+aAARPAB6gACkgHsKTgPGcKAAAgzAwPdASn2gQjIEe32gC0UAoAACCICArIC +0Q8p9oEIyBHt9oAtFAKAAAgiAgKyAtEP+UuUBaJJ9QBykxAKOREJKQwpnf/5NgAV4AAaAMSQ76PL +GYEKgADtmQoPcAqAAOiZCA90AoAAD+4CHaPprZntkoAtkGgAAA7fAgjIEe+WgC0UAoAACCICArIC +0Q9osRTA0AjIEe2WgC0UAoAACCICArIC0Q8epbAO3QEIyBHtloAtFAKAAAgiAgKyAtEPAAAAbBAE +56VtGfAwAAD2cAAVoAAeAAMGRABhBPVHWAXgCBUA/QABBF/59QDphgMLAQqAAOUlAgogCoAA5XbF +KfBUAAApcsYJaQEJSQIpdsYidsXRDwNbFAe7CiqyxwpqAQpKAiq2xyJ2xdEPAAAAbBAIFqO4F6WJ +5aVQEfA3gAD8QbAVoAAeAADAwCggJh2lj/5BkBXgiQUA/GDAR1ALBQDZsOogXS/+AoAA+wAEANAO +FQDvzwIPcAqAAOn/Ag90AoAAD+4CDe4C7hYAIgHxgABkoTwoICTso7cUAUGAACskJCsgJi3ClCzC +mqvdCd0RrcwqwCoqrP8KCUfqxCokh6GAANEPAAAAAAAA/1xQDeTzAQArJF3+ICYV4E0FAO0WBCHy +AQAA/iBGFaAEBQDqIg8qWASAAFtNvPVABFCQCwUALiAm7BICL1aCgADqSggPdMKAAA5OCufuCAVQ +BwAA6qxQKfAgAABgAAIAjBH7gAQA0A8VAOmjVh/ACoAA+4AEAN/89QAMjAMJrQItVsXgvRoJ8FQA +AChSxgyIAQjYAvi4xhWgAFoAA18UBf8KKfLHDJkBCdkCKfbHKlbFpukokoBwjxkrloCJFORMASTL +/QAA6RYELPrOAABj/vcAANsw7SAmKmAEgABb/wH//2ANoAsFAB2lMgO8Ea3MpswqwoAdpPANqgEq +xoDRDwAAAAAAAMCR+EumHeSDAQDoFgEh+gEAAP4gRhXgTgUA/iBmFaAEBQDqIg8qWASAAFtNevVA +B2CSAJ0AKiAm46wRDVaCgACqSuxMCgVQBwAA58wIBVFBAAAZoxumzCvCgOmpAgWtsIAA7BICKfAc +AABgAAGMESlWxfuABADQDBUA/YABBl/79QDryQMJ8FwAAC1Sxg2dAQ3NAvy4xhXgAGIAAAADXxQF +/wou8scJ7gEOzgIu9sfqVsUp2ASAAO0gJipgBIAAW/7HYABXAAAAAADtEgIp8BwAAGAAAY0RKVbF ++6AEANANFQD9oAEG3/v1AOvZAwnwVAAALlLGCe4BDt4C/rjGFaAAWgADWBQFiAovgscJ/wEP3wIv +hscqVsWJECnGgIoT5EwBJVP9AADqFgMtd74AAP/2QA2gCwUAAAAAbBAGH6TgHqLcLCAMLSAm90me +BeALBQD6gGgdoAkVAOSaOQaoCwAA490RAqlRAADn3QgB8BuAANmw6v45DmYCgAD/hgAOMI4FAOm+ +OQHwb4AAKCAN/QYADDSjAQD5xgAPMABCAAAAAADs7gIB0gEAAPlJAAXgBhUA76LDHQEKgAD8wAEE +X/z1AOyKAw0BCoAA718CCmAKgADvlsUp8FQAAC+Sxg+vAQ/PAv84xhXgAFoAA18UCf8KKPLHCKgB +CMgCKPbHE6LRJZbFo9kokoBmgAguloDRDwAAAAAiICbrFgAq0ASAAOsWASDgEQAA6xQACWgEgABb +/jT6IAgVoUn1APUnlg3iXzUAHKSQDFwLKcKBLcKA7hIBKQEKgADgaxoNEMgAAAm5AvfABOlSAJ0A +B74QDt4CKcaBLsaA0Q8AAADl+yx5XMKAAKe5/UUUBaABbgDHr+q/Aw3BwoAACogDCNgBD58BDvk4 +KcaBKMaA0Q//SPAFokj1AHWDEAopEQlZDCmd//k2ABXgABoAxJDtonkZAQqAAOuZCgtgCoAA7pkI +DmQCgAANzAKjmeuSgCUQR4AA/UMgANAPBQAvloDRDwy4AiiWgNEPKcaBLcaA0Q8apGMKugEqloDR +DwBsEAYqICbAkeyjChIJQYAA56MHHSgEgAD1QAbaEgCdAAyrEae7KLKemhD3AAlx0gCdACaynQyo +CiiCvwhmAWRg+i0hBx6jlg0NSgzdEQ7dAp1gjCAbo0P9gAAWMA01AA3MApxh6wAVA1AhAAAKAIof +ovP+wIYV4B6FAO5mBSlQBIAAWuzYLiIRLyEYHaOF8IgAFDaTAQD3IAAUsLM5AOmIAg3dQoAA/UYA +Dv959QD4YAQE8v8dAOuZAg/8AoAAD+4CKyIS62YLLMwCgACZaJhn/MDGFeAMBQCcaZ5qiiLAsQuq +ApoiDFkR9yAARPAINQAolp3RDwAAHqK6jejqFgAmjZOAAAxbEae7L7KeDFgK6IK/L5m0AAAmsp0I +ZgHkYGFm2/0AAJvoZW8W+iAGFaAAOgAAAPhLBh3v+2IAixD6QGgdoAwFAPtjABXgDTUAW1fDLCAn +y8fRDwAAAAD/+3gNoAYFAMCgWIveHqKdjeiKEOyisBaOQwAA//6sDaAGBQDAYMD6D980/8EGFe/+ +cgCKJ8Cw+0QAFaAMFQBbVVQco0Ifo0CfoO0iACp0AoAADj4C/UBGFaALFQDupgMu7gKAAAvdAp2h +KyQn0Q8AbBAEFqIvHKP2F6MlKGKUHqOuJmKa5IgICegEgADjohAcRkKAAAhmCBmh7QkpAinmsy/i +tBij7Oj/AQaoSQAAD18CL+a0IuazGaPFA0gRCYIILSLBddtMKGA0ZIDVIiLB8+AAATADBQDqNAAJ +WASAAFv1zeagB2GYBQAAaTTpGKIqKIB9fY974FkRAn3ogAAEGhQHqgorovQLC08LmQIppvTRDwB9 +W1vaQFgIL+WkAAUCmYAAK2A0DwIAZLCKIiLB8+AAATADBQDrJAAJ0ASAAFv1teagB2GYBQAAaTTp +GKISKIB9fY8b4FkRAnzEgAAEGhQHqgorovQLC08LmQIppvTRD9EPBBwUB8wKK8L0HaMTDbsBC1sC +K8b00Q8EHhQH7got4vQfow0P3QENXQIt5vTRDy9gIgP/Eaz/o/8l9oD0wyQd7/xmAChgIhmjpQOI +EamIo4gqhoD6wyQdr/2GAGwQCooyjzD6RtAV4I4FAPvwAAIxynEA+ioAA7ufAQD4IQYV4dphAP8i +QA4RqkEAD4ZCJm0B9tAAFaAAHgAPBkYYo5J+twp0gy4Zo5DTD3SbJv39Qh2gBAUAKiBZZKBwZEBt +JSYT4yYUKtAEgABYjUPAINEPAAAAAPlDXAXgCEUACLgC6CQ2J6kcgACeF5wWnRUqFgR5QUgGagLs +ICYqWASAAFv/fyogWStMEuslGCUAiYAAixj6QGgdoAwFAFv/BfAAeA2gBBUAAAAAAAAAwMDqVAAJ +2ASAAFiNAsAg0Q8AwECMFveADQFSAJ0A9OAHcVALBQD/Rs4F4AoVAOkgJivoBIAAB605mRPjnBEE +yAsAAO/MCATJQQAA7yAMI3AbgADAoI4TGKFS7qNUHwEKgAAN6Dn+IOgVoA0VAADdGgDdEeq+OQ/W +AoAA6KoCA3BvgAAoIA0O3wL7BgAMNNYBAPnmAA+wAEIAAArvAu/fAgNqAQAAGqL9+6AEANAOFQDo +oT8fcAqAAPugBADf/fUADe0D6JgCC/AKgADopsUrcFQAACiixgjYAQjoAvlYxhWgAFoABlgUCogK +J4LHB9cBB+cCJ4bHHqFPmRoppsWuyi2igJsS86AGotIAnQAvpoCPFZsS9+AFgVIAnQCIFPUABIFS +AJ0AihQZoycYoY6MIC2S4C+S2eqLOA52QoAArt0eoayK2imSHw/MDA6qAQuqAovbecsH+aBoHeAA +GgDAkB2iTcP6DwIAL9YQK9YRw+su1hAq1hEt0gAeou8fokbu3QEORgKAAPmmAA6wLgUADt4CLvYA +GKLpH6LpHqI+CN0CD90B7eYAJIBRgAAqlgorlgv/91QNoAwFAOokAAtYBIAAW/4ZY/5V3PDrZAAJ +UASAAFv9XvogSBXv/Q4AhxKXEJcRhxrbEO0gJiDgEQAA7RYJK9AEgABb/H6LEooZ/CAIFeFI9QB3 +g1gfotoPfwsu8oEp8oD7QAQA0AoVAOcSAS1QCoAA7tIXbOAEgADurgILkJQAAAepEPmGAAzwAGoA +x4/orAMNacKAAAjdAw2ZAQzsAQfOOC72gfnwBhXv+s4AACkqU+ebEn10woAAGqLCGaDL+8AARTAA +9gAsKk93wxAKqREJeQwpnf/5NgAV4AAaAMSQ7KDCHQEKgAD/IAEFMAkVAO+itRzICoAAAJkRDJkC +r6ocoN+squ6igC6QPAAACekC+VAGFe/5IgBo0Qf//8QNoAkFABmiq/nABAT//5oAbBAO6qE0GUgE +gADkkCYqEASAAIaYK6KELqJ656J+KzZCgACrZixgDOR3CAroBIAA7swIC75CgADntwgOZkKAAOy7 +CAHgUoAAA4NCIz0B8nAAFeAAJgAAAAMDRvxigEdQDhUAGKKhBjURqFX0oAgV4ACSAByh7gNaFAyq +CiiihCqiiAMMRADBBOqIAg8oCoAACFUBLHEYpGrvoHwigGmAAGUhYmXRX2AAAmQiaxigkC5iFiiC +y5gZ8cAKbRIAnQD8IIYVoA4FAPvABADQCBUA7UwRDEAKgADsFgUuAQqAAP0AAQRf/PUA/RcADDAM +FQCcF4wZCMwBGKB9AOEE7IbLIQkBgACSH4gVghfgIhoMAQqAAAAiGgLMAhKgdYgZLCbL4hIPJAh5 +gABkwc4YoHAogsyYFp8amxuaHJ0d+CHGFeAIFQDoFgghCSmAAI8YnBGKGYkW+CBGFeALhQDqFgAq +aASAAP1ExAWgClUAWI6ViR6NHSoSDCsSCy8SCixgDMCB/Z8gFaAOBQD9AgAPMAwFAA6MOMrJ7HEY +IoehgACwyCh1GCWgfLBVJaR8KLB2JZA2sIj5bsYdoPh1AAhVASWUNvwAIh2gCQUADsk47hIEJIEJ +gADscRgnBvGAAGTBP+mgfCeHCYAAmxvtFg0kimGAAC9gDGj3IS6wdtow60QACWAEgABb9J3RDw7Y +QWSBGcDh/CCGFa/6zgD//3QNoA4VAJIfghfAgA2COJIX8iHoFa/7VgBkzvSSHyMWEBKhVcA4IyZS +LAriLCZTAuowHKAoI8JBw4IIMyijIiMSECLGzJIWLMLL8iHoFa/7LgCdHZ8amxuaHJIfmR7zAGgd +oAgFAA2COJIY8iHoFa/7CgCxyCh1GCWgfLFVJaR8KLB2JZA2sYj5bsYdoAiFAAhVAvUmxh3v/D4A +ZM8lwJP46iYd7/yCAACbG+0WDST5OYAAHqCBi2Au4nr64ygVoAwVAP9vAA2wDRUAW0orjR36IWgV +4A8VAP7lxh3v++oAHKElwIgoxlLAgCjGUxyf+ZgWKMbM/ZloFa/4ggAAZd2QY/7nwJH46iYd7/rq +AOwWBCdyfYAA//ZMDaAOJQAeoGeLYC7ievrjKBWgDAUA/28ADbANFQBbShCNHfohaBXv+l4AAAAA +bBAGKiBfF6Bbc6FDK3J+KSAmjCgkcoQocnrrmQgOZkKAAKxMhcAJmRHpRAgDsqEAAOhVDAGAMYAA +y6UuwHew7g4NR+7EdyaAiYAAIyRf0Q/RDwAAAAAAAOpCGirYBIAA/AACHaANFQBbSfIjJF/RDwAA +L8B36kIaJ/gFAADvxHcq2ASAAFtKD2ShfBihwSVAIhegOKhYKICA53KEJH1xgAAoYpeliAmIEah3 +h3eHfipwMMyqKHA7ZYAFKXBGZJ+KwLD8AAIdoA0VAP4AQh2gOvUA6nWxKtAEgABYWlTaUPoAAh3g +DBUA/AAiHeAOJQBYWk8qcbHTD32vaBmg8OlZCAVoyIAAKpCA6RYAI9gHAADkoLFlsgEAAGmhItpQ +/B/iHaALRQD8AAId4A4lAFhaP2AACiZ9AekWACMyAQAAiBAogIBogTnAkCl0/Sl06Cl0tClkzilk +tilkhiMkX9EPAAAAANpQ+gBiHeAMBQD8ACId4A4lAFhaLfr2JBWv/foAKnGx80AEv5IAnQDzQAV/ +EgCdAHqnr9pQ/B/iHaALRQD8AAId4A4VAFhaIMCQKXS0KXToKXT9KWSGKWS2KWTOIyRf0Q8AKrAk +0w/3X/uAkgCdAPQAAh2gDRUAsKt7QgHA0PqgaB2gC0UA/gBCHafEAQBYWg4qYKSxRPqbrg2gDRUA +Y/85AAAAAOpCGirYBIAA/AAiHaANFQBbSYZj/mzaUPoAAh3gDAUA/AAiHeAOFQBYWf4qcbHxX/rP +EgCdANpQ+gBiHeAMBQD8ACId4A4VAFhZ9ipxsWP/OQAAAGwQBikgNsCk6pgCBPgygADwAvQNr+yl +ACgkNoswFJ91+GAoFeAMBQDxYAUa22sBACggJi1ClPSTSBWg6fkA/iAGFaB58QD9AABEcLnhAOwk +JyxGQoAA6EQIBIl0gAAiMQT9QmoFoBuFAA8CAO5AKCloBIAAWI1jGJ9TF59GFp9EeCFo8EiQDeEp +xQByk1UqQCUtQhvrQRMlBkmAAOsjB3lnwoAALEUTjdxk0MfwAIQNoAwFAAAAANogW/866iQAC1gE +gADsEgAr6ASAAFv+XcDA6lQACdgEgABYiqfAINEPAAAAAP//pA2v7KUAKkAoZKCW/UAgFeAbhQD9 +QiAFp90BAPyFBh3gCkUAWI0+Y/+bKkAoZK/N/V/gFeAbhQD9QhAFp90BAPyFBh3gCkUAWI01LkAo +Ze90wKT9QgQFoA8FAP6Eph3gG4UAWI0uKUIbiJxkgGiLnWSwY9pAC7AAY/9KwKHqRCUpT8KAAPiC +ZB3v/OIAACtAIgO7Eaa7p7srsoD6iUYd7/yeACxCG4zMycb6hRAVoA4FAP6CZB2gDRUA/ISmHe/9 +OgAvQCID/xGm/6f/L/KA/olGHe//XgApQCLoQEoszMKAAKaZp5n5MAYVr/tyAGwQBOokAApgBIAA ++mIAFeANNQBYS5PSoNEPAABsEAYoICH1PYgFoAWlAP0YABQwGcUACYgCKEbBG57B/AACHaA9JQD7 +PXoFoA4VAPSYZhXgDwUAW0v31qDjnrwdBWIAABygxSlCwiogL4zA/CAGFaG5YQChuyuwACwK5/1A +BAUwDSUA6iQvLd9CgAD7RgANcA4VAOokLyTsMIAA/EQEHeAAHgAuJSAvICEPAgD9+AAXsAhVAAj/ +Ai9GwRueoPwAAh2gPSUA+z04BaAOFQD0mGYV4A8FAFtL1uagPW0oBIAAwIDZgCtCwhyekRqejwu9 +QP2NAAzwu1EAC6g5CYgC6CUeKpAEgADRD8Ck/GBoHaAbRQBYjMfSYNEP3DD6AIIdoBtFAFiMw9JQ +0Q8AAGwQBMCw8uAAATAc9QD6QGgdoA0VAFh18cpI7TEAKVAEgAD6AAId4Bw1AFh17PpAaB2gCwUA +/APiHaANBQBYdefAINEPFJ5x/FgAFLAaNQAKmQIpRsEanm0bnm78AAIdoD0lAP4AIh2gCKUA+Jhm +FaAPBQBbS6RmoAorQsL6YAQd7/62AMCk/TzKBaAbRQBYjJ1j/5hsEAYqICH6IGgd4AwFAFv/1+mg +aR0BIgAA6BEAKYyMAAAJiAEoFQAqICH6IGgd4AwVAFv/ztKg0Q/SoNEPAAAA6hEAIZQdAABpM9vp +qgEJ34KAAAuqAvogBB2v/zYAAABsEATUIPwFAh2gK2UA/GLgBlAqVQB6MS97MV/8YASMIgCdAMYq +0Q8ALSBD/aAE3CIAnQD7oAVsYgCdAPugBwwiAJ0AwCDRDwAqICH9PGIF4AsFAPwAAh2gDgUAWANS +wLD6RDAVoBx1APwBAh3gDoUAWANM0qDRDwAAAADAsPpEMBWgHIUA/AAiHeAOFQBYA0XmoIhtEASA +ACpAIfoAAh3gHCUA/AQCHeAuBQBYAz7RDyogIf08KgXgCwUA/zwmBaAMBQBYAzjAINEPKiAh/Twc +BeALBQD8AAIdoA4FAFgDMcAg0Q8qICH6AAId4ByFAPwAIh3gDgUAWAMr5qAebRAEgAAqQCH6AAId +4BwlAPwEAh3gDgUAWAMj0qDRD9EPAAAoIRjNhCogIf079AXgCwUA/zvwBaAMBQBYAxoqQCH6AAId +4Bx1APwBAh3gDgUAWAMV0qDRDwAAAGwQBMCl/T/+BaAbhQDtICIp8ASAAFiMKBmd5vs7zgWkCAUA +DwIA+GAEBPAEBQDphDkBwByAAApEAiMgIRWd4Px4ABGwCEUACDMCI1bB+zu6BeAMBQD8BkId4A4V +APs7sAWgCKUA+LhmFaAPBQBbSxLnoBRtEASAAMCk/TuqBaAbRQBYjA3RDwApUsIjVsEandEKmQEJ +SQIJCU8pVsL7O5IF4AwFAPwGQh3gDhUA+zuIBaAIlQD4uGYVoA8FAFtK/uegFG0QBIAAwKH9O4YF +oBtFAFiL+dEPANEPAAAAAAAAbBAEwKX9P5AFoBuFAO0gIinwBIAAWIvw+kQwFaALBQD0AAIdoIMB +AP6AaB2hDQUA+a0ADzAMRQBYAsxmoCnAovpgBAUyCQUA+y0ACjALBQD6RDAVoAyVAP6AaB2iDQUA +WALC0qDRDwDSoNEPbBAEKyAhGZ2aC7sRK5bBGp2WKpbCGp2XG52Y/AACHaA9JQD+ACIdoAiVAPk4 +ZhWgDwUAW0rO5qAtbRAEgAAK6jAZnZXTDymSQQqZCgzqMAycDGrBDm0ICArqMAqaDGqhAmP/8NEP +AMCh/TsQBaAbRQBYi77RDwAAbBAIAioCW//gZ6AH0qDRDwAAAAD6RDAVoAsFAPwD4h2gDRUAWHTp +Fp15F51yE51y/12IDeAEpQAoICHTDw8CAP0YABQwGTUACYgCKDbBG51s/AACHaA9JQD7OtAFoA4V +APR4ZhWgDwUAW0qi56LXbSgEgADApPzAaB2gG0UAWIud2lBmr4X6RDAVoAsFAP0+3AXgHPUAWHTN +/1b4DeAFRQApICHBqAuZEQqZAik2wRqdU/s6pgXgDAUA/AZCHeAOFQD0eGYVoA8FAFtKiuoWBiUV +hYAAwKT8wGgdoBtFAFiLhYoWZq8k+kQwFaALBQD9PqwF4Bz1AFh0tWavDiogIQuqESo2wRqdPfs6 +egXgDAUA/AZCHeAOFQD0eGYVoA8FAFtKdOoWASUXTYAA3GD6AIIdoBtFAFiLb4oRZq7NKiAh+gAC +HeAc5QD8AGId4A4FAFgCTWautCogIfoAAh3gHCUA/gACHaCNBQBYAkdmrpscnzf8RFAV4ApVAP5D +pBWgG4UAWItcKyAhC7sRKzbBGp0b+zo2BeAMBQD8BkId4A4VAPR4ZhWgDwUAW0pSmhPzXkgN4AYF +ACkaAC4ywiwhHQnuAv+BoAXf7gEAH50YD+4CDg5P+kQwFaALBQD8AAIdoA0FAFgCKGauISogIfoA +Ah3gDEUA/gACHaPtBQBYAiJmrggqICH6AAId4AyVAP4AAh2hDQUAWAIcZq3vHJ8KLSAi/kOkFaAK +VQD+IIYVoBuFAFiLMIkU+kQwFaALBQD4IAIdoAxFAP7AaB2gmQEA+Q0AD3ENBQBYAgxmoNyLFC0q +APwAQh2iCgUA7LsBC3AEgAD7TQAPcAyVAPpEMBWgCwUAWAIBZqCxHJ7uLSAi/kOkFaAKVQD+IEYV +oBuFAFiLFY0SLkoA+MBoHeD9cQAP6TnpFgUmwCSAAAeZApkVJyAh0w/TDwt3EQV3Aic2wRqcy/s5 +lgXgDAUA/AZCHeAOFQD0eGYVoA8FAFtKAuaiv20gBIAAKjLCJzbBG5zFiRULqgEKmQIJCU8pNsIa +nLwbnLz8AAIdoD0lAP4AIh2gCJUA+HhmFaAPBQBbSfLmopdtGASAAGagAiYkQmaszuYkIC0QBIAA +0Q8tMsLAsPpEMBWv3QEA96YADvAcNQBYdBtmrKn6RDAVoAsFAPwD4h2gDQUAWHQWZqyT2iBb/wNj +/QIAH5yuLTLCwLAPAgD/oAQG8A41AP+mAA6wHIUA+kQwFa/dAQBYdAlmrGH6RDAVoAsFAPwD4h2g +DQUAWHQEZqxLKCAh0w8PAgD9GAAUMBmFAAmIAig2wRqcifs5EgXgDAUA/AZCHeAOFQD0eGYVoA8F +AFtJwOoWACULvYAAwKT8wGgdoBtFAFiKu/ogCBWv814AH56PLTLCKwoADwIA/6AEBvBuBQD/pgAO +sAwFAPpEMBWv3QEAWHPlZqvQ+kQwFaALBQD9PQgF4Bz1AFhz4GaruvpEMBWgCwUA/AJCHaAdJQBY +c9pmq6T6RDAVoAsFAP089AXgHBUAWHPVZquO+kQwFaALBQD9POoF4BwFAFhzz2arePpEMBWgCwUA +/AJCHaANBQBYc8pmq2L6RDAVoAsFAPyEQh3gHBUAWHPEZqtM+kQwFaALBQD9PMwF4BwFAFhzv2ar +NvpEMBWgCwUA/AJCHaA9xQBYc7lmqyD6RDAVoAsFAP08uAXgHBUAWHO0ZqsK+kQwFaALBQD9PK4F +4BwFAFhzrmaq9PpEMBWgCwUA/AJCHaANhQBYc6lmqt76RDAVoAsFAP08mgXgHBUAWHOjZqrI+kQw +FaALBQD9PJIF4BwFAFhznmaqsvpEMBWgCwUA/APiHaANBQBYc5hj+8senkEtMsL/oAQGsAsFAPWm +AA7wHIUA+kQwFa/dAQBYc49j+1AAAAAAwKT9ODgFoBtFAFiKVPogaBWv9koAAAAAwKT9OCwFoBtF +AFiKTvqAaB2v9dIAAAAAwKH9OCQFoBtFAFiKSPpgaB2v9XIAbBAEKSAhE5wF/TgAFLAa1QAKkgIi +NsEanAIbnAL8AAIdoD0lAP4AIh2gCKUA+HhmFaAPBQBbSThnoBbApP03+AWgG0UAWIo08UVIDeAC +BQDRDykywiI2wSv6APsgBATwKhUACpkCCQlPKTbCGpvtG5vt/AACHaA9JQD+ACIdoAiVAPh4ZhWg +DwUAW0kjZ6+3wKH9N9IFoBtFAFiKH2P/p9EPAABsEAQpICETm9z9OAAUsBrVAAqZAik2wRqb2Rub +2fwAAh2gPSUA/gAiHaAIpQD4eGYVoA8FAFtJD2agQCkywuogIST8iIAA+gACHeAc1QD8H+Id4O7l +AFgA7NKg0Q8AAAAAAAAA+gACHeAc1QD8H+Id4O71AFgA5NKg0Q8AwKT9N4YFoBtFAFiJ+2P/sWwQ +BCJ6w9EPbBAEKgoF/TuuBaAbhQDtICIp8ASAAFiJ8iQgIRWbsgtEESRWwfs3YgXgDAUA/AZCHeAO +FQD7N1gFoAilAPi4ZhWgDwUAW0jm56AWbRAEgADApP03UgWgG0UAWInh0Q8AAAApUsIkVsEbm7cd +nb8anb/9IAQE8MMBAAy6OQqZAgkJTylWwvs3MgXgDAUA/AZCHeAOFQD7NygFoAiVAPi4ZhWgDwUA +W0jO56AUbRAEgADAof03JgWgG0UAWInJ0Q8A0Q8AAGwQBCkgIfU3DAWgBRUA0w8LmREFmQIpRsH7 +NwYF4AwFAP6gaB2gPSUA+zb8BaAIpQD4mGYVoA8FAFtIuOahAG0wBIAAK0LC+TboBaAERQDktAEF +9QSAACkgQvxEUBXjmQEAHpyXA9wRDswIKMa3/gBCHaAKBQDrwrckgVGAAGiRNWiST/UgBOGSAJ0A +90AFYJIAnQDAsQS0OeQ2AC0QBIAA0Q8A8WAEJBAKFQAlJEL//2QNoAoFAHC3Dv5IRh2gADoAAAAA +AAAAACokQv/+8A2gCgUA/2uABBD/BQArwoHEgAi7AivGgSnCgSr6vwqZASnGgSggQg+IASiMECgk +Qg6IAg+PAegkQi/vDAAAwKH9Os4FoBtFAFiJgcCT+EhGHe/+sgD//ZANr/r1AGP/XAAAAAAA+khG +Ha/+UgDSoNEPwKT9NngFoBtFAFiJdNJg0Q8AAABsEAQoICHzNmIF4AWlAP0YABQwGaUACYgCKDbB +Gpst+zZaBeAMBQD8BkId4A4VAPR4ZhXgDwUAW0hk5JspHQJCAAApMsJ0lwPAItEPKiAh/VgAFTAL +VQALqgIqNsEamxz7NjoF4AwFAPwGQh3gDhUA9HhmFeAPBQBbSFNmoBkiMsIC0kDRD8Ck/IBoHaAb +RQBYiU3AJdEPANxA+gCCHaAbRQBYiUnAJdEPAAAAbBAEHJ0r/ERQFeAKVQD+Q6QVoBuFAFiJQSMg +IRSbAQszESNGwfs2AAXgDAUA/AZCHeAOFQD7NfYFoAilAPiYZhWgDwUAW0g15qBDbRAEgAApQsIj +RsEanRcImTIKmQIpRsL7NeIF4AwFAPwGQh3gDhUA+zXYBaAIlQD4mGYVoA8FAFtIJuagFm0QBIAA +0Q/ApP010AWgG0UAWIkg0Q8AwKH9NcwFoBtFAFiJHNEPAABsEAYXmtvpJAAKEASAAOQwTGymwoAA +khEDSQIpdsEidsIamtQbmtX8BkId4A4VAP4AAh3gCAUA6HbDLGAEgABbSAvnoMBtEASAAMCk/TWY +BaAbRQBYiQVgAEsAAAAAAksCK3bBwKoqdsMbmsP8AAIdoD0lAPs1gAWgDhUA8iAmFaAPBQBbR/nn +oBVtEASAAMCk/TV4BaAbRQBYiPRgAAYALHLCLBUA6BEAKQLSAADHnwlVAwWFAfBlgA3vVQEAA0oC +KnbBiREpdsL7NVYF4AwFAPwGQh3gDhUA+zVMBaAIBQD4+GYVoA8FAFtH4OegbG0QBIAAwKH9NUQF +oBtFAFiI29EPANEPghHAs/r4ZhXv/W4AjhEPAgAOTgIudsEFbQItdsIsCgksdsP7NSYF4AwFAPs1 +IAWgPSUA/gAiHaAPBQBbR8rnoBFtEASAAMCh/TUeBaAbRQBYiMXRDwAFaAIodsLA8f74ZhXv/wYA +bBAEwKX9OUoFoBuFAO0gIinwBIAAWIi66iAhIYDhgAD9NPAF4AsFAP807AWgDAUAW/+Y0qDRDwD9 +NOQF4AsFAPwAAh2gDgUAW/+S5qBEbRgEgAAmICEUmmvAWueabxs2woAAJkbBGppo+zTQBeAMBQD8 +BkId4A4VAPSYZhXgDwUAW0efZqCGKELCdI/VLiEdd+8E0jDRDwD9OP4FoApVAPxEUBXgG4UAWIiV +IiAhCyIRIkbBGppV+zSqBeAMBQD8BkId4A4VAPSYZhXgDwUAW0eMZqBKKULCIkbBGpxvCJkyCpkC +KUbCGppIG5pI/AACHaA9JQD+ACIdoAiVAPiYZhWgDwUAW0d+Z6+HYAAiwKT84GgdoBtFAFiIeSL6 +udEPwKT84GgdoBtFAFiIddIw0Q/Aof00dgWgG0UAWIhx0jDRDwAAbBAEZEBw9IAE4JIAnQD0gAVR +EAoFAPgAAh3gBAUA8MbgDe/ipQBpYRrwinAN4AYFAACYEfKgAQP//AUA++ACHeAARgDRDwSEFORA +HWMwIQAAdLjxdMAMtGbwABwNpEQdAACxZgQUFGVP9w2kEQhEAgRkApR00Q8CWgrpoYglUAcAACSg +DiqgD/7ZrA3gAgUAJTQLJDQMKjQN+GDkHeALRQArNAjRDwJaCumhoCVQBwAAJKA++0fwFa//QgAC +WgrpoZQlUAcAACSgJvtE8BWv/uoAAADgnBENX0KAAAy7AgNcCpvE0Q8AAGwQBBiaGKgoJ4KAx58J +OQMJdwEHRwInhoAH6jAWmfkmYkGnYgXqMAUlDGpRDm0ICAnqMAkpDGqRAmP/8NEPAABsEATKJ2gh +KW8kAm8iH/xA4BPQA6UAbyQY8mD2DaAEtQBvJwl0IQpoKgPAINEPwCHRD8Av0Q8AAGwQBso89GAH +GJIAnQBvNAJvMh9vNwf0YAaSUgCdAMBac1MCbzcMwGv2YAYEIgCdAGk6CPAAHA2gCRUAAMCQH5nS +HpvuG5nqGpvu/AACHaAIFQD1DQAKMAclAPZBAAvwSAUA9Q0ADj+99QDjdBEIwASAAPAAOA2gBwUA +sXfodGRkQBEAAMFfB1UMdZXtheCVEIXhlRGF4pUShePlFgMhGEuAAIOApDP6YABBsAAyAAAAg4Gk +M6ozqzUjUoANMwEDwwIjVoAG6jAF6jAj8kGmMwU1DGpRpG0ICAXqMAU1DGpRmGP/8NEPAAAAAAAA +//04DaAJ9QBsEAYem3z9NqwF4AsFAP0zMgWgCgUA+AACHa//9QD4WAARsAkVAPIgBhXgADoAsbvq +rCAlpUkAAIIQClUUAlUKDlUIJVLD/r5ADeACBQALthFtCCwAIQQAlxp3UBYMYwIj1rMj0rQPdAP0 +oAQCvTMBAAOIN7Ei7yy0YzAFAABkX6xj/8wAAIUQrlUlUsLwo/AN4AIFAPYQAh2ginUAbQgrACEE +AJcad1AWDGMCI9azK9K0D34D/qAEAr27AQALiDexZuajCnEQBQAAyFJj/80AIlruCII50Q9sEAYV +mZ8rICIoUpclUpqriOQwACxGQoAAqFWFV+VSDiIDEYAA9IAMyJIAnQD0gAbBH9qlAPSACLmSAJ0A +9IAHEhIAnQBoRRf6AIIdoBuFAOybehpoBIAAWIeNxirRDwAsMQEMzEJvxAf1gAUZUgCdAPWABNuf +6qUALTABeN8QYAAbAI4xLlYWKTABwKB4lw3wgOAN4AMFAGhBBmhCA9Kg0Q8kICL2H+Id4AYFANpA ++mBoHeAMBQD8AAId4AkFAPggJhXgDgUA+CBGFeBoRQD4IAYVoA8FAFs+fWavwKU8LMCQDwIA58oM +CdgEgADqbDgKUASAAFs+UuagB2GYBQAAaTipZq+XYADZKTABY/99AB2bLP1gAEbwCgUA/bAGHa/9 +agApMAP1P/mKUgCdAAWfCiIxAyL1iOIwBSf4BwAAIvQPLjAE/+HGHaACBQDRDwApMAH+AEIdoApF +APsgBAQwD4UA/yAEBvB5AQD/IAQGMGmNAP7ABANxuY0A6rsBDmbCgADv3REMR0KAAO2IAgu+QoAA +/OYAC7KpjQD/QAQFMMk5AAyqAgtmAgpmAghmAvbGAAtwCgUA9r5mHa/7SgAAAAAqXFz6YPAV4AyF +AOtUViHYIQAAWH/YKTAB//q4DaAKBQAqICL8RbAVoAsVAFgLsNKg0Q8AAABsEBKNMIox9zHGBeAG +BQDkICIrYASAAP+koALfqoEAHpkC+iCGFaAolQD5QAfSogCdABmbBAmpComQCpAAAAAA8aAFYlCL +BQCOMQ4OX/XAB9mQD4UAfvNnHZkMbuZhLdKXGpnqLCAiKqKCrcwJzBGsqoqnLTAIiq71wA8jEgCd +APXADuQSAJ0AZNdR9aA68JIAnQD1oA/hEgCdAPWgPeGSAJ0A9aBBohIAnQD5oA660gCdAPAA5A2v +7KUAAAAAAAD+IoYVoC91AH/hNBya3y4gI+8gNipoBIAA+CKIFaAKJQD4IAYVoBuFANMPWIbrxsrq +VAAJ2ASAAFiERMAg0Q8ALCIbDwIADwIAjMnJweokAAnYBIAAC8AA/UBoHa//UgD9+0Idr/8yABya +yS4gI+8gNipoBIAA+CCIFaAKJQD4IAYVoBuFAFiG1GP/oSkgK/oAAh2gDQUA/yOgB9D5AQB+lxV9 +lxJ8lw8uISAODUX1oAAWsAAmAAAALiEgGJlU7BYXJ4B5gAB+lwd9lwR8lwHAofU1XgWgzjEA5RYW +K3gEgAD9DQAPsF45AOghHitwBIAABU45FZh32WD0wGgdoMgxAPytAAywWDkA5XQ5DWBCgAAM3AIO +ngIEzAIOzAIuICQL7hAO/gIOzAIuICEvICPo/xEPdAKAAA/uAg7MAi4gICkgLC8hHO81Bi92woAA +DpkCDJkCLiEdLjUHLCEZLDUImTIcmoz0RpAV4AQFAJQ2lTcvIDUvNBIuIC8uNBMpICKsmSmQgCUS +FiwSF/hhZB2gmQkACWs5+mKGHe/6WgAAAGTX3PWgPwiSAJ0AaNIk9aA/8ZIAnQD1oENyEgCdAPWg +OnKSAJ0AwKT9NOgFoBuFAFiGgf/5ZA2v7KUALSBDZd5M6xIEKVAEgABYWyCNMP1AaB2v9joALyIb +hzIqIRzqFgooBAqAAPvgRXASAJ0A+iFGFa4IBQAIqAL3AETc4gCdAByaXokaLyA2KCEdLiAjlxKZ +EPggJhWlmAEA+CHmFeGYMQCZHfgIAASxiEkAmBv4IcYV4ApVAPhD5BXgG4UA6RYDKmgEgABYhlyL +HwcMRSwWEHy5JI0dB25Bftkcjx4HiEB4+RQHm0H7YELgEgCdAIkb+yBClGIAnQDAofpFxh2g11kA +8uASBRDHYQDy4BGE0KdpAB6Y1ttg+80ADbAIzgAsIhuMyWTCDuokAAnYBIAAC8AAjTD9QGgdr/Ka +APzAaB2gDhUA/komHa/yWgD8wGgdoA81AP5KJh3v8hoA6zwIKVAEgABb/pCNMP1AaB2v8cYA/MBo +Ha/xpgAZmQwqICEtknwrMAgpkoKq3eyZzh7uQoAA7ZkIBf0UgAAvMQgtMAmfFi8xCf1GQBJQ3SEA +ixYAuxHr+wINfMKAAKz/K/bIK/LAwIH9DQAO//jlAAi7AQvbAiv2wCswCC8SGH63Ry8xCi0wCZ8X +LzEL/UcgElDdKQAoEgcAiBHo+AINfMKAAKz/KPbJKPLAKwoB/W0ADv/71QDriAEO38KAAAi7Aiv2 +wCswCC8SGX23RS8xDC0wCZ8YLzEN/UbgElDdMQCIGACIEej4Ag18woAArP8o9soo8sDAsf1tAA7/ ++7UA64gBDt+CgAAIuwIr9sArMAgvEhp8t0UvMQ4tMAmfGS8xD/1G4BJQ3TkAiBkAiBHo+AINfMKA +AKz/KPbLKPLAwLH9bQAO//t1AOuIAQ7fQoAACLsCK/bAKzAILxIbe7cyKzAJwID8YKQV4LsZAAOm +EaxmLWbHL2LAwdD7rQAMf+v1AAv/AQj/Ai9mwPphEBXgBgUAebc5KzEHHJmU6RYFJa9ZgAAokCLv +vBIsRMKAAP0AAEQ//wEArogvhoD/IyQd4A0VAC2UNCqQLmSlUSqULo0w/+q0DaAMBQAA/ftCHa/q +kgD7M1gF4AAyAPsu/gXgp2kAKSAiHpmrA5kRrpkel5uumSiSgB6ZqA6IAQi4AiiWgC4gLy8K/g/u +AS4kLw3uAv5F5h2g/9UAD+4B7iQvLkfCgAAI6AIoJC/+IUgVoPm1AAmIAegkLy1/goAAD48C/kXm +HeYJBQB+kCgHm0HKsoobe6EeLCIbLMIU6xYSJhAxgADaIAvAANyg+iJIFeAH6gAAAMCgLRoADX8B +nxx9cEEsIR93xzstEhBk02COH33pFIge+eBoHeALFQAPuTn5ACTEYgCdACwiGyzCEmTCduokAAvY +BIAAC8AA/UBoHaAJrgCNHmTQWiwiGyzCEsnD+kBoHaALBQALwAD9QGgdoAAmAAAALPra5sEXblAE +gAAsIhsswhHJwvpAaB2gCwUAC8AA/UBoHaAAIgAALPra5sDwblAEgAAemWEtIR0O3QEtJR0oEhCP +H3j5BIkeZJPyihwPAgBko1UsIhsswhPJweokAAvYBIAAC8AA/UBoHaAAHgAs+trmwKluUASAAB6Z +UC0hHQ7dAS4SEA7dAo4d9y4sBeHHMQAtJR186QmPHueXEheByYAAGZlH6dkBDl6CgAALmQIJCU34 +Q6Qd4MgFAOiYAQtYBIAA6Hs5BOQogAAclwQMuwILnQItJR0pICvTDw8CAH+XO36XOH2XNeoWEyTw +yIAAKiAiWFV0+iJoFaAAkgAALPra5sAYblAEgAAfmSsuIR3/wAQHcQ8FAA/uAi4lHReW8Y0w/UBo +Ha/hngApojAmNBCZNf/kHA2gDAUAK60B6aC+LZAEgADrvMQhEzEAAPhi5h3gDBUA7DQQJYB5gAD6 +YwAVoAyFAFh9ycgr2yD6ZAAVoAyFAFh9xv/jCA2gDAUALPra7BYTLlAEgADtIR0uHNIAAB6ZC+7e +AQ39woAAD+4C/kOkHa/3sgAqrQEtoAX7QJAVoAiFAPmgBAWwDkUA/6AEBLHNjQD/gAQGMC2NAP94 +ABWwDiUA6CIBDM9CgAD7JgAM8I0BAPxGAAkyvY0A7rsBDEZCgAD/oAQHMN05AO27Ag92woAADogC +CyICCSICCCICIjQJKjQP/AACHaAPNQD+YQYd7+BmAMDBuDv8YXAV4A4FAFv8ff1AaB2v4AoAAAAs ++trmztVuUASAABqY2ykhHQqZASoSEIgdCpkC+EOkHeHXMQB9iRCMHIsewOEM7Dn9YAScIgCdAB+W +migKwOh4AQtYBIAA6Ps5A+QwgAAZlpPTDwm7AiwiGyzCEcnMLRYR63sCCVAEgAALwADnlo0dYASA +APwiKBXgADIA9y0SBe/cpQDmzlRuUASAABuYvCkhHQ8CAOuZAQ7WgoAACpkCCQlN+EOkHeDOBQDu +ngELWASAAO57OQTkKIAAHJZ4DLsCC50CLSUdKyIbi79kve3aIAuwAP1AaB2v96IALKAw8YEQDeAJ +BQAtoDvI1i+gRsDhD+k5q6wrwOBksSv1YApgkgCdAPVgCeESAJ0AwLAioEjtoD0txQKAAOygMiz+ +QoAA/mImHeAOVQDuNBAu7gKAAO2IAg5nAoAADCIC+EYACTAMBQDyYSQdr9rSAPlEaBXv9nIAIq0B +6aCKIVpBAADyUwAVr/amACgSEGSBTrCJ+T/k9iIAnQBgAUEAAC2g/P4AQh3gCIUA+19wFaAORQD/ +oAQEsC2NAPmgBAWxzY0A7swBDd/CgADoIgEMz0KAAP+gBAdwjQEA65kCDEZCgAD8RgAJMr2NAO+7 +AQ92woAA/wYADDDdOQANuwILIgIJIgL4RgAJP/e2ACoxBv/qnA2gqgEAAP/3xA2gDCUAix0HbEH9 +f+a8IgCdABeWJfxDpBXv8UIAjB0HbUH9n/DdYgCdAGP8twAAAAAdmCsrwOGtuyuwgGizFWi3Emiy +B/l/9mPSAJ0A//sYDaALJQD/+vgNoAs1ACaUNFv8eYkVL5A0ZfotK5AiHJgTA7sRrLscljH9YABF +v4oBACi2gPsjJB2v6EYAAByYQC8gNi4gI4gamBD8Q6QV4AolAPwgJhXgG4UA5xYCKmgEgABYhD7/ +8LANr+qlAP/wkA2gCgUAHJgzLyA2LiAjihqaEPhDpBXgG4UA6RYBKmgEgAD2IEYV4AolAFiEMGP/ +xByYKYsaLyA2LiAj/CAmFeAKJQDnFgIqaASAAPogBhXgG4UAWIQm+iJoFa/vLgAAAABsEAYuMQvt +IgAnRPUAAGjrEsCj/TAyBaALhQBYhBzAINEPAAAcmBaONo83iTiZEPhhKBWgCjUA+CAmFaALhQBY +hBPAINEPAI42/mDoFeAKNQD9MBYFoAuFAFiEDMAg0Q9sEATAINEPAAAAAABsEAiIICcgB5MUKRIE +9SzSBaAFpQDzLMwF4AYlAPkA8g3hdwEABVYC9OAJuhIAnQAMeBGjiCmCnvcgE+OiAJ0AKIKdBHkK +KZK/CYgBCIQC6BYDJAqpgAAalfsKAIdtaQIIAmEpIQeLIBqWVfwgiBWqmQEADJkR6pkCDdYCgAD9 +YAlToAUFAB+W6plA/yyaBaAMhQCcQ55C+S3OBeANJQANqgKaQQm5AplEKvKALvJ/6yEJK8cCgADz +AABEcAwFAOaGnSdz/QAA/+/mFaANFQBbP8mKJ44i/0QAFe/IBQAI/wHlpRQn+QEAAJ+p76YIJwLh +gAD9L5IFoApVAPxACBXgOyUAWIPHiCJkgJTAsPv+Ah2vCQUAbQgNeJANCIgU5IAcZdghAABj/+t4 +oAu0u/AAGA2kiB0AsbsIGBRlj/f6QGgdr/z1AFtIUIonx5+ZoJUnWzzRJSQE5SQFKhAEgADRDwAA +GpYAiaj3IAqgkgCdAAx4EaOIK4Ke92AK86IAnQAogp0Eeworsr8LiAFkgUywnP1BBhWv+t4AAAAA +AAAAAP/+aA2gCwUA0oDRDx+WpI4TFJVelRH0IAYV4AxFAOyrAg3HgoAALSAHBIgC+cCGFaAshQCc +4/vAJhXh3QEAnRKZ4A/dAumV9hjQBIAA6eYCIVmFAAD9wKYV4Aw1AFh8MrQa+kugFeAMNQBYfC8c +lXQpIQeOExqWiogSlev1wUYV7//1AJ/pn+if55XmjRCLEQqIAvsrxAWn3cEADbsCm+z6IAgV6pkB +AO+V3hzPAoAACpkC6eYQLd4CgAAMuwKb7YsgJeYWJeYXJeYYJeYZJeYaJeYbJeYcJeYd+cKmFaAq +hQD7wmYVoA1FAO/mEi3WAoAA7a0CDf+CgADk/wIHQAcAAO/mFCQiAQAA/cImFe/3YgAAAAAAAAD/ +9kANoAgFAMCgWH7oGpWniaj5P/UQkgCdAP/12A2gCAUAwIAFmzT7QQYV7/WmAABsEAYkIAcYlnaS +EfMqLAWhRAEABEQJDEQRokKoRCRCfyItICIs4PKABuQiAJ0A9yzaBe8bBQDyIAYV4A4FAI8Rj/Bt +CCSrRShZiCZSPONSQyQA4YAAiTEJiRQHmQF58RzUYPZABQQiAJ0AY//UiuEKihQHqgH7/P4NoAMF +AI0z/mBIFaAKVQD9LlYFoDslAFiDKi1SPS9SPJ/QLlI8ihH9wCYV4AwFACxWPOxWPSnYBIAA/CAI +FeAMFQBYPo8alx7AMPqnSBXgDAUA+0/oFaANFQBbPw76gwAV78wFAAy7AeNViCXZAQAAK1ZD61ZC +IlPhAABbPC/0wGgdrxsFAPZf+eUgDgUAwCDRDwAAbBBEKCAE+QAZIlIAnQAUlbgVllWJMReVXeaW +XRKq8QAA8yAYiJIAnQAZlk8blPAjIAwlkn8EAIkpkokFMwglIhboHH8pnkKAAOOTCARAxQAA5SEZ +KqZCgAD1IABCMBkFAG2aAggAiioxKQ8CAA8CAPtAFdRiAJ0AHJZFLSIA/kCwFaAKVQD+SpAV4Dsl +AFiC5xiU/RmU/v5ACBWg7xUALxTI+CWGFeAPhQDoFi4vdgKAAA/uAi4WLSogBykxKQoKQQCqEQqZ +AgeZAikWMAjqMCgWMSYWNP4mphWgTwUALxVnLSBULRThLCAFLBTi+kYQFeANFQAtFOArFOPuIhYg +0f0AAO4WOSVRRQAAi6WOpI+j6KICIOH9AADpogEmYcUAAJnBmMKfw57Em8UqogAqxgDqIgAhWNEA +AOoWQiDwBwAA6CBUIMgHAADolA0g+AcAAPpAsBWgDIUA6vQQIMgHAADoIDAg+AcAAO30DCDQBwAA ++SImHaANBQDt5A4lUGEAAFh7VOssPCDQBwAA+0QAFaAMhQBYe0/rTHIg0AcAAPtFQBWgDGUAWHtL +6yxOINAHAAD7RaAVoAw1AFh7RussXCDQBwAA+0aAFaAMhQBYe0EFjED8M0QV4IUhAPQHAAVwlSkA +/jNkFeHlHQD+pgAPMLVZAO8ViS3eQoAA7yIMLM/CgADpiAINV0KAAPQGAATw7gEA6e4RDM+CgAD7 +JgAMsD0pAPx4ABGwpVEA4+4CDVaCgAD7RgANdP+ZAP+AABKwtUkA7+4CAPgHAADu9BQt3sKAAPqm +AArwvWkA/E0QFaDdcQAJ3RHqVQIN3oKAAPpNMBWgzBkA7bsCDmdCgAAMuwL6BgAGMNo5AO/MEQ7v +goAADcwC/WYADbCqKQD7RgANcDsFAAuqAir0FYQsCVUCBYUC9eLmHeA0gQD0EQAHMESRAOruEQom +QoAA5O4CCZ7CgADzxgAPcAOFAAPuAi70FoUnLVkUKlwg61IJJqUBgABktJgrHH/7ZiAV4AyFAFtD +X8Ag0Q8AAAAAAAAA/WA0zCIAnQCFKfChQA3gW2UAKlAF+0ApzGIAnQCFW2Vf78Ag0Q8AAAAAKyAF ++gKiHaBNNQD9eP4N4Dz1APQAChWgDAUA/CAmFa4LBQDrFgAgwCEAAG2qAggAihqVPZoS/kAIFaAJ +BQD4I2Yd4AhFAPgkBh2j//UALxUM/cAAFzAPtQAP7gIuFgMtIAQqHCH1oC3qkgCdAPpKIBXgDDUA +WHrKKhwl+knAFeAMNQBYesYTlWsoIhYjMokJiBGoM4w3w98tJAWMzivAcC3AcQi7EQ27ArG7+44m +Hei7HQArxHDAkOkUOSDRAQAA6RQ6IdkBAAD4J2Yd4AhVAPgnBh2gDIUAWHqxKzxI+iCAFaAMNQBY +eq2OEescCClQBIAA/w4ADzAMtQD+IeYVoA0lAFgeKvJBkBXgGJUAKCRUBACJFJVHKFKQJSIWJEKJ +CDMI/GgAEbAZBQDjQwgKrkKAAOVECADB/QAA5SEZJEDFAABtmgIIAIoak9spMSkPAgD7IBRsIgCd +AByVPy0iAP5AsBWgClUA/kqQFeA7JQBYgeEZk/gYk/f+QAgVoO8VAC8UyPglxhWgD4UA6RYsL3YC +gAAP7gIuFi0qIAcpMSkKCkEAqhEKmQIHmQIpFjAI6jAuFjUmFjT4JiYVoE8FAC8VZy0gVC0U4Swg +BSwU4isgMPo8Zh3gDRUALRTg7iIWINH9AADuFjklUUUAAIuliaGIou+iAyDh/QAA7qIEJmHFAACe +xJ/DmMKZwZvFKqIAKsYA6iIAIVjRAADqFkIg8AcAAOggVCDIBwAA6JQNIPgHAAD6QLAVoAyFAOr0 +ECDIBwAA6CAwIPgHAADt9Awg0AcAAPkiJh2gDQUA7eQOJVBhAABYek7rLDwg0AcAAPtEABWgDIUA +WHpJ60xyINAHAAD7RUAVoAxlAFh6RessTiDQBwAA+0WgFaAMNQBYekDrLFwg0AcAAPtGgBWgDIUA +WHo7KRGa9AYAB3BlUQD0BAAB8EVBAPQFAAbwhUkA9AsABnGlHQD+M2QV4LU5AO8ViS3fQoAA6loC +DmZCgADriBEO78KAAO0zAgonAoAA6EQCCzaCgADsZgIPL4KAAAtVAvpBiBXgqgEA/UgAFTDJKQDm +RAIOZsKAAP1GAA00u5kA66oCAOgHAAAq1BT6TRAVoIlpAPZNMBWgmXEA6ogRDM5CgAD5BgAMcKoZ +APYGAASwtjkA7rsRDM/CgADrmQINV0KAAAqIAvkGAAxwZikA+MYACzA4BQAIZgIm1BWPLAVEAgQz +AvOi5h3g74EA/hEABnD/kQDqzBEP/kKAAO/MAg92woAA/4YADjAOhQAOzAIs1BaFJytZFOSyj2LQ +gQAAK1IJZLKEKxx/+2YgFeAMhQBbQlkWlJMoIhYPAgAmYonpiBEJUASAAPjAAEMwi3UAW/3viicq +rBBbP7+DKcszFZSRhDv8YAgV4ApVAP5ACBWgOyUA7zAFKuAEgABYgTL6YGgdoIt1AFvWwuNEAAp+ +pgAAgynrIgshgkGAAM6z8kFmFeAA0gAA2iD6L+AV4AyFAPtmIBXgDSUAWznKwCDRDwAAAIm7yJnp +kgss2ASAAGWf9JO7mzzAwPxBJhWgAwUAi2pyuQuDKY0o/MFGFeAAqgDJtyqyCA8CAA8CAHKhDOuk +AAUASYAAiqhyqfLItyMiCS4iCC62CPpAsBXgDwUAnyjrJDApgjYAAIgrz4zEk/lgDYRiAJ0AGpRT +iyAuonL7UYgVoAwFAP9vAA2wDRUAWzzyHJNOi87D3+0kBSXb/QAA+4HGFeACBQDRD8Ti/kCmHaAC +BQDRDwAAZFrM+1/WTWIAnQCKVyqsEFs/cywxHI4zLiYfjTIsJQgtJh4rMB9ksXnAw+YsTiDQBwAA +62QABVEVAABYeY4jMB8EAIn4ICAloBlVAPkLABWmMwEAbZoCCACKH5Q77xZWINAHAAD6oAgV4A01 +AP1NZh3gDhUA/04GHaP89QAsFbT9YAAVsAy1AAy7AisWVyggBCqscfUABvKSAJ0A+kogFeAMNQBY +eXTqHQErWASAAPtOoBWgDDUAWHlv+iAgJeAPFQAvtIjzcaYd4A4FAO60iSrQBIAA/3FGHaAMtQD/ +cWYdoA0lAO60jiXZYQAAWBzmw4n4QKYdoAIFANEPAAD6SQAV4Aw1AFh5Wy0QACwQAeoQAiDYlQAA +KrQC7LQBKRgEgAD9YAYd4Cm1APhAph3v6YIA2iD6L+AV4AyFAPtmIBXgDSUAWzlJY/1wABqT74sg +LKJy+1GIFaANFQD9bwANsAwFAFs8j8Ag0Q/D3/xAph3gAgUA0Q/6SQAV4Aw1AFh5PS8dAS78RSjg +AengACf51QAAKfQAKPQBLuAC/+BGHa/8bgDaUPpAaB3gDAUAWCK0wCDRDwAAbBAEiScqIAcomRSJ +mdMg8Q1QDeGqAQAdkuRkkMoMqxGtuy6ynhyS4ffABgJSAJ0AK7KdDK4KLuK/FZLfH5MXDrsB6JKO +FYUxgAAuIQcikAeSkSwwB/phBBWq7gEA/8AAFzBMEQD0kAASMcwBAOTuAg4UAoAAAqoCCKoCD+4C +nrCPMPlgxhWgNAUA9WBmFaACBQCStZK3mrSVsv3gABewBUUABf8C77YBJdCBAAAJIIYKAmMJAIYK +AmGKNwzLEf1gAEX/yQUA5badJVCBAAAJqQHipQQkyQEAAJmg+UAmFeBYJQAoNAXRD8Ag0Q+MMsjO +xNn8YKYd4AIFANEPAAAAAPtDABXgDBUA7TQACdAEgABbR7HE2fxgph3gAgUA0Q8AbBAGF5Q+GJKk +HpQ9HJNGG5KhFpQ8KiEHJSBB/EREFeAEBQCUEAVfCfugABS6qgEA5pkCDVcCgADrqgIP/wKAAK/M +LMJ/mjD6QAgVoD/FAP5hZB3t3R0A5DUKLu0CgAD+YOYVoEbFAPhgRhWgC2UA9mBmFaaMAQD4YMYV +4Jw5APsoABSyzEEA7ZkCDmYCgADsiAINVgKAAAuqAuo2ASqsAoAA+QYADHAGFQDoVQIBWSEAAPam +AArwDMUA5TYEIdCBAABYeLcuISrTD9MP/mWmHajuHQAuNCwtIFfqPDwhWXEAAPxnZh3o3R0A/GdG +HejdHQD8ZyYd6N0dAPxnBh3gHIUAWHinLyB0xIL54AYkIgCdACQ0RCQ1JeQ2FCHRFQAA+Sf0Be+M +FQDsNDwo2ASAAPggBhXgDDUAWHiZKyB0KzRYiicqrBBbPnAVkzuLLSpSagm7EauqiqrJpCusYPog +gBWgDEUAWHiO+iAoFaAAJgAakq6aER+SrI0nHpIr++IADTPshQAMrCwOzCjsFgEm0EEAAFs+XYQR +gycPAgDqRAABmEEAAFrONO2RkhpgBIAA7pMjHVgEgADvIgAp0ASAAFrN6YInIiwQ2iBazcdooQ/R +DygwSLGI+GkGHa/83gAA2iBazd4SkeELqBHoIggFAYmAAAzqMCsihYuwsKLsuwgJUASAAFiBNipS +lAAhBABrGguqAipWlFiBbdEPAAAAAPoA4h2gCxUAWz8LLCJ/LCaD0Q8AbBAGJyILKiAEKyIJKKz7 +CHs48WYwDeAHBQBtCDKMtynJFP4AIh3gDQUA8SJgDeAOBQCJyYiSiZMEiAwI/TgFmQwJ/jj/oAZw +ogCdAIu7yrdj/8YAj3KIc/XvAA+wDhUA9Q8ADHANBQD5wgAOsAwFAA/sOH3A1WAAnCYgBxiSvP8i +uAXhZgEABmYJDGYRr2+oZpYRJmJ/7xYCJ/iDAAAv/OD+wAqcYgCdAPogBhWvHgUAbQg0rmMoOYjA +wfoAAh3gCQUA6jJDJAEpgACNooijBN0MBYgMCMs4Dck4e5g/JjI89+AEpCIAnQBj/8SLcoxz9W8A +DbAKFQD1jwAOcAkFAP1CAAywCAUAC6g4+RngHeAKBQBgAAfSsNEPAAAAAC0yPS8yPJ/QLjI8neEn +NjwnNj0sIASaE/WABhISAJ0AGpNh+mdIFeAMBQD7T+gVoA0VAFs7UfrDABXvzAUADLsB5zWIJdkB +AAArNkPrNkIjU+EAAFs4csAg0Q+GEY0SJmJ9Ld0gLdzYfWkIYABrJjI8dtFlrmMoOYjAwfoAAh3g +CQUA6jJDJAFJgACPooijBP8MBYgMCMs4D8k4e5DPiBDqFgMkJUEAAMAgkqOSotEPj3KIc/XvAA+w +DBUA9Q8ADHALBQD5ggANsAkFAA/JOPszYB3gCgUAY//DwCDRD+sSAylQBIAA/BBCHeAMFQBYOp1j +/yuEE/pAaB2gDBUA+oBoHeCNJQBYOpfAIJJDkkLRDwBsEBIXkynlIAcq8ASAAC0gBPZAsBWgOeUA ++uBgJeFVAQDrsn8mpPEAAPWgBtKSAJ0AaNYDwCDRD4ouLHI5iC0JqhGsqu+iByxGQoAAqMwsFhSM +x4/+nxSMzvwiZhWgAJ4AKCIWLHI57yIHLEZCgACozCwWFIzHj/6fFIzO7BYTKVAEgAAsMBPEgvjA +JOxl/BEA+MAkrCAp1QD4wCRsYEjlAPjAJCwiAJ0A+iEmFeCMAQAoFhX1oAVjEAYFAJoY6xYFJn18 +gAD1oAcqEgCdAGTwqvXgB8iSAJ0A12D1v/qrEgCdAOgSFSP6aYAAZI9FiifbMOqsICpgBIAAWz/6 +90LGHeACBQDRD4wnIhYU/YHIFaAKBQD8ImYVr/2yAAAAAAAAjTeMNp8amxnuFgcpUASAAFv/J+ak +AAUeOYAAixX6IQgVoAgVAJgWKTATCSlFy5qHFpsV9SAgCJIAnQD8QJAV7/3uAJ4X9kBoHaAMBQD8 +IMYVr/9aAAAAAAAAAP4g5hWgDQUA/CDGFeAGBQAtYAX9t2AVoB8VAP+ADLLiAJ0AGJLDCMgKiIDr +FgUsACKAAGT/yZsV+f/5CNIAnQCNZ5sZ7hYHLoDGAABj/mgAAACNZ5sVmxnuFgcm8uGAAPYAAh3g +BgUAKdkU5JUpZtCBAACL2YwXDAxH6MInbfAEgACbHC2wB/wgqBWgCwUAWzsSjWcu2RRk5bWL2f9g +aB2gAB4AAJMZwNj0oCeiEApFABiQ/QxfEaj/KPKe2aAO2Tn5ADeb4gCdAByQ+CvynQxcCizCvwy7 +AWS1DSsWF+oWDScEcYAAL2AHKGEIKWEHDwxBAMwR/QYADDqZAQD9IkwFoP8RAOr/EAzPAoAAD5kC +DJkCmbAckOgZkJmFYP1gRhWgPwUA/2BmFeADBQCTtZm2CYgCGZKA6LYEKq4CgAAKVQKVsSjgB+mI +AgWYgQAA6OYBL0gEgAAJIIYDAmMJAIYDAmGaHe4WDCWpAQAAJRYXnhyKZyUSF4sZ6qwgKmAEgABb +P3mMHI0dwLjkpgEtGASAAOy9OQtQBIAA6zQACuAEgABYMq+KZyqsEFs839pgWzfsY/4qyKyMFJsV +K8A4sbsrxDiLFSwSFJ0e6xYFJgB5gAAuEhMt4Fyx3S3kXBySVi9hN45gjSD4IcgVoAolAPggBhWg +OyUAWH5IjWcPAgAp2RTkk0Jm0IEAACvSCSyyAAyMV/wiRhWgPkUA/4Ah3CIAnQAvCgD+IiYV4A2l +ACphCPrC0BXj/PUA/U8ADTAJZQD7IgAOsPj1AHixIywSBypgQfwhZhXnzAEA/Z/AFaANFQAM3Dlb +Q7ntEgslKqGAAN5Q9KAW0hIAnQAYkIsMXxGo/yjynv0AKTviAJ0AHJCHK/KdDFwKLMK/DLsB2bBk +kYcfkCbvAAUM2ASAACkWEJ0bLhYYCwJhCwJhCwJhCwJhCwJhCwJh1eDqZAAM2ASAAFv90yhhCCk6 +//kACIRiAJ0AKhISw7T7QAfcYgCdAIlnLJkUZMMZi5kYkhEfj8aNsCmwEiwSEC6wB/kNAA/33cEA +LRYSD+4C7rYBK1AEgADtEgsmYYEAAFgyTioSEvYh5hWgOyUA+0ALbGIAnQD2IeYVoD1VAP1ACuxi +AJ0Ajx8v8gcnEgb75AAVr84FAP9ABAcwCAUA6PUUJ3EBAADu9gkp2ASAAO72CCpgBIAAWz76Y/xc +iBpkg2r1AB0gkgCdAOoSCCnYBIAA/IBoHaAdZQBYOU0tIAT/7tQNoAcFANxA+mBoHeCNRQBYOUcc +keGOMo8ziCCYEPxAsBXgCiUA/CAmFeA7JQBYfdDAINEPjWdl3IVj+tIpEhHTD2WfAIcWHpAu7BIL +Ku8CgACu3SzWnSogFvYh5hWg+/UA+1/5/GIAnQAqYEH6wtAV4DzFAFtDIPYh5hWv/KYAAI9ihxbt +FgsnmkmAAChhCCk6/9MP+QATLGIAnQAqEhLDtPtAEpRiAJ0AiWcsmRTkwn1k0IEAAIuZJbAHjBX8 +oGgd4AsFAFs6Gopn2zDqrCAqYASAAFs+uoxgi2cIzBEMTALspgEl0IEAAOsSBSrgBIAAWz6zY/s/ +AAAAAAAAhR8tURQlURLAYO0WFib0yYAA6nLEKtgEgAD8AAIdoA0VAFs5iChyxbFVCFUuKBIWsWZ4 +adxj/m7IqIsUKbBAsZkptEArEhTIuS0SEyzQZLHMLNRkjWLqFggmgMGAANrQWHtx26D6wGgdr/z1 +AFtCG4oYxNrtZAUlAHGAAI8ULvBBse4u9EEvEhRk/McpEhMokGWxiPksph2v8vIAAAAAAAAA//ME +DaALBQAcj8OMyPeAFFCSAJ0AGI/SDO8RqP8o8p79ABTz4gCdABiPzyvynQjoCiiCvwi7AWSyiRqP +trDJmaj5YGgd7/RGAAAAAAAAAAD/62QNoAsFAAAcj66MyJod94AToJIAnQAYj74MXxGo/yjyntmg +Dtk5+QAUE+IAnQAYj7kr8p0IWAoogr8IuwFksm0fj6CwyfnhBhXv69YAAACIYuoWDSQM4YAAjWfk +4H9m0IEAAOsSCSpgBIAAW0JYY/nUAAAAAAD/85ANoAsFAMioihQpoDqxmSmkOioSFGSrzywSEyvA +XrG7+4vGHe/vEgAAAMTL/MCmHa/u4gDE2vzAph3v7roA/+kgDaALBQAAAC2wB/wgqBWgCwUAWzmc +6hYRLQOmAAD/7ugNoA1lAPohKBXvzgUA/0AEBzAPBQDv1RQncQEAAJ7Z7tYIKmAEgABbPjNj+T8v +EhFl/auJZ/skABWvyAUA+UAEBDALBQDrlRQkQQEAAOiWCSpgBIAA6JYIKdgEgABbPiVj+QkAAP/2 +FA2gCwUA/AFCHeBJpQD4QKYd7+0KAAAAAI8zjjL9IiYFoApVAPxACBXgOyUAWH0EihjIqIwUK8A5 +sbsrxDksEhRkzHEuEhMt4F2x3f3Lph3v8ZoAAAAckQaOMo8ziiCaEIk3mRP4YMgVoDslAPggRhWg +ClUAWHzyixjIuI0ULNBDscws1EMtEhRk3CkvEhMu8Gex7v/s5h2v8HoAAAAAACtcGPrAaB2gDBUA +W0RUY/ypnhz6owAV4AwVAP1AaB3gD4UA7v05C1AEgABbREz+IYgVr/keAP/roA2gCwUA/+RwDaAL +BQCJYucSBizjfgAAK2BB+sBoHaAMFQD7YkAV4A0FAFtEPmP8UZ0b9CMGFeAKBQBYeFscjxuMyC4S +GI0b+Z/q+JIAnQD/9gwNoAsFAMCwGI8UwPoPzzT/AQYV7/XGAAAAAAD+IYYVoAoFAFh4TByPDIzI +jhyKHfmf68CQDYUA/+JgDaALBQAAAMCwGI8FwPoPzzT/AQYV7+ISAGwQCCogBOMWBCpIBIAA4zIA +KcAEgADlIAcqoASAACgWBfggZhXnMwEA9m1gFeFVAQD1QBY6FHcdACsgFigK/3ixGPpIMBWnxAEA +/Z/AFaAJFQAMnDlbQidko0Tpjv4SpRkAABqO+wxYEaqILoKeCVoKKqK/98AZA+IAnQAkgp0KRAFl +QFyLImWybetcGClQBIAA/OBoHeAMFQBbQ/nAINEPAAAAABSO19MPikj3QBrwkgCdABuO5wxYEauI +LIKe94AbK+IAnQAogp0JWworsr8LiAFkg1OwrJxI5IQABH05gAAdjoDtAAUKQASAAG15AggCYdog ++iCoFeAMJQBbA64vIQcWjtYPD0oM/xEG/wKfQIwg7o7TEekxAACdQ+5GAi5mAoAADHwCnEEpIEEq +ISIYj28JmwntIAUt3wKAAKuI+Q/oFaBeBQD/ooYNoEblAPehhg2gW2UA+6AVRWIAnQD7rgAPNsgB +APZngBWi2EEA5kULLu4CgAD9hgAOcNg5AOTuEQ7tQoAADt0CDcwC7pBZHNwCgADqkEwdbQKAAAy7 +AppHHJBIDt0C/IDGFeANBQAtRQoMuwLrRgQhSSEAAOkHHgJAgQAACAJj/EVEFaAPBQAvRDEvRDL+ +hmYd4A4FAC5ENS5ENi5ENy1ELvyF5h3gCwUAK0Qw/IWmHaAKBQD6hoYdqMwdACxELCkgV+ssXCJQ +8QAA+IdmHeiZHQD4h0Yd6JkdAPiHJh3omR0A+IcGHeAMRQBYdMzmFgIhQYEAAOgmAAJJAQAACQSK +CACICQCKLCIcixXsRFMiUVEAAPtmABXozB0A/IpGHajMHQD8iiYdqMwdAOxEUCngBIAAWHS6o0gm +IHQmhFgfjnEMXhGv7ifmnSsgFi0K/32xByogQYwSW0FpiBXEmCkkBSiAEg8CAGSAQhSOHIMnBIQo +6kQAAZhBAABayl7tjbwaYASAAO6PTR1YBIAA7yIAKdAEgABayhOCJw8CACIsENogWsnw9UAGYJIA +nQDAINEPjRTqJAAI2ASAAP6AaB3gDgUA7dEILuAEgABbIyYtCoh9oWCKEOYSAyV+mYAAiqeLFOqs +ICtgBIAAWzz2jBCNwJoR6hYFLu4CgADtbQINWASAAO2mASlQBIAAWyJQ3qDqEgAnAMmAAIsR7GQA +CmgEgABYNivAINEPAAAAAPNAaB2v8vYAixTsEgMpUASAAFg3PcAg0Q8AAAAAAAAA//OYDaAEBQCP +ImX/UisgQfpAaB2gDBUA+2JAFeANBQBbQzHAINEPANogWsnYE43bC6gR6DMIBQNJgADAIQzqMCsy +hYuwI6z/7LsICdAEgABYfS8cjfAqwn8AMQQAKxoLqgIqxn9YfWXAINEPxcL8QKYdr/VaAMCgWHc8 +ikgZjg/5X+TAkgCdAP/y2A2gCAUAwIDA2g2tNPyBBhXv8p4AAPoA4h2gCxUAWzr3LjJ//nBmFaAC +BQDRD2wQBBSNlCNCjCoyFCihAmSAc1s0AisyFRyNkilChuzChyXYBQAAKzYVLSAMqsrsIActVkKA +AKqZK5AHLZQM/AIABjD9tQDtuwEOZ4KAAAy7Avsg5h3g/cUADbsB/EDwFaAOBQCemP8hJhWvmoUA +KpQF8yFGFaHMAQAMuwLrlAcskASAANEPwCDRDwAAAAAAAGwQCBmOVC2SfByNMyqSgqLd640yHu5C +gACtqiOkRiSkLSqSfBiPZSmSgqKq7497HVZCgACqlC5BHSdBICpBHg/tAfjgBAOwRgUA9oQEHeAF +BQD7oAkUYMcFAHHnCnGnB/fABAHwADIA/6AJZGADBQDn6AEK+ASAAOi/OQdkHIAADP8CkxEcj2X6 +IAYVoAuFAPxAaB3gClUAWHtR/mNgBlCKBQApQSAoQEsnQC0GmQIpRSD44AQDsAAaAMBw/mQABhAP +BQApQSAoQEsvQC0KmQIpRSD54AQHsAAmAAAAAAAA/iCGFeAKRQDyiNAV4BuFAOyPTBloBIAA5nQA +D6AEgADnFgAp8ASAAFh7NfBnQA3vCwUAGo7WAykRqpkokoILiAEISAIoloIvkoBx/hsrkoAdjV0c +jX8D1TkMuwEFuwL7MAYV4AIFANEPKJKEC4gBCGgCKJaELpKAEo1TH411AyU5D+4BBe4C/zAGFaAC +BQDRDwAAAAAAAAD/X/b04IMFAGP+7IQU9uBoHaAJFQAHljn1LQAKP/3+AA+tAfu/9o1iAJ0A//sk +DaBDBQAAbBAEG40ILC0BLMBhLbKWK7KarcwJzBGsu4u3+2HIFeAHZQDyAAIdoAwVAG16EqsqKaAu +KqAoeaMV6pMfcRAFAAAiMAJkIE5pIQzNSGAAJCIwAsooaCEXwCDRDwAiMALKJmkh8shMwLEGtjnS +YNEPyEFkX/H8YEYdoAIVANEPZE/VZV/SYAAEAABkT8r8YEYdoAIVANEPZU/yY/+6bBAIFYzhLVKX +KFKUJVKaot3iiAgO7kKAAK1djdcJiBGoVe3SDiIJ0YAAKgoA/f4CHa8LBQBtCA10sA0EhBTkQBxl +UCEAAGP/63TAC7Sq8AAYDaREHQCxqgQUFGVP9xiN6vugAESwDCUA9aRoFafqAQD+IkYdoAYVAOmQ +kC1vgoAA6ICALoIKgAD4ImYd4USdAPRgAAIwCTUA9CIGHaB4AQD2zQANcPgJAP+NAA1wuBEA+y0A +DXCIGQD7HZAF5/IBAP4iJh3gB0UACHo5+mAExGIAnQAbjsN7MSEcjhF8MRf6AKIdoCtFAOyOvxlo +BIAAWHqpwCDRDwDSYNEPaKJ5HI66/KRQFeAKRQD0IAYVoDslAFh6oSpQIi4QEhuNywqsCe8QES5n +AoAArLsosH0kEBD9b9AV4AlFAAmIAii0fXTZDS2wf9MPftkFKLB8f4ELJLR+LrR/L7R8W8f6wCHR +D//76A2gCgUA2iD8IgAVoAslAFhFbcAh0Q9kIFsajpz6X+AV4AkFAAupOOyOmR6BCoAAAGga+SAA +RLALBQD8QAEGMAqFAPmX5hXgCAUAbaoX+wAEANGpEQDgqhoEQAkAAPtmAA20mR0AGo2WAykRqpn7 +IAYV7/yuAP/+uA2gCQUAbBAIFI1KLUJ/LEKCot0J3RGtzIzHjM4jxPwqQnwbjCUnQoKiquiOWh1W +QoAA+uAAQ7AJFQApdEYjdC0nQnwfjmwkQoKid+2MGhu+QoAAp0QuQR0nQSAqQR4P7AH44AQDsEYF +APaEBB3gBQUA+4AIxGDHBQBx5xBxpw33wAQB8ABKAAAAAAAAAP+ACORgAwUA5+gBCvgEgADovzkH +ZByAAA3/ApMRHI5T+iAGFaALhQD8QGgd4ApVAFh6P/5jYAZQigUAKUEgKEBLJ0AtBpkCKUUg+OAE +A7AAGgDAcP5kAAYQDwUAKUEgKEBLL0AtCpkCKUUg+eAEB7AAJgAAAAAAAP4ghhXgCkUA8ojQFeAb +hQDsjjoZaASAAOZ0AA+gBIAA5xYAKfAEgABYeiPwZkAN7wwFABqNxAMpEaqZKJKCDIgBCEgCKJaC +L5KAcf4WK5KAHYxLHIxtA9U5DLsBBbsCK5aA0Q8qkoQMqgEKagIqloQukoAYjEIfjGQDhTkP7gEF +7gIuloDRDwD/X/dE4IMFAGP+/IQU9uBoHaALFQAHtjn1bQAKP/4+AA+sAfuf9w1iAJ0A//tkDaBD +BQAAbBAEwDIjJAPRDwAAbBAEwDAjJAPRDwAAbBAGF4v0KHKXJ3KaoogJiBGod4d353IOIYyxgAD0 +YAsAkgCdAPhgBRlSAJ0AZEFzIn0BIiw4LiAEJn0BJmyA98AKyJIAnQD0QGgdoAUFAPACRA2gAwUA ++qGAFeAMhQDoUgIj0AcAAOh2IyVSQQAAWHKHwDD0AAIdoPb1ANog+mBoHeAMBQD8AAId4A8FAP4g +JhXgDgUA/iBGFeBpRQD4IAYV4A8FAFsw3mahIqU8LMAM5sgMCVAEgADoTDgJ2ASAAFswtGahCbEz +aTiv0Q8AAAAAAADjowgCqAUAAO5aXHIgEQAAKmDhK0EELEAGLUAHW/7W7iAEJX7pgABq4dXsZAAL +6ASAAP5AaB3gCwUAbQgmKfAGLvEEKPAHKMSnKcSmLtWULiAEsbvv/AQmYBEAAO66oHboEQAAY//S +AH46PAc7Ciq9AeI8CgVSAQAAbQgmKMAGLsEEL8AHL6SnKKSmLrWULSAEsTPszAQlUBEAAO06CXXY +EQAAY//SAAAjZKTRD8tPK30BK7z+67AHKVAEgABb/ybRDwAAAAAAAP/+cA2gAwUAIn0B8kEAFa/6 +LgAlfQHofFAiquEAAPUCAAq/+mYAAAArfQH7fYAV7/76ANEP0Q8AbBAG2lDiQAIhqAcAACVQYfKA +Rh2gCOUAeCtkGI2UCCgKiIDqFgAsACKAAADdUP0bIAWgClUA/iAIFaArRQBYeXQrQAr6ACIdoAkF +AAupOOlEASSASYAAwMEsRAMvQAAPD0D96AAXsEgFAAj/Ai9kAi0wKsDg7kQIJugFAAAtRAZkILT8 +QMAT0AsVAM4uaCc7/EugBFAIxQDzAdYNoArVAMCZ+EAFOuIAnQB6IQ8iRALyXyAVoAoVAAKiOdEP +wMf8gEYdoAIFAAKyOdEPKkAILUAB6UAKJQSRgADxqKAN4A4VAMDx/oBGHe/ypQAC4jnRDylgAvEg +BOYQDxUAKnAC8UAEjhIAnQB4l6J4p58rQAn/IOAGUKkxAGS0FGSj2Xupi/gAIh2gCaUA+IBGHeAC +NQACgjnRDwAA+gAiHaALFQD6gEYd7/KlAAKiOdEPKEABwCf5QgAJMAwVAOJEAiET5QAAAsI50Q8A +ZJOUyKQrQAFlvzkrMClkssksMChkwsP8ACId4A41AP6ARh2v8sUAAtI50Q/ALPKARh2gAlUAAvI5 +0Q/dUP0aZgWgClUA/iAIFaArRQBYeRZj/sTdUP0aXAWgClUA/iAIFaArRQBYeRAoMCqxiPiAxh2v ++p4AAAAAAAAAwKX9GkoFoCtFAO4SACroBIAAWHkG6xIAKtAEgAD8AAIdoA0FAFv/CCtgAsCh+gUA +BfAJBQALqTjpRAEkl7GAAMDB/IBmHa/5XgDApf0aKAWgK0UA7hIAKugEgABYePQuYAL6IAgV4AwV +AP4FAAcwDQUA7s04CtAEgABb/vMpYALAgfgFAATwDwUACY8470QBJ5VJgADAofqAZh2v+AoAAMCl +/Rn+BaArRQDuEgAq6ASAAFh43i5gAtpQ+iAIFeAMFQD+BQAHMA0FAP+CAA6wDAUAW/7dKWACwIH4 +BQAE8A8FAAmPOO9EASeSyYAAwKH6gGYdr/aqAADApf0Z1AWgK0UA7hIAKugEgABYeMjrEgAq0ASA +APwAAh2gDQUAW/7KK2ACC1tA60QBKlAEgABb/sBj/WjdUP0ZuAWgClUA/iAIFaArRQBYeLkscAIM +bED8gSYdr/UqAADdUP0ZqAWgClUA/iAIFaArRQBYeLDA0S1EAfyAZh3v9J4A3VD9GZoFoApVAP4g +CBWgK0UAWHioKWABKHABCYg0+MAGHaAPBQAvRAEvRAMuMCqx7v6Axh2v87oAAAAAAADApf0ZfAWg +K0UA7hIAKugEgABYeJjrEgAq0ASAAPwAAh2gDQUAW/6awKHqRAEqUASAAFv+kWP8rMCl/RliBaAr +RQDuEgAq6ASAAFh4iusSACrQBIAA/AACHaANBQBb/ozAwCxEASswKrG760QGKlAEgABb/oFj/GzA +pf0ZRAWgK0UA7hIAKugEgABYeHrrEgAq0ASAAPwAAh2gDRUAW/582kBb/nhj/DzIpC1AAWXcYci0 +LjAoZODxyKQvQAFl/FHJvShAB8mIKUABZJEMLmABLXABLGAADt00/YAHRWIAnQDIpC9AAWX8KMqz +KEAHyY4pQAFkkPMuYAEtcAEsYAAO3TR9yQovcAD94AeNIgCdAMikKEABZYv5ZLv2KUAHZJvwKkAB +ZKDqLWABK3ABLGAADbs0+5/e3WIAnQAocAD9DwAMMA+FAPniAAkwDhUA4kQCIRPlAAAC4jnRDwAA +ZbwlY/wfAAD4ACId4AolAPqARh2v8rUAApI50Q/aQFv+QmP7YtpAW/5AY/ta2kBb/j5j+1IAAAD6 +ACId4Ay1APyARh2gAkUAArI50Q8tQAdl3wf+ACIdoA9FAP6ARh3v8tUAAuI50Q/4ACIdoAlVAPiA +Rh3v8uUAAoI50Q+NNSxABv2f9/ViAJ0AY/7ijzUuQAb/3/jtYgCdAGP++wAAAPgAIh2gCWUA+IBG +He/y9QACgjnRD4s1KkAG+1/4ZGIAnQBj+u8AAABsEAonMgEoMDAmMgX1FTAFoAUFAO8wACQAwYAA +KTA7KzBGDwIA8SBwDeAKFQALpTnqQn0h2AcAAC2wYSwwDSlCga2q7jABLVZCgACqmYmXKDAMIjAm +iZ7oFggl25EAAOowKCkgBIAA6ZAmIQNRgACfF54WLBYFKhYD6RYCIQzRAADrFgQoBAqAAPRABKES +AJ0A9EAGSZIAnQD0QAdyEgCdAPRACXKSAJ0A9EAKWxIAnQBoITtvJQJvIidoJU1oJiHkNCYhFJkA +APKf4BWgCRUAApI50Q/Apf0YBgWgK0UAWHfc//9oDaAEFQDAIdEPAAB2eQJkUYmKEusSAyUJoYAA +ZLE3//7kDaAENQCOEI0RwMH/rwAOsARlAP2CAAp//o4AwKX9F+IFoCtFAFh3yYsxGIpPGonD6RIE +JdgFAACbMSqilimQfSiCgaqZCZkRqYiIh4iOwPH/BOYd7/1eAMCl/RfGBaArRQBYd7n8YDAVoA4V +AP5lJh2gDQUAnTGdMp01/GAGHa/8sgAAAMCl/RewBaArRQBYd64YijWJFCwwASswDRqJp5sVDLs0 +KzQAKqKWKZB9KIKBqpkJmRGpiIiHiI78IMYVoA8VAP8E5h3v+44AAMCl/ReOBaArRQBYd5yNNP5g +SBWgDxUALzQpnhD8ICYV7/r6AADApf0XfgWgK0UAWHeTGYoZG4mOjDSKFJwyK7KWKqB9KZKBq6oJ +qhGqmYmXiZ7Agfkk5h2v+hoAAPb/9GUiAJ0AYAACdnkCZF5+jRJk0EGOE2TgR3Z5AmRebo8SZP5p +iBNljmSLFooViRcLqjT7P/LFIgCdAI4YwMXunQwJIASAAP2CAAp/+RIA//jwDaAEJQD2//GlIgCd +AGP/uokWiBWPFwmINHjxq//4dA2gBEUAAGwQBCggBCkgBfMB5g3gDQUAIyQE8AAQDaANFQAqIAZ0 +kQf0QKYdoA0VAOWhDHtYBIAA9EDGHeANFQD0ACIdr/P1APpAaB2gDEUAbcoXLrAALKAIsarvAgAF +2AUAAP3ABL0iAJ0A2iD64Ggd4A6FANMPbeoPLrAALKAMsars6TB12AUAAIsY+kBoHaAOhQDstAAF +gLmAAG3qDy/AAC6gFLGq7vkzdmAFAADS0NEPAAAA7sMGedAEgADaQGSvxttw+kGAFaAMhQBYb+L/ +/tgNoA0VAAAAAAAAAO/jBnnQBIAA2kBkr8P6QoAVoAyFAFhv2MAh0Q8AAAAA7sMGedAEgADaQGSv +X9tg+kEAFaAMRQBYb9D//TwNoA0VAAAAbBAKhzUvMAAoMDAViaf4YCgV4AYFAOkWCSQAqYAAKjA7 +LDBG8UBwDeALFQAMtjnqUn0h2AcAAC2wYS4wASlSga2q7DANLVZCgACqmYmXKDAMJDAmiZ7oFggl +25EAAOowKCooBIAA6ZAmIgPxgACfF54WnBUqFgPpFgIiDL0AACsWBPSABUkSAJ0A9IAHCZIAnQD0 +gAgiEgCdAPSAChqSAJ0A9IALCxIAnQCLGWhBTm9FAm9CP2hFYGhGOeU0JiIURQAA+L/gFeAKFQAJ +qTnJksBA2iDkTAEp2ASAAFv+18ihakbtwCDRD8Cl/RYaBaArRQBYdub//wgNoAUVAAB3uQJkYZeM +Eu0SAyYJoYAAZNE6//6YDaAFNQCFEI8RwOH17wAP8AVlAP/CAAr//kIAwKX9FfgFoCtFAFh21Iwx +GYlaG4jO6hIEJmAFAACcMSuyliqgfSmSgauqCaoRqpmJl4mewIH5JOYdr/0KAAAAAMCl/RXaBaAr +RQBYdsT8YDAV4A8VAP5lJh3gDgUAnjGeMp41/GAGHe/8UgDApf0VyAWgK0UAWHa5GYlAihQtMAEs +MA0biLKcFQ3MNCw0ACuyliqgfSmSgauqCaoRqpmJl4me/CDGFeAIFQD5JOYdr/s2AMCl/RWmBaAr +RQBYdqiONP5gSBXgCBUAKDQpnxD+ICYVr/qmAAAAwKX9FZQFoCtFAFh2nhqJJRyImY00ixSdMizC +liuwfSqigay7CbsRq6qKp4quwJH5ROYd7/nCAI4Z99/zvWIAnQBgAAUAjxl3+QJkbmaIEmSASokT +ZJBSihl3qQJkblSLEmS+T4wTZc5KjxaOFY0XD+40/7/x9SIAnQCKGMCF6tkMCigEgAD5AgAK//iq +AAAAAAAAAAD/+GwNoAUlAIsZ93/wjWIAnQBj/7GOFo0VjBcO3TR9waD/9+gNoAVFAAAAAGwQCtsg +9qBoHeAIlQD4oBacIgCdAJMVkhb0oBa8kAwVAPRPABXgCbUA+OAhpGIAnQBoeiXApP0VHAWgK0UA +7VDpK/AEgABYdl8qUjexqvqm5hWgAgUA0Q8AAJIW/EjGHaAN5QD+n+AV4Ao1AAr0LP+gJMvgvkUA +LVDE9aASdCIAnQD0uIYdp9QBAPegJ7CSAJ0A5xYJLjAEgACHFZsW/2AAQjACBQDnfAIrmASAACoc +EPrgaB3gDCUAWG7sLjABKxEI+IjEFaL+KQD74AQA0AwVAP2AAQbS7gEA+wIGDefdAQArRUbwABwN +oAsVAADAsChAin2BB/yRRh3gCxUAKUCLsO0NCkd6kQf8kWYd4AsVAC1QxOa2AgIgEQAA4zwDIRAF +AADtIoZzuA0AAOcSCSalUwAAjhb/oAEHMAkFAP/AICWgC0UA7bsMB2sBAABtujIv0UAPAgBk8Iz5 +qAQd4AsVACjQfsiG+a/GHeALFQAq0H/Ipvmv5h3gCxUA5rYCBugRAABkY778usYdoA4VAIsW/AAi +HaANBQDrvEUt0ASAAFv6++KkAAUH+YAAjBYswEdkw6+DFiM9ASM8OJIYLTAElxmWGvegHJiSAJ0A +ghb2YGgdoAcFAPJAICWgBAUA8lUAFaAAhgDAsP/91A2gCQUAAAAA5KQIA7gFAADtelRzMBEAACpQ +6SthBCxgBi1gB1v7Bu0wBCV+6YAAatHVAiwC/mBoHaALBQBtCCQt4AYp4Aco4QQoxUApxH8txH4t +MATrvAEncBEAAO26p3ZgEQAAY//UhhqHGYIYfUo+ihYKSgoqrQHjSwoFUtEAAG0IJC+wBi6wBy2x +BC2lOi6kcy+kciwwBORMASXYEQAA7EoLdVARAABj/9QAAAAA9LWGHaAIFQAoVL7ecOyJ8ht4BIAA +/L0wFeAKRQDyIAYVoCtFAFh1wNEPAAAAAAAA96AVIJIAnQDAYPYhJhXv9wIAAAAAAAAA9E8AFeAG +BQD//twNoAIFALE+/mCgFeAKFQDqJDAhyDUAAOwwACEYBwAA8ncAFeAIhQDpFgAp0ASAAPxAAAaw +vDkA/QIADvDMMQBb/jvUoOakAAUWaYAAghYrIMssIMotIMkuIMgpIMQoIMUlIMYvIMepiKhVpf+v +7q7drcysuyoKZOqxF3Ep4QAA/ROEBaAKNQD8vTAV4CtFAFh1kcCBKFRwjBYpwDErUBDswDAkkBGA +APUgEZCSAJ0AwCBkLwONFi3QMWTSAJMXE4eBJFDpKDKXIzKapIgJiBGoM4M3jxcjMg774YAV4AyF +AO/yAiHQBwAA7zYjJVJBAABYbiOIFyn67HmBEvpgICWgDIUA64wUJVJhAABYbhzAMNpA+mBoHeAM +BQD8AAId4AkFAPggJhXgDgUA+CBGFeBoRQD4IAYVoA8FAFssdWagJ4wXrDwswAwrCv/7jwAN8AoF +AAusOOpEAAnYBIAAWyxJZqAEsTNpOKfA0fynhh3v+SoALCQ7IjAALlCKLTAB8gYAAbBCOQD1wgYN +oyIBACRUiv+AaB3gAB4AAMDwLlCLc+EH8rFmHeAPFQAoUIxygQfysYYdoA8VAClQjX2RB/yxph3g +DxUA1vDrFgYngEmAACxUjpsWixYsUIHtUIov8ASAAOu8Oi3QBIAAW/ot8U3ADeACFQBkLb+MFizA +PGTA8IoWKq0BKqz+K6AHKlDpW/rDwLH6sKYd7/aGAAAA/oBoHaArRQD9ErQFoA1FAFh1KS1QxPog +yBXgDBUA9b/s8hC+RQDAYfYhJhXgDUUA/LiGHe/tagDAYIgWKIBG/gACHeAOFQD57QAPP/DiAAD/ +8+gNoAQFAIMWIz0B8mQAFe/xPgAAAADrFgYmpzMAAP/u0A2gBgUA6xYGJqWzAAD3gGgdr+6SAGS+ +BmTOA4kW8gAiHaAIFQD5JiYdr/fiAIoWKq0BKqyE+iDmFa/31gCMFizAO8Cw/W0ACT/8dgAAy77L +zPKAaB2gDRUA9a0ACT/3EgCKFiqtAfteoBWv/DoAAAAAAAAAAOxU1i5wBIAA/+48DaAGFQCFFvSv +ABXv9fIAiRbyACIdoAgFAPkmJh2v9hIAbBAMkh4dh27nLAwhMEEAAPYgRhXgDwUA7xYLIXAHAADm +FggnexEAAO8WAycw4QAA5hYGJ0P5AADoFgUnYkEAAOwWDSdK4QAAmRos0n4p4GHo0oEncwEAAP4g +5hWgBwUAJyQ2JyRBJyRM/SAARLAKFQDqJCgszkKAAOmICAuwBIAA+CCGFaAB8gCOEosd7uD4JQBh +gAD3bmYd4AIVAIgSfeEJjx397oYd4AIVACiA+YkdfIEH/S6mHaACFQDKJx6GtY0dHIc/LuKWLdDR +LMKBrt0J3RGtzIzHjM7AofohZhWgCxUAK8QmplboXAIjMAkAAPhgAEG/ZgEA9MAUuqIAnQDaEPpg +aB3gDCUAWG1QJREABZlG9SAHCJhVAQD1IAjxEgCdAPUgDhGSAJ0AaZS3ihb6YEAV4AxFAFhtRIkS +/r+AFaAPZQAP7i3+IagV4AgVAPkoBh2gCAUA6BYMIdAZAAD/9YYdp+4BAPfAHLiQAgUAhxeTEZof +shr6IegV4AwlAFhtMowRLREBL3FALsAIK8AL/eHmDeHuAQAtdUDwABgNoA0VAMDQL3B+KHB/e/EH ++u/GHeANFQCJHO6BDHZgGQAA/u/mHaANFQCcEY4dih8NIgLu4KwkyAUAAOkWDCVQGQAAmh/uko5z +uBEAAPfACkIQBwUAY/7DAIcSihgoMAKCcYlymRkodADvMAMh2BEAAP7gJh3gDIUAWG0MinGLEowZ +80AIPSAHBQCLsv1gB+0gBwUAjBL3g4Yd7/q2AI4Sihr6YEAV4A0VAP3FRh3gDEUAWGz+jxItMASI +Ei/wsCwwEvkWMBWg3TEA/eImDeACBQCCHS0kLPAAEA2gAhUAihIqoLLpEg0kAGGAAPclph3gAhUA +/UImDaANRQCLHQ8CAP1lxh2gAhUAwMBt2hWNHqPOLuAGrc0t0MCxzP3AFSViAJ0A/AACHaAOhQDT +D23qFY0eo84u4AqtzS3QxLHM/cASzWIAnQBj/dGPEooV+mBAFeAOFQD/5qYdoAxFAFhs0y0wB4gS +LjAEihIogPYsMAb7XvAVoO4xAP8f62QgAgUAiR3AIf8uRh2v9YIAihL3Q4Yd7/YuAAAAiR4J6Qr5 +ICAl4AtFAO67DATLAQAAbboyLJFALpB+8YMQDeANFQAnlUDvkH8nAGGAAPcvxh3gDRUAyPb3L+Yd +4A0VAO0iAgTIEQAAY/00AAAAAAD//0gNoA0FAIgSKIAkwCDjEgQkAKmAAIkSKZAvyJiLEiuwOsCh +C6I56xIOKdAEgABb/NaFGoce2jD+oGgd4A0FAOZ9ASvYBIAA5myEI7i9AADsdAALcASAAFv6a8qi +wEDccO5kAAr4BIAA6jQAAiAFAAD6IcgV4A0FAFv6Y8ihakbdhRWHHvpgaB2gBAUA/qBoHeANFQDm +fQEr2ASAAOZs9SO46QAA7HQAC3AEgABb+lbKoNxw7mQACvgEgADqNAACIAUAAPohyBXgDRUAW/pO +yKFqRt2FFoce+mBoHaAEBQD+oGgd4A0lAOZ9ASvYBIAA5mwgI7kVAADsdAALcASAAFv6Qcqg2jDs +dAALcASAAORMASr4BIAA+iHIFeANJQBb+jnIoWpG3YoSKKAkwJDppB0kAoGAACugL2SwZiygOmTA +Zssvix0ahbAZhjorsNEqopYpkoGrqgmqEaqZiZeJni2QJsvY8iFoFaAMBQAslCfRDwAAAAAA+d/e ++hACBQBj/ilkL76KHcCx/AACHaANBQD7WjAVoA4lAFhAEmP/pIIb0Q9lL95j/5plL9hj/5T/oNYN +r/z1AMDBZMtyihP6YUAV4AyFAFhsPv/tiA2gAhUAAAAAAAAA/6DWDa/89QDAwWTNUooX+mDAFeAM +RQBYbDT/9QgNoAIVAAAAbBAM8iBmFaAKBQD6ISYVoAwFAOwWCyFYcQAA6xYNITAHAAD8IAYVoAgF +AOgWCiM7QQAAlxUYh2jmYGEpSASAAC2QOy+QMJ8YnRcrkEabFvjAAEQwAgUA+CGGFaB39QC0Gvpg +aB3gDCUAWGwWJBECBJVG9qAGtGhEAQCySOgzCAr+/gAAiRpklGaKGWSjG4sbjB3tEgglmtGAACzA +FI4XfckXjR0t0B+PFn7ZDS4SDS7gKv/AHVxiAJ0AwCEYhVQVhd4ogpclUoGmiAmIEahVhVflUg4r +UASAAPoAAh3gDAUA/AAiHeA/9QD+tiQd4A4lAFg/vdpg+gACHeAMFQD8ACId4A4lAFg/uClRsfMg +En9SAJ0A8SAQ5pIAnQCJHCmQhCpdAeSTb2UiAQAA+SAQUNIAnQDaYPwf4h2gC0UA/AACHeAOJQBY +P6hgAe3aEPpgQBXgDEUAWGvbjBAah18MixR6sXMch179f/h9IgCdAB6FJx2FsS7ili3SgabuCe4R +rt2N143eLxIMLdBA+Q4mBeAIRQDv8IAmgGGAAPn/9tYiAJ0AiBUogJGpiCiAgJsS8wAJ35ACBQDw +WagN4AsVAPohZhXgCRUA+CFGFeAKFQD6ISYVr/qaAB6FDR2Fly7ili3SgabuCe4Rrt2N143ejxwt +0ED4AAId4AgFAO/wgCaASYAAff8BwJHA0fmiAAx3zAEA/wYwDeAJhQD9P/LaoArFAP1f8puiAJ0A +6xYCJkzVAADAu3vBM40b/56AFeAOFQAP7TidG4gVGYbjKICRqYgogIDsFgQkeHKAAMAgZy4YYADx +/CFGFe//fgD8ISYV7/9eAIwVK8Ig6hIDJdgFAAArxiCLElhA2x6HFIwVjRIswJF+0RUZhq2pySmQ +gGiTMmiXL//+2A2gAgUAGYaoqckpkIBokgJpl9uKE+xM/CHYGQAAW/3s80BoHa/+TgAAAAAAAOoS +AyHYGQAA7RIEImPxAABb/FzzQGgdr/3OAIsVKrIgsaoqtiCKE4sSWEC9iRUahpIpkJGqmSmQgGiS +DmiXC//6hA2gAgUAAAAAAIoT7Ez8IdgZAABb/dPzQGgdr/oiACRdASRMgIgcKICEaIE5wJApVP0p +VOgpVLQpRIYpRLYpRM5mIXvRDwAAAADaYPoAYh3gDAUA/AAiHeAOJQBYPx34tiQV7/ZyAClRsfMg +DSeSAJ0A8yAN5xIAnQB6l6/aYPwf4h2gC0UA/AACHeAOFQBYPxBj/5eNHRyEmPsKRAXgCgUAKtQf +LMKXK7KBpswJzBGsu4u3i74qtP/qtP4l2AcAACq0ACq0ASq0Aiq0Ayq0BCq0Bftgxh2v8ooAiR0Y +hIb/CiAF4A4FAC6UKiiCly/ygaaICYgRqP+P94/+L/0BLvQ4LvQ5LvQ6LvQ7LvQ8LvQ9LvQ+LvQ/ +LvRALvRBLvRCLvRDLvRELvRFLvRGLvRHLvRILvRJLvRKLvRLLvRMLvRNLvRO/+nmHa/w9gCKHSqg +mGWsUosdK7DMZbxKjB0swOFlzEKNHS3Q6mXcOo4VLuBmZewyjxUv8H5l/CplLCdj/r4qoCT3X/Tg +kgCdAPIAAh3gDRUAsKh4MgHA0PrAaB2gC0UA/gBCHafDAQBYPskqQKSxM/p7rg2gDRUAY/5lAI0V +wKL9DQIFoCtFAO3QkSlwBIAAWHJM0Q8AAI0dG4RG+wmgBaAMBQAs1BQrspcqooGmuwm7EauqiqeK +rvtAICWgPEUA+1cAFaALBQBYavNj+2HaYPoAAh3gDAUA/AAiHeAOFQBYPqspUbHxP/JnEgCdANpg ++gBiHeAMBQD8ACId4A4VAFg+oylRsWP+LAAAbBAE0w9tSg8nIAAkMACxM+R5DHEQBQAAwCDRDwAA +AAD04RYNr/L1AMAh0Q/RDwAAbBAIGIZRGYZP+IYmDaDaxQD4hH4N4AulAPVh1g3gDbUAwMn8oAXK +oBlVAPygBwxiAJ0AwCDRDwDAINEPAADAQPRAICXgBxUA9LuAFeACBQAqHBD6YGgd4AwlAFhqtSoR +CAqZRuCQBASNYQAAa5Uo4JAEBJSXgAAECBvgkQQIBAqAAPMABJfSAJ0AAHgaCEQC8AAwDadEAQAp +UiCxmSlWIAoISKKC6IwCIRAJAAD4YABBvyIBAHYjl8Ag0Q8AAAAA+yAEANC0nQDgeBoF/WaAAAhE +Av//JA2nRAEAAAAAAAD43/p8YgCdAOyGGhpwBIAA6i0ICvgEgAD9sLAV4CtFAPYgBhWgCkUAWHHf +Ivq50Q9pYtRj/xwAAC5SH7Hu/qPmFaAAMgAvUh6x/y9WHvywsBXgClUA/QwOBaArRQBYcdIi+rnR +DwAAAGwQCIgrJCAH+EcwFeAKRQD/AYABEUQBAPsmAA0wABoA2pD9C/YFoDtFAO8iAiloBIAA/kAI +Fad6AQD2IAYV4ApVAFhxvx6F9P0L5gXnVQEA6iIAIpQZAACDM4vQFoQdHIQd7qoCBdgFAADr1gAq +TwKAAPSABfoSAJ0Appkvkp7TD/fgCplSAJ0ALZKdDE4KLuK/Dt0BZNDaH4Oz7wAFDsgEgAAJAmEJ +AmEbg2gfhA0ehdssMREpMRAYhAmY0A6qAugiACvyAoAADpkC/6BGFeAeBQCe0yzVDPughhWgByUA +65kCBtBpAADp1gUsRgKAAOeIAgHY8QAA+aAmFaAMZQBYajkMSBGmiPcTphXvjwUA7zQnIpR1AACK +J/oAIh3gDAUA+0QAFaANFQBbLfXSoNEPwCDRDx6D04vomhT3YAXAkgCdAAxJEaaZLZKeDE8KL/K/ +96AGEVIAnQAtkp0P3QHk0LZlw/0AAPnBBhWv/KYAZd8kiSJlkGfqJAACWGEAAPwAAh2gDSUAWzjd +aVKiiifAsPtEABWgDBUAWzJ9HYRqnaCMIBuFn/NAZhXgDRUA66YCLmYCgAD9hgAOcDtFAOymASno +BIAA/QsuBaAKVQBYcVzAINEPAAD/+uQNoA0FAPxACBXgClUA/QsgBaA7RQBYcVPAINEPAMCgWGzi +HoOhi+iKFByDtPl/+ciSAJ0A//10DaANBQAAwNDA+g+/NP/BBhXv/TYAAGwQBBiFgPQAAh3gBgUA ++Q/oFaQDBQAPAgDTD9MPbToT5CANYzAFAACHg6V3KXAAyJUlXCjAINEPiXJymfPScNEPAAAAbBAG +ZDBYAzoCW//sF4O5ZaEBJnKHimEooQJkgE5bKZiLYxyDQgqpCguZC+qVASSB6YAAG4MkLMB9K7KQ +KnKBJnKH6RYALd5CgADrqggGfICAACeiJ4d+9uJoFeAAbgDAov0KsAWgO0UAWHEa0Q8noqeHfidy +E4piKKECZI/tWymAiGSnqQqmCwhmC/jCBB3gCwUAK2YA62YBI36RgAApEgArlAD6xMYd4AxlAOOW +AiTQcQAA42YEKlgEgABYaa+SbOVlESpYBIAA7SA5I1DxAAD8xQYd4AxlAFhpqOokAAtYBIAA//BC +HaAMRQD+xOYdoA0lAFv/J+5hECtoBIAA/sIkFeAKVQD9CmAFoDtFAFhw8dEPwKX9CloFoDtFAFhw +7dEPAGwQBtog8iAGFeA7pQDsgyobGASAAFrFRuakAAUBoYAAZDANAzsC+0WAFaAMZQBYaYrIe9tw ++sZAFaAMZQBYaYaUaZVqiRCZaIgiyIrAINEPwCzRDwAAAADqJAALWASAAPwBQh2gDTUAWsTzwCDR +DwBsEAQiIqrAQeMlDAEAYYAAwCAFQjjRDwDAINEPbBAKLkAQL0AR6UAsKdAEgADoQCovdgKAAO/u +AgpYBIAA9cAAQrAGFQD5AA/pX//1AMCE0w9tihUtsC4soFzqrAEl2AUAAP2gD5UiAJ0AKyIA67w6 +KlAEgABtmRUtsAAsoEbqrAEl2AUAAP2gDfUiAJ0AjSD9CdYFoApVAP/f4CWgO0UA7dIAJ3OZAABY +cKUqPGj6h0AV4AxFAFhpTOc8cCIgBwAA5jxgIiBpAAD0gAvK4gCdAOYWBSH5kQAA5xYGIcHRAADo +FgchybEAAPghBhXgNzUA6ITTEcgHAADvFgMky0kAAPggJhXgFhUA6BYCJEDBAAD4IIYVoADqAAAA +AAAAAAD3YAU8YDpVAPtp5g2gPGUAfLkMihP6gEAV4AxFAFhpKi1AAbLdrUT0gAfa4gCdACtAAPVg +BwCSAJ0A9WAGQZIAnQD1YAWDEgCdAHa5siowF/Ff/mYSAJ0AYABfjBQvMhWNIC5AAv4hJhWgClUA +/aAIFeA7RQBYcGqJGWiSF2mVny4yFfnABPnSAJ0AwPX+YqYV7/4+ACgyFfkABFFSAJ0AwJT4YqYV +7/3qAACKFfqAQBXgDEUAWGkEY/9kKzTQLkABLjTRLEABLRoADcw2+iAoFafMAQDsFgAiWAkAAFho ++vwgSBWgClUA/CAIFeA7RQBYcEtj/ymKFvqAQBXgDEUAWGjxY/8Zihf6gEAV4AxFAFho7WP/CYoY ++oBAFeAMRQBYaOlj/vnRD33DAd9gZf/1Y/46AAAAAADs0wZ/0ASAANpgZa/gY/4BbBAEijTzBGAF +o5qBAP8g4Adf+LUACJkBKCKGIiKaqYgJiBHoIggFJeaAAIQnhE4oQhn3AAeQkgCdAP0I0AWgClUA +/IMoFeA7RQBYcCMoMEYpMEcbhGPqPQEsRgKAAPkGAAxw+UUA7wIABVLhAAD5AAQDYgCdACkKBG2a +Dyygfi2wgLG77Nl4dVAFAADaQOtMECHggQAAW/9HwCDRDwAAAP0IogWgClUA/GFEFeA7RQDuIhAp +eASAAFhwByoiEMDh/gACHeANBQDxQsAN4AkFACsxCiyhG/1gAAY7uwEADLsMC6k4Ce84D+046ZI5 +DvnuAADAINEPAAD//6ANoAkFAP2A1g3v+vUAwKFkr378QAgV4ApVAP0IaAWgO0UAWG/uwCDRDwAA +AAD8QAgV4ApVAP0IXgWgO0UAWG/nwCDRDwBsEAQiIhDwQPAN61MBACQhGwQES3VJAdEPwCDRDwAA +AGwQBIon0w8PAgAqrBBbLl70QOgVo+iFAAgzKNMP6jQAAiBBAABavjTtgZIZ4ASAAO6EGB1YBIAA +7yIAKlAEgABavemCJyIsENogWr3HaKEC0Q8A2iBaveESgeULqBHoIggFAbGAAAzqMCsihYuwsKLs +uwgJUASAAFhxORyB+irCf/pABADQCxUAALsaC6oCKsZ/WHFu0Q8A+gDiHaALFQBbLw0sIn8sJoPR +DwBsEDorIAXCZPdgO4QgJHUAwqX7ZUYNoA0FAMKG+WA4hCIAnQD1YD4kICOFAPNgPyRgJJUAdLEI +2iBb/8bAINEPiSqDmmQ0POkWViDgBwAA6hxEJMFRAADoFmAg2f0AAOoWXyXYFQAA6xZlJNGRAADq +FmYmMMEAAOYWWSY4oQAA5xZYJnjpAADvFlomcPUAAO4WWyD5cQAA7xZjJPDhAADuFmQguVEAAOcW +YSSxAQAAJhZiGoI36hZoJmERAAAsFlwWg8omFl4cgtn8KuYVoDf1AOhsMCMzwwAA+CzmFaAAPgAA +jjjj5AAHHOGAAIQ3LTAFhE73oCJ0YDhlAPmgIjQiAJ0AKUB++T/gFeD65QD4j8Yd55kBAHqZyC0w +BcOuetkH96A0LGIAnQAsEmctIAcuME4vME/4ahAVoApFAPggBhWgOyUAWG9hHIFRKDAMJTIWFIKt +GYFa+mMkFeAapQAqNFQrFl0qEmgpkpAkQokKAInpiAgKrkKAAOVFCAxGQoAA6EQIAMH9AAD5CCAV +oBkFAG2aAggAiitBKQ8CANMP/WATjCIAnQAtMgAuMAX8KugVoApVAP5qkBXgOyUAWG9DGIFaGYFa +/mAIFaDvFQAvFNj4JgYV4A+FAOgWMi92AoAAD+4CLhYxKzAHKkEpCwtBALsRC6oCG4GNC6oCKhY0 +D+owLxY1LhY5+QUUBaBJBQApFW8oFjgtMFQtFPEsMAUsFPL6ZhAV4A8VAC8U8CsU8+syFiDR/QAA +6xY9JVGFAACLpY2kjqOIoumiASDgBwAAmcGYwp7DncSbxYqgmsCKMCoWRioSWOgwVCDIBwAA6JQd +IdjRAADtMAUg8AcAAO3kICDwBwAA+GYQFeANBQDt5B4g4AcAAOnEISDABwAA/wOGHeAMhQBYZ7Mq +Eln6Z4AV4AyFAFhnrytccvorSBWgDGUAWGesKhJb+mnAFeAMNQBYZ6gqElz6a4AV4AyFAFhnpSQR +oi0SXSURoyUVkfQgICXgjVkA/AQABXDkaQD0DgAHsL0pAP94ABWxzR0A7NwCD/5CgAD90AAXMEQp +AO/uAgomwoAA/mGIFeDMAQD7RgANcL1BAOy7EQ5mQoAA9YYADjT/mQD/hgAOcE1RAPykhh2g/TkA +/AYABnDdSQDt/xEO7sKAAO27Ag5ngoAAD8wC7zBoLEZCgADtMGkqJoKAAAhEAvVmAA2w/xkA/+gA +F7BNOQD/xgAPcP0xAO5EEQ//woAABP8C/8YAD3DdKQD/pgAOsD4FAA7dAi1UJYk8DLsCC6oC+qTm +HaCJgQD4EQACcJmRAOpEEQzOQoAA6UQCDEbCgAD4hgAKMAiFAAhEAiRUJikyBy+ZFCqcIOiSCSeh +wYAAZIQwKxx/+2ggFeAMhQBbL8IVgf0oMhYlUonpiBEJ0ASAAPigAEKwi3UAW+tZijcqrBBbLSmE +OctGJRZphUvtQgArYASAAP5gCBWgClUA/oCwFeA7JQBYbpz6gGgdoIt1AFvELORUAAr+pgAAhDkl +EmmJO2RAU86d9GFmFaABEgAA+kBoHaALVQD8AAIdoA0lAFsI92Wij/sFqAXgLFUA/ECmHa/uRgCN +mw8CAA8CAMjZ7dILLsgEgABl3/SUm5lMwND8YSYV4AQFAI1a86JeDeAIBQCEOY44/qFGFaAAlgAA +AMnQjthz4Qzt5AAHAEmAAI7oc+nyyNckMgkvMggv1gj4YQYVoA4FAPxgsBXgSSUA7TQwIhTBgAAp +NAUrElaLt4u+KrBwLLBxCKoRDKoCsar7biYdqKodAPtuBh2v7pIAACwSXi0gBy4wTi8wT/hqEBWg +CkUA+CAGFaA7JQBYblr4LQgV7gsFAPoqhhXgCgUA6hZVIMBBAAD4AAoV4BlVANMPbZoCCACKLRJW +HoGq7hYEIdk5AAD9oAgV4A9FAP4lBh3gCAUA+CRmHaP+9QD+IgQdoAw1AP2gABawDrUA7t0CANAH +AADtFgUlUUEAAFhm6Os8USDQBwAA+0qAFaAMNQBYZuPAwCwUQewUQiDYBwAA/ChmHaANRQDtFEAl +qUEAAC1QAu9QASXZUQAAKLAC6rABIMilAAAqlAEolAIqEl8lUAArsAArlADrEmAg8LUAAC/kAS3k +AvXABh3gHAUAWGbMLhJiLRJhK+ABLOAALNQAK9QBKeADKuACKtQCKdQDL+AFKOAEKNQEL9QFLOAH +LuAGLtQGLNQHLRJkLBJjKtABK9AAK8QAKsQBKNADKdACKcQCKMQDLtAFL9AEL8QELsQFK9AHLdAG +LcQGK8QHLBJmKxJlKcABKsAAKrQAKbQBL8ADKMACKLQCL7QDGH++LcAFLsAELrQELbQFKsAHLMAG +LLQG+2DmHaAPBQAvFGQvFHT+MoYd4CkFACkURC8SVikURSgVJI74+mBoHaANJQD/wyQVoAy1AO71 +KSDYQQAAWAoXY/nYwCDRDwAAjico+sDl4g4neIEAAAj/ASYkBe3lFCfpAQAAnent5ggnUEEAAFss +YSlRHoMqsZnpVR4hgLGAAMJdKjAF0w8PAgB1oSiDOWU/7yYkBfpAaB2gCxUA/AACHaANJQBbCDll +r5ckJAX6DIId7+J6ANowWA6QgzllP8Fj/86OOGP5NACLO2W9ZsTDfNEpGoETizAuonL7UYgVoAwF +AP9vAA2wDRUAWymzHIAOi87uMggl2/0AAJvO9mCmHe/03gDqJAAO4ASAAPoAIh3gDSUAWwgdZa8n +IyQF+jICHe/gugAAAAAAAAAA6iQADuAEgAD6AGId4A0lAFsIE2Wu/yQkBfoyAh3v4BoAAADaMPov +4BXgDIUA+2ggFeANJQBbJkdj+8QAbBAG5iQAAgqpgADG7/pJABWgBQUA6hYBIVlBAADrFgAhYQEA +AOwWAiE44QAA8wO4Ba/81QD7A7YF4AEyAGiVbWmaMS0wBCgwBe8wBi7uAoAACN0C6DAHLu4CgAAP +3QLrIgEO7gKAAPmmAA6z74UAD90sLWQ2LzABA/MKpfX0oAWKogCdACkwAPUgBMCSAJ0AaJJraZSm +7iIBAcgRAADpJgALwASAAPiKaB2gAR4AKDAC+GBwFe/a9QAKIgHqEgAsRgKAAOmIAgHYFQAA+MME +HaAMNQBYZhONEeuBshH4IQAA/hBoHe/81QD8gmgd7+71ACowAQOjCqWldFOIYAAyihL8AMIdr/u1 +AOsiAQHYCQAAWGYE+wNIBe/81QD//0QNr+71AAwiAS0wA/zGhh3v/R4AyiAaf9iLYC6icvtRiBWg +DAUA/28ADbANFQBbKUDCtCtkBdEP0Q8AAAAA8wMiBa//UgBsEDorIAXCZPdgOyQgJHUAwqX7ZQYN +oA0FAMKG+WA4LCIAnQD1YD3EICOFAPNgPsRgJJUAdLEG2iBb/VnRD4kqg5pkNDrpFlYg4AcAAOoc +RCTBUQAA6BZgINn9AADqFl8l2BUAAOsWZSTRkQAA6hZmJjDBAADmFlkmOKEAAOcWWCZ46QAA7xZa +JnD1AADuFlsg+XEAAO8WYyTw4QAA7hZkILlRAADnFmEksQEAACYWYhp/y+oWaCZhEQAALBZcFoFd +JhZeHIBt/CrmFaA39QDobDAjM8MAAPgs5hWgAEYAAAAAjjjj5AAHHMGAAIQ3LTAFhE73oCJcYDhl +APmgIhwiAJ0AKUB++T/gFeD65QD4j8Yd55kBAHqZyC0wBcOuetkH96AzzGIAnQAsEmctIAcuME4v +ME/4ahAVoApFAPggBhWgOyUAWGz0HH7kKDAMJTIWFIBAGX7t+mMkFeAapQAqNFQrFl0qEmgpkpAk +QokKAInpiAgKrkKAAOVFCAxGQoAA6EQIAMH9AAD5CCAVoBkFAG2aAggAiitBKdMP/WAThCIAnQCN +MC4wBfwq6BWgClUA/mqQFeA7JQBYbNcYfu4Zfu7+YAgVoO8VAC8U2PgmBhXgD4UA6BYyL3YCgAAP +7gIuFjErMAcqQSkLC0EAuxELqgIbfyELqgIqFjQP6jAvFjUuFjn5ADwFoEkFACkVbygWOC0wVC0U +8SwwBSwU8vpmEBXgDxUALxTwKxTz6zIWINH9AADrFj0lUYUAAIuljaSOo4ii6aIBIOAHAACZwZjC +nsOdxJvFiqCawIowKhZGKhJY6DBUIMgHAADolB0h2NEAAO0wBSDwBwAA7eQgIPAHAAD4ZhAV4A0F +AO3kHiDgBwAA6cQhIMAHAAD/A4Yd4AyFAFhlRyoSWfpngBXgDIUAWGVDK1xy+itIFaAMZQBYZUAq +Elv6acAV4Aw1AFhlPCoSXPprgBXgDIUAWGU5JBGiLRJdJRGjJRWR9CAgJeCNWQD8BAAFcORpAPQO +AAewvSkA/3gAFbHNHQDs3AIP/kKAAP3QABcwRCkA7+4CCibCgAD+YYgV4MwBAPtGAA1wvUEA7LsR +DmZCgAD1hgAONP+ZAP+GAA5wTVEA/KSGHaD9OQD8BgAGcN1JAO3/EQ7uwoAA7bsCDmeCgAAPzALv +MGgsRkKAAO0waSomgoAACEQC9WYADbD/GQD/6AAXsE05AP/GAA9w/TEA7kQRD//CgAAE/wL/xgAP +cN0pAP+mAA6wPgUADt0CLVQliTwMuwILqgL6pOYdoImBAPgRAAJwmZEA6kQRDM5CgADpRAIMRsKA +APiGAAowCIUACEQCJFQmKTIHL5kUKpwg6JIJJ6GBgABkhCgrHH/7aCAV4AyFAFstVhV/kSgyFiVS +iemIEQnQBIAA+KAAQrCLdQBb6O2KNyqsEFsqvYQ5y0YlFmmFS+1CACtgBIAA/mAIFaAKVQD+gLAV +4DslAFhsMPqAaB2gi3UAW8HA5FQACv6mAACEOSUSaYk7ZEBTzp30YWYVoAESAAD6QGgdoAtVAPwA +Ah2gDSUAWwaLZaul+wDQBeAsVQD8QKYdr+5WAI2bDwIADwIAyNnt0gsuyASAAGXf9JSbmUzA0Pxh +JhXgBAUAjVpz2QuEOY44/qFGFaAAqgDJ1y7SCA8CAA8CAHPhDO3kAAcASYAAjuhz6fLI1yQyCS8y +CC/WCPxgsBXgCAUA+GEGFaAOBQDtNDAiFIGAAMSSKTQFKxJWi7eLviqwcCywcQiqEQyqArGq+24m +HaiqHQD7bgYdr+6aACwSXi0gBy4wTi8wT/hqEBWgCkUA+CAGFaA7JQBYa+74LQgV7gsFAPoqhhXg +CgUA6hZVIMBBAAD4AAoV4BlVAG2aAggAii0SVh5/Pu4WBCHZOQAA/aAIFeAPRQD+JQYd4AgFAPgk +Zh2j/vUA/iIEHaAMNQD9oAAWsA61AO7dAgDQBwAA7RYFJVFBAABYZHzrPFEg0AcAAPtKgBWgDDUA +WGR3wMAsFEHsFEIg2AcAAPwoZh2gDUUA7RRAJalBAAAtUALvUAEl2VEAACiwAuqwASDIpQAAKpQB +KJQCKhJfJVAAK7AAK5QA6xJgIPC1AAAv5AEt5AL1wAYd4BwFAFhkYC4SYi0SYSvgASzgACzUACvU +ASngAyrgAirUAinUAy/gBSjgBCjUBC/UBSzgBy7gBi7UBizUBy0SZCwSYyrQASvQACvEACrEASjQ +AynQAinEAijEAy7QBS/QBC/EBC7EBSvQBy3QBi3EBivEBywSZisSZSnAASrAACq0ACm0AS/AAyjA +Aii0Ai+0Axh9Ui3ABS7ABC60BC20BSrAByzABiy0Bvtg5h2gDwUALxRkLxR0/jKGHeApBQApFEQv +ElYpFEUoFSSO+PpgaB2gDSUA/8MkFaAMtQDu9Skg2EEAAFgHrGP53Y4nKPrA5eIOJ3iBAAAI/wEm +JAXt5RQn6QEAAJ3p7eYIJ1BBAABbKfcpUR6DKg8CALGZ6VUeIYCRgAAlCi0qMAV1oSiDOWU/9CYk +BfpAaB2gCxUA/AACHaANJQBbBc9lqLUkJAX6DIId7+KqANowWAwmgzllP8Zj/86OOGP5QACLO2W9 +bsTDfNEpGn6pizAuonL7UYgVoAwFAP9vAA2wDRUAWydJHH2ki87uMggl2/0AAJvO9mCmHe/1BgDq +JAAO4ASAAPoAIh3gDSUAWwWzZahFIyQF+jICHe/g6gAAAAAAAAAA6iQADuAEgAD6AGId4A0lAFsF +qWWoHSQkBfoyAh3v4EoAAADaMPov4BXgDIUA+2ggFeANJQBbI91j+8wAbBAEhCqCSmQgQ///4h2g +DxUA/AhCHeAKBQDwAEANoAg1AIIowKDwQkAN4Ag1AG2KE6OsoqsrsE4swCCxqvuABt1iAJ0ALCAF +fcHWZSBZG35RGX5VEn1xHn6DGH0qhUeNQCiC7IVe7t0CClAEgAD8VgYV4AxFAPhWJhXgDVUAC4AA +KSKxCYlHZJBMKlA6K1A7CKoRC6oCsar6p2YdqKodAPqnRh2gAgUAKixO+mQAFeAMNQBYY7IrTEj6 +SiAVoAw1AFhjrooniq60O/tPABWgDGUAWGOq0Q8oIroSfiUZfigiIn8JiAHufmMcRkKAAKgijCwd +fZkOzAENzAKcLCtQbixQbwi7EQy7ArG7+q3mHei7HQD6rcYd7/5GAADsswZ/UASAANrwZa74Y/8Y +bBAEKCBq+kBoHaALFQD8AAIdoClFAOkkBSRABQAA+E1GHaANJQBbBknRDwAAAAAAbBAE0w9tSg8n +IAAkMACxM+R5DHEQBQAAwCDRDwAAAAD04RYNr/L1AMAh0Q/RDwAAbBAELQoB/IogAt/89QAbfxkq +IFP/YMAVoAkFAHqRKNKw9AACHaAFZQBtWhEnIH6jSCiABrFE54l2cRAFAADAIdEPAAAAAAAA6ZwB +JdjBAADu7DAspyAAAGAASyogUxd/BvgAAh3gHsUAepEwJHJ/ykorQATAIP9j3g2gD2UAbfoRoyuk +KCiAQCuwBui5OXEQBQAAwCHRDwCESWVP07GZ53wwLKcYAADAINEPAAAAAADocwZ+EASAANLQZS+K +wCHRDwAAAAAAAADrgwZ+EASAANLQZS/CY//kbBAGJCAm4n7mGSgEgADTDwRECQxEEQQiCCIif9MP +8EJwDeAUxQADCkttCAkoIAR0gQaCKckhY//vKSEZCQlLeanvyCNgAqkAABZ9DypijCuhAmSxEFsi +8SJihxt8gR581Rx8jCeyhZwTje+qd+wABQu+QoAApyLnLCAm6AUAAO3mDyvIBIAACQJhCQJhCQJh +CQJhCQJhCQJhKlEHLVAHLlAWKVANLFAmKFAMH32dDMYJ673mKzcCgACmu6b/LCRTKCQMKSQN+kDk +HaAGFQDmJAQhUWEAAJoSFn2dL/IgLyYVLiQWLSQHlSjsJFMl2IMAAOx+rBXbKQAA/ELEHaAMZQBY +YwUafKwPAgCKqyuhAmSwElsiwht8pw8CAIu8AqwRrLsrJhgqUCYZfX4KqgkMqhGqmSySJGTCHonJ +yJ5tCAnpkgks4ASAAMiRY//vksnAwJwpLCUX/EFGFaArRQDrJAUpAEYAAMAg0Q8bfAwpIFsoIFwv +IF0vJGooJGkpJGguUCYdfWeeEQ7uCeQkBC93AoAArt3t0H8hUOEAAJoQrrvmuwgO7MKAAA09AvxD +JB3gDGUAWGLZjy+OLgBEBP/LAA90Ph0A8kHGFeBfBQAvJDgvEgH+QeYVoAMFAPJH5h3n7kEAD+4C +LiQ+I1AmG3vsAz0J/6AAFrAMZQDtuwgBISEAAOa7CApQBIAAWGLDKCITLyIS+k0QFeAOBQD+SEYd +oA3lAPxIBh3v/NUALCRB+khmHeIAPQD56wAPtK8dAComEvpNMBWgWQUAKSRI/kJmFe+IBQAoJE8p +IGr6SIYdp/9BAAP/Ai8kTukkRSlQBIAAWAJJ46QADQPmAADAovz8lAWgOyUAWGn6iicqrBBbKHyP +J4sT/+QAFa/IBQD5wAQHMA0FAO31FCdxAQAAnvj/4SYVoCxFACwkBZ0qnSkLAIcHAmEHAmEHAmEH +AmEHAmEHAmEafG2LIC6icvtRiBWgDAUA/28ADbANFQBbJdbAINEP0Q8qrEj6TQAV4Aw1AFhihuUS +ACpYBIAA+mgAFaAMhQBYYoHbUPpnABWgDIUAWGJ+5BICIdGxAAD6SAAV4AxlAFhiedtA+m5AFaAM +ZQBYYnbCy/xgph2gK0UA6yQFKVAEgABb/IHRD/MkhhWv984AbBAEEn4PAzUJDFURpSIiIn/TD8oh +8gOCHetEAQBtCAkmIARzYQaCKcgsY//vKCEZCAhLeEnv0Q/AINEPbBAIJSA0KCA1LSAzCFUR6FUC +ARDhAAD5oAdxkgCdAPegBzCSAJ0A8LAgDeAEBQAoPCDoFgIhyGEAAOkWASHQKQAA6hYAIbhBAADn +FgMhsBEAAPYghhWgB/UA8ABcDaAGtQAAAAArIAECsgqktPSABeLiAJ0ALSAAaNIcaNQpaNY2dtFI +d9HdwKL8+8IFoDslAFhpkMYq0Q+KFPpAQBXgDGUAWGI2YABLihP6QIAV4AxlAFhiMmAAOywgAi0g +AwjMEQ3MAvxgBB2v/nYAAIoQ+kBAFeAMZQBYYimKEfpBgBXgDIUAWGIlihL6QSAV4Aw1AFhiIi0g +AQLSCqTU9J/7q+IAnQBgACUAAGvVB/m/+LmSAJ0A9b/4epIAnQDAovz7egWgOyUAWGlrxirRD8Ag +0Q8AAABsEBoYfBgIORH4IAYV4BlVAOgAFQDAIQAAbZoCCACK5Hy7ENCFAAD0IEYVoA61APxACBXj +//UA/iGEHeAEBQD0I2YdoAYVAOYUIC7uAoAA7t0CAVkhAAD8IGYV4Aw1AFhh+iQUOSQUOiQUOygQ +AiwQAfwgEBXgDjUA7hQ4IMiVAADtlAAg0PEAAOyUASFZUQAA+SBGHaAcBQBYYesqHEz6SAAV4AyF +AFhh6CocVPpHABXgDIUAWGHkKhx8+kyAFeAMhQBYYeEbfGYVe4YdfGoafJgYez8kFFwkFGwkFIz2 +KIQdoP71AP4oRB2kHAUALBVB/CQkHaApBQApFDwpFD2JII4nKILsCpkC4uIOKVAEgAD8tiYV4AxF +APi2BhXgDVUAC4AAL1KxD49HyfooIDopIDsIiBEJiAKxiPhHZh2oiB0AKCQ60Q8AHHx+HXx8G3x+ +GXw+FHw6KFK6H31iJEJ/CYgB7z8MDB5CgADygABB8A4VAPphiBWgBAUAD+Q4BNs5DKoBC6oCmjwp +IG4qIG8ImREKmQKxmfhN5h3omR0A6SRuKYA+AADRDwAqPE76IGgd4Aw1AFhhpOscCCnQBIAA/AFi +HaANJQBYBSP6YLAVoDkVAPpmBh2gSBUABJg5KDQF0Q8AbBAMGHreG3rp/EGQFeAOBQCeEJ4RnhKe +E54UnhWeFp4XnhieGZ4anhssspCeHCuymq3M7hYNLmZCgACsuyqxKZ4enh94oRQtICYffAgN3AkM +zBEPzwgv8H1//wfRDwAAAAAAAO967R2QBIAABVI5iCCfEv711gXgCUUA7xYGLEYCgAAJiAKYERl6 +5ZkQKLAHCAhBAIgRCKoCD6oC+iCGFaAPNQAJ6jCZFRt9Fxh9Fp4Xq8sI2AKYGI4wnxkmFC2eGu1C +ACDQ6QAA/CGmFeAMZQBYYWTqJAAI2ASAAPwAgh2gDSUAWyFc0Q8AAABsEARkIFvzYAAHf//1APAA +JA2gAxUAgilkIEYoIRkICEt46fGLKmS/7PoAAh2gCWUAbZoRpK2rrCzAci3QAOzZJnVQBQAAgrrT +D8ki21D6ScAVoAw1AFgRTMingihlL+vRD9EP0Q8AAO3DBn/QBIAA2jBkr9CLucCg/3tMDeAJZQBj +/5FsEAoqICYWe5wKqAkMiBEIZgjjIAcjQAcAACiA+fpC0BXg+fUA9s/oFaAHBQDxABKfUTMBAHmx +EwUMR/2fwBWgCBUADIw5Wy4OZKLfFXrk5HrkGc8CgAD0YBFyEgCdAAWZCC2SntMP0w/3oBW60gCd +ACqSnQQ0CiRCvwSkAWRCOxh6fOgABQpIBIAACQJhCQJhCQJhCQJhCQJhHHrUGHrS/kDkFeaWAQD2 +BwAFMrZBAOi7EQ1VQoAA+0YADXr/AQDqmQIP/wKAAAj/Ap9AjiD49SIFoD3FAPyAZhXgD1UA7EYC +L3YCgAAP7gKeQR58rC8gJidGEidGESdGEJdPl06XTZdMl0uXSpdJl0gnRQrt4QIv/AKAAAn/Ao7g +CP8CGHsrn0T4gMYVoC/FAO9FCyDYYQAA7rYAIlCBAAD9YEQd4AxlAFhg7CwgJht6CwzMCeZ7dh5n +AoAArLvqTCYl2IMAAPt5QBXgDGUAWGDi+vc0BaALFQArRDP6haYdoImVAPiFhh3gGAUA+IXGHaAN +JQAtRDj8hyYd4A9FAP6GJh3gDlUA/oamHaAPBQD+hgYd4A4FAC5ENCwgJht58AzMCQzMEey7CAJQ +6QAA92AARbAMZQBYYMjA5P6IBh2gDTUALURBLCAmG3nlnBoMzAkMzBHsuwgA0IEAAPdgAEWwDGUA +WGC9iBmPGABEBPnrAA+0nx0A+CEGFeBYBQAoFCD4IUgVoAZVAO8WCSJREQAA9iTmHef/QQDo/wIA +2IEAAP4kxh3gDIUAWGCriycMPBH1gABGf8oFAObGnSXYgQAACroB57UEJVEBAACasJqxKyAWKQr/ +ebEP+kTQFaAsxQBbLVXAINEPAMAg0Q8AG3pBirj3QAXAkgCdAAw5EaWZLZKe96AGEtIAnQAskp0E +NAokQr8ExAFkQLCwqJi4ZU3DiSJkkEofe0X+QAgVoAilAJgSnxD9wAAXMA8VAA/uAp4Rjif7xAAV +r80FAA2tAeflFCbpAQAA7eYJKNgEgAD9wQYV4AwVAFso5sAg0Q8AAADqJAAB2GEAAPwAIh2gDVUA +Wy87Y/+eAAD/9VQNoAQFAIkiZZ+PKyAm+kBoHaAMFQD7YkAV4A0FAFsvMWP/dsCgWGNQG3oQirj5 +X/nwkgCdAP/9ZA2gBAUAwEDAygysNP1hBhWv/SoAAGwQJOIiCikYBIAAJAoA8FNgDeAp1QAoIAX5 +ABQcYgCdACowBOx6XhDBQQAA+gOCHe4NBQD7QBDkYBlVACQWEwwAiS0WEm2aAggAiu56/hDhMQAA +L8AC68ABIMEhAAAtgAIjgAEuFhSOICQUYyQUgSQUgiQUgyiAAP2AEBWgCUUA+DAGHeP69QAqFTDp +FGgg0aUAACukAf9ARh3gCbUA7KQAL3YCgADp7gIA+bUAAOP0ASFZUQAA/eBGHeAcBQDuFhUg0f0A +AOj0ACVQFQAAWGAs6yxAINH9AAD7QqAVoAyFAFhgJ+ssOCDR/QAA+0OgFaAMhQBYYCLrLGQg0f0A +APtIoBWgDIUAWGAeJBSkJBS0JBTUGHkw+CiEHaAvBQAvFIQvFIWOKOscUClQBIAA/8MkFaAMtQD+ +RSQdoA0lAFgDlMKbKSQF0Q8bepQaeWzqAAUNyASAAAkCYQkCYQkCYQkCYR17sokwGHloEnmsHnqP +KILrLiaxJCay5CazKdAEgAD9JgAM8AxFAPhWBhXgDVUAC4AAKSKxCYlHyZKLN4u+KrBPsar7aeYd +oAIFANEPACUishJ6cBZ6cyIifwZVAed6URquQoAApSIoIHwmISn6R+QVoAkVAJkUmRyUGJQZlBqU +HZgVmhsIiAnqeRAcRwKAAKh35XJ/I7gLAAAncQR6YQUaecQKagKaF/TAAAZy5UEA/cgAFzDVOQDu +zAIO7AKAAO3MAgDZAQAA7BYGINBBAABbHyHJr8Cl/Pb6BaA7JQBYZyXApfz29gWgOyUAWGciY/3M +0Q8AABx7ePwiCBXgClUA/iIoFaA7JQBYZxvdYOx7cxrwBIAA/k+QFeAKVQD2IAYV4DslAFhnEygS +ESgmEy8SEP5KBh3v9iYAAAAAAPxACBXgClUA/PbMBaA7JQBYZwnAINEPAGwQCOUWAivIBIAA4hYB +IgRxgAD69pgF4AoFAPggBhXv5/UA8iCGFa/1tQDy9o4Fr//VANMPbQgfKTAAKDAB6ooIBI05AABo +kjlolCtolRhomggDgwp0q0Jj/9cCuwHyIIYV7//CAAAAJvrfBrsB9mBoHa//ggAAAAe7AfxgaB2v +/04ABbsB/GBoHe//IgAAAA+7Af5gaB2v/u4AyLLAIdEPiBEogCYSeyoIiAkMiBGoIiIif2QhbvPA +cBXgC2UA8AAwDaAOBQAAAACCKWQhVo8n9gACHeAFBQD/4cgV4AQFAP4gZhXgDwUAbboTreui6iqg +QCuwArHu+2AO5SIAnQD+AAIdoAuFANMPbboTrOui6iqgOCuwBLHu+2ANXSIAnQD+AAIdoAuFAG26 +E6brouoqoEgrsAix7vtgC+UiAJ0A+gACHaALNQDTD226E6auoqsrsFAu4AWxqvvADF1iAJ0ALiA0 +DwIADwIAc+ECIyQ0/uAABHAOBQD/FOwN4AtlAAQJR2WfQwUKR8isKixI+sEAFeAMhQBYX0wHC0fJ +tbVr+koAFaAMNQBYX0eNEyzRJbHMLNUljRQt0AN/33aLFCqwBC2wBeywBi1WAoAADaoC67AHLVYC +gAAMqgLuIDYtVgKAAPtGAA1z64UAC6oseuEmixLqJDYl+ZiAAI0TLNEk7hIAJmAFAAAs1SSNIP3A +BhXgAgUA0Q8AjhCNIP3ABhXgAgUA0Q+CEMf//kAGFeACBQDRD4onKqwQWyT9wMAsJDaMEyvATu4S +ACXYBQAAK8ROjSD9wAYV4AIFANEPiicqrBBbJPMoIDYUeIiDJ9MPCEQoDwIA6kQAAZhBAABatMjt +eCcaYASAAO56wR1YBIAA7yIAKdAEgABatH2DJw8CACM8ENowWrRa+V/6eNIAnQBgAEQAAAAAAPtf +9CPv9fUA//nwDaAFFQD7X/Kr7/T1AP/5NA2gBBUA+1/xI+//9QD/+HANoA8VAP9/86uv9/UA//m0 +DaAHFQDaMFq0YhN4ZQuoEegzCAUByYAADOowKzKFi7Cwo+y7CAnQBIAAWGe6HHh7KsJ/+mAEANAL +FQAAuxoLqgIqxn9YZ+9j/r0AAAD6AOIdoAsVAFsljSwyf/xwZhWv+qYAAABsEAosIAUoIAQqIFMm +Fgr88nIF4CuFAOqvCQrwBIAA5SAHL/8CgADv3QgJIASAAP2v6BXgBgUA9QAaqJFVAQD7gBq8YChV +APmAGnwg+fUAKyAWDwIA+WSGDeAMBQD8IWYV584BAP2fwBWgCRUADJw5WyuiwMDtEgslG+GAABd4 +duN4dxrPAoAA9KAUOhIAnQCnmS6SnvfAGnrSAJ0AKpKdA1MKIzK/A6MBZDKZGHgQHnhtH3ht6AAF +CcgEgAAJAmEJAmEJAmEJAmEJAmErIQcLC0rqIRkt3wKAAA67Apsw+EAIFeLtQQD9wAAXMEgFAP5g +RhXgC1UA6DYDLM4CgAD7JgAM9r0BAA67ApkxKEAmLDUKnDicOZw6nDucPJw9nD6cP/xiBhWgPwUA +/GImFa2aHQD8YkYVoO05AOw2Ey91QoAA7zULLM0CgAAOmQILmQLreT8cRAKAAAmIAul4DR1VAoAA +C6oCmjbpiAIB0IEAAOg2BCMRYYAA+sgAFeAMZQBYXnssQCYbd5sMzAnmeQYeZwKAAAy7COo8JiXY +gwAA+3lAFeAMZQBYXnIeeSkbd4X6ZuYd4AoFAPpmhh2gGAUA+GXGHaCPlQAvNCz+ZaYdoA0lAC00 +OfxnBh3gCRUAKTQz+GYmHeCMBQD8ZsYdoAxlAPxmph2gCQUAKTQwLUAmG3d9Dd0JDN0RrbvmuwgB +0OkAAFheV8Dj/mgmHaANRQAtNEAkQCYbd3METAkMzBHsuwgA0IEAAPdgAEWwDGUAWF5MiBmPGPJY +aB2gBmUA+esAD7SfHQD4IQYV4FgFAOgUICHREQAA/iEmFeAIBQD4JOYdp/9BAOT/AgDYgQAA/iTG +HeAMhQBYXjr2aYYdoA8VAC80TY0nGHnZjd74aeYdoA6FAC40To/QjtEs0Rkv/DTv1gAncAUAAO7W +ASZgBQAA/aMkHaAEBQAMWxH3YABF8ApVACq2nSsgFikK/3mxCvpKcBWgPAUAWyraiCf6BQIdr8kF +AOokBSQQgQAACSIB5IUUIREBAACSifMBBhWgAgUA0Q8AG3e+irj3QAfgkgCdAAxZEaeZLpKe98AI +ctIAnQAukp0DUwojMr8D4wFkMPywqJi4ZT1liSJkkHwfeMKOIIgamBKfEP3AABcwDxUAD+4CnhGO +J/vEABWvzQUADa0B7OUUJukBAADt5gko2ASAAP3BBhXgDBUAWyZjwCDRDwDWIPRBCBWv8qYAwCDR +DwAAHnmm6eECIOhhAAAp1QL/wAgVoAxlAO7WAC7YBIAAWF3sY/2+AAAAAOokAALYYQAA/AAiHaAN +VQBbLKv//bANoAwFAAAAAAAA//L0DaADBQAAAAAAAI8iZf9QKyBT+kBoHaAMFQD7YkAV4A0FAFss +nv/83A2gDAUA/CFmFeAKBQBYYLobd3qKuI0b+V/3kJAMBQD//DQNoAMFAMAwwOoOrjT/YQYVr/v6 +AAAAAGwQGv7w8gWgC7UA+O+aBa4JBQD4IAYV4AUFAPQgJhXgGVUA6AAVAMAhAADTD22aAggAii4W +Aoog9CNmHeANRQD8JAYd4/z1AOwVDC1WAoAAC6oC6hYDKgdGAAAjFDglFDklFDolFDsvEAIrEADp +EAEgwBEAACyAAu6AASDohQAALtQBLNQCKIAA0w/TD+jUACDAlQAA6YQBINDxAAD7AAYd4BwFAO+E +AiFZUQAAWF2dKhxM+kgAFeAMhQBYXZoqHFT6RwAV4AyFAFhdliocfPpMgBXgDIUAWF2TJRRcJRSM +9C2GHeAqBQAqFDz6J6YdoFkVAHkxZRt2oCsVIIwoLMEZ7CUpIgD5gADrHAgqUASAAPwBYh2gDSUA +WAEG0Q8AAAAAAADrHAgpUASAAPwBYh2gDSUAWAD/wtstJAXRDwDaEPqJwBXgDDUAWF12tBr6iiAV +4Aw1AFhdc2P+/i4QIMDyD+4C/iQGHa/+UgBsEATTD21KDycgACQwALEz5HkMcRAFAADAINEPAAAA +APThFg2v8vUAwCHRD9EPAABsEASENBp2svLvggWjRIEABEQJDEQRBCII7qKQIWgLAAAt0AAqopok +MQoO3QjrIn8u7kKAAP1AAEVwDBUAWFAN6iKDKlgEgADsPCAh6QUAAFv778ytwKL88f4FoDslAFhk +oNEPKaAF+UYGHeALRQD7SoYd4Dj1APlAph2gi3UAWAwX0Q9sEAYYePR4UQLRDwDqJAAJ2ASAAOxE +AAroBIAA7mQACPgEgABb/YVlr96OEBd3uPzuIgXgKkUA9cAGSBAMFQAj0ocJ6BGoM483j/6J9e3y +BCTwBQAAnvV56wGx3Z30KSAmCZkJ7DQ3LM8CgACpeSmQff8ygAfQK2UALDAFe8ECesmGjTcu+sAP +AgD1ocgV4C9FAO80BSbggQAA/4AEBjAOBQDu1RQmYQEAACzWCezWCCbQQQAAWyLtL1EehDqx/+9V +HiIAiYAAwl0oQAV1gSWESWVP9PpAaB2gCwUA/AACHaANBQBb+8qKN4quKaEasZkppRrRD9pAWAUe +hEllT8lj/9EAAPpAaB2gCwUA/AACHaANBQBb+74adtcqoowroQJkvuVbHLkddlYedkgcdtIZdpwu +4oUswoeLn6rq7QAFDVZCgADqyggF2AUAAOuWDyVogQAADQJhDQJhDQJhDQJhDQJhDQJhmhEoIBYs +IQctIA3+QZAVoA8VAC+kBC6kDC8gBy2kDS4gJh14giylBw7rCe6kUy3fAoAAq3srsiArphUopBYv +pAcupFOSqO2lFiGAiYAA2zDqFgEqYASAAFv2iCwgJoMRG3XwDMwJ5HZ1HmcCgAAMuwjqPFgl2IMA +APt5QBXgDGUAWFzHikstoQJk0AxbHIWOTAKvEa/uLjYYKSAmCZkJDJkRqXkqkiRkoGuJqciZ6ZIJ +LNAEgABln/STqfRi5B3gCwUAmzn6YUYV4CpFAOo0BSHukYAAjDeMzo3FisTmNRkm2AUAAH27Fy3B +GuvGBSVwBQAA7sYEJugFAAAtxRrRDy/BGpvF6sYEJ/gFAAAvxRrRDwAAAADzJIYV7/6GAGwQBhh4 +VPihJg2gCgUAwCHRDwDbMOxEAAroBIAA6hYAK/AEgADvFAAJUASAAFv84mWv2ooQG3by/VpAAFAs +ZQAvsl0JqhGq+o6nju4t4Rix3S3lGCmgBf0jpg2gLVUALaQFLLF+/4DgD1ACBQDRDwBb/CPAINEP +AP1ACBXgOyUA/PBsBaAKVQBYY9PAIdEPAGwQECUWAyMWAvpgCBXgDTUA7RYMKlAEgADqFgQpwASA +ACaAGOMgBymgBIAAJ4AT9iImFee7AQD6IgYV4TMBAPN2UA3gdhEA8sAab1IAnQAuEhHTD/XAGgIS +AJ0AKLxMmBAljB8FRRQoIARrhgf5AB2iEgCdACsgFiYK/9MPdrEfhhP6SDAVp2YBAPzfwBWgCBUA +DIw5Wyk5+0BhoBIAnQAYdfv47BwF4A51AO4WDSOYCYAA6nYJEaUNAAAMOBGqiCqCnvVAYAviAJ0A +J4KdCToKKqK/CncBZXBXiyL7YFnAEgCdAIsSjBTtEgMpUASAAFsmTdKg0Q8AAACPiPfgYDCSAJ0A +GnX1DDcRqncscp71gGCD4gCdACdynQk6Ciqivwp3AfrgX/ASAJ0AsPubiGR/pxx1juwABQvABIAA +bVkCCAJhKCEHGXXn/CIoFaqIAQDtEgAsRwKAAAmIAphwjiAfdeKfcu12Ay92AoAA7l4CCVAEgADu +dgEqWASAAFrqsC5AGI8tLCBBHXVsKyEiGnWDKxYVG3Z4KqJvLdKQ7MwJD/5CgACq/58Y/+EIFeDu +CQCeG58f7/AMLmcCgACsuyuyf6/d6xYWLu5CgACtqvohRhWgDBUAWE7BjR8oEhaN1ysSFSkgBY3e +/CHGFeBcBQB8kQnE7n6RBMXyLyQFGXWE/u1mBaC2BQD4wAAFMA0FAPhIAAewyDkA6CBBLmVCgADt +dQov/gKAAPbhZB2t2x0A76oCDu0CgAANzALsqgIN7QKAAO7dAgOwgQAA7XYGLEQCgADqiAIBWSEA +APkGAAxwDGUA6HYEK1AEgABYW+EsIEEbdQAMzAkMzBHsuwgD0JkAAOYWBiXYgwAA+3lAFeAMZQBY +W9ctEg8edo746dQF4AsVAPrmZh3gigUAKnQ2+ObmHeAWBQD25cYdoI+VAC90LP7lph2gCCUA+OYm +HaAIBQAodDAt0AQuChz/oEVUIgCdACp8PPpLgBXgHIUAWFvAKnxU7BIQIljBAABYW73Awvzmph2g +DAUALHQ0KEAwaIEwKBYU+gTCHeApRQD1AETREM2lAPUAIVoSAJ0AKBYU9QBH2pIAnQAuClH/ACEk +IgCdAIsejLGKsOzMASVQBwAA7LYBJVLRAACasBl1Xww/Ean/Jfad9QAd0pIAnQArEhH1YB5KEgCd +ACsgFiwK//1gBNwiAJ0ALBIQ6iBBJmDxAABbKE/AINEPKArA+CAGFa/zCgAAAAAAAP/y7A2gBYUA +LRIR9b/n4hIAnQD0YAdKEgCdABh1RQw3Eah3LnKe9cBLM+IAnQAncp0JOAoogr8IdwHnFgkrh/4A +AIoiZaz06iQAAdhhAAD8oGgd4AwVAFsqQmP83osSK7EILDr/fLEMixLsEgQpUASAAFgeO8Ag0Q8A +AAAAjRLrHAQpUASAAP4gaBXgDgUA7dEILuAEgABbCf4tCoh9obyMEWTPz4rHixLsEgQlUIEAAFsj +z4wRjsCNFAjuEQ7dAp2hKyAE5qQADSAEgAD1YEEiEgCdAOokAAtYBIAAWwkm7BIBJRnhgADtEgMr +WASAAOwSBC5QBIAAWB0AwCDRDwCPiPfgRxCSAJ0AGnUJDDcRqncmcp70wEdr4gCdACdynQk6Ciqi +vwp3AfrgRtgSAJ0AsPubiOcWCSP4SYAAHXShiBkNAIdtWQIIAmHaIOwSESpYBIAAWunQJyEHGHT4 ++iEoFap3AQAMdxEIdwKXoIwgGXTw7SEiLmYCgAAMXAKcoS8gQR51kp0X7/0JAVlxAADoLEgu7wKA +AO7dCAm/AoAA7hIQJRiBAADpdwgCYMEAAOkgBSVQ8QAA5OJsb/wCgACOGRZ035bihhAm5gP9r+gV +4F4FAH6REC4KTn6RCiYKVvcgP80iAJ0A+CDoFeJtQQD8wAATNu0BAAbuAvwHAAN92R0A5WYRDu0C +gAANZgIG7gIWdnCNGe7+AgzNAoAABpkCFnZgmdYZdmAG7gImEhD5oOYV4AkFACnVCu7WBCMw8QAA +JtULCACGAwJhJiEq96WmHaAOBQAu1DEu1DIu1DP5peYd4AkFAPmmhh3gDgUALtQ1LtQ2/6bmHahm +HQD3pYYdoA4FAP+lxh2gDgUALtQwJiBX96dmHahmHQD3p0YdqGYdAPenJh2oZh0AJtQ4LrABKbAA +KaQALqQBLrADJrAC5qQCJukBAADupAMhSYEAAAkgiA0EigkAiA0AiioSCSsiHPtKZh3oux0A+0pG +Hei7HQD7SiYd6LsdAOukUC5YBIAA7BIQJVFRAABYWs8vEhAuEgktIHQPAgAP7ggt5FgsEhEldp31 +gCUBkgCdAChAEtMPZIWqFHQ0gycEhCjqRAABmEEAAFqwdu1z1BpgBIAA7nVlHVgEgADvIgAp0ASA +AFqwK4MnDwIAIzwQ2jBasAj5X+Lg0gCdAGAGHIgaiILxH+IX0gCdAPohSBWgCxUAWqzrY/wvKSAF +xab7P+GUIgCdAAIqAlsbkGP8JI4eLOEgscz9xAQdoABGAGiE7Y8eLvEise4u9SIrdDUpdDkvEgv2 +IagVqKsdAPrmhh2gDCUA/PkGHaAOhQAP5jkvEg8sdMkmdDgv8AT4IoYVoBbFAPf/3L0iAJ0AKxII +DXoI+22AFeAMZQBYWoz4IogVr+36APOAaB2v4qYAjhnEZJbjFnY9luIec/CGLS7ib+wWEys2QoAA +pu6O5ybSf5YV/cHIFeBWBQB2kRX8ImYVoE7lAH6RCvwiZhWgWSUAKSQFjBWJF/xIAAc2bAEA/cAA +FzDMOQD+xgALPekdAOXMEQ91AoAADswCDGYCHHXDjhkG9gIMZgIcdcyW5I9NFnW/5uYHLM0CgAAM +mQLp5gYn+PEAAP/BZB3gDwUAL+UKLIABKYAAKTQALDQBJoADL4ACLzQCJjQDLIAFKYAEKTQELDQF +JoAHL4AGLzQGJjQHLIAJKYAIKTQILDQJJoAKKIALJjQKKDQLLyEq/8WmHej/HQAv5CwsIFctFhIm +EhP9x2YdqMwdAP3HRh2ozB0A/ccmHajMHQD9xwYdoByFAFhaP4oZ4xISK1gEgAD7SwAVoBwFAFha +OokZG3P3+yNGFeAMhQAslhsqIHQqlHQbdewldp0pkheKLimcQPtACSxgCAUAHXOZLdJvCa4Rrt2N +143eLNACJtAFL9AEKtAAK9AB5dAGL/4CgADm/wINVgKAAAuqAuvQAy/+AoAA5f8CDVYCgAAMqgLl +0ActVgKAAAuqAuqKCA/+AoAABf8CD58IefsCKqwBLNAKK9AJLtAMKtQD/6DmHehfHQD1oMYd6Pod +APuhEBWoVR0AJdQF/6BGHehVHQD1oIYd6P8dAC/UAfWhsBXo/x0AL9QA79AOL3YCgADl7gINVgKA +AOuqAg92AoAAD+4CL9AP69ALLVYCgADsqgIPdgKAAO/uAg1WAoAA66oCB3gFAAB++wGxqi/UD/uh +Zh2ozx0A/aHGHai6HQD7oUYd6MwdAP2hph2oux0A+6EmHejMHQD9oYYdqLsdACvUCC0wBSowBCww +AC4wAeswBi1WAoAA7aoCDmYCgADuzAINVgKAAAuqAi4wAiswB+0wAy5mAoAA7swCDVYCgADrqgIO +ZgKAAA3MAqyIqpp5qwIojAEqNAcrMAopMAktMAz4YGYdqOgdAPhhEBWo+h0ALzQG/mBGHaj/HQD+ +YKYd6O4dAP5gJh2o/x0ALzQE/mGwFejuHQAuNADuMA4u7gKAAO/dAgxGAoAA6YgCDu4CgAAO3QIu +MA/pMAssRgKAAOuIAg7uAoAA7t0CDEYCgADpiAIGyAUAAH2bAbGIKTQPKDQL+iIoFajJHQD8YcYd +qLgdAPphRh3ozB0A/GGmHai7HQD6YSYd6MwdAPxhhh2oux0AKzQI+V/bQdIAnQAtIAXF5v+/xRwi +AJ0A2iBbGoPAINEPABtzBYwuK7JvCcwRrLuLt4u+ihb7bwAV4AxlAFhZk8DA/ObmHaAMBQD85sYd +r9y6AAAAjh0rdDX45yYd4A0FAC10NPghaBXgDCUALHQz/PkGHaAIhQD8+SYdoA8FAO90NyPQBwAA ++iEIFeAPBQDvdDYlUykAAPkNAA9wDGUA7nQ4JdmxAABYWXj4IogVr9y6AOokAAHYYQAA/KBoHeAM +FQBbKDdj9LWNHtMP0w8s0R8qfGYPAgDrEggmYAUAAP2j5B2gCSUA+OyGHeAIlQD47KYd4A+1AP7n +Jh3gDtUA/uamHaANBQDtdDQl2bEAAPjnBh2gDGUAWFlc+CKIFa/a+gAuEhGLHMDS7ts4CVAEgABY +FWBj9toqrBn6SiAV4Aw1AFhZUSpsHfpJwBXgDDUAWFlO/CAoFa/fAgAAAAAAAAAA/9AsDaAHBQBp +Yg6KJ9tA7BIEJVCBAABbIa6LIvt/uaiSAJ0AKyBB+kBoHaAMFQD7YkAV4A0FAFsoAsAg0Q/AoFhc +IBhy4I+IGXLz+f+faJIAnQD/0EANoAcFAMBwwMoM/DT9AQYVr9AGAAAAAAAAAP/amA2gBwUA2jBa +rpoTcp0LqBHoMwgFA4mAAAzqMCsyhYuwsKPsuwgJ0ASAAFhh8hxyjSrCmfpgBADQCxUAALsaC6oC +KsaZWGInY/XyxeL+QKYdr+AWAADAoFhb/hhyvY+IGXLQ+f+4iJIAnQD/3NANoAcFAADAcMCaCfk0 ++QEGFe/ckgAAAAD6AOIdoAsVAFsftyoyf/pwZhWv1poAAABsEDKGNB5yVvTmygWjZoEABmYJ6nNh +GzcCgACmRO7ikCJoCwAALdAAKqJdJjEKrt3rQn8u7kKAAP1AAEVwDBUAWEuwKDBFGnI6KTEn8QAR +BpAHBQD7IBDFICwlACswPA8CAA8CAP1gEHwiAJ0ALRIA7tE3IcjxAADpAx4GwXEAAAgCYe8xJiDQ +EQAA/6bEHeAMNQDu1Tcm2XUAAFhY34sQwMPqvF0l2YUAAFhY24oQtBv7TCAVoAw1AFhY1ypMNPpu +ABXgDIUAWFjUKkw8+m0AFeAMhQBYWNAqTFz6a4AV4AyFAFhYzewyGSJRoQAA7EYZIdgHAAD7cwAV +4BwFAFhYxh1yzIIQ7RZaIMAhAAD8AAoV4BlVAG2aAggAiiocIfrm3gXgDDUA+iBGFeAPtQD+gAgV +oAlFAPgjZh3gCBUA+CQGHaP19QDlFQwvdgKAAO/uAgIpRQAA7hYDKtgEgABYWK4qHCXyicAV4Aw1 +AOUWWSnYBIAAWFioJxQ5JxQ65xQ7INDxAADyKwYV4AwlAOwUOCMpUQAA+qBoHeAcBQBYWJ4qHEzy +yAAV4AyFAOUWVynYBIAAWFiYKhxU9McAFeAMhQDjFlYq2ASAAFhYk+ocfCMZkQAA+mBoHeAMhQBY +WI7CkCkUPCkUPShCGecUXClQBIAA9i2GHeAPFQDvFUQg2CEAAPYxhh3g/vUA/ihEHaAMtQD4IkYV +oA0lAFv8Ay1ABfyGBh3gOmUA0w/7qH4NoAuFANpAbboVLqA8LGBA5mwBJVAFAAD9wAS1IgCdAMTE +LEQF0Q8A31DqRAAI2ASAAPxgaB2gDgUAWwb9jRBl3f/RDypEBdEPAOpCgytYBIAA7DwgIekFAABb +9wXkpAAFAhGAABZywSiiFiZiXS0wPAmIEfjAAEMwLiUAftESLdEILiAEaeaf8iAGFa/2zgAAAB9y +ti/xfn334/x/4h3v/44AwKL86BQFoDslAFhfpdEP/cDWDa/69QDAoWevYCIKOXLRDRly+ShCE9MP +CYgBKEYTKhJaKRx/KZwx+gAKFaAaVQBtqgIJAIorElkYcvAoFiz8gAgV4//1AC8VYPY4Zh3gDhUA +/jkGHaAMNQD9oAAWsA61AO7dAgDR/QAA7RYtJVEpAABYWDDrElgg0f0AAPtJwBWgDDUAWFgsJxTh +JxTiJxTj+iroFeAMNQDsFOAg0f0AAPtMoBWgHAUAWFgj6xJWINH9AAD7TqAVoAyFAFhYHuocfyrY +BIAA+0+gFaAMhQBYWBn6YGgd4PX1APogICWgJgUA+0SAFaAMhQBYWBImFOQmFOXlFZYqUASAAPwB +Yh2gDxUA7xWYIPAHAAD3wIYd4A0lAOfkFCDZ/QAA5+Q0JdjFAABb+4giRAXRDwAAAGwQBIo6jCmI +LHopB/xhRhWgAH4AyaWLqdMPDwIAcrEM6rQABYBJgACLuXK58pyp+kGoFaAMBQCcKvxBZhWgOQUA +7CYJJABZgAApJAXRDwAAZa/1GnIW+kAIFeAttQAtJAUuonIqooz/bwANsA0VAFsbMdEPbBAEKiIH +Kwor6yQFJVBBAABbHbqDLMg62jBbsmCDO2U/9YMswHDrIg0hgKmAAGWwVPJBphXgACIAk7ubPJcs +gyrJMMNuKDAFhDh2gQvjRAAKf6YAAJcq0Q+KNyqsEFsdp4U6yF3aUFuyTYVbDwIAZV/yhTrrMgsi +gYGAAM+89GFmFeAAkgCJuw8CAA8CAGSfp20ICumSCyzYBIAAZJ+ZY//ulbubXJc6iznnNgglgSGA +AMTC/GCmHa/+VgCJu2Sf4G0ICumSCyzYBIAAZJ/SY//ujTvTD2Xf2BpyU/pgCBXgPPUALDQFLqJy ++1GIFaANFQD/bwANsAwFAFsa8R5xTI3usN39wcYV7/0WAGwQEIQoKCAFDwIA9IEIFeAq1QD7ABO0 +IgCdAIsnKiQFDwIA+WQAFe/KBQD7IAQEsAYFAOa1FCTJAQAAmbnptggl0EEAAFsdaudx8BGDCYAA +HXDUGHDfKVAM43JdIPBBAACW4JbhluKW45bkluWW5pbnluiW6ZbqKIKQluuW7KmI5uYNLEZCgACo +MyoxKZbulu99oRgtUCYZcf4N3AkMzBGpySmQffMgD3/SAJ0AE3H8HXDVLEIV9IEIFaAFRQDtAAUJ +yASAAAkCYQkCYQkCYQkCYR9yDR5x+xhzJiX2P+j1gCHQKQAA7s4CAVmxAAD/58YVoAxlAFhXZBhw +xSiCzepEAAnYBIAA/ACCHaANVQALgACDKsoww07wADgNoDX1AADaMFulU4M4yDwqMAV0qfB1qe2D +OGU/8YMrZDEi+uNiBeBWZQD6IqYV4EXlAIo3LKkUKzAF5KIJJgE5gAB2sSf1YAYkYgCdAOU0BSVQ +QQAAWx0fLXF+ftcYzUhgAKkAAP//ZA2gBAUA2jBbGCdgAJcAAGRAkiwSFY5CjUOIQClABy8xCC8W +FykWFPhgCBXniMEAKBYW+CAGFeAKVQD4ICYVoDslAFhehitxfioSF/9qAAdT/PUAfKFeLRIWaNYo +ZEBF7BIUKlgEgAD6YGgdoI9FAP6BBB3gDhUA/mKmHaANBQBYGM5gAB8tEhSKN8DA69QABVCBAABb +GuL1QGgdr/8GACs6/3uhD4M7ZT8fwGDyQWgV4AC2AACNN/2kABWvzgUA/4AEBjAOBQDu1RQmYQEA +AJzZ/aEGFa//QgAAAAAA6yIMIYFJgADKtCmyCw8CAA8CAMiebQgJ6ZILLNgEgADIkWP/75O7mzyW +K9EP0Q8AkyyWK9EPAAAYcGsecGmJMBtwZ5sW/iCGFaAFRQDoFgoszgKAAAWZApkVLzAHDw9BAP8R +D68CCP8C/iEGFeAINQAO6jAuFgkbcpUacpMmFgsLywgK2gIqFgwpQgAoFg0pFg4mFD3+QAgV4Axl +AO8WESDRKQAAWFbg6xwQKdAEgAD8AIIdoA0lAFsW2GP9jgAAbBAEiScq+sDzIcgV4CtlAOskBSTA +gQAA+wAEBDAKBQDqlRQkQQEAAJiZ6JYIJNBBAABbHKcrMR2CKrG76zUdIQFpgADwAGANoCPVAAAA +AAD6QGgdoAsVAFv/ISIiCcgrLCAFc8npgillL/TRD9EPAGwQGhhwxCkKFegAFQjABIAAbZoCCACK +HXJzHHJzDwIABNw5LBYAKyIA+H/iHeAKFQD4IQQd4Ay1AOoUGC3eAoAA7LsCANBlAAD6ICYV4Aw1 +AOwUEyHZRQAAWFanKzxO+iOgFaAMNQBYVqTAoCoUMSoUMvomZh2gCyUA6xQwIgNRgAAfcRooIg0q +FioqFDP/7+gV4Aw1AOoUMiDw4QAA6xQwLEZCgADo/wgA2QEAAOoUMSfo4QAA7SYAANH9AADrhx4H +wQEAAOgGAAVQpQAA7oMeB9khAABYVogoEioPAgAPAgAIiBQoFhLqJAAI2ASAAPwBYh2gDSUAW/oD +0Q8AAABsEBoYcIQpChXoABUIwASAAG2aAggAihtyNfogBhXgBRUA+kAIFaAMNQD8ImYdo/n1APgh +BB3gC7UA5RQYLVYCgADrqgIB2UUAAOoWASDQZQAAWFZpKhwd+mnAFeAMNQBYVmX6AQId4B8FAP4m +Jh3gDgUA/iZGHaAdRQD8JmYd4AwlAOwUMCIA0YAAKEAAKUAC9QAJnBIAnQD6JsYd4ABKAIk8CQpQ +C6oRBaoCKhQ2FnCyLWF++iaGHeAfJQD9oAQCMC4lAAT+OS4WEP2gBw4gVGUAKCAF9QAKtCIAnQAk +JAUqMAX6z8QV4DmVAPlABUViAJ0A8WAFR5AJdQAsIAX1gATtIgCdAIstKmJdCbsRq6qKqsmq66xg +INH9AAD7RSAVoAxFAFhWNPolSBWgAC4AABpwUyoWKh5wUownHW/R+8IADTPrhQALqywNuyjrFiom +UEEAAFscAicSKoQn6nQAAiBBAABaq9rtbzgb4ASAAO5wyR1YBIAA7yIAKlAEgABaq4+CJw8CACIs +ENogWqts9UAF0JIAnQDAhyg0VNEPACo0MPhqhh3gO9UAKzQF0Q/qJAAI2ASAAPwBYh2gDSUAW/mR +Y/8aAADzP/ZuEKk5APM/9i5Q2TEAjkN75xPx3/XGkgCdABhxwi8xGQj/AS81GS41GRZw94Q8BkQB +Fm/sDqgQCEQCBkQBFnG7D9gQ+IYACjD5KQDmSQEP/AKAAA+ZAvhhhhXv+foAAAAAAAAAAOokAAjY +BIAA/AFiHaBI9QD4QKYdoA0lAFv5b2P+ktogWqtYEm9cC6gR6CIIBQHZgAAM6jArIoUrsgAirP/s +uwgJUASAAFhesCpihwAhBABbGguqAipmh1he58DHLDRU0Q8AAAAAAAAA+gDiHaALFQBbHIMuIn/+ +UGYVoA11AC00VNEPAGwQGhhv1ykKFegAFQjABIAAbZoCCACKG3B8+iAGFeAGFQD6QAgVoAw1APwi +Zh2j+fUA+CEEHeALtQDmFBgtVgKAAOuqAgHZRQAA6hYBINBlAABYVbwqHB36acAV4Aw1AFhVuCUK +AOUUMSDQ0QAA9CZGHeAMJQDsFDAiWVEAAPQmZh3gHAUAWFWvKhxE+ogAFeAMhQBYVasqHEz6hwAV +4AyFAFhVqCtMZPougBWgDIUAWFWkwoAoFDQoFDUvMhkvFhDmFUApUASAAOUUVCjYBIAA9CyGHeAM +tQD0MIYd4P71AP4nxB2gDSUAW/kaKjAF+mYGHaA5lQApNAXRD2wQGhhvmP7giAXgC7UA+AKiHeP9 +9QDoABUIwASAAG2aAggAip8QiiD8IQQd4A4VAP4jBh2gDDUA7BQTLVYCgAALqgKaESkwBCccHdMP +6hwZJK0xAAArPFFYVXvacPppwBXgDDUAWFV45RQ1KVAEgAD2JsYdoAkVAPgmBh3gCAUA6BQxKNgE +gAD4JkYdoAy1APgmZh2gDSUAW/jv0Q8rPEhYVWnacPqAoBXgDDUAWFVmY/+0AGwQHh5xJC7if+9x +GhroBIAA5W/AGcAEgADq7FgvSASAAG1JBQgAhgkCYfxA6BWsCAUAmBCHLSVSXesiDidJUQAA6RYx +K75CgADldwgHQPEAAO8CAAZIgQAA/2AEzGIAnQAJthGmVYZXL/rAD58BiW7mcggn+QEAAP+BJhXg +O5UA/4EGFeAPBQDvxRQihAmAACzgVCYWMPWAGGmSAJ0A9YA2EhIAnQDDTfWAHVKQM7UAwdL9gCgE +YgCdAPWAINYQDRUAwvH/gCQ0YFYlAPeACPQgahUA+4AEzCIAnQDaIPqgaB3gDbUA/QBoHaAOBQBb +/5fRDwAAACv6wPsgBAXwDQUA7cUUJdkBAACbyZvIZHDDKeBUKhYvKBYu9SAtuZIAnQD1IAWSkgCd +APUgLpYQbBUA/SAFFSAtxQAocAX9AYYN4C71AP8ABGUiAJ0Ag3rTD9MPyTQqPE76IGgd4Aw1AFgF +EWSlXoM4ZT/p2iBbFfHRDy+QZCOQZQ8CAOiQZi/+AoAAA/8C45BnL/4CgAAI/wII/xED/wLjcgon ++AUAAP8s5h3o/x0A/yzGHej/HQD/LKYd6P8dAO+UZCGA0YAAKjxO+iBoHeAMNQBYBPZkpsmDOGU/ +6dEPANog+uBoHeANlQD9AGgdoA4FAFv/WNEPJpBgKpBh7JBiKzYCgAAKZgLqkGMrNgKAAAxmAghm +EQpmArFm9yxmHahmHQD3LEYdqGYdAPcsJh2oZh0AJpRgKVAF+GAbA2IAnQD7IBrDYgCdACPiG9jg ++KBoHe/09QD8YAARsAqFAG2qFSuQNCqAZOiMASTIBQAA+2BDFSIAnQD4oGgdoAmFAG2aFSqAPCng +XO7sASRABQAA+UBCjWIAnQDjFgEqwASAAPgggBXgCjUA0w9tqhcrkAAqgE7ojAEkyAUAANMP+2BB +zSIAnQAbbr24GPoAChXgGVUAbZoCCACKGnBs6hYCItlFAAD+QAgV4Ak1APgjZh3gDrUA/CQGHeP4 +9QDoFQwv7gKAAP+mAA6wDDUA7RYDINCFAABYVKIrXE76JKAVoAw1AFhUnvrd/AXgDwUALxQ7LxQ6 +/icmHeAJJQApFDgrsl2OLSkUOP4lhhXgDDUA7xQ7IOkBAADvFDovdkKAAO67CADR/QAA7xQ5JcDh +AADoJgAA+SEAAO+HHgXxAQAA7gYABVDFAADtgx4F2SEAAFhUgy4SLOscCClQBIAA/w4ADzAMtQD+ +IoYVoA0lAFv4ANEPL5BQJpBRDwIA7JBSL/4CgAAG/wLmkFMv/gKAAAz/Agj/EQb/Ai/8Af8qZh3o +/x0A/ypGHej/HQD/KiYd6P8dAC+UUClQBSoWLygWLvsgE6xiAJ0AKhYv+CXGFaA29QD3IDCUIgCd +ACoWL/glxhWgS0UA+yASfGIAnQDrEi8q0ASAAFgDF+ikAAUaIYAA2iDsEi4q2ASAAPjgAAcwDXUA +W/7B+qBoHaCLpQBYAnTRDy6QWC+QWQ8CAAjuEQ/uAi7sAf8rJh2o7h0ALpRYKVAFLAo2/SAq/CIA +nQAvCjf/ICtEYgCdAPhhjg3gRzUA+yAM+eIAnQD1IAy8IgCdAMNv9yAP1CIAnQDEovsgD4QiAJ0A +9yAL3GIAnQDaIPqgaB3gDZUA/QBoHaAe5QBb/p7RDwAskFQtkFXukFYuZgKAAA3MAu2QVy5mAoAA +DswCCMwRDcwCscz9KuYdqMwdAP0qxh2ozB0A/SqmHajMHQAslFQpUAUqFi/7IBzEYgCdAMPa/SAd +7GIAnQD1IBR8IgCdANog+qBoHeANlQD9AGgdoB7lAFv+gNEPLpBaL5BbCO4RD+4Cse7/K2YdqO4d +AC6UWilQBfMgEXRiAJ0A9SARNCIAnQDaIPqgaB3gDZUA/QBoHaAOBQBb/m/RDwAAAPU/5UQiAJ0A +2iD6oGgd4A2VAP0AaB2gHuUAW/5n0Q8AAAAmkGgqkGnrkGorNgKAAApmAuqQays2AoAAC2YC7202 +GzYCgAAKZgLq4S8jMAUAAPctZh2oZh0A9y1GHahmHQD3LSYdqGYdACaUaP9AJARiAJ0Ag1vIPikx +N/sgCBwiAJ0AgztlP+/aIPqgaB3gDXUA/QBoHaAedQBb/kfRDwAAAADaIPqgaB3gDAUAW/0W+KCw +FaAJRQApVFQoVDB3gQTDrypUBfqgaB2gi3UAWAKh0Q8AAAAAAOsSLyrQBIAAWAKE6KQABQ2ZgADa +IOwSLirYBIAA+OAABzANdQBb/i76oGgdoAsFAFgB4dEPAAAA9aASeZIAnQDaIPqgaB3gDZUA/QBo +HaAe5QBb/iPRDwD6YGgdoXslAFgIjNEPAAAA+uBoHaALRQBYA4fjpAANCN4AANog7BIuK9gEgAD8 +ASId4C6VAFv+FNEPANog+uBoHeANlQD9AGgdoB7lAFv+DtEPZD8C+yAGPSIAnQAsMTYr4S4oFi79 +YAW1IgCdACvsWfproBWgDDUAWAOZ6BIuLQUGAAAtMAXF5n7RBNowWxR42iD6oGgd4AwFAFv8z9EP +2iDrNAAKYASAAFv6g9EPAPomKBXgDzUA71RUKtAEgABYAZXaIOtUAAvgBIAAW/2v2lBYCwXRDwD6 +QGgdoDOVAPqgaB3gDAUAW/y7KVAFKVQw8qCmHeAIhQAoVFTRD9og7BIvKtgEgABb/PMqEi8qoALx +X+uekgCdANpQWArz0Q/aIPqgaB3gDXUA/QBoHaAedQBb/dXRDwAAACsSL1gCIeikAAUIIYAA2iDs +Ei4p2ASAAPjgAAcwDXUAW/3L+mBoHaALBQBYAX7RDyoSLyt8QPtCABWgDIUAWANZ5qJRbUAEgAD3 +QBBwkgCdAOsSMSrQBIAAWAFh2iDrVAAL4ASAAFv9esCz61RUKtAEgABYCs4sEjAswATB3P2fyqVi +AJ0AHW2kLdF+87/KN5IAnQDaUFgBJNEP2iD6oGgd4AwFAFv8fi5wfWXpJ/pgaB2heyUAWAgS0Q/a +IOwSLyrYBIAAW/y2LxIvL/AC8+ANZpIAnQAoEi+Ig/Ef437SAJ0A2lBYARHRD9og7BIvKtgEgABb +/KrRD9og+qBoHeAMBQBb/GbRDwAAAAAAAOsSMSnQBIAAWAExjTcPAgAPAgAt0g4p0FAr0FEkEi7q +0FIszgKAAAuZAuvQUyzOAoAACpkC/SAAFLAMNQDrmQICIBUAAOmcASHROQAA+apmHeiZHQD5qkYd +6JkdAPmqJh3omR0A6dRQKlgEgABYUwbqHH8qWASAAPtGoBWgDDUAWFMBKxIt+Nt8Bei7HQArFi2I +PBxuvgmIAXy8DhxuvQyMAvxhhhWgADYAAB1s7g2NAp082iD6YGgd4A41AO40VCvgBIAAW/0f2jBY +CnXRDwDaIPqgaB3gDAUAW/wtinhb/BDRD9og+qBoHeAMBQBb/Cj64GgdoAsVAFv7QdpwW/r963II +K9AEgABb+t3RDwAA+iXoFeAPNQDvVFQq0ASAAFgBluikAAUDyYAA2iDsEi4q2ASAAPjgAAcwDXUA +W/1A+qBoHaALBQBYAPPRD9og7BIuKtgEgAD44AAHMA11AFv9OPqgaB2gCwUAWADrY/332lBYCktj +/k/aIPqgaB3gDAUAW/wD0Q8A2iDsEi4q2ASAAPwBwh3gHpUAW/0pY/3H6xIxKtAEgABYAMnaIOx0 +AArYBIAAW/zj2lBYCjnRDwDqswZ6QASAANjQZYrXY/eR6aMGekAEgADY0PsfvSgSAJ0AY/q/e6MB +1ND6n74QEgCdAGP6rwAAAGwQMBpsqhVtUhttHv5AsBWgD7UA8gAiHeAGBQD2BkId4/31APfEZg3g +OJUA+cAH3CIAnQDtIFUr2ASAAPzcsAWgClUAWFnowCDRDygiFimyfwmIEaiZiZgmlhkksn/6AAoV +rAkFACkWKuhECADB/QAA+QYgFaAZVQBtmgIIAIqJIO0VYCFZRQAA8jhmHeAMNQDjFMgszgKAAO+Z +AgDR/QAA6RYtJVEpAABYUnkjFOD8L+AVoP/FAP48hh3gDiUA/jymHaF9JQDtFXQmcKUAACvgAu3g +ASZhOQAALcQBK8QCLuAALsQAKiAFd6EIKCITBYgBKCYT2iD43EYF4A2FAPw+Zh3gDLUA5yQFINn9 +AADyj6Yd4A0lAOkWLCXYxQAAW/XgwCDRDwAAAAAAKCIWJLJ/6SITLEZCgAD4gABCMDiVAHjhBQWZ +ASkmEwoAifggaB2gGVUAbZoCCACKGGz+mBCOICYUE+0VCCDQZQAA4xQYL24CgADv3QIBWUUAAPwg +JhXgDDUAWFJCKhwd+knAFeAMNQBYUj8mFDEmFDLmFDMg0NEAAPqKgBXgCTUA+CYGHeAcBQBYUjYq +HET6iAAV4AyFAFhSMyocTPqHABXgDIUAWFIvK0xk+i6AFaAMhQBYUiwjFUAmFFQmFGTmFIQpUASA +APogaB3gLwUA/iaGHeAMtQD+JqYd4P71AP4nxB2gDSUAW/Wjw4n4QKYdoAIFANEPAAAAbBAaGGwh +KQoV6AAVCMAEgABtmgIIAIrubdIQ0GUAAP4gBhWgDLUA+EAIFeANFQD8IwYd4AMFAPIiZh3j+/UA +6xUILM4CgADsmQIBWUUAAPggJhXgDDUAWFIFKhwd+knAFeAMNQBYUgHjFDIpUASAAPogaB3gLgUA +/iYGHaAMtQD+JsYdoBlFAPgmZh3gLyUA/iIGFeAdBQD8JiYd4AiFAPgmhh2gDSUAW/V0w/ovJAXR +DwAAbBAEKiw0+mOAFeAMhQBYUeoqLDz6YoAV4AyFAFhR5iosXPphABXgDIUAWFHjKixo6DIEIdkR +AAD4QyYVoBwFAFhR3dEPAAAAbBAEFGyAKCIW0w8nQonpiBEJUASAAA8CAOh3CAnYBIAAW9Xbiicq +rBBbF6uEKctDFmx9hUv8gAgV4ApVAP5ACBWgOyUA70AFK2AEgABYWR7qRAAJ2ASAAFuuruRUAAr+ +pgAAhCnAwOsiCyIA2YAAZbCY9EFmFaAAIgCUu5tM/EEmFaAEBQCLenK5EoQpiyj64UYV4AC2AAAA +AAAAAADJtoq4cqESbQgM66QABQBhgACKqHKhAmP/7Mi0hCmNKJ24nCgqIAXqJDAqAd4AAI4rz+HE +83+hTxpsS4sgLqJy+1GIFaAMBQD/bwANsA0VAFsU6x1rRozew7/rJAUmY/0AAJze0Q/E0i0kBdEP +ibvTDw8CAGSfZG0ICumSCyzYBIAAZJ9WY//uw+8uJAXRDwAAAGwQGvjXLAWuCQUA+CAGFeAEBQD0 +ICYVoBlVAOgAFQDAIQAA0w9tmgIIAIoYa+coFgL8QAgVo/71AP4hhB2gD0UA/iQGHeANtQDkFBsu +ZgKAAA3MAiwWAysgBCocIQ8CAPVgBOKSAJ0A+kogFeAMNQBYUXMqHCX6ScAV4Aw1AFhRcBNr7Cgi +FiMyfwmIEagzijfDvyskBYquKaBwK6BxCJkRC5kCsZn5TiYd6JkdACmkcCQUOSQUOuQUOyDRAQAA ++mgAFeAIVQD4JwYdoAyFAFhRXCs8SPoggBWgDDUAWFFYiRHrHAgpUASAAPkOAAzwDLUA+CHmFeAN +JQBb9NXRDwD6SQAV4Aw1AFhRTSsQAi0QAe4QACDglQAALsQA7cQBKRgEgAD7gEYd4Cq1APpAph2v +/gYAbBAEIjEDKjECFG0E/EXgR9AIFQDyhPYNoAUVACcxAiYwQPYMAARwAgUA+K0ACTB3KQD2rQAJ +cGY5AAZSONEPKTBA+gwABbACdQD7DQAJcKopAPsNAAkwmTkACYI40Q8AbBAWKAqG+GAehCIAnQAp +Cof4YB58YgCdACYgDBRrySUiFhprKShCfyRCiQoAiehmCAquQoAA5UUICzZCgAD2gABCMBkFAOYh +GSjABIAAbZoCCACKGWpcKEEpDwIADwIA+QASbGIAnQAca78tIgD+QLAVoApVAP5KkBXgOyUAWFhh +Gmp3GWp4+EAIFaDrFQArFBj4IAYV4AeFAOoWAixGAoAAB4gCmBErIAcpQSn61VoFobsBAAC7EQuZ +AgqZApkUD+ownxWYGf7XUAWgTQUALRUPnhgsIFQsFDErIAUrFDL6RhAVoAwVACwUMCoUMykiFukW +DSDIgQAAiJWPlI6TjZLrkgEg0QEAAJuhnaKeo5+kmKWJkJmgiCAoFhYvIFQvFF0uIAUuFGAtIDD8 +LCYd4AsFACsUXuwUXCDRoQAA6yw0K+AEgABYUNkqHHD6R4AV4AyFAFhQ1StccvovQBWgDGUAWFDS +Khx9+knAFeAMNQBYUM7rLFwg0f0AAPtAoBWgDIUAWFDKKhFC9gQAB7BWMQD2BQAGsEZBAPYKAAOw +llkA/ihkFaCGSQD+JiQdobYdAPrGAA3wxjkA7cwRDEbCgADpmRELvoKAAOl3AgonAoAA6EQCDu/C +gADt/wIKr4KAAAxVAvxBiBWguwEA/WgAFbDaKQDnRAIO7sKAAP1mAA30zJkADLsCKxRk+k0QFeCa +aQD2TTAV4KpxAOqZEQ1WQoAA+yYADLC7GQD2BgAFcMc5AO7MEQ1XwoAA7KoCDd9CgAALmQL7JgAM +sHcpAPjmAAvwOAUACHcCJxRljiwFRAIE/wL+LOYd4N6BAP4RAAYw7pEA6swRD3ZCgADuzAIO7sKA +AP2GAA5wDYUADcwCLBRmjCcPAgAryRTksVdmUIEAAI3JZNFN+iBoHeAMhQBbGOkXayMoIhbTDydy +iemIEQlQBIAA0w/odwgJ2ASAAFvUf4onKqwQWxZPhCnTD8tDFmsghUv8gAgV4ApVAP5ACBWgOyUA +70AFK2AEgABYV8HqRAAJ2ASAAFutUuRUAAr+pgAAhCnrIgsiAOmAAGWwofRBZhWgACIAlLubTMCw ++kEmFeAEBQCLenK5D4QpjCj84UYVoACqAAAAAADJtoq4cqESbQgM66QABQBhgACKqHKhAmP/7Mi0 +hCmNKJ24+kCwFaAOBQCeKOokMCoCBgAAjyvP9sSD+UAEFCIAnQAaau2LIC6icvtRiBWgDAUA/28A +DbANFQBbE4wdaeiM3sO/6yQFJmP9AACc3tEPxNItJAXRD4m7DwIAZJ9dbQgK6ZILLNgEgABkn09j +/+7B5v5Khh2v8OYAwPT+SoYd7/C+AAAAAAAAAOokAAjYBIAA/AECHaANJQBbECZj/qbDjygkBdEP +AAAAbBAEG2qrGWqvHmrdGGmF4mnJGVAEgACNoCSiByiC7A7dAiRCDvhWJhXgDEUA/FYGFeANVQAL +gAApIrEJiUfJnipAOitAOwiqEQuqArGq+odmHaiqHQD6h0YdoAIFANEPKCK6EmqKGWqNIiJ/CYgB +7mrIHEZCgACoIowsAw1E7swBDutCgAANzAKcLCtAbixAbwi7EQy7ArG7+o3mHei7HQArRG7RDwAA +bBAE0w9tSg8nIAAkMACxM+R5DHEQBQAAwCDRDwAAAAD04RYNr/L1AMAh0Q/RDwAAbBBAhDQeaUDy +1J4Fo0SBAARECeVpVhonAoAApCLu4pAhaAsAAC3QACpSbyQif67d/agAFrAMFQDtqggKWASAAFhC +mi0wTC8wTe4xLi0wBIAA5yKDLu4CgAD/pgAO8AsVAP/EYATQ/mEAZHSY9C3GFaACBQCEemVA72Ug +Z4d5ZX/yYABcAAAAAOQWbiOjyYAAIgoAhHpkQEGMSs3HzyiESWVP9OwWBCkB7gAAYAAtziaMyGTP +5orJZK/zbQgKKKE2fYEMiqtkr+Vj/+4AAAAAAOKkAAV+4YAAnBTMJod5ZX+xZCQgFGkihS0kQm8J +VRGlRIVIh0eMVykwVId+jM71IAWwkAoFAGmSXC0xL27fChhrcP0ACJLiAJ0AwJdk8SotMJgOWED5 +bQAMsP05AP9iAAzw3RkADbk4ZJEhiVp0mUorcDItcDMIuxENuwKxu/rmZh3oux0AK3QyKsBRsar7 +iiYdoAEqANEPAIpLyaFtCAksoTZ9wQeKq8ikY//vANKgZS9ghEllT+Bj/u0ucDQvcDUI7hEP7gKx +7v7mph2o7h0ALnQ0LcBSsd0txFLaQFv99NogWxBr0Q+PWnT5HShwNilwNwiIEQmIArGI+ObmHaiI +HQD45sYdoAB2AClwOCtwOQiZEQuZArGZ+OcmHeiZHQApdDgrMFkqFm31YC/CkgCdAMDJfLEo2mDr +VAAKYASAAPwAAh3gDgUAW+3Q2iBbEFDRDwAAAAAA//vADaAJBQAtMFr6LaYVoC6VAP+gLJQiAJ0A +2iBbEEbRDy1QBP28gBXgCBUA/Q0ADP/7GgAoUAQfad4qFm31ADUwkgCdAIoUKhZzLkAFwtwPAgD9 +wA2MYgCdAC8Wch5p0ChgJhlorCkWcAiICekABQxHAoAAqO7u4H8vyASAAAkCYQkCYQkCYQkCYRpp +zxtp0R1p4R9pz/gtyBXgDEUA7NY/L3UCgAAP7gIu1YALmQL4LeYV4AxlAOnWPiJZsQAAWE81GGiX +6ILNK1AEgAD6LkgV4AxFAPguJhWgDVUAC4AAH2nNLvI/Do5HZOP29cAfnRIAnQBl4actEQooOv/5 +oAz0IgCdAB5oTxdphCkSbShAfC1FP/qFJBWgCxUA+iEGFe/NAQCcHysWEJgZmRyZHZkeCIgJ6RYR +LEcCgACodydyf/9AKhQiAJ0AHmj4KhZsDq4Cnhv2wAAH8pdBAP0oABSwhzkA6f8CDEQCgADo/wIA +0IEAAO8WCiDZQQAAWw5UZKUOwKX81WAFoDslAFhWWMCl/NVcBaA7JQBYVlXaYOtUAApgBIAA/C5o +FeAOFQBb7WEqUDbTD2SgTYpXKqwQWxTQKFA2F2hkhlcIdyjqdAADMEEAAFqkpu1oBRvgBIAA7mqf +HVgEgADvUgArUASAAFqkW4ZXDwIAJmwQ2mBapDj1QDtIkgCdACoScys8cPtGgBWgDIUAWE7gKhJz +Kzxo+0eAFaAMhQBYTtwqEnMrPFz7S4AVoAyFAFhO1yoSc+wyGSHYBwAA7KYZJdphAAD7TQAVoBwF +AFhO0OMScyHZBQAA0w8jPE76YGgdoAw1AFhOyioSc4ha+UCwFeA+dQD5RgYd4DZlAPUABAUgB+UA +90CmHaALxQD7SoYd4AHaAAAALXA8LnA9CN0RDt0Csd3856Yd6N0dAO10PCpQBIAAW/0m2mDrVAAK +YASAAPwuaBXgDgUAW+0Y2iBbD5fRDxxqcy8wQi4wQSswQ5sQKjA9mhEpMD6ZEvhn8BWgOyUA+CBm +FaAKVQBYVfrRDyekVC6kBSoSc1gGJ9ogWw+HLVAE9tFKBeAYxQB42U4pUgr1ICLFIgCdACoScyqg +MPdAHOUiAJ0ABEsC/i5oFaAMhQBtyhUvsEAi4Dz+QCS1YgCdAOu8ASdwBQAALxJzxOT/4KYdoC3F +AC1EBdEPgkfqaUIREEEAAFqkOxxpPx1nmR5pP49A66QACVAEgABao/GCRw8CACIsENogWqPO9UAr +4JIAnQCIWnSJGoJJySXaIPoKIh3gDAUAW/CsginTDw8CAGUv6OtqGRpQBIAAW+xd5wAVAMAHAAD5 +AQAVrQkFAPgoBhXgGVUA0w9tmgIIAIouEm0ZaRrpFkIg0AcAAPyACBXj+PUA+DGEHaAPFQAvpCDu +pBsiWSEAAP2gABawDrUA/6YADrAMNQDtFkMlUIUAAFhOVhhnuC4SbfwgICWgDTUALcQ/LsQ5LsQ6 +LsQ7KcAC+4AwFeBvJQAvxDjvaNcWUJUAACukASmkAhtoziiC7B5o5yzAACykACJCBxpo/ilCACIi +Dv/H5hXgDVUA+yYADLAMRQDp5j4qUASAAAuAABho2yiCPwiIR2SBVSkgOiogOwiZEQqZArGZ+Edm +HeiZHQApJDrrafgaUASAAFvsHcKsKkQF0Q8AACzxgA8CAAwMSfwhRB2hWwUA/X/f4qIAnQAtEnAu +Em8pEnINAIcJAmEJAmEJAmEJAmEaaMAZaLcppj8upj4sQG4uQGwoQHApQG0vQG/tQHEsRgKAAOiZ +EQ90AoAA6e4CD/wCgAAI/wIP3QIOzAINzAMMzRQNzAMMbRT9lwAOcAsVAPygAAYwCgUAWFbG/tFU +BaAMRQDoEnEt+ASAAPouSBXgDVUA7+ZBLUgEgADp5kArUASAAAuAAB9ony7yP//tQA2n7kEA2iBb +DuOIFClQBCwSbY9aKZzkCYw4dPk++oBoHaALRQBb8CrRD9ogWw7Zilr1X9EFIgCdAIsULVAELBJt +7dzkKlAEgAD9YgAOcAtFAFvwH9EPAAAAAAAA+oBoHaBbFQBb8BrRDxhniRloYxNnTCiCuiMybwmI +AelonBxGQoAAqDOPPBhomgn/AQj/Ap88LiBuLyBvCO4RD+4CLuwB/k3mHajuHQDuJG4h8/mAACo8 +TvogICXgDDUAWE3N2jD6ICAl4Ay1APthABXgDSUAW/FMw8j8YKYdr/lKAAAAAAAAAPothhWv6x4A +AAAcaW/8IogV4ApVAP4iqBWgOyUAWFUSLRJsHGlq70B8K/AEgAD4IUQVoApVAPggBhWgOyUAWFUK +KhIVKkYTKRIU+IoGHe/rHgDCvCtEBdEPAAAAAAAAAOpMbCHYBwAA+3lAFeAMZQBYTacqTEj6Z6AV +4Aw1AFhNpB5oQx9oLhtoXBhnA4xHKUIAKILsjM4sFnQLmQLraCIaUASAAP/H5hXgDVUA+cfGFeAM +RQALgAAaaDYqoj/+0DQF56pBAOkSdCUCgYAALJA6LZA7CMwRDcwCscz9J2YdqMwdAP0nRh2gDQUA +7RZzLsaeAADaQFv79togWw5t0Q8pEnPAjvkqhh2gOnUA+yCmHaAvxQAvRAXRDx5nJBhn/R1m5y7i +ui3SbwjuAepoNx92QoAArt2O3Bhm8QruAQjuAp7cLJBuLpBvCMwRDswCscz9LeYdqMwdAP0txh2v +/j4AAAAAAAAAAP5A9g3v+/UAKwoBZ7tnLxJz0w8v8AXDKXLxDikScxpoEiiSEwqIASiWEwcAifgr +ABXgG1UAbboCCQCKLxJtKxJzGWgKKRYWjbD+LWYd4/j1APgmhB2gDhUA7hRwINHFAAD9oAAWsA61 +AP+mAA6wDDUA7RYXJdlFAABYTUvbMPouoBWgDDUAWE1IKxJtKxSJ+jFGHeAMNQDrFIsg0f0AAOwU +iCVQNQAA+oqAFeAcBQBYTT7rTEAg0f0AAPtDoBWgDIUAWE0560w4INH9AAD7RKAVoAyFAFhNNOtM +ZCDR/QAA+0mgFaAMhQBYTS8qEnP4LagVoAkVAPgthB3gLwUALxSM/jGmHeD+9QAuFWroFKwg2WEA +APg3hh2gDLUA+DuGHaANJQBb8KQrEnPzYKYdoCrFACpEBdEPANogWqKKEmaNC6gR6CIIBQQJgAAM +6jArIoWLsLCi7LsICVAEgABYVeIcZn0qwpn6QAQA0AsVAAC7GguqAirGmVhWF2P6QtpgWqJ5FmZ8 +C6gR6GYIBQKhgAAM6jArYoWLsLCm7LsIC1AEgABYVdEcZmvTDyrCmfrABADQCxUAALsaC6oCKsaZ +WFYFY/hTAAAAAPoA4h2gCxUAWxOjLCJ//FBmFa/nmgAAAPoA4h2gCxUAWxOdLWJ//NBmFe/gmgAA +AGwQSCkwVPpgaB2gAiUA9s6QBeAEBQD1IAoIkA/lAPkgCbFSAJ0AKzEvLqEuKhaF4mbnHfg8AAAY +aKL7ABOS4gCdAMCX/VMQFeP19QD+DAAHsAwVAP+NAAzw7ikA/40ADLDdOQD9ggAM8AO1APMoAA3g +G1UAlBXyAAoVrg8FAO8WBCDAYQAAbboCCACKG2crmxaJYPQlZh2gCkUAKhQw5RUULM4CgAADmQKZ +FyhgBCocMfUAEBqSAJ0A+sogFeAMNQBYTLsqHDX6ycAV4Aw1AFhMuChiFiJyXQmIEagijSfD7y5k +BY3eLNBwLtBxCMwRDswCscz9riYdqMwdACzUcCQUSSQUSuQUSyDRQQAA+kgAFeAJVQD4KQYd4AyF +AFhMpCssSPoigBWgDDUAWEyhjhXrHBgrUASAAP8OAA8wDLUA/iJmFaANJQBb8B36wGgdoIulAFv6 +u9EP0Q8AIzBZDwIAfzHzHGhaLWBOLmBP/soQFeAKVQDyIAYV4DslAFhT4vrAaB2n2wUAWAhTZK/J +KGIWImRUKWAFKWQwInJd6YgRC1AEgAD4QABBMIulAFvQiIpn4haEJVBBAABbEleCadMPyyMVZyiD +K/xACBXgClUA/sAIFaA7JQDvIAUq4ASAAFhTyfpAaB2gi6UAW6la4jQACf6mAACCaetiCyEBaYAA +zLjywWYVoABuAAAAibvIm+mSCyzYBIAA0w9ln/KSu5ss9MEmFaACBQArEoSLuna5Dy0ShIJpjGj9 +oUYVoACGAADJsIq4dqEM66QABQBJgACKqHap8si0gmmOaJ64lGgqYAXqZDApAe4AAI9rz/PEg/lA +JDwiAJ0ALnJGi2D67AgVoAwFAP9vAA2wDRUAWw+TGGXvj47Dn+lkBSf7/QAAn47RD8SiKmQF0Q8A +AAAAAAD4gGgd7/ZCAPrJABXgDDUAWEw7LxwQLvAB7PACIOjVAAAs1AIu1AHv8AArEASAAP+gBh3g +K7UA+sCmHe/4UgAA66xwI1DRAAD6L8YVoAyFAFhMKysShfrHgBWgDIUADwIA6hZ/JdmhAABYTCUr +EoX6y4AVoAyFAOoWgCXZcQAAWEwgLBKF7MIZJlgHAADsZhkjUaEAAPtzABXgHAUAWEwYKmAMK2IW +HGVoLWAF/MYGHeAOFQAuZFQtYRktFoMswpApcl0CAInsqggN3kKAAOubCADB/QAA6xaCLVZCgADq +mQgEQQUAAPgwJhXgGQUAbZoCCACKLxKBGGVIL/Ep+eAUjCIAnQAcZqyNYP7AsBWgClUA/sqQFeA7 +JQBYU08ZZWYYZWX+wAgV4OoVACoU2CgWMvgmBhXgCIUA6RKBL/4CgAAI/wIvFjErYAcpkSn6yzQF +obsBAAC7EQuZAgqZAikWNAjqMC8WOSgWNR1mlPwnBhXgTgUALhVvLGBULBTxK2AFKxTy+sYQFaAN +FQAtFPAqFPPqYhYgyf0AAOoWPSTJhQAAipWMlI6Tj5LokgEg2AcAAJixn7Kesyy2BCq2BSmSACm2 +ACsSfiliACkWRu9gVCDABwAA/wOmHeAMhQDqYAUg8AcAAOrkICDIBwAA72AwIMAHAADvlCEg8AcA +AO3kHCDQBwAA5IQeJVChAABYS7zrEn8g0AcAAPtGABWgDIUAWEu3KxKC+iAgJaAMZQDqrDol2ckA +AFhLsutsTiDQBwAA+0egFaAMNQBYS63rEoAg0AcAAPtIgBWgDIUAWEuoKBKDKxGiLBGj/DIkHaDY +IQD4LgAPsJtpAPoOAAVw6CkA7+4RDVZCgAD9MAAUsLspAO+PAg3ewoAA+yYADLD/AQD/pgAOsMhR +APrBiBWg6FkA6e4RDmaCgADuzAIP/kKAAPvmAA/w6EEA/8AAFzC4OQD/aAAVtKqZAOr/AgDQBwAA +/0SGHeD4MQD/8AAXsIhJAOv/AgxGwoAACO4CK2BoKGBp/cYADzC7GQD/aAAVsMg5APsmAAzwuDEA +7swRDd/CgAAMuwL7JgAM8IgpAPkGAAxwOQUACYgCKKQljGwP7gIO3QL9ROYd4LyBAPwRAASwzJEA +6pkRDmZCgADsmQIN3sKAAPsmAAzwC4UAC5kCKaQmLWIHKNkU5IDZZtCBAAAu0glk4M4rHH/7aCAV +4AyFAFsTxixxfvOf2H+SAJ0A4gAVAMAHAAD5CgAVoBlVAG2aAggAih1nEC0WVOliACNZRQAA5RWw +INAHAAD1TGYdoAwVAOykaCzOAoAA8yYADPAMNQDpFlUlUaUAAFhLRetsTiDQBwAA+02gFaAMNQBY +S0DaYPogICXgDLUA9XBGHaApBQD5cAYd4C4lAPlwxh3gGAUA+XAmHaANhQD9cIYd4B9FAP9wZh3g +DSUA7hZkJdlBAABb7rPD6i5kBdEPw/8vZAXRDwDaYPov4BXgDIUA+2ggFeANJQBbCyNj/yYAbBAc +GGUr9ssGBewKBQD6IAYVoAsFAJsRKSIWJHJdCACJ6ZkRAMAhAAD4gABCcBlVAG2aAggAivokIBWg +DDUA/EAIFeAGFQD2I2YdoA61APYkBh2j//UA7xUMLu4CgADu3QIBKUUAAO0WAyrYBIAAWEsJJhQ4 +IxUgLBABKRAC/CAQFeD+xQD+J4YdoAolAOoUPSDYlQAALbQA+WBGHeIfNQD9YCYdoXglAPhnZg2g +DIUA/mAFLGIYdQD4YAa8Ihn1ACoWLHkxSh5mu+scCClQBIAA/6YADzAMtQD+IEYVoA0lAFvucNEP +AC8gBfjLNAXgOiUA++GmDaAdRQAoIhMJiAEoJhMsFEsqJAX2j6Ydr/7mANtQ9UBoHaADhQD6IIAV +oAw1AFhK24wRK3F+8inmHejMHQCcEfwiRhWguwkA+s0ACnAdhQD0KcYdoDpFAPpAph2v/dYAAAAA +ANtQ+iCAFaAMNQBYSsuNEfqHABXo3R0A7RYBINExAAD8IkYV4AyFAFhKxPwDgh3gPlUA/kCmHa/8 +3gDbUPoggBWgDDUAWEq9iBH2KcYdqIgdAPgiRhWgPUUA+CAmFaA/NQD+QKYd7/wiAABsECL4yiYF +oAkFAJkQiS0ogl0JmRGpgokojColkhnkwC5iq8EAACoKQG0IC4vMCztUaLQGjMjJxmP/7S3ABS3F +CfuAph2v/74AAAAAAAAAAPagBVXSAJ0AjyopFiwbZKPsZSIRaVEAAO0WMSDw8QAA7hYwIMCVAADo +Fi8g0IUAACoWLiwWOOsWLSDhMQAA7BYyIVkBAADrFjMg0VEAAOoWNCFA4QAA6BY1IPHxAADuFjYh +aZEAAO0WNyGgYQAA/GEAFaAOBQD8YGgd4AiFAG2KESbQGKLnJ3BAse7meVl26AUAAI00ZtAX4zwQ +IqvBAADkTBAi2yuAAGAABAAAAI8q4/QAD4BOAABgACDJPSgwBeMyCCnQBIAAaY3viawJOVRplOcr +CoZb+T9lP+DAoCokfdEPAAAAAAD24NYNr/31AMDRZN+dJhIshmplYHLs9AAHhUmAAIjM3TD4kwAE +MA4FAPkABIJQCYUAbZoRJtAYrOcncDyx7uZ5bnboBQAAjTTA8OjZEQjwBIAA+CAGFeAINQBtihcn +4ACs9iZgTu/8ASdwBQAA9uAXPSIAnQAowQkoxAX+QUgV7/y6AAAAAAAA/GBoHeAOBQAn0Bim6iqg +QO3cASdwBQAA90AEpWIAnQB82eSNNGP+/Pbg1g2v/fUAwNFk34iMyGXPWhtklSuxfn63BY00Y/7c +AB5j2B9kvBtk6hhjkYYniSAoguyGbguZAusSOClQBIAA/9YmFeAMRQD51gYV4A1VAAuAABljzCmS +sQmJR2SQRCpgOitgOwiqEQuqArGq+sdmHaiqHQAqZDqNNP5BSBXv+foAAAAAAAD3QNYN7/31AMDR +ZN9lhmndMP7UPA3gDgUAY/7AABhjtxlkkRdkbyiCuidyXQmIAe1kyhxGQoAAqHcscgwNzAEdY/8N +zAIsdgwrYG4sYG8IuxEMuwIrvAH6zeYd6LsdAOtkbiP8cYAAKTIEKnw86JkRClgEgAD4IAYV4AyF +AFhJ+OZ8TijYBIAA+sBoHaAMNQBYSfMqcAUrCjl7oQsdZKEschMNzAEsdhMuEi24GP4AChWgGVUA +bZoCCACKG2SamxL+4AgV4AkVAPgkBh3j+PUA+CGEHaAKBQD6I2YdoAi1AOoSLi/+AoAA+eYAD7AM +NQDvFgMj2UUAAFhJ2SsSMSgSLylgASpgACqEACmEASoSMC9gAv8ARh3gDjUA/icGHaANBQAtFDkt +FDr8J2Yd4BwFAFhJyy4SMy0SMizgACvgASvUASzUACrgAingAynUAyrUAijgBC/gBS/UBSjUBCzg +By7gBi7UBizUBy0SNSwSNCvQACrQASrEASvEACnQAijQAyjEAynEAi/QBC7QBS7EBS/EBCvQBy3Q +Bi3EBivEBywSNysSNirAACnAASm0ASq0ACjAAi/AAy+0Ayi0Ai7ABC3ABS20BS60BCrAByzABiq0 +B/1gxh2gKQUA+CeGHeD49QD4J6Yd4A4FAP4rhh2gDxUA/i2GHaANJQDvFUQr0ASAAP4xhh2gDLUA +6BVCINghAABb7RfD2fzgph3v91YA9sDWDe/+9QDA4WTtDyrMTvogaB3gDDUAWEmJ/GCIFe/0EgAA +bBAuKDBUaIIC0Q8A6zxwIyjRAAD6oGgdoAyFAFhJf+s8aCMg8QAA+oBoHaAMhQBYSXvrPFwjEXEA +APpAaB2gDIUAWEl2Kzx46TIZI1GhAAD4wyYV4BwFAFhJcBxkLopsK2AFH2MJ/UAEBTA8FQD9YBy8 +IAMVAB1kKQ2tAp1sJ2IWKGAMI2RUKfJ6LmEZL/KEqYjuFlIsRkKAAOj4CAu+QoAAp/8XY2UoFlD+ +KiYV4BkFAOcAFQjABIAAbZoCCACKKBJQGWKcKIEp+QASZGIAnQAcZACNYP7AsBWgClUA/sqQFeA7 +JQBYUKMYYroZYrn+wAgV4OoVACoUGJkS+CAGFaAMhQDoElAv/gKAAAz/Ap8RKmAHKIEp+MXeBeGq +AQAAqhEKiAIJiAKYFA7qMJ8ZnhUdY+n8IQYV4EsFACsVDypgVCoUMSlgBSkUMihgMCgUMyMUMC9i +Fu8WDSD4gQAAjvWJ8Yryi/Pt8gQgwQEAAJ2Em4OagpmBnoWP8J+AjmAuFhYtYFQtFF0rYAUrFGAq +YDDyK4Yd4AkFAOoUYSrYBIAA6RReINGhAABYSRzbQPouABWgDIUAWEkZKxJRKhx60w/7bkAV4Axl +AFhJFCocffrJwBXgDDUAWEkR6hx/KVgEgAD7QKAVoAyFAFhJDCkSUiwRQi0RQ/wmJB3gSSEA/A0A +BTC8cQD4LgAMcFkpAOiYAgqvwoAA6bsRDVaCgAD8BQAGMNlZAOuqAg5mwoAA+sGIFeCIAQD0hgAK +cFlBAOxVEQxGQoAA/QYADDS7mQD7BgAMcMlRAPgshh2guTkA+AYABHCZSQDtuxEMzsKAAOlVAgxH +goAAC4gC62BoLu5CgADpYGkuZoKAAA3MAvymAAqwuxkA/2gAFbDJOQD7RgANcLkxAO7MEQ3fwoAA +DLsC+0YADXCZKQD7JgAMsDoFAAqZAikUZYJsCFUCBUQC9CzmHaDygQDyEQAHMCKRAOruEQkWQoAA +4u4CD/7CgAD/xgAPcA+FAA/uAi4UZoxnLckUKswg7sIJJoipgABk4Q36IGgd4AyFAFsRKy9gBfgG +Ih2sCQUA+f/nDSAKBQAHAIkqFiXpFiQgwf0AAPkDIBWgGVUAbZoCCACK8sogFaAMNQD8wAgV4A61 +AOMUqyDR/QAA8jYGHeP/9QDvFVQu7gKAAO7dAgVQyQAA7RYnKVgEgABYSKYjFMj6L+AVoh91AP4t +BB3g+cUA+DmGHeAIJQDoFM0lcEUAACjgAu3gASVI2QAALZQB6JQCKVgEgAD/wBAVoAw1AO6UACVQ +VQAAWEiTKBIl2mD+yLYFoAy1API7xh3oiB0A+CSmFaANJQD4JsYVoD81AO9kBSDZ/QAA7hYmJdhl +AABb7AnRDxljQQmpAvjBhhXv8a4AAAAAAADqZAAI2ASAAPwBAh2gDSUAWwh4Y/7mAABsEBYUYhQj +IAwlQnooIhYkQoSlM+VifBmeQoAA40MIDEZCgACoRPQAChXgGQUA5SEZKMAEgABtmgIIAIoZYbEo +MSnTD/kAEtxiAJ0AHGMVjSD+QLAVoApVAP5KkBXgOyUAWE+3FmHPGGHN/kAIFeDpFQApFBiYEpYQ +/eAAF7AGhQAG/wKfESogBygxKfjECgXhqgEAAKoRCogCCYgCmBQO6jCfGZ4VHWL//CEGFeBMBQAs +FQ8rIFQrFDEqIAUqFDIpIDD4JmYd4AsVACsUMCgiFugWDSDAgQAAj4WKgYyCjYPuggQgyQEAAJ6U +nZOckpqRn5UoggAolgAvIgAvFhYuIFQuFF0tIAUtFGAsIDD8LCYdoAoFACoUXusUXCDRoQAA7GQA +AVjRAABYSC8qHHD6R4AV4AyFAFhILCtMcvovQBWgDGUAWEgoKhx9+knAFeAMNQBYSCXrLFwg0f0A +APtAoBWgDIUAWEggKhFC9AQAB/BFMQD0BQAG8DVBAPQKAAPwlVkA/ihkFaCFSQD+JiQdobUdAPqm +AA3wxTkA7cwRDEbCgADpmRELvoKAAOl3AgmfAoAA6DMCDu/CgADt/wIKJ4KAAAxEAvxBiBWguwEA +/WgAFbDaKQDnMwIO7sKAAP1mAA30zJkADLsCKxRk+k0QFeCaaQD2TTAV4KpxAOqZEQ1WQoAA+yYA +DLC7GQD2BgAFcMc5AO7MEQ1XwoAA7KoCDd9CgAALmQL7JgAMsHcpAPjmAAvwOAUACHcCJxRljiwE +MwID/wL+LOYd4N6BAP4RAAYw7pEA6swRD3ZCgADuzAIO7sKAAA3MAgbMAiwUZoknK5kUKpwg7JIJ +JYCpgADIzfogaB3gDIUAWxBB0Q8AAOokAAjYBIAA/AECHaANJQBbB87RDwAAAGwQHIknJzELKJkU +hpnkgK9juxEAACpsGfpnoBXgDDUAWEfHKmwd+mggFeAMNQBYR8QuMDyKLhhjev/boBWgCxUA7r45 +DTZCgAD5QC3MIgCdABlhVogtKZKE7GODHEZCgAComKlmLWAFimePh4uI+0HIFaAYFQDv8g4m6z0A +AHjbMRhjegjYCoiACoAAKxYtKhYs9cAxEJIAnQAp8D4q8D8ImREKmQKxmfnn5h3omR0AKfQ+2iBb +CIrRDwAAAAAAAP/9RA2gBgUAAABl5DopMFT1IDo4kgCdAGmS18Gn6mRUK1AEgABb/xxj/8dl5Dkp +MFT1ICjAkgCdAGmStmAFGWXkRSkwVPUgNriSAJ0AaZKiwMUsZFQrMFj1YEF0EAkVAP4AIh2gDQUA +Ce04ZNBhKzIZK2UZG2J5iGwqMFoPAgD7AAQEcKo5AOthax1TgoAACogCKGYMKjBa+wAEBHCqMQDr +YzcdU8KAAAqIAihmDCowWi9gBQuIAfoFAAUwO9UA62QFLVQCgAAKiAIoZgwvZDD8ACId4AwFAAnc +OGTPFBhguiNgDCmCkCViFiSCmukzCARADwAA6AAVCZ5CgADjQwgKrkKAAOVECADAQQAA9MMkFeAZ +BQBtmgIIAIoZYJ8oMSnTD/kf9mRiAJ0AHGIDjWD+wLAVoApVAP7KkBXgOyUAWE6lF2C9GGC7/sAI +FeDpFQApFCiYFpcU/eAAF7AHhQAH/wKfFSpgBygxKfjB5gXhqgEAAKoRCogCCYgCmBgN6jCdGZ8d +HGHt/CGGFaBOBQAuFRcrYFQrFEEqYAUqFEL4xhAV4AsVACsUQCkUQyhiFugWESDAwQAAj4WOhI2D +jILqggEgyUEAAJqRnJKdk56Un5WIgJiQj2AvFhouYFQuFG0tYAUtFHAsYDD8LiYdoAoFACoUbusU +bCDR4QAA7HQAA1jRAABYRx7rbDwg0f0AAPtAIBWgDIUAWEcZ60xyINH9AAD7QWAVoAxlAFhHFets +TiDR/QAA+0HAFaAMNQBYRxDrbFwg0f0AAPtCoBWgDIUAWEcLLhFK9AsABnCFIQD0BgAFcJUpAPIp +ZBXh9R0A/qYAD/C1OQDjFTkt30KAAONiDCzPwoAA6YgCDVeCgADrqgIOZkKAAPQKAAXwlUEA/yAA +FLD/AQDp/xEN3oKAAP1mAA2wTikA/JgAEjDFSQD15gAPtDOZAOP/Ag5mwoAADJkCC5kCLxR0/M0Q +FeDOaQD6zTAV4O5xAOnuEQ5mgoAA/4YADjDdGQD/qAAWsOs5AP2GAA5w2zEA7u4RDu/CgAAO3QL9 +hgAOcLspAP1mAA2wPAUADLsCKxR1hWwKmQIJiAL4LuYdoEWBAPQRAAHwVZEA6jMRCq5CgADlMwIK +JsKAAAQzAgczAiMUdolnL5kU5PTtZKiBAACKmWSk49pQ+iIAFeAMhQBbDyxj/HZl4SgpMFT1IBZg +kgCdAPk/4ylSAJ0AYALV6iQACdgEgADsRAAK6ASAAFv2xtEP+cAI0NIAnQArMS79YB18IgCdABxi +fP1/4X0iAJ0A+sBoHaF7JQBb+4Zj/BwA+cAIaNIAnQArMS79YBx8IgCdAB1icf1/4BViAJ0A+sBo +HaIbNQBb+3tj++/5wAgI0gCdACsxLv1gG4QiAJ0AHmJm/3/etSIAnQD6wGgdohv1AFv7cGP7w2Xg +9SkwVPUgElCSAJ0A+T/dkVIAnQBgAlMo8D4p8D8IiBEJiAKxiPnn5h2oiB0A+efGHa/uRgAAACnw +PirwPwiZEQqZArGZ+efmHeiZHQD558Yd7+3GAAAAKvA+K/A/CKoRC6oCsar75+YdqKodAPvnxh2v +7UYAAAAr8D4s8D8IuxEMuwKxu/vn5h3oux0A++fGHe/sxgAAACzwPi3wPwjMEQ3MArHM/efmHajM +HQD958Ydr+xGAAAALfA+LvA/CN0RDt0Csd395+Yd6N0dAP3nxh3v68YAAAAu8D4o8D8I7hEI7gKx +7v/n5h2o7h0A/+fGHa/rRgAAACjwPinwPwiIEQmIArGI+efmHaiIHQD558Ydr+rGANogWwc10Q8r +oHAPAgArvAErpHAuMhvTDwjuEfkgEWlQHIUA6jQAC1gEgAD1/+IdoA+FAG36FS+wNC2gZOqsASXY +BQAA/eAcFWIAnQD6wGgdoAiFAG2KFS+gPC0wXOM8ASVQBQAA/eAbjWIAnQDuFgArUASAAPwgaB3g +CTUA0w9tmhUv0AAuoE7qrAEm6AUAAP/gG3UiAJ0AK2AF+sYGHeA61QD6wKYdr+gaAAAAAAAAAADr +PEUg0f0AAPtEIBWgDDUAWEYdLxIoKBIt/wMIFaj/HQAvFigtghkcYNX9wABFcCvVAPegAEbwL+UA +7YYZJwu5gAAYXyV9i3MpEij9P82MogCdAGP5pAAAAAAroFwsoF0IuxEMuwKxu/tLph3oux0AK6Rc +2iDrNAAKYASAAO1UAAtwBIAAW/kUY/lvLKBcLaBdCMwRDcwCscz9S6YdqMwdACykXNog6zQACmAE +gADtVAALcASAAFv8bWP5Pi0wOCgwOdMP6TA6Lu4CgAAI3QLoMDsu7gKAAAndAgjdEQjdAvugDGRi +AJ0A/6AMJGIAnQAvEiguFisqFirs/F9x2VEAANxwWEXh2iDrEisqYASAAO1UAAtwBIAAW/snKRIt +wID5IyYVr+NeACugXiygXwi7EQy7ArG7+0vmHei7HQArpF71P8iZEgCdAPzGEBWgDWUALWRU/MCm +Ha/mOgDccFhFytEPLqBxse7/TiYdr+I+AC+gcrH//05GHe/iCgAooHKxiPlORh2v4dYAKaBysZn5 +TkYd7+GiAAAAACxkVPoIAh2gi2UA6mQFK1AEgABb9Itj+EcAAC0SKP2/wiSiAJ0ALTA4KDA56TA6 +Lu4CgAAI3QLoMDsu7gKAAAndAgjdEQjdAi0WKXvRB/+/wH1iAJ0AGGFyKTEuLhYrKhYq+T/3BSIA +nQDaIOxEAAHZUQAA7VQAC3AEgABb+ugcYFgqEiotMDgoMDkuEivpMDou7gKAAAjdAugwOy7uAoAA ++aYADvAr1QD9oAAWsC/lAPmmAA6/+kYAAAAAAADrHBArUASAAPwBAh2gDSUAWwWEY/eMAAAfYVAr +MS5/sXkYYU8uFisqFip4sToqEi3AkPlDJhXv3bYAKjBa81++fhIAnQDzX74+UgCdAPFfvf6SAJ0A +CgxD/Z/gFaALBQD9YgAMv96yAOx0AAHZUQAAWEVvHmAsLRIo0w//v7lMogCdANog6xIrKmAEgADt +VAALcASAAFv6smP/kSgSLC+Acu/8AStQBIAA/w5GHefbBQBYASllr3Vj9ugAAAAAAADt8wZ6UASA +AMChZKx0YAAM7fMGelAEgADAoWSshSxkVPrAaB2gSAUA+MCmHaCLZQBb9CRj9qwAAH/jAcBBZU/c +Y/yKAGwQBisgB4gnDwIACwtB5IE6ZGCBAAAtiRQPAgDqggkmiWGAAPFSQA3gHyUAiKAuoDAIiFeY +Ev/AF1xj/fUAKaEI/SAX/GBOtQAoIAX1QGgd4EnVAPnBjg2gT6UA/wAHYeIAnQD5AAckYD0FAIoS +9r3UBe/OBQAOzgF9oRkowQUMShGqOq6O7uxALSgEgAD/QBeSogCdACgxC4ZaGV7e6IzELeAEgADo +ZjYNxwKAAPVgEXISAJ0AqYjqgp4jaN0AAPdgAQT03R0A6ZK/JugRAAD9QBXD4gCdACeCnQl3Ae9e +chuDlgAAiiLrFgAlE8GAAIsSw8B8uVSIJxVgzC2JFCVSf+TSVmRQgQAAiIkmgAcrCgDsVAALaASA +AFsIyoon2zDqrCAqYASAAFsNaowgiycIzBEMTALspgEl0IEAAOtUAAtgBIAAWw1j0Q8A0Q8AAAAA +AADqXuwTaN0AAP4ACB3k3R0A/CAmFe/+xQDu0RRzeGEAAOncBCvABIAA0w9tmQIIAmEoIAf8ICgV +oJgRAO5epRzKgoAACpkCmXAtIgAqEgIvdgPudgIu7gKAAA3MAvzgJhWgOwUAe6EaKiIH+oBoHeAM +BQDqrCAqaASAAFsInSggB9WgKyEICAxB7WCcHmQCgAD9ZgANsAwFAJx1DbsCm3QFBIkHIIuddpZ3 +LCAMKnwo5nYHLmQCgADsuwILYASAAOt2BCHZUQAAWETEKlwZ+megFeAMNQBYRMDkXrYR2QUAAPqj +oBWgDDUAWES7G14qiBEmVQsqUAeaUS0hBy4gB+whCCxPAoAA+OAARPrdAQD/oAAWsK4RAPVQABUx +7gEA6t0CD3wCgAAPzAIaXmkLzAIE3QKdkI0gnJSblvsgRhWgPwUA/yBmFeAKBQD7IKYVoA9FAOqW +By7uAoAAD90C7ZYBJPiBAAAFIIYPAmMFAIYPAmEdXlMM7BHtzAgEWBEAAOvGnSlQBIAAWwV80Q8d +XjqK2PdABViSAJ0AGV5JDMgRqYjugp4jeN0AAA9PFLT//8AFo+IAnQAHyQopkr8ngp0JdwFkcKKw +rP2hBhWv9v4AAAATXv4oIh4jMn8JiBHoMwgJUASAAFsFZNowWwVj0Q8A6iQACdgEgADsRAAK6ASA +AFv8pdEPAAAA//awDaAIBQDrvBgjaN0AAPpAaB2k3R0A/aCAFeAMFQBbEzFj/W0AAPlPAAq/9DoA +//U4DaAHBQCbE/ogBhXgCgUAWEdKHV4JitiLEIwT+V/5+JIAnQD/9KANoAcFAMBwwMoMrDT9oQYV +r/RmAAAAAGwQBBpeFR9eTC4hByggBxxdw/xBBBXq7gEA+CAAA7CIEQDqiBAPdwKAAOjuAgu0AoAA +Bt0CDN0CD+4CnkCGIJ1E/IDGFaALBQCbRfqA5hXgOQUA+oBGFaAIRQDpRgMrNgKAAAhmAuZGASIQ +gQAAAyCGAgJjAwCGAgJhEl2GDH8Rov+V8NEPAABsEAQpIhMPAgD4vcYFofmxAOvzZ2fQBQAACgpB +6JgBDVKCgAAKiALoJhMhg1mAACoiByqsEFsKAvRA6BWj6IUACDMo0w/qNAACIEEAAFqZ2O1dNhng +BIAA7l/tHVgEgADvIgAqUASAAFqZjYInIiwQ2iBamWtooSfAINEPLSBV/kCwFaAKVQD8v8QFoDsl +AFhLaMAh0Q/aIFvxa8Ag0Q8A2iBamXwSXX8LqBHoIggFAcmAAAzqMCsihYuwsKLsuwgJUASAAFhM +1BxdlSrCf/pABADQCxUAALsaC6oCKsZ/WE0JwCDRDwAA+gDiHaALFQBbCqcsIn/8UGYVoAIFANEP +bBAGFV6biy0PAgAqUmoJuxELqggqogpkoBUrrGD6IGgdoAxFAFhD7PogCBWgACYAGl4MmhAfXgqN +Jx5dV/viAA0z7IUADKwsA8woDswo7BYAJtBBAABbCbqEEIMn6kQAAZhBAABamZLtXPAaYASAAO5e +gR1YBIAA7yIAKdAEgABamUeCJyIsENogWpklaKEC0Q8A2iBamT8SXUMLqBHoIggFAbGAAAzqMCsi +hYuwsKLsuwgJUASAAFhMlypSlPpABADQCxUAALsaC6oCKlaUWEzN0Q8AAAAA+gDiHaALFQBbCmss +In8sJoPRDwBsEBAbXQDlXxQa6ASAACwxJ44uKlI5KSAH5jEmL3ZCgADuqggKQASAAPuB5g3hmQEA +LyE3/eAizSIAnQAnITb2wCJ1YgCdAI4nZODzK+kUmR/k4gklonmAAJoeKBYR5BYSJ1BBAABbCXst +IAX4QQQVo/n1APYBYh2gXmUA/6AkLCAPdQAJiQz4zQAP8/z1AO8WEyIhCYAAjkD9ACP8J+7BAIYf +H11NGV1L6hITKyAEgAD0wCA6EgCdAAxoEamIKYKe+yArC6IAnQAogp0PaQopkr8jFhAJiAHTgGUw +Zooi7hYVJShJgABo5lWOJxdfQCvpFCdyf+S0s2dQgQAAhOnlRAACAQmAAPyA8BXgCwUA7RYEK+AE +gABbBzuKJ+qsICuoBIAAKxIQLBIRWwvajCArEhEIzBEMuwLrpgEqoGYAANEPAAD1wCRTEgCdAB1c +xykSE+0ABQnABIAAbZkCCAJhGV67LCBBHl3CJyEHDM8J6F0cH/8CgAD/wABHencBAO7ifyu/AoAA +CHcCLyEi/kgAAzbeAQD8wAATMO45APemAA69bx0A5e4RCzUCgAAG7gIWXx7u3QIOZAKAAA3MAu1e +oh/9AoAA9+YAD7AGBQCWFZcwDcwCF10DjiAmNQqZN/xghhWgSoUA+mFkHaBbhQDvNgYh0IEAAPpg +ZhXgD3UA5zYCL3YCgADv7gIBWSEAAP5gJhWgDMUAWEM1wID8vTgFoAkFAPhnRh3gBgUA9mcGHaAq +5QD6Z2YdoIuVAOs0LCHQ8QAA7DQtIVlxAAD4ZyYdoByFAFhDJR1e9f5pEBWgBgUAJjRE5jUlIdEV +AAD2YoYVr49FAO80PCdwBQAA7jRIINhRAAD8IKYV4Aw1AFhDF/q4ugXgCQUAKTRULCBoLDRVLiE2 +LjUsLyE3+mXkHeBKJQAqNGQpNS4vNS0nIAXFhvjgF4QiAJ0AxdAtJAUoIQguOv//ABXsIgCdABlc +cywhBycgB/a58AWgOgUA9EAIFarMAQD2IAAG8HcRAOp3EA5nAoAA94YADnAORQDmzAIKXgKAAOYS +Ei78AoAA748CAcAHAADuvgIEQkEAAOn/AgsDxgAAFl6/9iDGFaAGJQAGuwKbF4seJrIflhkrsh6U +HfohBhXgBiUA9iVmHaALBQCbHPq5QAXgBgUAJjYhJjYjLjYdKjYfLzYgKTYiLDYc6zYeIOBhAAAM +IIYIAmMMAIYIAmEaXJDoEhMuzwKAAKqZ+TOmFaAB0gAXXjDmYAcrWASAAAdmAhdci5axLjYdKjYf +LzYg+GRGFeAGBQAmNiEmNiMsNhznNh4t4ASAAAxghggCZwxAhggCZRdcei4SEu8SEy63AoAAp2Yv +Zp2O4P74AAcwOCUA+cAKfCIAnQDDhfnACiwiAJ0AKTr/KSUIKiAFxbb7QA8Eb84FAI0n6yIOJuCB +AAD/gAQGMA4FAO7VFCZhAQAAnNic2YosKVI56CILLd5CgADrmQgNAGYAAPkhJhWgABoAmKvxAGAN +4A8FAJqMnyuIm+8mDCQAQYAAkoyYK5Kb+kBoHaALNQBb/qHRD9sw/QBoHaCOVQBYAaLRDwAAAAD6 +IcYVoAQFAPgiJhWv7roA/++kDaAOBQAdXDOJ2C4WFfcgC0CSAJ0AGlxC5xITKkcCgACqiCaCnvbA +C5PiAJ0AKIKdD0oKKqK/CogBZIFg4xYQJNv9AACb2PMAaB3v72YAjR4u0AX/pgYdoEw1AP2gph2v +7boAAAD539wLUgCdAIYn/sQAFe/IBQD54AQHsA4FAO5lFCf5AQAAn2n+wQYV7+12AIon21DsEgQl +UIEAAFsKz9EPKyEUIyESwEDrFhQl9ZmAAOpSxCnYBIAA/AACHaANFQBbBacmUsUsEhTkTAEhmAUA +AAYzLnxJ2GP+hAAaXBDoEhMqTwKAAKqZ+TOmFa/55gAAAAD/7TwNoAQFABxeII0g+kEEFeAKVQD6 +IAYV4DslAFhJnmP8/QAAAIonLRIRwMDqrCAu2ASAAFsGCPoiRhWv7ZIAix/6QGgdoAwVAO0SEyXY +YQAAWxEBLhIVY/rfHF4MjSD+RsQVoApVAP5G5BXgOyUAWEmJ2iBbAxrRD8CA8iIGFe/qsgDAoFhF +FR1b1InYLhIVH1vm+T/0QJIAnQDAgPIiBhXv+r4AwIDyIgYV4AylAAycNP2hBhWv+moAAGwQBhZc +xowtJmJq6F3WHmZCgACsbIzH/EHIFeBHtQD6Z5AV4EqlAP2ByBWgT4UA+asmDaCOFQAJ2BGoZo1n +jd7/YARMIgCdAC4gBf/ACixiAJ0AfnIu+8VuDaCJRQD5YBDkYIpVAPtgDDwiAJ0AyWMuYAXDsvvA +CaRgPJUA/cAJZCIAnQDRDwAAAAAA/2AXvCIAnQAuIAX/wBpsYgCdAP7gCZsiAJ0A+8AJWyIAnQAt +CoT9YA40YgCdAC4Khf9gGZwiAJ0A//6UDaAGBQAvwGAowGHpwGIv/gKAAAj/AujAYy/+AoAACf8C +CP8RCP8Csf//jGYd6P8dAP+MRh3o/x0A/4wmHej/HQDvxGAjAhmAACjQPCnQPerQPixGAoAACYgC +6dA/LEYCgAAKiAIIiBEJiAKxiPmn5h2oiB0A+afGHaiIHQD5p6YdqIgdACjUPCpgBSkKQA8CAPsh +7g2gTCUAKwo++1/46eIAnQD9X/isIF4FAC0gBf+gErQiAJ0A6iQACdgEgADsRAAK6ASAAFv+AtEP +L8Bssf/vxGwjd0GAACjQc7GIKNRz0Q8AACpiEwpvUWvzJRlcY7H7CwtB6akBDdqCgAALmQLpZhMr +UASAAFvvCNEP0Q8AAAAA/MqwFeAKVQD8uuwFoDslAFhI+9EPLsBtse7uxG0jAhmAAC/QdCjQdenQ +di/+AoAACP8C6NB3L/4CgAAJ/wII/xEI/wKx//+u5h3o/x0A/67GHej/HQD/rqYd6P8dAC/UdIon +FFrt+0IAFaBH1QBbB2jnJAUq6ASAAOhCTylQBIAA+GTkFeALBQD4RuQd4AwFAAuAANogWwJsZW33 +Y/4JAMBgiifETeda3BVQQQAAWwdY5CQFKugEgADock8pUASAAPhk5BXgCwUA+EbkHeAMBQALgAAq +MFQUXBr1QApvEgCdAIssjC4qQmrpIgsuZkKAAOyqCA2AbgAA+UEmFeAAHgAAmbvIkJucwNCdK4mr +7SYMJIBBgACSnJkriy2SqypCagm7EauqiqrJpCusYPogaB2gDEUAWEFc+iAIFaAAJgAaW3yaEB9b +eo0nHlrH++IADTPshQAMrCwOzCjsFgAm0EEAAFsHK4UQgycPAgDqVAABmEEAAFqXAu1aYBrgBIAA +7lvxHVgEgADvIgAp0ASAAFqWt4InDwIAIiwQ2iBalpT5X+dw0gCdAGAApCjAYCnAYerAYixGAoAA +CYgC6cBjLEYCgAAKiAIIiBEJiAL5ACAVoAYFAPmMZh2oiB0A+YxGHaiIHQD5jCYdqIgdAPmMBh2v +9g4A2mDrNAAKYASAAPygaB3gjkUAWAA20Q8pwGyxmSnEbNEPAAAqwG37QCAVoAYFAPuNph2v+OoA +KzEuZb6wLDEvZc6qLiBoLTBVse7/v/T9IgCdANogWwH/Y/xCANogWpaEElqHC6gR6CIIBQHJgAAM +6jArIoWLsCKs/+y7CAlQBIAAWEncKkKU+kAEANALFQAAuxoLqgIqRpRYShFj+/4AAAAAAPoA4h2g +CxUAWwevLCJ//FBmFa/vogAAAGwQBNMPbUoPJyAAJDAAsTPkeQxxEAUAAMAg0Q8AAAAA9OEWDa/y +9QDAIdEP0Q8AAGwQBh5aqxpbUSciFuRbbBpgBIAAKyBV5SAHKugEgAD0j+gVoAh1APtgAIXwCWUA +7LsRDPgEgADrqggLvkKAAPaAAEJwi0UA+s8ADfFVAQDrjzgKxwKAAK6I+kREFeAHBQAnFgAugp4q +on8XWpL/wBGD4gCdAC6CnQdXCidyvygKhAhoDPfABAPwDxUA8uEQDeAOdQD8tFgF4AwFAAj8OAzp +OQ0Ah+kWAivABIAAbZkCCAJhLyEHLRICGFqA+kgABLr/AQDomREP/wKAAPnmAA+2igEACYgC/uAG +FeCaOQD+QAgVrasdAOSqEQzNQoAACpkCCYgCGlpy6nYCL3YCgAAO3QL6uCgFoF6FAPzgJhXgXQUA +DO057XYDLc0CgAAKmQL6uAIFoE6FAP6PkBXgTQUADO05+ODGFeALBQDrdQov/AKAAAj/Ahhb9y11 +C/rg5hWgDGUA6P8CAlnJAADvdgQj0IEAAFhAmCtMbPrkwBWgDGUAWECVJnQ8/rf2BaAJBQD46IYd +4AgFAPjnRh2gCgUAKnQ5/uWmHaCPlQD+5YYd4CTlAPTnZh2gCwUAK3Q4FFnMKzEmKjEnLDBJLTBA +LXRALHRJKnUnKDEnK3UmKXRI9QAGJCAJBQAqfEH6SiAV4Aw1AFhAeSssTvrnoBWgDDUAWEB1H1u5 +KDBFjRAeXEcAiDII/Tvu3QID0RUAAOjdEQjYBIAA/CAGFeAMNQBYQGr4EIId4A2VAPjFhg3gCAUA +LXRV+OrmHaBOJQD+64YdoA81AC90VhxaGuoSAirfAoAArLsqtp3RD8Dg/uqGHa/49QAodFUvMSYv +dSwtMSckdS8udS785aQd4EwlACx0ZBtaDOkSAirXAoAAq6oppp3RDwAAAAAAAAD45OQd7/zyAACK +IpwRnRPk1AAFAnmAAPy4NgWgClUA/mTEFaA7JQDvMScqaASAAFhHlgQLR2iyAdEPiieOES2pFCyh +FQzuEa7d7cPrdVCBAADsEgEp2ASAAFsIn9EPAAAAACtcGPpAaB2giEUA+M8ADDAPdQDo+TgOoASA +AP0gaB3gDBUAWw7wY/+LAGwQBhtbygIsCQzMEay7K7J/ZLC98AAkDavjAQCLuWSwsCixGQgIS3jp +8Ya6ZG/s+gACHaAJZQBtmhOkraasLMBsLdAAsar9oAeVIgCdAPoAAh2gDWUAbdoTpK2mrCzAci3Q +BrGq/aAHHSIAnQCCasoq40whI3HJAADuFgAiaBkAAO0WASI4dQAA2zD6ScAVoAw1AFv/Bsmggihl +L+tkYEMvYARo9EnAINEP23D6SiAVoAw1AFv+/WWv3YoQ+iAoFeAMZQBb/vllr832QGgdr/8+AMBg +9qAGFaACBQDRDwAAAAAAAPagBhWgAgUA0Q8AAIJpyiv6AAIdoAg1ANMPbYoRpKyiqyuwXSzAIevJ +HXVQBQAALSE2LEEWfcEIgitlL9KWUNEPklDRDwAAAP1g1g2v+vUAwKFlr+Jj/9b9gNYN7/r1AMCh +zK9j/wIA/YDWDe/69QDAoWSvE4ZpwKD+zWwN4AllAGP+s2wQDPqzVgXgCgUAmhCFNOiyfiGwuQAA +5LJ9KxAEgAD3cCgV41WBAPSgEeJSAJ0ApFQJRBGkdIRHqFjkQg4sRkKAAKh3h3coQQLncg4kENGA +ACpMLvpkwBXgDGUAWD+3HFuN6cACKlAEgADswQAg2BEAAPlgRh3gCDUA/WAEHaAJBQBtihUtsAAu +oC7qrAEl2AUAAP+gH20iAJ0AwJEaWPkpREAZWYMqopcPAgApkoGqWgmqEQqZCCmSBymSDi+QL/P8 +MA3gG9UALDELlxX2IIYVoAcFAP1gDHKgBgUAjUPkFgMm6AUAAJ1DuBr6QGgd4AwlAFg/kiQRBP5h +ZBXoVAEA+KBAFaZESQDoZggKYASAAP7AHqriAJ0A4EAEAiA/gAAHCBvzAB5n0gCdAGTBJPWACFCS +AJ0A9YAHYRIAnQD1gAaJkgCdAGvHCWrEBm5fHmADlgD1gAVjkgCdAPWABGwQefUAecEkixOKtbGq +mrWyW+siCAp7pgAAwJ/44AdUYgCdAGADYwAAAAAAAPagGtJR/PUA9YAak+IAnQDaEPpAQBXgDEUA +WD9ljRAeWzsfWukNjBR+wbd/wRkYWuWKE3jBEYmlsZn5QKYV7/6WAAAAAAAAAPogqBWn3QEA7lz8 +IVgZAABb1Ilnr4RgAvzAqPVAF7rgq3UA9X/7ouIAnQBgAucAAPS/+zoSAJ0AYALaAAAAAAD4oBaR +UAiFAAh3Av/9MA2ndwEAZFK/9KAV59AIRQAIdwL//NgNp3cBAGRSqfSgFTfQCCUACHcC//yADad3 +AQAA8qksDeAIFQAIdwL//DANp3cBANEPjhMo7QLihAAHSAcAAOOEAAx4BIAA6oxBJLkJAADqFgkk +ygEAAOkWDiwwBIAA5mxMJ/l9AADvFgYhmUUAAOMWCyERbQAA8iDmFaADBQDmFgwkQQ0AAOgWDScx +CQAA4hIEJ3DhAAD+IQYVoACWAABpyBL6IMgVoAzFAOxcNAFYCQAAWD8Usl3tIggCCpGAALga+kBo +HeAMJQBYPw4lEQQFnEbUwPWAB4CYVQEA9YAFCRIAnQBow2poxFFoxTZoxhtpx6v6IOgVoAxFAOxc +NAFYCQAAWD8AY/+rAAAA+iFoFaAMpQDsXDQBWAkAAFg++WP/kgAA+iGIFaAMlQDsXDQBWAkAAFg+ +82P/egAA+iGoFaAMlQDsXDQBWAkAAFg+7WP/YooZLSADjhj+QFAV4AwlAAxcNC/kAO3kASFYCQAA +WD7liRMokRyxiPkjhB2v/OIALAr/7Fw0AVgJAADrFgor0ASAAFvUA8mj+iFIFeD89QDsXDQL0ASA +AFg+1sAxjR4t0MGOHvW/9+RiAJ0AwDH12CYd7/vKAACyK/ohRhXg/PUA7Fw0C1AEgABb0/LJo/oh +SBXg/PUA7Fw0C1AEgABYPsXAMY0TLdBBjhP1v/W0YgCdAMAx9cgmHe/6sgCPE2QwZy/wJRxYmB5Y +DekSAyf5bIAALuKWLZAkLMKBrt0J3RGtzIzHKJAljM7AovsGAAwwCwUAK8QmKJQl0Q9j/BUvkDpl +/DookEVljDSOQvoAoh2gK0UA7Fp/F3AFAADuRgIq6ASAAFhF+dEPihWLFFvScB5X8okTHFh8LuKW +LZAkLMKBrt0J3RGtzIzHKJAljM7AovsGAAwwCwUAK8QmKJQl0Q+IE4+Esf+fhNEPiROKloiUsarq +lgYkQAUAAJiU0Q8AAAAAbBAEE1lUKjJ/Wv5K1KDoIQhtKASAANKg0Q8qMn9a/kXqSTJ9EASAAGAA +TAAAAAAA6jJ/KtgEgAD8AAIdoA0VAFsBxuoyfykoBIAAWv466kEmfRAEgACxWHgp1OoygCrYBIAA +/AAiHaANBQBbAbzSUNEPAAAAAAAA6jJ/KtgEgAD8AAIdoA0VAFsBtMck0Q8AbBAE9LSABaADFQAE +JAskQp8oQQMkQQL4jwAKMAIFAAQyONEPbBAE+gHCHa/71QD6QCYd4AwFACokACwkAikwACkkAygw +ASgkBCQwAiQkBdEPAAAAbBAILiEIjCcmIAcTWir5goIVoD9FAPR/4h2gBwUA+neoFeFmAQDkgmBm +UIEAAInJmROZEvXAHswiAJ0AjpD6IAYV507BAP6AFVRnjgEA/wAVFGAJBQDAVOkWASv4BIAA7Ff2 +G3AEgADpV/MTJY0AAAxoEamIK4KeDGkK6ZK/IugNAAD9YBzr4gCdAC6CnQnuAWXgcmhNCRpXzIsS +CkoCmrDI/YonixD7RAAVoAwlAFsKkYsiZbHO62wYKVAEgAD8oGAV4AwVAFsM58Ag0Q8AGlfGi6j3 +YBqgkgCdABlX1gzoEamILYKes1n5oBtD4gCdAAzpCimSvy6CnQnuAWTjVrC8nKhk74wfV3AcWewb +V8wPAIf+s9YF7/3VAO1RDHLIDQAA2OBtmQIIAmEmIQeJEvizxAWqZgEA6pEIKzcCgAALawKb4PpA +CBXgHYUAneOX5pfol+mY55/lGFe6DLwC6OYCLd4CgAD9wIYVoAw1AAy8ApzhL5AHCKoR6v8CAqgN +AADvlgEnQUEAAPSADLaQD0UALCAHKSEI/2YAD/HcAQDkV1oe3AKAAAubAokRDCxA6lfdHmKCgADk +uwIMgoYAAJ/tJOYSJ+YRJ+YTK+YQGVedme76IEgV4DkFAJnvDGkCCpkCmewLIIYIAmMLAIYIAmEZ +V5DkEgIuxwKAAKmIJYadhEDwAUwNp0TBAACJEymQFGSRJ5/tJOYSJ+YRJ+YTK+YQGVeIDGsCCrsC +m+yLEfnBxhXgOQUAme8LYIYIAmcLQIYIAmUZV3vkEgEuxwKAAKmIJYadhEAEhFfDovqLxg2gO1UA +e0FWjif9xAAV788FAA/dAeflFCbpAQAAneid6SwgFWTAqsAg0Q+bEJcT9cAL/CIAnQCIcAiEV39B +VQgJR3+RT8BU/gACHeALBQD6ICYV4AoFAPogRhWv9poAJiEUJCES/tnwDeAFBQDqMkIqWASAAPwA +Ah2gDRUAWwDmKDJD5VwBIiAFAAAIRC52Wdtj/3MAwLD8IAgVoA0lAFsBWZoRiBOJEJkS6IAULQBW +AABlj3FgAAJkgQHAVP/1AA2gDxUAipAIqhD7IAYVr/mWANogWv5uwCDRDwAAJ+YRK+YQn+0WVvH7 +hgAOsDkFAJnvnewm5hKNERZXO5buJNEIKdAHCEQRBJkChNCZ0fT4AAIwOSUAeUEGhBKEQASEV4kR +CaCGCAJrCYCGCAJpKSEHKyAHHFbd/EEEFeqZAQD6IAAEcLsRAOq7EAzPAoAA65kCDHwCgAAP3QIM +3QIKmQIp5hyLIPfDxhWgPwUAL+YfLeYgJ+YhJ+YjLOYi/WAAFbAMRQDsuwIHUAcAAOvmHSVSQQAA +ixIL4IYKAm8LwIYKAm0dVwwMjBGtzPWTphXv+TYA2iBa/jbAINEPAAD/+/ANoAWFAP/xpA2gDgUA +nxT2IKYVoAoFAFhALBpW644Vi6iPFBxW/fl/5JiSAJ0A//LMDaAOBQDA4MCKCLg0+UEGFa/ykgBs +EA4oMQj6rn4Fo/n1APkAB0xiAJ0AHlajG1ahCgCJ6lafEMhBAAAJAIoJAIoJAIoJAIoJAIoJAIoJ +AIoJAIqIIJoW/iFGFaAJRQDrFgQsRgKAAAmIApgVLyAHLTEIDw9BAP8RD90CDt0C7RYIKcgEgAAM +6jD8ISYVoAsFAOsWCyDQwQAACSCGCgJjCQCGCgJhiycIXRENTQIsuRSOue+xFSXQgQAA7RYNJgHx +gADk4DZmQQEAAHjzDvoiABXgDEUAWwVz0Q8AABxY5o0gjzP+YEgVoApFAPQgBhXgOyUAWERY0Q8A +AAAA6xwQKVAEgAD8AIIdoA0lAFr8+NEPAAAAbBAEiCIeVwjz+AId55UBAOTi7SQFaYAAaZJmiScr +mRTkseRk0IEAAIuZLAoqWv6A5VjNFQLpgADwALgNoCSlAIwnLcEVLMwgA84Brt7vqggHcQEAAO6r +L31YBIAA+4BoHaAspQBa/nLKpY2gL6AH/0BIFafdwQDk2cV//wKAAHXpvcAg0Q8AAP1PAA3//0YA +AIonwLD7RAAVoAwVAFsJPBxXKpygiyDAweWmAi3eAoAADLsC+0AmFeACBQDRDwAAABhYqSri1YYg +JyE1CmYMqHf5IAu5UgCdAMBQiioKCUfxI+AN4A8FAMsmiycs4u3+QOYV7/j1AOi2ACXogQAAA90B +77UUJukBAACduS22CPUgB+iSAJ0A9SAImRIAnQAqJgot4hHsIhMmgiGAAPKsAAXgCgUAbQgsKDKG +K+LnqKgJiBGou4u3i77kwBVlUAUAACmyqnyZCi2yrbDdLbatLeIRfasHY//MAAAAAACfKJ8pnyqf +K58sny2fLp8vLyYQLyYRLyYSLyYTLyYULyYVLyYWLyYXLyYYLyYZLyYaLyYbLyYcLyYdLyYeLyYf +/LDeBaAKVQD8DAId4DsFAFhD4PrAaB3gfgUA/kCmHaAMBQD6gigVoA0VAFr/zo8qevYg6kIJK9gE +gAD8AAIdoA0VAFr/yIJKsCLiRgoqkASAANEP0lDRDwAAAP/4eA2gCwUAic/qIgolwCEAAJiR6bYC +JmjxAACds/mB5hWv+9YAic3qIgolwCEAAJiR6bYCJmjRAACds/mBphWv+14Aiif6ACId4AwFAPtE +ABWgDRUAWwAo1aD+rOIFr/nSAABsEAQtIg9k0A0CKgL6QggV4AwVAAvQAIgq8wAP+hIAnQCMLsjJ ++kIIFeAKBQALwACJIh5WYiP6wOTi7SSFAYAAiScrmRTksctk0IEAAIuZwspa/dzlWCkVAumAAPAA +uA2gJKUAjCctwRUszCADzgGu3u+qCAdxAQAA7qsvfVgEgAD7gGgdoCylAFr9zsqljaAvoAf/QEgV +p93BAOTZxX//AoAAdem90Q8AAAAA/U8ADf//RgAAiifAsPtEABWgDBUAWwiYHFaGnKCLIMDB5aYC +Ld4CgAAMuwKbodEPGFgIKeLVhSCLKiYhNcBw+K8ACverAQDoZggFAcmAAMshiSf2QOYV7/31AO2W +ACTggQAAA8wB55UUJmEBAACcmZyY9UAIeJIAnQD1QAkpEgCdAJsqLeIR0w/sIhMmggmAAP6qwgXg +CgUAbQguKPKGK+LnqKgJiBGou4u3K7IO5MAWZVAFAAApsqp8mQstsq0t3P8ttq0t4hF9qwJj/8qX +KCcmCScmCicmCycmDCcmDScmDicmDycmECcmEScmEicmEycmFCcmFScmFicmFycmGCcmGScmGicm +GycmHCcmHScmHicmH/yvngWgClUA/AwCHeA7BQBYQ0D6oGgd4H4FAP5Aph2gDAUA+oIoFaANFQBa +/y6PKvH/9YqSAJ0A6kIJK1gEgAD8AAIdoA0VAFr/J4hKsIiYStEP//jcDaALBQD6QQgVoAsFAPwA +Ah2gDSUAWuvp0Q+MT+siCiTQIQAAmsHslgIiaPEAAJ2T+oHmFa/7jgCITesiCiT4IQAAn4HolgIi +UNEAAJqT/oGmFe/7FgAAAABsEAYcV6SPIB5VNYg0KSAFhDX/AAQHMApVAPggBhXgOwUA+ECQFec0 +AQD4ICYV60RBAPIgZhXniMEA6BYCKmgEgABYQwgfVQMo8ogv8pqkhOxXkhomQoAApPSNQP6AsBWg +ClUA/oFIFeA7BQBYQv3Cg/hgBDwgKVUA+G/mDeArRQB7MXdoMTloMjb8ZmAB0BxVAPxlZg2gHWUA +fTEjLiIY70IIJwFBgAAoIATK9ekiByQBWYAAyp0bVtmKSguqAppK2kBb/zPAINEPAAD0QwYVr/9i +APKBBhWv/1YAwcj8QIYdr/9OAFrwE40gnaD6QOYVr/8aAAAAAAAAAAD8YGgd4ApVAPyuzAWgOwUA +WELVwCDRDwBsEAQbVXQaV2L+rsAF4AwFAOs4AglPgoAA+yAARTANVQD/IABE8A4VAPkgBhWgDwUA +WwHH0qDRD2wQBCMkcvpAaB2gCxUAWwVI2iBbBdHSoNEPAAAAAAAAbBAEiioZV00cV07/ReAAkHgl +AAmpASsgBQyZAZkq+WOGDaB6BQB6sRT6QQgVoAsFAPwAAh2gDSUAWutv0Q/RD2wQCBxXQCMgB/RD +CBWnVQEA9r/AFaAIFQAGhjkvQggtQAQuQgD/4AgV4TMBAPIgBhXgClUA9iAmFaA7BQBYQp76QGgd +oBsFAO0cECngBIAAWwqGZKCHHVcsjCDA4S6kCQ3MApygG1SL6RIEKdcCgAALqggppgDrICIpUASA +AFr+YI9K+g5CHeB4RQD6gLAVoAwFAOwkIyeIhIAAeKEZaFI4iifAsftEABWgDRUAWv7ywCDRDwAA +AAD7Q8YN4HwFAHyhFvqBCBWgCwUA/AACHaANJQBa6znAINEPwCDRD9og7FcLG1gEgABbCkbAINEP +AGwQBhxXB+0iACp4BIAA/kCQFaAKVQD0IAYV4DsFAFhCahxXAC0yBC4wFy8yBvhg6BWgClUA+CAG +FaA7BQBYQmIpIAT6RFAV4BqFAHqRaci42iBa/i/AsCskIyowBfoOwh3gfEUA/UYmDaB9dQB60kP7 +SA4N4H4lAP9HBg2gfwUAf6Ew+mEIFaALBQD8AAIdoA0lAFrrDcAg0Q+NPys0BeskBSaAiYAA2jD6 +YggV4AwVAAvQAMAg0Q/yQwgV7/5KAGwQBCQiGB9UXo00HFbX/mLwFaAKVQD/oAQG8DsFAFhCOigw +F8KaeYEX6yAiKVAEgABa/gfAoOokIypQBIAAW/90wCDRDwAAAGwQBCQiGB9UTI00HFbG/mLwFaAK +VQD/oAQG8DsFAFhCKCowF/tAaB3gKFUA+UAFXCApRQD5QAUcYgCdAClCChxUafYEYh2gHkUA/yFA +CFAV9QAMnQItRgr4gLAV4B/lAP9ABCQgDCUA/0/mDeAMNQD1TYYN4CgVAHihZPdMJg2v/PUAKgp0 +epERjU/I3OtCECpQBIAAC9AAKzAXdbE892cmDaCM5QAeVpcrIhiNSiuwdA7dAZ1KfLkOwMP8TKYd +oAIFANEPAAAA+kBoHaALBQD8AAIdoA0lAFv/S8Ag0Q8A//58DaAMRQBj/5dj/5QAAGwQCCIiGGQg +dhxWi4gojSAvIAUuggD5ALAVoApVAPggBhWgOwUAWEHqLyAFJQp38g6CHeB2NQD36SYNoHQlAPPu +pg3geWUAefkg9eOmDaB6BQB68RX6QQgVoAsFAPwAAh2gDSUAWuqaLyAFjSD6AKIdoDsFAOxWcR/w +BIAAWEHUwCDRD40viygnCnUnJAXntAUmgImAANog+kIIFeAMFQAL0ACMKA8CAA8CACzAZcnG/kCw +Fe/+3gCNKCUkBSXUBf5AsBXv/p4A/KeoBaAKVQD+QAgVoDsFAO8gBSloBIAAWEG7LyAFdPEP9+AF +5CIAnQD34AZMYgCdAMAwwKX8p44FoDsFAO4iACloBIAAWEGwZD+chigjYhgcU6IlYAf8YJAV4ApV +AP5gCBWgOwUA/mCwFeFVAQBYQaYoMAUPAgAPAgD4n/tSogCdABxTlo84LjIALTAE/+AIFeAKVQD0 +IAYV4AkFAPggJhXgOwUAWEGY+sBoHaALhQDtHBAq4ASAAFsJgGSgTB1TiIxgwOCeoQ3MApygG1OG +6BIEKs8CgACrmfkgBhWv/C4AjygjJAXz4KYd4AMVAP5AsBXv/QYAgyglJAX0YKYd4AMVAP5AsBXv +/LIA2mD8puwFoAsFAFsJT2P+zABsEAQoIHLAlAmIAugkcilQBIAAWwSG0qDRDwAAbBAGKyANHFTs +KiAM/KbaBed1AQDpwkYlh+GAABhWCaioKIB9JtKRq4ioZglmEaaWJmyAKSAH9OAJeRFZAQCLItMP +0w9lsWgsYA3xhFAN4AkFABpU2SqggBhTagiqEQoGRwhmCihi+PogJhWoqh0AC4AACglBaJEH+SAM +odIAnQAoYv6KEQuAAOmkAA0APgAAYAFcFlOz61OzGAQKgAD0oAQSEgCdAAxaEaaqLKKe94AKolIA +nQAsop0LWworsr+UEAvLAeS0AAWJQYAAyJuKMPphABXjqgEAW5822iDrRAAJ4ASAAFsBvwxcEfeA +AEYwC0UA68adI5RlAACKJ40QwMDqrCAu2ASAAFr9odKg0Q/AINEPJtKQqmYJZhH3IABDP/xOAB1T +fIzYmRL3gAdIkgCdAAxaEaaqKKKe9wAH+lIAnQAuop0LWworsr8L6wFksO3kFgAmc/0AAJ7Y5LQA +DftmAABgAIgAAIgn+QQAFe/KBQD7IAQEsA8FAO+FFCTJAQAAmYnphggpUASAAFrt8CsgBPl/9TxS +AJ0A+kEkFeAKBQBYHIrAwPxAph2v+koAaHIFwCDRDwAAiifAsPtEABWgDDUAWwIWHVQEnaCMIPqr +OgXgDTUA66YCLmYCgAANzAL9QCYVoAIFANEPAAAAAOokAALYYQAA/AACHaANRQBbCGNj/6nAsPQg +BhWv+uIA//nQDaAKBQDAoFg8fh1TPYzYiRIbU1D5n/hAkgCdAMCw9CAGFa/8ngAAAAAAAMCw9CAG +FaAOpQAOzjT/oQYVr/w2AABsEAj6qLQF4A0lAJ0RmxCPMg8PXy8VBC4gDCwgDQjuEQ7MAiwVBSkh +CZsQ7RYBKVAEgADpFgMgwEEAAPIIaB3gDAUA6AwACNgEgABb/1jRD2wQBBhVaAhICiiCf+okAAnY +BIAAC4AA0Q8AAABsEAb2pRwFoReFAAcnKAdmCBdVXihtBCOGDydyf+tVXBvQBIAAWEIO5bQADSAE +gAD6YGgdoGtFAFhCCfwAAh2gbUUAWEFB2kDzYGgd4AwFAOtUAAnoBIAAWEE777FmbfAEgAAZU3cc +VUsCKgvvVUsdVsKAAOyqCANwEwAA/1imFeANJQDt5hAjYBMAACnGEeumwSNAEwAAK4YSLaLAH1MK +HlLlD90BDt0CLabAKaLAHFJbDJkC6abAI0ATAACTj9EPAAAAAJMQHFU1mxMfUoEbUoD4prYF4Ao1 +AOkWAivHQoAAC4gs6YgoCWgEgAD4ICYVoCsFAFhAhvqk7AXv/Z4AbBAG9KScBaEYhQAIKCioRChN +BIqO6oYPKdgEgABYQdD8AAIdoG1FAFhBBxVVGNMPJVJ/07DrVRYa0ASAAFhByPxgaB3gDAUAWED/ +77FmbfAEgAAZUzscVQ8CKgvvVQ8dVsKAAOyqCAJwEwAA/1imFeANJQDt5hAiYBMAACnGEeumwSJA +EwAAK4YSLaLAH1LOHlKpD90BDt0CLabAKaLAHFIfDJkC6abAIkATAACTj9EPAAAAAJMQHFT5mxMf +UkUbUkT4pj4F4Ao1AOkWAirHQoAAC4gs6YgoCWgEgAD4ICYVoCsFAFhASvqkdAXv/Z4AbBAEKTAI +GlLn9KBoHa/spQDlUtAUgeGAAGiRDupEAAnYBIAAWD2bwCDRD4kwCpoBZKBUKjAJZKBe/UpgAN/s +pQD1IAQFf+ilAPsNAA4//z4AiTDqmgEEqFSAACowCWSgVv1JYADf7KUAY/+veZbAKzAJZb+m6zwI +KVAEgABa+AT9QGgdr/5iAGP/tQAAAAAA/ftCHa/+tgDrPAgpUASAAFr3qokw/UBoHa/+YgAAAAD9 ++0Idr/2iAOs8CClQBIAAWvf7/UBoHa/9VgAAbBAEHVPdLtIfKdI42lDrNAAHChGAAAnJU2SRN/kg +CTjSAJ0AL9LZjCCJMPW8CBWgJgUA788MDkZCgADxIAYSkAUVACchGokzqEQYVKaMSvKBaBXpKYEA +6MwBA4GhgAB/lwUYUb8IzAJ7lwUoCoAIzAJ8lwTEgAjMAn2XAgbMAuAoEQT4JIAAwZAJzAIIzAL2 +pdwF4/n1AHkhC//i0g2gAgUAYAAQABJSNALMAv/g1g2gAhUAwEAeU4zDmil2ECN2EcOLKHYQLHYR +h3AZUzTudwEPxgKAAAh3AgZ3Agl5AgKXORhS2ZeAGVIUGFLXDncBCXcC54YAIgBBgACcSpNLibAe +UdB5lj8u4pMs0uCv7gnuEa7MjMoMCVkAmREFngLs6ToGYCiAAC8KEA+ZAnnHBMCICJkCescEwNQN +mQJ7xwTA4g6ZApmzwMBYPR/AINEPAAAAAP33Ih2v/8YAbBAEiTDqVAAJ2ASAAOxUXxSoooAAeZYX +j8CIwZizn7KNwo7DnrWdtIjFicSZtpi3wMBYPQ7AINEPGVGiKZKucZYH/fciHa//sgCJMgnJU2+S +Lf0koADRD8UAibKNs53BmcCPtIi1mMOfwo22jreexZ3EibBj/50AAAAAjjN/6Nn//rgNr+ylAGwQ +BBlSjxpTJxhSefaiuAXpYgEA+sYACzACBQD3P2YVoAWlANMPbVoNKpL7CKsB57EIcRAFAADHINEP +Cq1J/GAGFenKAQD8gAYVoAIFANEPbBAKHVGD5lQsGXgEgAAs8A2VFevwDCmQBIAA42LTJhvJgAAa +VBuquiqgfSnSkayqqpkJmRGpOSmcgC2REy6REizxGishBA3uCOTAIGdz/QAAZLSeKAog+wAkw+IA +nQApIQULmQj5gCRT4gCdAIsgFFJg8WAMEpIAnQAqYisKylP1QBzgkgCdAPgAIh2gCQUA/QIADLAL +BQAJizjpFgYlnsmAACohBSfxGSMhBOp3CAEoQQAA80Ae99B3jQD2AoIdoAwFAJ8S9mAXAVAKBQD+ +YGgd4YMdAG2JYIlQAGAECQMZ8M8wDekzAQAmbPYAYAQJCxnwzyAN6bsBACZs9v1gH+PiAJ0A+8Af +o+IAnQD8YB9j4gCdAPPAHyPiAJ0Ap6nmuBEMywKAAAmIAug4Agf7+QAA6Eb7JVAFAACbEZMQnhSd +E/XgE5CSAJ0AjRaPEvoAIh3gCgUADbo44hYHJREhgACLIJIX8WAQwlIAnQAmIQXyykAN4AsFAC7x +GuIWBycQEYAAHVDqHlIGGVKyKCEFIyEEL/EZmyQrJQTm/wgBOEEAAPEAD1fQb40ADxpJ+UYADXAI +pQD6n2YVoA8FAG2KDSxC+w7IAe2BNnf4BQAA8AEEDa/8BQAAAPSggBXgFkUA+KAIFe/8IgC0Vf/8 +PA2gFkUAAAAAAAAA//2cDaAMBQAMqkn6IAYVqZwBAPggJhXgDAUA6VKQEzAFAADiFgcuCxYAAIgR +7IgQAZv9AAD44AYVoA+lAPZgEklQCgUAixGWGOIWBymoBIAA8iAIFeABhgAAAqNJ8iAGFemyAQD6 +ICYV4AwFAIgXZcEWKYEEspkphQSJcADxBAA4GgiZAul2ACeDOYAAL/z2APEE5Vz+LcAKgADomAIF +UAUAAOh2ACeDCYAA6VJsF/vZAABuUmuMGKysDAxJ+YYADnAIpQD8n2YVoAwFAG2KDSJC+w4mAe1h +gHZgBQAA/N/7xG/8BQBj/4MAAAAAAAAA9uCAFeAPBQD+4AYV4AkFAP/+MA2gH0UA+KSqBeAfRQD2 +4IAV4AgFAPjgBhWv/l4AAABpUWOCF4gYHFJMqKgICEn9BgAMMAsFAPifZhWgDKUAbcoNLEL7DskB +7ZEMddgFAADwAFwNr/wFAAyrSfogBhXprAEA+iAmFaAMBQDiFgcuAMYAAI4QjXAA8QTiFgcvcAqA +AA7dAp1wihWLF1g8A8Ag0Q8AAAAA//qEDaAfRQAp0pCrmQmZEfhgAET/8loAAACfEp4UnRP4f+yw +0gCdAOenCAjYBIAA6nQAAOARAABb/vmDUABgBAMDGf1AaB2pMwEA4xYALQneAAAoOv94MROJE/hg +CVviAJ0AixTzYAkL4gCdAI0R7H4QDu2CgAAO3QINPQL8n2YV7/TmAAAAAPpAhhXv9XIAKCEF6WIs +LGMOAACdE/E/4r+SAJ0AHVMhivCeFJ8SjiTvYswtXkKAAKs7jLoPqgz7YWgV6e6hAO3MAQ90AoAA +/4YADjANBQBYCCKPEo0TjhT940QVr/BSAMDA/iBGFe/zMgCSF/h/98jSAJ0AlhjyIOYVr/ouAJ8S +nhTtFgMr0ASAAOwcBCjYBIAAW/7BjROLUI4UjxL9QGgdqbuhAOsWAS0CPgAAfbM/e+M86BIAIZv9 +AADmuhELywKAAPsmAAywBqUA6YgCA7gFAAD4n2YVr+9WAMbK8iDmFa/6IgCbEfIgBhXv/8IAAJIX +/UBoHa/rpQD7YgAOP/muAJIX/UBoHa/tpQD7ogAOP/lmAAAAAABsEASJIg8CAPhAaB2gWeEA+B0A +A3GZ8QAUUt4ElAoiQoTH0ONmEAqZAoAA8EAEulTiAQDrggAs+IKAAAb/AvPmAA/0MkEA7bsBCZ4C +gADzxgAPc8KRAAy7AiuGAA/uAi6GAipCiP0oABK4uoEA93AAFb2qAQD7RgANcAIFAPsAZhWgE8UA +6lLDHCAEgABtOhTlIwoCIBEAAOozCAEQBQAAIzKAk0PyAAIdoBPFAG06FeUjCgRAEQAA6jMIARAF +AAAjMqAjhh/AINEPAABsEASFIowgHVKv4iIDKUAEgAD8YAAGMZXxAPQdAAXwpeEA9IgAA/1CkQDz +oAABNFUBACPSgOZSpBH4LIAAxirRDwAAAO5QhRueAoAA41MCDnuCgAAPMwINnwoOMwIj9oT4gAAX +MAQFAO4uAgy+QoAA7vaIJYExgADzAGgdoBPFANMPbToUgyTnRQoBEBEAAOZVCAIgBQAAI1aA8UKA +DeACBQAkCgD9KAATsBPFAG06FSOCIOdFCgRAEQAA5lUIAiAFAAAjVqDRD2wQBCkyAA8CAP8jAAqQ +DAUAeZ4i6lQACdgEgABYOyzAINEPAADaMFv/xIkwDwIA6ZbhfWAEgADaMFv/jP1AaB2v/1IAbBAE +KyEE9KTYBaAHFQD0AAId4AYFAPoPAATwA0UA+g4ABXDbaQD6iAAGcbsxAG06FS5ChOnmDXIgEQAA +AFEEAH8aD2YCsVUeUlxkkHwj4oB+P3JkYG/lUlgVAWGAACcKAPikrgWgD0UAbfoZI1KIwU/2jwAK +fTMBAOOLB3KoEQAAdG1BsXcs5owUUk4jIQX1ZgAKMAIFAOLmgSokAoAABDMCI+aCJeKA7t8RDMfC +gAD/BgAMf/+FAA9VAQWlAghVAiXmgNEPxirRDyjigMe964gBDNfCgAAKiAL50AYVoAIFANEPbBAE +iDD/AsAK0AwFAOpUAAnYBIAAWDrkwCDRDwAAANowW/++/UBoHa//lgBsEAiJMMBA8SAEspAIBQAq +IAz7XyAVoAkVAAqUOASYOGSAbIoz61IkFQB9gAArsX/xYAbnUgCdABxQn401+mBIFeOKwQD+YIgV +4Jr5APggBhXj6qEA/UAEBju7oQDuFgIpUASAAPggJhWn7cEA/iCGFa+PAQD4IGYVp+2BAP4gphWv +3QEA/vgAB3f/gQBYOvn6ACId4AoFAAS6OGSgXCkyAHmWVi0hEgzdEJ0yKyBcLCBdKSEHiivszBAN +2gKAAAy7AguqApozKCBQ/kVEFeOZYQDomRAMRAKAAAmIAgj/Ap80LSAgLiAhLCIQ6O4QDuwCgAAO +3QINzAKcNcDA6lQACdgEgABYOp3AINEPAAAAAP//pA2v7KUAbBAGGU/I5zIAKbAEgAD+gAAVMAwF +APNAAEJwDRUA+OAEA/AIhQD7DMoNp+UBAJQR9CAGFeAFBQDu1TgBoCEAAItiDwIAC4tXb7ZUy7EY +UdUIuAqIgOokAAvgBIAA7VQAClgEgAALgADspAADMCEAAOWgDGIgIQAAiREPAgB5Q7/qEgAp2ASA +AFg6dsAg0Q8AlRD//6gNoAwFAAAAAAAAAP//cA2v7KUAbBAGHFG/LSAMLiAN/mAIFeAKJQD0IAYV +oDtFAPQgJhXv6KUA+CBGFaf/gQBYPQbGKtEPAGwQBBRPACVClyRCmqJSCSIRokKCJ4IuDjUR8kRo +FaAUxQAFRAwAQAQCAhkCAkPRD2wQEOMyACnQBIAAKyETLiANAwxPC80M/6IADjczgQDsuwgB5CUA +AMYq0Q8AGFGd6U6wEgMpgAAUUZsEtAL1H+YVo+SFAG0ICrBEZEDOJoL/dpACY//uElGS9CgAFaAD +NQBtOiHjLQQhKBEAAOMyACKoEwAA40YHIiPhAADjUgAhECEAAJNIKBIX+UAmFaACBQDRDwAAAAAA +ZFB8/UAoFeAM9QCcH50X1BDzAGgdoAM1AG06IeVCByEYEwAA50IGITARAADlNgAiI+EAAOVtBCEQ +IQAAl1AUUXTyJAAVoANlAG06D+MiByET8QAA40YIIiARAAAeUW7/ZgAPM+KFAO6G/yET/QAAySUv +gv/vmPdxE/0AAMAg0Q/HL9EPxyvRD8cr0Q9sEBwWTrgYUV0ZTnCPMCohEy4gDfqivAXvzwEACs0M +/6IADjcvgQDsqggBBUmAAPRACaiSAJ0AaCIHxirRDwAAAABlT/QGAIfiMgEomASAAAMCYQMCYeJR +ThkbgoAAkxySFCQcIPMAaB2gAzUAbToh5UIHIRgTAADnQgYhMBEAAOU2ACIj4QAA5W0EIRAhAACX +UBRRPPIgaB2gA2UAbToP4yIHIRPxAADjRggiIBEAAPtGAArz4oUA5Yb/IRP9AABkITomgv/mmPZx +E/0AAMAg0Q8AAGVPYoIx5gAFAJn9AADyYCAV4AwlAG3KAgMCYSUKfuUWIylnwoAALBYr4lEfEJn9 +AADyZCAV4AVlAG1aEiM8/O0yCCFwEwAA7eYAIRARAADiURgQmf0AAPJgIBXgD2UA0w9t+g/lMgch +EBEAAOUmByGb8QAAC6wC/R/mFaPihQCwImQgrS2C//0gBV5iAJ0AY//sAABlTs4GAIfiMgEgmQEA +APIAqB3jDgUAAwJh7hYUKX4CgAAvFhwkHGDzAGgdoAM1AA8CAA8CAG06IeVCByEYEwAA50IGITAR +AADlNgAiI+EAAOVtBCEQIQAAl1AUUPDyKAAVoANlAG06D+MiByET8QAA40YIIiARAAALogLzH+YV +o+KFALAiySElgv/lmPdxE/0AAMAg0Q/HK9EPxyvRD8cr0Q/AINEPAABsEAaKMAoGV/zEIBJQHEUA +ZUHc2zDqJAAKYASAAFv/dNOgZTHM0jDRDwAAAAB2wwf8xYAV37oBAPjADaZSAJ0A6zQACVAEgADs +RAAK6ASAAFv/HvNAaB3v/y4AAAAAAADtUMkTXfEAAMGB+MAElCAfNQD36lYNoBklAHljSmRA9y4g +DYwv6NJxJwfRgADZsKnMCMwKLMIAZMGCKjIB/sAEfGIAnQD1QAg4EgCdAGRAvCrAFi0K//1ABrRi +AJ0AmjHwAEQNoAoFAPzACnUiAJ0AZEFGxqrzQGgd7/0mAAAAAO4gDSIFoYAA6SEIJwdhgAAuIRMO +ngz+YCYVr/8aAAAALiANZOCx2bCLLyrScam5CpkK6ZIAIgVhgADpkQgnBhGAACwhEwycDPxgJhWv +/lIA9UAFoBIAnQAYTdopwAcogpf/scgV4ZkBAKmICYgRqP+P94/+wbzq8iMtd4KAAA67DACwBAoK +GQoKQ2VPQgoKR/uCxh2v/P4AZV8E//1YDa/69QCJLPlvAAz//BoAAAAA//yoDa/69QAqIROLMQq8 +DA7LOKuq+kEEHa/8XgAAAAD6H+Idr/vmAIks+W8ADP/9KgAqIROLMQq8DA7LOKuq+yEEHa/7tgAA ++GAmFe/7kgD4YCYV7/tyAPof4h2v/foAxjocUGUuIA0tIAzkFgAreASAAPQgJhXgCiUA8iBGFeAb +JQBYO6fSMNEPLiANHFBbLSAM9CAGFaAKJQDlFgEreASAAPogRhXv6KUA+CBmFaAbJQBYO5tj/okA +AABsEAQWTbaCMBdQT+YiAQIBQYAA8uFSDaD5FQAYUEtyixskLfDmUEoSI+EAAHlLCQZGCoZgCmAA +yVPGKtEPGE3hqCiIgPhgJhWgAgUA0Q/HL9EPAABsEAQeUD6LMB1NTfygegWgOCUA9kBoHaergQD5 +QfINoAIFABlQOAmpComQCpAAxirRDwAAAGRDQitgISpgIAi7EQuqAvpgJhWgAgUA0Q9kQ4kqYHgs +Cv/9QCMsIgCdANmg+GAmFeACBQDRD/qd8gXgChUA6WANIhmRgABkk60vwviOYA/uDA5UFA5EEQTU +DCRNB/SGqBWkngEAAJEE7E7qHUAKgADoRAEJWASAAOSkOQ9oBIAA/oBoHaAKVQBYO1T0YCYVoAIF +ANEPAAAAAAAAAGRPWShgDWSDf/JgJhWgAgUA0Q9kT0YpYA1kk4LyYCYVoAIFANEPZE8zKmANZKL3 +8mAmFaACBQDRDwAAAAAAZE8bK2ANZLL58mAmFaACBQDRD2RPCCxgDeliCyYaoYAAsJ38YCYV4AIF +ANEPZE7uLmANZONK8mAmFaACBQDRD2RO2y9gDelhEieaGYAAsJj4YCYVoAIFANEPZE7BKWANZJM6 +8mAmFaACBQDRD2ROrivSxirSxauqsKqaMdEPZE6djGeMzizBKpwx0Q9kTo8u0sWeMdEPZEHpKGBc +L2BdCIgRCP8C/mAmFeACBQDRD2RObClgUAuLR/lgDGviAJ0Ax6/6YCYVoAIFANEPZE5Pi2eLvou/ +mzHRD2ROQoxnjM4twhIswhGtzLDMnDHRD2ROLY1njd4t0hGdMdEPZE4fj8eOxq/usO6eMdEPZE4Q +iMaYMdEPZE4HiWeJniqSFCmSE6qZsJmZMdEPZE3yimeKriqiE5ox0Q9kTeSLZ4u+jL6Lvay7sLub +MdEPZE3RjGeMzozNnDHRD2RNxI1njd4u0hCN367dsN2dMdEPZE2wjmeO7o/sjuuv7rDunjHRD2RN +nY9nj/6P+58x0Q9kTZCIZ4iOiYiIh6mIsIiYMdEPZE19iWeJnomXmTHRD2RNcIpniq6Lpoqlq6qw +qpox0Q9kTV2LZ4u+i7WbMdEPZE1QjGeMzi3BMSzBMK3MsMycMdEPZE07jWeN3i3RMJ0x0Q9kTS2O +Z47uL+EvLuEur+6w7p4x0Q9kTRiPZ4/+L/EunzHRD2RNCohniI4pgS0ogSypiLCImDHRD2RM9Yln +iZ4pkSyZMdEPZEznimeKriuhKyqhKquqsKqaMdEPZFDJ2mBYG70rYAwIuxH7RgAN8IwFAAy7Avpg +JhXgAgUA0Q9kUKaMMfzEBh2ozB0A/MQmHaACBQDRD2RQj40x/MumHejdHQD8y4Yd4AIFANEPZJEb +K8L4iWALmQwJXxQO/xEP3wwv/QX/9qgVpJkBAACRBACoGgjuAv/2phWgAgUA0Q9kUEaKMfVACCAS +AJ0A6mR4K1AEgABa8m3AINEPACzhiGTNAS/hdS3hdK/dsN38YCYV4AIFANEPKOGIZIz/KeF0+GAm +FeACBQDRD8cv0Q8AAAAtYAwqsIAA0AT8nA4FoKqdAPpAaB3gKgEA/kBoHaAKVQBYOnDyYCYVoAIF +ANEPi2eLvoyzi7Ksu7C7+mAmFeACBQDRD4xnjM6MwvxgJhWgAgUA0Q+NbKndsN38YCYV4AIFANEP +jmz+YCYVoAIFANEPL2ETqf+w//5gJhXgAgUA0Q8oYRP4YCYVoAIFANEPAAAAAAAA/+50Da/59QAt +YAwpsIAA0QQArBoMmQL5cAYd4AIFANEPAAAAAAAAAPof4h2v+/IAbBAEJTAKJzAL9mEQFaAKVQDs +TwEZaASAAPJgRBWgC4UA5GQAC3AEgABYOj0bTvzAoOtrCwqBLgAALLJxfHMabyttLTECKjQL6jQI +JugFAAD8YEQd7/JVANEPKLJw2jALgADxQ6AN4A21APTDRg2gCgUAJDQI+mFmHaAJFQD4YUYd7/JV +ANEPACswC8DA7DQKJdgFAAD6YWYd7/JVANEPALFkfUnEwCDRDwAAAAAAAAD8wGgd4AoVAPydtAWg +C4UAWDoXxyvRDwBsEATmJAACAcmAAB1MDx9MBR5O04swHE7RFU6u+vAABXAYpQD5QlINoAIFABlO +zgmpComQCpAAZEJ/xirRDwAAZV/Hxy/RDwAAZE/tKlJ/mjHRD2RP4xtNHCuwgJsx0Q9kT9baYPwA +Ih2vuwEAWCVfZK/GLaEaLKEZAN0RDcwC/GAmFaACBQDRD2RPrZIx0Q9lT6Yu8q7xwBRIUgCdACL6 +udEPZE+TkjHRD2RPjC/d/C/yvQv/EZ8x0Q9kT3woCv+YMdEPZE9yKVKAmTHRD2RPaAuJR2SRoPk/ ++vjSAJ0AKcKtZpJOmTHRD2RPTcevmjHRDxJOnSkgaP0hgAJXqwEA+T/5sdIAnQDlTCUVDsmAAPVA +D6iSAJ0AwCDRDwD1vMAl4HqFAPygaB2gHwUAbfoOKMGQssz7AAUUIgCdALEiIvra0Q9kTvIpwlMq +wlL9QAAVP5mBAAqZApkx0Q9kTtor4tSbMdEPZE7QLOLTnDHRD2ROxpIx0Q9kTr+SMdEPZE64kjHR +D2ROsZIx0Q9kTqqSMdEPZE6jkjHRD2ROnJIx0Q9kTpUr0n8q4vgJuxGrqoqnZKDNKqwgWvGeCkwU +/GAmFaACBQDRDwAAAGRObFgX2PpgJhWgAgUA0Q8AZi9g8IvgDeebQQAfS47xJDwN4AIVANtQwMD9 +8IgV4B4FAG3qDC+xkOrxCXXYCQAAscws+vsAwAQNCRn4AAAE8AglAAkoOfhgJhWgAgUA0Q8AAAAA +APk/8DDSAJ0A21D8EgIdoAoFAP/wqBXgGAUAbYoNKbGQfJF86qwBJdgJAAAq0pQp4vgJqhGqmSmQ +ScChCak5+GAmFeACBQDRDynCrGaQsZkx0Q+L6orn7OwcJdvhAADsrAwFU+EAAP1iAA0//GoAAABk +kNf5P+yY0gCdAPpgKBWgCxUAWAr70qDRD1g0G4sxZLDC9WAGOJIAnQDAINEPAAAAoAQPCBl/h4H/ +/kQNoAklAAAAAAAp8q5xnkzrMgEiAnGAAMCgWJLR5q0+bRAEgADAoFiSxpox0Q9kTS0sUpyMwMnH +6iCYIdgRAAALwADSoNEPAIoxWJKvwCDRD8Yq0Q/SkNEP0pDRDyL6udEPwKD7DgAL90sBAFiSsC1g +DOjaEQ0YBIAAWDbDLlKcjuHJ5eogmCvYBIAA7EQACegEgAAL4ADSoNEPxirRDwCKMVgDC9Kg0Q8A +WDq+wCDRDwBYOsTAINEPbBAEE031JTKAFEsSIzJ/pCQlRoAjRoHRDwAAAGwQBBVLLxZN7vQAAh2g +CAUA9gAiHeADpQBtOi0pYX8AQAQJCRvvlxdyIAUAACtQMACxBAB6GrCq+kAGFaAAGgCYIOVcASEQ +EQAAwCDRDwAAbBAEFUrWAkkUKVaRJFKSAghDD4gR+wAEANAHNQDgNhoMAQqAAPzgAQPf+PUACHcD +B0QBBkQCJFaS0Q8AbBAEFErHIkaWI0aX0Q8AAAAAAABsEAoUSsz8YEgVpAUFAPZACXRQDQUAKwoA +6k3CEXPhAAD2YoAV4AiFAG2KFCmgfeubCAVQBQAA+8AH6+IAnQCx3caqmhjkwWdh2HEAACxCrisW +BwXMN+xGrivQBIAAWJW4izGMNdag+4AL6+IAnQCcMYoXWJWzjjKNMe7dCA04BIAA7RYEINBBAABY +la0uQquMMf+AAEQz//UA6PMKfVgEgAAMXgwuRqvdcOlCrCt4BIAA7kKuL2AEgADpFgApUASAAFjH +M44UjDWPGIs3ijIE/woo8q8t8rcLpjamxgbdNw6INyj2ry32ty9CrAupNihCq5gwmTefNClCrpk2 +r8+uji5Gqy9GrBhKtqm5D5k3KUau/wAHU6AKBQD+oAgD4gCdAC5CrhtLuP9gCKOiAJ0A0qDRDwAA +AAD8IQYV7/wmAIYx7BYFJgFBgAAqQqsrQq6mqgW7NytGrnurDAq8DKxm9mAmFaAAKgALrTctRq6J +FfpAaB2gGxUA/AACHaAd9QD3IABEsA4FAPgghhXgCAUA+CAGFaAfBQBYxv2KMos3jDX+IIgVr/0S +AAAAAAAAAADrFgch0BEAAFiVYYsxmhbrFgQr0ASAAFiVXuoSBy0wBIAAWJVb6xIGLTgEgAD+lWgV +r/seAAAAAOs2BSvQBIAAWJVT1qD8YKgVr/naAAAAAPoAQh2gC2UA7E1IGWgEgABYOH0vQqz+v/hC +7/pFAN7w+gBCHaALZQDsTUEZaASAAFg4df/7rA2v+kUAAAAAAAD8QGgd4AolAPyadAWgC2UAWDht +xyTRDwBsEAbSMIkg01DlSmUUpVyAABhKWiiCrvEACghSAJ0AwEAqUneaIylR8CklCChR8SglCS9R +8i8lCi5R8y4lDC1R9C0lDSxR9SwlDitR9islECpR9yolDylR+CklEfhACBXgABoAwEB6lgmKIytS +d3q0EsZK2jDrJAAKYASAAFg1qcAg0Q8sUfAqVncqIQjTD9MPeszcLVHxKlXwKiEJDwIAetzNLlHy +KlXxKiEKeuzBL1HzKlXyKiEMevy1KFH0KlXzKiENeoypKVH1KlX0KiEOepydK1H2KlX1KiEQeryR +LFH3KlX2KiEPesyFLVH4KlX3KiER+7/7zKIAnQAqVfhYmTIcTPYtUfEuUfMkUfgvUfUpUfQoUfYq +UfLrUfAszAKAAOn/AgxEAoAA6EQCDVQCgADq7gIN3AKAAPumAA7wCkUA9CAGFaALBQBYOBlYl8Hm +rx9tIASAAGABwQAUTOH8mcIFoA01AC1V8vy+ZB3gBgUAJlXwJlXxLEbxWJpDiyHTDw8CAOdKABgE +CoAA8WAE+RIAnQD6WAAFd7uBAFiZL+ahYW0gBIAAHkzOiO4oJgov4g8vJgsu4g2eKViZJuahZm0g +BIAAWJkcG0zH0w/TDyqyZtMPDwIA7EzFFQN5gAD6//HrogCdACmymfj/8ZPiAJ0AKsIo/0JwDeAL +BQBtCCkvUpAuwuyvv+jCNC/+QoAAr+4v4hqo/y/GNCbmGi3CKLG7/X/v0uIAnQBj/88AAPoAoh2g +CwUAWJkI5698bSAEgABYyrNj/ikAACiymWWPjiZV9y3CKB5LnBdMpRhMphpMpSlR+CtR9i9R8wqZ +AQi7AQf/AS9V8ytV9v8gBASwCgUA6VX4JoJJgAD8mTgF4A5FAC9SkCvC7K+vCf8Rr7svshYpshrt +/wEFUAUAAO+2FifQHIAALrU6L8I0r5kpxjQmthoowih4o8gpUfgrUfb/YqAG0AolACrGGCrGGfuC +5hWhCAUAKMYaf5cj+4KmFaALhQD7goYV4CkFAPmCZhXv9IYAAFjKgmP9ZwAAAAAA9r8EHa/0NgAA +AAAAAFiVDvVAaB2v9T4AWMp5Y/1EAABsEAQsQAeIQB5K7RlJqY0g/8/oFaeIwQAJiAoogrju3QwJ +0ASAAP2gABawDlUA7t0CClgEgAALgACJQY8yCYlH8eFgDeCZTQDIXooniq6VoPdAJhWvgtUA0Q/S +kNEPAABsEAwUSZArIAwqIA3kAAUIyASAAAkCYQkCYQkCYQkCYRZJjBlKsRdKze1KshnnAoAALBQQ +/CAmFeAOBQDuFBEtxgKAAAioAi5yfwmIApgQ/EAIFeeIwQAGiAr5FwgVoAVVAO7dDAjYBIAA6N0R +CVAEgAD1pgAO8AxFAAuAAIMR0w8Dg0cDAwZmMi0jEQT6QGgdqzMBAPpgaB3gDBUAWCLF5AAFCMgE +gADpDAANMASAAAkCYQkCYQkCYS5yfxhKkhlMLPqVHgXgDBUAnBErFQT4IGYV4YoFACoVBRlJXQg4 +ApgQ/EAIFeeIwQAJiAoogrgO3Qzo3RELUASAAOXdAgjYBIAAC4AAhRH+kqQFp1VBAPQ/AArwD1UA +5lG1aMgEgAAEAIf4AKgd4AUVAAkCYQkCYQkCYSlyf5URGEp0GkwOmhIIOAIoFgD8QAgV54jBAA6I +CiiCuOndDAjYBIAA/aAAFrAMFQDv3QILUASAAAuAAIUR/pJuBadVQQD4IGgd4FVNAPC2WA3gD1UA +BACHCQJhCQJhCQJhCQJhKXJ/GEpe+pS8BaALBQD6IKQd4AwVACwVBPoghhWgDEUAnBEIOAKYEPxA +CBXniMEADogKKIK4Cd0M6N0RC1AEgADv3QII2ASAAAuAAIURBYVHBQUG5lETaMgEgAAEAIcJAmEJ +AmEJAmEJAmEucn8YSkUZS9v4IGYV4AwlAJwRGUkOCDgCmBD8QAgV54jBAAmICiiCuO7dDAtQBIAA +/aAAFrAOVQDu3QII2ASAAAuAAIURBYVHBQUG5lDJaMgEgAAEAIcJAmEJAmEJAmEJAmEucn8ZS8QY +Sh2ZERlI9wg4ApgQ/EAIFeeIwQAJiArogrgrUASAAO7dDAjYBIAA/aAAFrAOVQD/pgAOsAwVAAuA +AIURBYVHBQUGZlCC0jDRDwCNIPoAQh2gCwUA7EuvGfAEgABYNtXSMNEPjSD6AEIdoAsFAOxLqhrw +BIAAWDbP0lDRDwCNIPoAQh2gCwUA7EukGvAEgABYNsjSUNEPjSD6AEIdoAsFAOxLnxrwBIAAWDbC +0lDRD40g+gBCHaALBQDsS5oa8ASAAFg2vNJQ0Q+NIPoAQh2gCwUA7EuVGvAEgABYNrXSUNEPAGwQ +BPBFwA3vMoEA8GIQDeAkBQACiFfKgQLJU8qZAupR5KAvYlv9AAACtDvSQNEPAAAiEf//cA2gFAUA +CCIR9J8AFa//WgAAAAwiEfSfgBWv/zoADi0R7Ez9IhP5AAANwjvRD8Ag0Q8AbBAEEkhaIiLY0Q8A +bBAEgieCLoMogiejIrAi0Q8AAABsEASCJ4IugifRDwBsEAQSSE8iItfRDwBsEAQl+sAFJQEkURWl +RCRNAeMmASIjAQAAlCDRDwAAAGwQBIIngi6DJoIloyKwItEPAAAAbBAEgieCLoIl0Q8AbBAEG0tZ +JDx/BDoUC6oB+0IAFaAbBQBYoRAjpQLjpQMtEASAAPTuAA4wCwUA+0CkHeZDAQDspQQlYEEAAOym +ACIBQYAA+oBoHaANFQBa7oxorhX6gGgdoAsFAPxACBWgDRUAWu6Haa7p0Q8AbBAE50grGS+CgAAW +Sz2nV5N0plUkVn/RDwAAAGwQBBlJVomQGkn9GElUCpkB+EYACXAUBQAEJAKUgBNLMhRJ+AMiAhNJ +TQQiAfJgBhWgAgUA0Q8AbBAEGEoUGklHGUhFJoIfHUntKZKT9kKSDaAHBQAnguCimQmZEfjgAEPw +ABIAw8osphAjphHDuyumECSmEYqgH0mO7aoBCWYCgAD9RgANMCsFAAuqAg+vAgX6OR5JMprgHEht +G0kwDaoB/UYADTACBQDqtgAjgFmAAJR6k3vRDwDAINEPbBAEG0sL+JA2BaAKFQD2DwId7/n1AOu8 +4CkNCAAAC7UC9gACHaAcBQBtygwtUZDn0QlyqAkAALFmJvr7L4KEAGEEAK4aCeIDAv8BD+4C/xCG +FaABQgAAAAAAAAD4QAUpUAYFAPVgaB3gEwUA0w9tOgwkUZDnQQhyqAkAALFmx2ssgoT6wAQA0A0F +AODdGgsBCoAAAK4aCe4DDswBDcwCLIaE82BoHaAFBQD+AgIdoJaFAG3qDC8hkObxCHEQCQAAsVXH +WyeChBNH9QBRBOQylCGZgQAA4zKCLTAKgAAJawPrdwEKJkKAAKQzIjA3B2YC9xCGFaAEJQAEIgLy +ZuYdoAIFANEPxirRDwAAAGwQCP6VkgWvDAUA/JUMBeD79QDiKgsLSASAAPNAAIVxF4UA5ycoDVcC +gADtqggHQ9sAAOh3CAm3AoAA52YIBIRJgAAfSroCKwvoSrkd3sKAAO27CAPIEwAA+XimFaAIJQAo +lhDoR78TyBMAACiWESjxf5gW6LbBI8gTAAAolhIv8X4PSC4PTyzqFgQn2AUAAOi/OQLIBwAA60qn +FMv9AAAMmQEYSIsFmzmbF/8ABAviAJ0AC4wUAM0RDf0CLabJLGbPL2bQ0Q8A6OJTI/gTAAAp8hIv +8hHqFgQsR0KAAAmILAj/KJ8V9eAE86IAnQDkZs4ihnGAAKtVDFUBKn0E6qIRKlgEgABYNvr8IKgV +4AwFAFg2MWSwsP4giBWoxR0AAM0RDb0CLebJLGbPK2bQ0Q8cSoKUERlIZpkQKOJT6hIGKWgEgAD0 +IOgV4CsFAOUWAyxHQoAACogs6YgoCfAEgAD4IEYVoAo1AFg1jRlIWfwgiBWopR0AAKsRC5sCK8bJ +KmbPKWbQ0Q8A/oBoHeAKNQD8IKgVoCsFAOwWACloBIAA7EpnGfAEgABYNX394AIdoPv1APQgqBWv +/N4AAAAAAAD0lLoF7/zeAOhKWBloBIAA9CAmFaAJFQDpFgAp8ASAAOiCUyPIEwAA+SJIFeAKNQDs +SlQd+ASAAOUWAyxHQoAACYgs+CBGFaArBQBYNWXAofwgiBXotR0AALwRDKwCLNbJK2bPKmbQ0Q8A +AABsEAb2jk4FoReFAAcnKKdm50n4E0ATAAAjhg8jhg4ncn/rSfQb0ASAAFg2puW0AA0gBIAA+mBo +HaBrRQBYNqH8AAIdoG1FAFg12dpA82BoHeAMBQDrVAAJ6ASAAFg10++xZm3wBIAAGUgPHEnjAioL +70njHVbCgADsqggDcBMAAP9YphXgDSUA7eYQI2ATAAApxhHrpsEjQBMAACuGEi2iwB9Hoh5HfQ/d +AQ7dAi2mwCmiwBxG8wyZAummwCNAEwAAk4/RDwAAAACTEBxJzZsTH0cZG0cY+I/mBeAKNQDpFgIr +x0KAAAuILOmIKAloBIAA+CAmFaArBQBYNR76jhwF7/2eAGwQCBZHFxVKAy1ihitS5qLd7EoBHu5C +gACtsi4gOSogOCkhG/xACBXgCAUA/kDwFeAHFQDpeTkFU+kAAAp4OC8WACsgFvggZhXgBwUA9iBG +FeAKVQDrFgEp+ASAAPgghhWgO0UAWDUC9GAGsRIAnQAuIDj5wAYzUgCdAGQxGfRgCTCSAJ0A+GAF +mVIAnQAvUuwv8hZk8KUrUhNksJ/2k74F4AMFAPQSQh2vDIUAL2KJLlLmCf8Rr+6s7irgfXShB7Ez +ezt6dKn3LeIoZN/xiNlyiexk0GaO2GTgYSggBy/gBykgFvgCAAQw+rUA6v8BDEeCgAAI/wIv5Aco +IAf9wAgV4PvFAOv/AQvgBIAA+cLGHeGIAQD55gAPsApVAP/A5h3gOwUA/iAAB3D/EQBYNNArUhOx +M/p/+6PvDIUA0Q8A0Q8cSbctQAD+gFAVoApVAP6AMBXgO0UAWDTFKSEbK0AAKyQWKkAB6iQHJIBR +gAAJCUwpJRseR76MKy1AAu7MAg7swoAADZ0CLSUb/EFmFa/7hgAAAAAAAPpAaB2gCwUAWpEL0Q8A +AAD6QGgdoAsVAFqRB9EPAAAAbBAG5kmaESAHAAAsQGAfSHb2FQId4AUFAOTA62JzkQAA9YAH2JIA +nQDIyGjBAmjCHsAg0Q8p4H0PAgAPAgAPmQgpkIBok+lol+ZokgJpl+D0YEYd4A8lAC80Bv5gph3g +CKUA+GDmHaApFQD4YIYd4Bq1ACo0Ay4gAO40CCFYEQAA/EAwFeAMhQDtNAkh0CkAAFgtMywgL/oD +Yh2gKxUA5MKXYSCxAADAxPxiRh2gGBUAKDQTLyAALzQULiABLjQV7SCGIdBhAADtNBYhWAcAAOU0 +FyXaMQAAWC0h6jwcIVgHAAD7cgAV4AyFAFgtHfoDYh2gKxUA/FFQFeAsVQD8ZIYd4AjqAAAALUBh +r9kpkIBokw/5P/iT0gCdAGAABAAALUBhHElTLyA67iAvISCxAAD4SLAVoApVAPggBhWgK0UAWDRd +KkAD+/hCHe//5QAPAgDxSjAN74kFAPRgRh3gDJUA/GCmHaAdlQD8YCYd784lAP5ghh2v+OUA+GAG +Ha+PBQAvNAMvQF0uQFy3Ou1AXiFYBwAA/gAABzD/AQDq/xEPdkKAAP/GAA9y3QEA7t0CBdoxAAD8 +YMYd4AxFAFgs6OstASHQLQAA+3IAFeAMhQBYLOPqPBMhWAcAAPtzABXgDIUAWCzfKfqA///CHe/L +JQDwABgNoBq1AMCgLEAOZMBPqj4l5AIp5AMr5AT/wAYd4AhlAPnAJh2gDbUALeQFLUDOLEDNKEDP +/AAABjDdAQDq3REOZkKAAP2GAA5ziAEADIgCKOQGLUDQ7eQHJVAhAAAsQBlkweuqPfifEBWgD8UA +L9QFJdQCCIgJ6dQDJEAVAAD7oIYd6IgBAAaIAvmgJh2oiB0AKNQALkD498ANyJIAnQD1oGgd7w8F +APJAICXv9gUA5y4IAZihAADwATANoAIFALHMCxsUZb/3KOB/+QAgFaKcAQD9OAAUsogBAAmIAihU +ByswASkwACnUCCvUCShA+OIsASdwEQAA5VwDIZgRAADoKjl26A0AACvgfsq2wMBtCA178A8LixTk +v6xmYCEAAGP/6wAAe2CZtMz//lANpLsdAAAAAP/+PA2gDAUAAiIJtyKiotEPAMHCKEAOy4L8YABG +sA5lAC7UAC7UASkgACnUAiggASjUAy8g9yXUBS/UBC4g/C7UBikg++nUByZgIQAAL0AZZPDQKED4 +CIgJ6UWNHEfCgAC0iPxgAEc4iAEACYgC+cAmHaiIHQAo5AAvIAAv5AItIAEt5AMpQPYl5AUp5AQo +QPj3AAVYkgCdAP/AwBXgDQUA5yIIASgHAAD0pQAV4AcVAG0IOyhQAClQASn0ASj0ACggfyrkCSvk +Cgh4OSjkCCkgfinkCyhA+O3cASKoEQAA7/wGIRARAADo2gx3cBkAAGP/vQAAAAAA/aAAgXAIZQAI +Igmiwiks/gkJSAaZAvhgJh3omR0AKTQA0Q/SoNEPALei0Q8pzP4JCUgGmQL4YCYd6JkdAOk0AC4Q +BIAA0Q8AtMkJCUgGmQL4YCYd6JkdAOk0ACYQGQAA0Q8AAGwQEhxF0SIWGB9Fk+PCfim4BIAAKsKB +LsKEojPiqggJnkKAAKPj6DIaLVZCgACq6oqnKYED6IECKugEgADsRZUaWASAAOqiDikgBIAA+QAO +ZGAFRQArFhcYRo6cFBlFjKgoKICAmRbyixgFoAxVAOIWCiwDDgAAHUhf7khdENjBAADvAAUNyASA +AAkCYQkCYS4WDfyGAA7wDhUA7RYMI4wRgAD04A8QkAkFAPTgEfGSAJ0AKhYU+OAFOlIAnQAsCgL8 +IugV4A4FAFuq62AAkQAAAAAA9OAKKJIAnQAaRZsPAgDqonwg2MEAAO8ABQ3IBIAACQJh6QwADVZC +gAAK6gjuRZIaTgKAAO54EQ7kAoAA+QYADHANJQANzAKcHRlINghoAi7iegmIAhlFTigWDC2iAAiI +VwmICg7dDCiCuP2gABawDlUA/6YADrfMAQALgACOHdMPDwIADo5HDg4GZuJKKjIa9iLGFaALBQBa +71oSRSEYRSznFhUtMASAAOdFch0oBIAAKIKQJ3KEpYgJiBGody5xKXLhY4pw/UAAFTALRQALqgKa +FSlwB/yKdgXhmQEA+SAAFLAMRQDp6QIA2EEAAO2ZAgvQBIAA+CEGFeANJQBa678cSAkuEhUvEhcp +Ehb4IAYV4ApFAPjgCBWgK0UA6BYBKmgEgABYMw7qMhoi2AUAAFrvNBdFUBhFBu8CAA0oBIAA+t/7 +ZSIAnQDRDwAAKxIXZLFs+X/5sNIAnQAqFhQvoIouFDj+J+Yd730FAH2hGCsSFOocQCXYBwAA+3IA +FeAMhQBYK6EqEhT6IoYVr2yFAP1f98wiAJ0AKxIU0w/qHEgl2AcAAPtzABXgDIUAWCuWY/7ZAAAt +oDDvoDsmgGGAAMj0KKBGCOk56KA9JXAHAAAr4GDksL1sRgKAAPVgBsiSAJ0A9WAGSRIAnQDAsC2g +SC+gMuwUOCz2QoAA7hQ5LfUCgADo7gIP/wKAAA/dAg7dAvwjpB3v+eIAKaD7LKD8+CfmHeALNQD6 +JwYd4AiFAPmABAaw/I0A+eAEB7K8jQD1gAQE8AglAOi7AQ7vwoAA6M4BDM9CgAD92AAXMIwBAP0m +AAzw3DkA7bsCDEZCgAD/BgAMMcyNAAXMAQz/Agv/Agn/Agj/Av4nJh3v+A4AAAAAAAAAHUZ/K+Bh +rbsrsIBosxVotxJosgf5f/n70gCdAP/85A2gCyUA//zEDaALNQAvoiP+IeYV4A4FAP4nBh2v9voA +AAAAAAD8gGgd4AolAPyPJgWgK0UAWDKd0Q8AAABsEAYZRJcmIAcjkpYokpqmM+oiAimeQoAAo4OD +N+MyDiUAQYAAwCDRDyqSl6aqCaoRqoqKp4quK6AvZLEPKzEC0w/TD2Sw4Ss9Ayu8j1v92uoWACUG +qYAAo63oROoW6A8AAP2wABXgDAUALNQP7NQQK08CgAD0wAcaEgCdABpE4IcQqpkvkp7obgoDuVUA +AP/X6BWkdx0A9+ALa+IAnQAtkp3TDw7dAWTRAB9Eeu8ABQ7ABIAAbXkCCAJhGkSfjBAZRWyZ0Igg +HkTS7tYCJnjZAADv1gMsRgKAAAh4ApjRGEVE+GAIFeAOBQDu1QomYJkAACzVC+jWBitcAoAA65kC +AdgLAADqmQIG0IEAAOnWBCXZrQAAWCsAHES6DGsRrLsntp2KN7GqmjcFDUf1v/epEgCdAIon+oBo +HeAMBQDqrCAqaASAAFruusAg0Q8roDplvuksoEVlzuNj/8wdRJbTD4vY92AFSJIAnQAaRKXnEgAr +TwKAAKqZLpKe6GgKA7lVAAD5F+gVpHcdAPfABUviAJ0ALJKdCMgB5ICdZcv9AACZ2O2EAAx4HgAA +ijjrbBgr6ASAAPtAIBWgDAUA6jYIKVAEgABa+ZoFC0f5f/KxUgCdAIonwLD7RAAVoAwVAFrzOB1F +JZ2gjCD6jioF4A0VAOumAi5mAoAADcwC/UAmFaACBQDRDwAA//psDaANBQDAoFgtqB1EZ4vYGER6 ++X/6UJIAnQCHEPbqoBXgCAUA//3ADaR3HQAAwIDAmgm5NPmhBhXv/YIAAGwQCCstAfVsEBWgBxUA +7EXSGdAEgADyEgId4AUFAORAOGWzkQAA7UYwEg3FAADtRi0aFIwAAH2pG2AAhSRkfCpgffoAIh3g +DAUA/AACHeAOJQBb/mjAINEPAC2wYazZKZCA9SAdUZIAnQD1IB0TkgCdAPUgHVESAJ0A9SAdE5IA +nQBoQ8/0AGIdoApFAPyNtgWgK0UAWDHkY/+gfam2LbBhDwIADNkIKZCAaJICaZek+IAcIVIAnQBj +/5kAAAAAAAAALbBhrNkpkIBokwJpl4T4gBog0gCdAGP/eQAAAAAAwKT8jY4FoCtFAFgxzyogL2Sg +QiUkMCUkMaMqKCBULSIWLiBWLyBVLySJLiSK7SYjIVlxAAD4UQYdoAyFAFgqbOssZCFQBwAA+1MA +FaAMhQBYKmcnJDkrIDoPAgAPAgDoIEUlgSmAACUkOyUkPCckRCwg8y0g8i4g8S8g8C8k+S4k+i0k ++ywk/OSO0GFQ4QAAL6DUJSRGJSRHKKDaKaDbLaDXLKDWL6TsLyGMLiGIKyGKLiWULqDfLaTvLKTu +LaDeLCGOKyWWK6DjKaTzKKTyKaDiLyWYLaT2LqT3LCWaKaT6K6T79knmHe/50gAAAAAAABNDkSgy +lyMymv0AAERwCkUA7EaIHEZCgAD4YABBsCtFAFgxjSUkJiUkACggLyckAZUh5SYCJAJ5gAAlJDAl +JDEpIhYsIFYtIFUuIFTuJIghWXEAAO0kiSFQBwAA7CSKJVJBAAD4RGYV4AyFAFgqJOssZCFQBwAA ++1MAFaAMhQBYKh8nJDkrIDrTD+ggRSWBKYAAJSQ7JSQ8JyRELCDzLSDyLiDxLyDwLyT5LiT6LST7 +LCT8ZIBbJSRG5SRHIVDhAAAvoNQooNopoNstoNcsoNYvpOwvIYwuIYgrIYouJZQuoN8spO4tpO8s +IY4toN4rJZYroOMppPMopPIpoOIvJZgtpPYupPcsJZoppPorpPsnJE/qNAAJWASAAFu6LOo0AAlY +BIAAW7op6jQACVgEgAD8ReAVoA0FAOwWASE4BwAA5XyEI7rhAADuVAAL+ASAAFu3veUWACUBQYAA +wFDfcOo0AAKoBQAA7BIBKVgEgAD+IAgVoA0FAFu3s8ihalbd+mBoHaAFBQD6QGgd4A0VAO4tASFg +6QAA7BYCLzgEgADu7PUju/kAAO4WAyv4BIAAW7elyqHfcOo0AAKoBQAA7BICKVgEgAD+IGgVoA0V +AFu3nmSgAmpW3PpgaB2gBQUA+kBoHeANJQDuLQEhYRUAAOwWBC84BIAA7uwgI7jhAADuFgUr+ASA +AFu3j8qh2jDlXAEr+ASAAOwSBClYBIAA/iCoFaANJQBbt4hkoAJqVtzA8P5FJh3v8NoAAAAAAAAA +9J/iCJIAnQD/8xANoAQVAPU/4vGSAJ0A9T/is5IAnQD0n+EJEgCdAP/2VA2gBCUAbBAEFEOAiCAp +Qn4jQn0JiAz0kCgVp4gBAKgzCTMRo0ODNwmICOMyDixGQoAACEQIJEIHKDECKzEcJEIO6bQABANB +gAAtMgfqMg0lgGmAACm8/wkJTyk1HP5j5BXgDBUA/aDAQtAOFQDAwA+vLu/sOAWAMYAAy5fJw/pA +aB2gCwUA/AACHaANJQBb/juKPRtC8OxFzRVABQAA6DYNKVAEgABYLD3AINEPwCDRDwAAAAD6AIId +oCtFAOxFxRJoBwAA7dBhJqoBAABYMMf6vDAVoAwFACxEMPyHZh2gDjUALkQy/oemHaALFQD+iQYd +oA0FAPyIxh2gDiUAW/0uY/95AGwQBhtDQCwgIg8CAC2yfiqyga3MCcwRrKoqogciog7pRH4RQAcA +AP0MMBXgBAUAJIRgJCS0JCToJCT9CdkIJIQGJIQ2JIROJCZUJIRk+TAQFeAFFQD5EAAVoJeFAOgW +ACXScQAA9SAUGZCWBQD1IBPbkgCdAGiSB/kgEsPSAJ0A9SASgZIAnQD1IBJDkgCdACiilxxFjCOy +ga2I/QgAFDAKRQD4YABBsCtFAFgwjyQkJiQkACkgLyUkAZQh5CYCJIIJgAAkJDAkJDGmKiggVC0i +Fi4gVi8gVS8kiS4kiu0mIyFZcQAA+FEGHaAMhQBYKSinKvpMgBXgDIUAWCklJSQ5KSA6DwIA7iBF +JIEpgAAkJDskJDwlJEQqIPMrIPIsIPEtIPAtJPksJPorJPsqJPzoEgAnAtmAAC2AjCQkRiQkRy6A +ki+AkyuAjyqAji2EpC0hjCwhiCkhiiwllCyAlyuEpyqEpiuAliohjikllimAmy+Eqy6Eqi+Ami0l +mCuEriyEryolmi+EsimEsyUkT+o0AAlYBIAAW7kx6jQACVgEgABbuS7qNAAJWASAAPZF4BXgDQUA +7HQAASgHAADmXIQiquEAAO5kAAr4BIAAW7bC8UJgDeAEBQDccO5kAAr4BIAA6jQAAiAFAAD6QGgd +4A0FAFu2ucihakbd+mBoHaAEBQD6QGgd4A0VAOYtASE46QAA7HQACygEgADmbPUiq/kAAO5kAAr4 +BIAAW7aryqDccO5kAAr4BIAA6jQAAiAFAAD6QGgd4A0VAFu2o8ihakbd+mBoHaAEBQD6QGgd4A0l +AOYtASE5FQAA7HQACygEgADmbCAiqOEAAO5kAAr4BIAAW7aVyqHaMOx0AAtwBIAA5EwBKvgEgAD6 +QGgd4A0lAFu2jWSgAmpG3MCA+EUmHaAKJQCJEPoAIh3gDAUA+zwGHaANBQD7PDAVoA4lAFv8ecAg +0Q/ApPyJ8gWgK0UAWDAC//84DaAKNQAAAADApPyJ6AWgK0UAWC/8KiAvy6skJDAkJDGmKiggVC0i +Fi4gVi8gVS8kiS4kiu0mIyFZcQAA+FEGHaAMhQBYKJmnKvpMgBXgDIUAWCiWJSQ5KSA6yZ8kJDsk +JDwlJEQqIPMrIPIsIPEtIPAtJPksJPorJPsqJPwuIEVk4FiIECQkRiQkRy2AjC6Aki+AkyuAjyqA +ji2EpC0hjCwhiCkhiiwllCyAlyqEpiuEpyohjiuAlikllimAmy+Eqy6Eqi+Ami0lmCuEriyEryol +mi+EsimEsyUkT//7vA2gChUAbBAIFEHDF0GEG0GEKEKUGUO6JEKaoojtQ9AcRkKAAKhELkEdKEEg +KkEeDewB+QAEBHDPBQD4hAQdoEYFAPuACLxgBQUAcecPcacM/8AEAfAARgAAAAAAAP2ACORgAwUA +7+gBCvgEgADovzkHZByAAAf/ApMRHEO5+iAGFaALhQD8QGgd4ApVAFgvpf5jYAZQigUAKUEgKEBL +J0AtBpkCKUUg+OAEA7AAGgDAcP5kAAYQDwUAKUEgKEBLL0AtCpkCKUUg+eAEB7AAJgAAAAAAAP4g +hhXgCkUA8ojQFeAbhQDsQ6AZaASAAOZ0AA+gBIAA5xYAKfAEgABYL4nwZkAN7wwFABpDKgMpEaqZ +KJKCDIgBCEgCKJaCL5KAcf4WK5KAHUGxHEHTA9U5DLsBBbsCK5aA0Q8qkoQMqgEKagIqloQukoAY +QagfQcoDhTkP7gEF7gIuloDRDwD9X/dM4IMFAGP+/IQU9uBoHaALFQAHtjn1bQAKP/4+AA2sAfuf +9w1iAJ0A//tkDaBDBQAAbBAE8GtwDe/89QD0gAXJEAUVAPifABXgCAUA+KIADHAJBQAaQU4dQSb6 +ACId4AQFAPYXAh3gHgUA5ycCDpgEgABt6gwuMZDn4SxxmAkAALFE09D0FgIdoB8FAPRGAAkwBAUA +0w9t+gwlMZDiUS1xmAkAALFE0Q9mT9YmooQAQQTgnhoKAQqAAAC/Ggz/Aw9mAQ5mAvdQhhWv/uYA +Zk/VIqKEAEEE4IMaCgEKgAAAtRoMVQMFIgEDIgIipoTRD8CA//18DaAJBQDAgP/9VA2gCRUAAABs +EAYVQUUoUH3zAAnf0A0VABlEJRxEJipSMRtEJQyqDAqbOOhCTW3QBIAAZDBFaDEaaDI/aDM8bzcC +bzQOaDczbzkCbzgtbzo5bjk2G0QaHEFN9GAKFJNuBQDA+/5gCcRiAJ0A9EAJgVIAnQD6iCYF4ABO +ABxEEhtEEi4s/Q7LOQurAviIIAWgBCUAHkH2BCo2A6wRrswvwrgpwrgeRAsI/wEIuAEOmQEJuQIp +xrj54AS0IgCdAC9QfP3n4BJf8+UAbiI3+l/AFeAPNQD4ACId4AgFAOuYOApwBIAACP45nhADiwHp +Q/od38KAAAuIAgmIEQmIDCiNWIiDmBEuwoEN7gIuxoEL6jApUiCrmQ7qMA6eDGrhDm0ICA/qMA+f +DGrxAmP/8CjCgQOIASjGgVtwTSlQfG+UXG4iWYsQbrIM8AAsDaCbAQAAwCDRD8CQjREfQ+DzIAQE +8OkBAOS4NgzPwoAACe4C44gRD3ZCgACo7q/uneAM6jApUiCsmQzqMAycDGrBDm0ICAvqMAubDGqx +AmP/8NKg0Q8oLP0IyzkLrwL/5gANv/t6AAAAAGwQBIUnhV7TDy5SJf5gaB3gCkUA9ERQFaAbhQDs +Q8MXcAUAAP6kphWgBQUA5SRSKmgEgABYLrfaQPoAAh3gDAUAW/9PKyIbi7fIt9ogC7AAYAACAMCg +ZqD8HkJRA0oRDq4IKOKEKfoACYgBKOaELeKAH0M5D90BLeaAC+owHkBwKeJBLOJBDJkKC5kJDOow +DJwMasEObQgID+owD58MavECY//wH0CYG0CRHEGDrKopooAYQeoLmQIppoAt4pPAzvmgBAawCfUA +DZw5LaKCx4AI3QENzAIspoIo4pMpCuD7AAQEcP0FAAjZOSuigviE8AWvDPUADLsBC5kCKaaCKfKW +6EgIB9GBAAAqooKkmeiAgCzOQoAAqamJl+mSDiR40IAALfKUpN3u8pcu7kKAAK2tLdAirt0J3RGt +rY3Xjd4t3QEl1GAllRz1IEQd4AIFANEPwCDRD9Kg0Q8AAGwQBBpAXx1DTRZBYfaAzgXgCRUA5DBI +ZuuBAABoMkDY0PwSAh2gCwUA/1CoFaAfBQBt+g4kgZCyiPyAB5wiAJ0AsbsocpQlYt8PAgAJiBEI +VQglUElkUYz0QAZAkgCdAPpgaB3gDBUA840ADfAIBQD0QAbhH/z1AN6A9AICHaCPhQBtSg4l0ZCy +3f6gBNRiAJ0Ase7HW92AJKKELnKULGLfwvDr/TkPdkKAAK7ML8A3Df8C78Q3KoEKgADgPBoKgQqA +AP0gAQbf/vUADt0DDUQBDEQCJKaEZDBJGkM9I2Ig9EAGQJIAnQDLOPIAAh2gCTUAbQgrJKB8ACAE +BAQbf0caJHKUI2LfpCQJRBGkMyswSci0KDRJKTRRI2IgsSJzKwJj/83AINEP9cBoHe/9qgAAsAQO +CBnxH/hP0gCdAPhf+PFSAJ0AY//aAAAAAAAAAPUAaB3gHgUA0w9t6gwv0ZDo/ghm6AkAALFVx1ve +gCSihMDYC945L3KULWLfCf8Rr90v0DcO/wLv1DcqgQqAAOA9GgqBCoAAAJ4aDO4DDkQBDUQC9VCG +Fa/8wgAAZD90wCBtCC0uoHwAIAQODhvvYt8nfFyAACNylKMjCTMRo/8p9FEp9EkjYiCxIvJf+jLi +AJ0AY//LZS53Y/84AGwQCudUAAkwBIAAC+owGEL2KIJ/+WATg6IAnQAdQAISQDwaQvEt0iAiIhcr +pn/7T8gVoAwFAFgucxw/+h5ANSzAffNvAAqwDRUA7eRgJnAogAAtMABo0yvH7v7ABAcwP4UAf+Ee +yXvApfyFwAWgC4UA7zAAKmgEgADlFgArcASAAFgt0i8KE/XgC0riAJ0AFz+QDwIAJna9GkLWGz+P +/AyCHeAMBQD+AUIdoAg1APj3xhWgDwUAWuzFHULL4qQABQ7BgAD0IOYV4AkFAOMWBiIJqYAAlhT6 +hY4F4OYBAO4WCCJj/QAA7BYJLKgEgAD6IKYV4AM1APAASA2gBhUAAAAAAAAA8GTADeAGFQCPGYgY +f1MBwGDkgHhrF8KAABs/bvL3xhWgDAUA+IVoBeBtRQD6hWAFoA6lAPggBhXgDwUAWuXM4qQABQTZ +gABmIMUlXAF0U68UP+8dQqQL6jAq0n/7YAubogCdAB4/ry7iICvWfyrSfv3AaB3gDAUAWC4hK0YX +6kYWIYdJgADA8C9EYNEPihYPAgClqiqgACp2vRpClPp+mgXgDAUA+IUmBaAJFQD4RgAM8G1FAPj3 +xhXgDqUA+CAGFaAPBQBa5aripAANe94AACtyvvN/+4BSAJ0AYAAujBYrcr2lzPuABh3v/XoAAAAA ++oUCBeAaRQAFqgxa5cxj/okUP8L//TgNoAM1AAAi+rmMFY8WjhSNFy/wAJYS9CAmFeAKRQD8IAYV +4AuFAOIWAypoBIAAWC1kiBl4U2ywMwrqMBs/LCmyQSuyQQuZCgqZCgrqMAqaDGqhCAzqMAycDGvB +9v/6ZA2gBQUAwKH8hMQFoAuFAFgtVMDQ/IwGHe/ytQDRDx9CWC7yfrHu/+/GFa/2IgAvcr7z//Eo +UgCdAPP3Ih2v+HYAAAAAwJApdr0aQk8bPwj8DIId4AwFAP4BQh2gCBUA+PfGFaAPBQBa7D5j/2kq +0n6xqvuvxhWv+iIAbBAG8oSMBeD49QD4QAlcIA0VAB5CQywwgA8CAO4qCAkBCoAA6qCALtgKgAD5 +0BAVo7sBAOsUACcgEQAA/EAFbCSqAQAv4IH4gAAEMAuVAPsAB2xk/wEA++AIjGIAnQAp4IIv4IMJ +CUT7IAikZP8BAPvgCpRiAJ0A+AGiHeAI5QD5QOYNoAsFAHmpVRU+4v5+EAWv//UA9A4CHaAYBQDk +zAIK0ASAAG2KDCmhkOyRQXVQCQAAsbvkLAIK0ASAAPoAAh3gGAUAbYoMKaGQ7JFAdVAJAACxu/qE +HgXn2gUAWuVbwEDiNIAqEASAANEPwCDRD2a/wSzihACxBADaGg+oAwjMAQyqAvvQhhWv/rIAAABm +v8Ip4oT7YAQA0AoFAOCqGg2BCoAAANwaD8wDDJkBCpkC+dCGFe/+ggDAINEPAAD74ASkYgCdAC/g +gg8PRPvgBHRiAJ0AKOCDCAhE+x/4xWIAnQD/oGgdoAGCAMDgKUB+CQlE65kMd3AFAABgAAIAwOCx +7i9Afw8PRHvxOvff9zlSAJ0A+iBoHeDqBQD8ACIdoA0VAFv+3eagPG0gBIAA+oOyBeBqRQBa5STi +NIAqEASAANEPAMDgsej3H/VRUgCdAGP/vwAAAP+gaB2v/koA/6BoHa/+egDSoNEPbBAEGkGfDwIA +KKDh/AICHeAM5QDqrOAkcSSAAPwM4h2gCwUA0w9t2gwuoZDs4Qh1UAkAALG7x7sfPqMv8oXBjwuI +DHj9DcGM+EWGHaACBQDRDwAAKSAi8SMgDeACBQDRDysgI3yxtCwgIi0KEP2GAA5wCwUAbdoMLaGQ +7NG5dVAJAACxu//+vA2v+7UAwKT8g1QFoBuFAFgsmcAg0Q8AAGwQEioiGcAwIyQuKaEDKKEC+QAY +7GIAnQAcQYAfPqgYPqYePqeeGpgWnxQvICIdQZudHQz8ApwcKSAr/yOgB9DpAQB+lxV9lxJ8lw8t +ISANC0X1YAAVsAA6AAAA/EQEFeALBQDxwPAN4AwFAH6XB32XBHyXAcDBGD44KSEe7EBlHiBCgAD2 +YGgdoO05AOS0Agm4BIAA/40AC7C5OQALhjkuICzoPiwZqASAAPx98gWg3TEA9oYACjC5MQDrhTkJ +sASAAA3GOSggIAdVAisgJCcgIwVEAuUgIS3awoAA62YCDEbCgAAI7gIrIRnoIR0rvgKAAOZEAgqs +AoAAB1UCBUQCJyEcJxUeKxUgKBUfBO4CGEBCnh4tIDSo+PkQEBWgDAUALBYSLRYT+kawFeCHBQD6 +KEYd4IgJAAg3OSsgLykVIysUQ/Yohh3gCwUAWuhsFj4zKhYX6j+HHSAEgAAYPjsTPoTqFhYlUwEA +APoiphWgAQ4AAPXABXsSAJ0AyJb1wAwgkgCdAPXAC+KSAJ0AZFGq6iIZIlgFAABa6FkvEhcYPivj +PnMdIASAAPvgDCQiAJ0AKIKQIzKEpIgJiBEIMwgrMSkZPj/3eOYNoAVFAC8yAAj/EQX/Ai8WBS4w +By0yBw4OQezZFC90AoAA7r4CBtCBAAD5xgAPcAsFAO4WCCYJqYAAK9IJLAooWuZQ56QADQrWAADA +UB8+Jy/wfS4wDPH/+o/QnwEAJyArGj9OLSAi/umgB9C3AQB+d0V9d0LoP0kT8PyAAC0gIg3ZCQyZ +EamIKICA/wAFJSIAnQD6AIIdoDslAPwiqBWgDhUAWCv72jD6QGgd4AwVAFt0LWAAbwAADdwJDMwR +DKoIKqCAfqlhKxYU8WGgDeAOBQDrFhQj+EiAAOsWFCP0KIAAfHcCLgoBwKT8IsgVoA8VAP/tAA8w +OyUAWCvmKBIU8QDwDeAMBQB+dwd9dwR8dwHAwfpgaB2gCRUA7Jw5CVgEgABbcu4uMAwfPfDTD9MP +L/B9//nwDaCfAQAAACkgK/8h4AfQCwUAfpcHfZcEfJcBwLH6RFAVoAgVAPsNAA3wDAUAW/avY/5b +0Q9j/s4AAAAAAOscECnQBIAA/ACCHaANJQBa5GqOMC0gIvyBpgWgCkUA/kQQFeAbhQBYK71j/iaL +ePr4AATwGrUAepEvijcPAgAPAgAtoRX7RAAVr8wFAAysAazc7Hopc9kBAADCyFrl7OekAAVzoYAA +Y//CLyAiCw5DfvnGi3kLC19ps75gAAgA/W8ADf//XgD6AIIdoBuFAOxAthvoBIAAWCuhKSAr/yPA +B9D5AQB+lxZ9lxN8lxAuISAOC0X1YAAVsAA+AAAAAP5EBBWgCwUA8eDwDeANBQB+lwd9lwR8lwHA +0Rk/f8DA9YBoHeCuOQAKlTkpIR4aPUv/gGgd4Ik5AAivORg9RykWGPuAaB2gmTEA6Yw5DshCgAAJ +uQIFzAIPmQIMmQIsICQfPgwOZUDl+jkOYsKAAAyqAgqZAiogISwgI+jMEQ1UAoAADKoCCpkCKiAg +KCAsLCEc7HUWLVbCgAAKiAIJiAIqIR0qdRcpIRkpdRiYehk/W/RGkBXgDwUAn36VfywgNSx0Miog +Lyp0MyggIqmIKICAwFD4IwgV4I8FAPjjZB3giAkA+K0AD7AFFQD+5oYd7/SuAABsEAYoIFDCSPhk +AAQwJVUA/QYAgNAHBQD0YAVcYgCdAO8CAAHLgQAA9GAE3CIAnQAJczjjJEMrkASAANEPAAAAAAAA +APyAugWgCkUA/ERQFeAbhQDuIEMp+ASAAFgrQ8LW/ICsBaAmNQD8biAGUColAHNjB/pgBHqiAJ0A +c9MCdTsmdDEj/GLAhlAKBQDnJEMtEASAANEPAABmoLVoPO3jJEMtEASAANEPLCIb0w8swhD/nkAN +7+qlAOokAAnYBIAAC8AAY//RLSIbLdIQZNCF8khmHeACBQDRDwAAAAArIEN7YgJ6unR70gJ1ugJ0 +uZQsIhsswhBkwFPqJAAJ2ASAAAvAAGAARyUgIyQgIsCk/mBoHeAbhQDtRAAK8ASAAFgrE8LS/GAE +VGIAnQD2f/qtIgCdABg99wNPEaj/LvKAGD0wCO4C//AGFa/88gDGqmevSdKg0Q/GKtEPAAAUPQAt +ICIlQpQkQpqtVQlVEaVEJSAjwKT+YGgd4BuFAO0WACrwBIAAWCr5K0BDwoJ4sTL3f/eVIgCdAIoQ +Gz3dA6oRq6opooAbPaYLmQH5UAYV7/teANpA+qBoHeAMFQBbotRj/sKKEPqgaB3gDAUAW6LQY/6y +AABsEA4XPcIWPocoIRjyRFAV4AwFACwWBCkgK+00AAQAUYAALyBJZPC38SAFN9ALBQB+lwd9lwR8 +lwHAsfFpMA3gCgUA9AACHaALBQANuxHrqwIJUASAAFv8C9Wg63J8KpIKAAApISAqIgD7TwANdZkB +APUgBliSAJ0A6zzhFJSRAAD1IBFaEgCdAPUgEdwSAJ0A9SAR3ZIAnQD1IBNeEgCdABw8iCzCQi06 +6A29LAfMEQ3MLLDMA60Rpt0s1oFa40L0RWYdoA0VAPxFxh3gAgUA0Q/A4P5FZh2gAgUA0Q8AAC8g +UA9PQ+ogQyf58YAAwvL/QA9kYgCdAPpDaBXgKIUA+UAOtCIAnQCMtGTBquscEClQBIAAC8AA6RIE +KAQKgAD7QEkgUgCdAGSVDCsiG4u1ybQCKgILsAD4IIgV4AA6APp/VgXv/XYAwKDmpwJtKASAAGSU +6ikhINMPCQlFHz13AzQRr0QqQoD1IBBMEgCdAPUgGfESAJ0A+SA8INIAnQAK21L5YDvJ0gCdAC0g +IiogIysgRfVAC8MSAJ0AwMn9QAp0IgCdAPVACjUSAJ0AwNH8SKYd4A4VAPHYAA3gCxUALkKNwIII +6gF44BzAwOlCtyUAeYAAc5cHdJ8Eep8BwMHawBk/gylGt4wU6SArLmfCgADqygINx4KAAPsGAA0w +BIUA9UYACjALBQD/J+AH0MQBAH6Xd/EgCb9SAJ0A8SAhPxIAnQD+goAH0AsVAMDiDk0BfkAHwIQI +TgF4SCLIxH5HAn1PJv/4CA2gCxUAf0dPwJIJTQF5QEfAhAhOAXhAP2ixPGTP3mTf22Tv2CogUmSl +NRk/YLGrKyRSqTkpkID7IAfbIgCdANJQ0Q8AAH9HD8DiDk0BfkAHwIQITgF4SL/kJCsqkASAANEP +AAAAAAAA+n6kBe/3tgDAUB89IAM0Ef6AAEJ/+yoA+n6aBe/3VgD6fpgF7/c2AAAAKLIQZY4n9AAC +HeAJFQD4IIYV7/mmACogLPlf9bpSAJ0A8ABMDaAKFQAAAAAAAPp+fgXv9lYAwK8D3hGv7i7iuw5O +FA6uAQ4OQy4kRXrhCcCA+EimHaAOBQBks2Rl7n5gB0gpIEP5O8AV4AwFAPmNAA3/+dYAAPCf+mfS +AJ0AwOIOTQH+n/n+IgCdAMCECE4B+J/3kKIAnQBj/yoK21JlvfRj/gyLJ4u+HD8hLrIkIyAiLyEg +6SEdJ3AFAAD/ZIYVoAgFAPhEBh2gCkUA+CAGFeX/AQDoIR4p6ASAAPggJhWgG4UAWCn2LyEgDw9F +GjzdAzsRqrouooAYPw4I7gEupoAcO7odPwwtprcswpMMiVH8FAAGseypAP3DYApQzNkAZuATKKKC +Jfrw5YgBDy/CgAAIVQIlpoJkk2P/P6AVoAgFAA6JOPkgEmoSAJ0AZpJFKKKCLvoP7ogBDP7CgAAI +/wIvpoLI2S+igsCBCP8CL6aCyMkpooLBwAyZAimmghw7j/x7ugXgzwUApriYFy6CgBk8FBs7xgnu +Ai6GgCuylCpygqO77j28Hd5CgACrqiuhIJoYDrsBLqEdK6UgKqEeDesB/WAXBCIAnQBx5xhxpxX/ +wAQC8AByAArbUvl/5flSAJ0AY/zK/WAX/GIAnQDAUP/ABAbwDwUA7c85B2QogAAYO20I/wKVERw9 +vPogBhWgC4UA/GBoHeAKVQBYKajqEggi5ICAAC2hICygS/tFsBXgTgUADt0CLaUg/WAEBbAAGgDA +sP6jwAYQjAUAhRcpoSAooEsvoC0MmQIppSD54AQHsAA2AAD0IOgV4A8FAJ8fHD2jLxYSKxYQ7qBG +KegEgADuFhMtyASAAPogBhXgCkUA+CImFeAbhQBYKYkpEhPTD9MP6hISJJB5gAAr+gAtUoLTDwvd +AQ2tAi1WgixSgHHGDi9ShC4SEQv/AQ/uAi5WhMCwKlKAHTurHDvNGD1nCds5DKoBC6oCKlaAFTtw +qDgrUpYlUpcqcoKju+NVCA3eQoAAq6uLt+iAgCquQoAApaWLvusWCigECoAA8wAFR5IAnQArIhuL +tsmw2iALsADZoOoWBiUAXYAAYAAVwMCcFiwhINow/KAABjALFQBb+feJFvUgaB3v6K4AAAAAAOWk +AAzYzgAA/ERQFe/x2gDwn+Kn0gCdAMDiDk0B/p/iPiIAnQDAhAhOAfif39CiAJ0AY/wyAAAA9SAL +IhAOdQD5P+4S0gCdAPn/7dFSAJ0AKaKCx/APmQEOmQL5UEYV7/aeAGTrGWAD4xg7OSiClKOICYgR +qKpb+ICLGvh2agXgChUAKrUC+2TmHaAMBQCct5yzLLQlj1Aocn8pkpYI/wz+8EgVp/8BAK+ZCZkR +qemJl6j/6ZIOL/5CgACv7o7nK5ECLJEcju7qxAAF99GAAMjHKsz/CgpPKpUcj5eLnf3gwELQDRUA +wNAvkR/AgQ+/Lu+NOAYAcYAAnh7pFgklBemAAOkWCSaA2YAA+qBoHaALBQD8AAIdoA0lAFv2dYsZ +i72NGes7KRXgBQAAnN3sPgQa0ASAAFgkdmP+iQAAAAAA//K4DaAJNQD9X+kE4gCdAPQQAh3v9PoA +ih8uEhDA8Qr6OQ7+Of4iJhWv95IAAAAA+gCiHaAbhQDsPhkZ6ASAAFgo9/pKUBWv6s4ADagB/R/n +9SIAnQD/8+ANoEUFAAAA+f/jFFIAnQApooLH8A+ZAQ6ZAvlQRhXv8T4ALe0B7D3hFuoBAAD8IKYV +4ApFAP28MBXgK0UAWCjiihWMHvtcMBWgDTUALcQy/YemHeALBQArxDArxDv7iMYd4A4lAP2JBh3g +CxUA/AACHeAMBQBb9Udj/vUAAAAA6SArLNfCgAAkCggEpAL/JMAH0LQBAH6XRn2XY3yXeP6BoAfQ +CQUAfkcFfUcCKQoBaZEXY/oZAAD+gYAH0AkFAH5HBH1HAcCRZJoEyrnwn80nkgCdAPKfzh9SAJ0A +Y/mU/oGAB9AJBQB+RwR9RwHAkWWf12P52QAA/938DaALFQD+gYAH0AkFAH5HBH1HAcCRZZ+3Y/m5 +AAD+gYAH0AkFAH5HBH1HAcCRZZ+fY/mhAAAuISAcPcX6AIIdoBuFAPxgaB3l7gEAWCigKSEgHzuI ++kRwFeWZAQDqQoAsxCgAAArcUmTA3WmSCgrdUvWgBqESAJ0AaZEKCt5S9cAGMZIAnQAoQoDHzgyI +AShGgPkgB4RSAJ0Amx34IWYV4A0FAJ0cix3sEgsp0ASAAFv5V4sd7BILKdAEgABYAlQfO2yJG4oc +ZKBzGzp9K7KUKnKCo7sJuxGrqiqhIAoKRWmhB/oMgh2gAEYAwMr9X8AV4AoVAA3KOBs9li1Cgxw9 +ltMPC90BCswtDcwCLEaDKEKEHj2SC4gBCu4tCO4CLkaELkKAHDqzGz2OGD2N6+4BBOv5AAANyDgI +7gIuRoAuQoDAgQjuAi5GgGZQKysiGw8CACuyC2SwDQIqAguwAP52igXgABoAwKDvAgANKASAAP1f +umgSAJ0AiRRj/ggA9UBoHe/4EgBpkkT8ACId4AwFAPoAAh2iiukA6Nw4BHP1AADu2jgGeRGAAJoc ++CFmFeALJQD6IaYV7/u+AMCk/HrUBaAbhQBYKEIuIEVj9x/5P/tg0gCdAAraUs2m+CFmFeANJQD8 +IaYV4AwVAPwhhhWv+t4AwOH5X8AVoAoFAPnCAA0/+xoAAGwQBCkgN9MP8yAG5tIAnQAaPQ/8dD4F +4AMVAP4AAh2v//UA6qzgJPWEgADZoPvAaB3gHAUAbcoMKJGQ6I4JZMgJAACxuyv6+yzShOU6Gx2B +CoAAAOQa6SA3LYEKgADoUpQiqYEAAA8CAOVSginACoAAD4gD+YAEBjD1tQAFmQEpJDcEzAIs1oT/ +LwAH0AsFAPgCAh3gnIUA0w9tmgwooZDsgQh1UAkAALG7x7ss0oQAsQTg6BoNgQqAAAA5Gg+ZAwnM +ASkgNwjMAv2whhWg+uUACpkB+EbmHeGZHQD4RuYd4AIFANEPAAD6AAIdoAsFAFv5hykgNyoK7wqZ +AfhG5h3v/EIACRsU+kbmHeACBQDRDwAAAGwQBB053vpzbAWgCwUA/gAiHeCcBQD9sKgV4BgFAG2K +DC6hkOzhUXVQCQAAsbseOtcr4iDLuB052/x19AWgCgUAbQgoKMCAAKAECAgb6eLfJHxcgAAr0pSr +qwm7EauZL5RJL5RRK+Igsap7qwJj/9DAoVvxpcAg0Q8AsAQNDBl/x6vAolvxoMAg0Q8AbBAGGDnf +0w8ogH32A+Id4A0VAP8BoAcQAwUAKSAiKyAsZJJDKyAjwKn7YA+sIAXlAPVgD2xiAJ0A9WAPLRIA +nQAqICX6RRAV4BYFAPxzNgXgBAUA7DmYFQHhgAApIRNkscj/ICAV4AgVAP6AaB2grwEACo447yUT +JwDZgAApIhuLnNpg7pINJYMpgABk4F3aIAuwAC8gImTyQS4gUA5JQ2SQnPUgBsiSAJ0A9SAIURIA +nQD1IAm5kgCdAPx5hAWgCiUA/ERQFeAbhQBYJ5fGOvxgaB3gCiUA/Hl4BaAbhQBYJ5HAQfAMGA2g +AwUALiAiA+4RrO4pICKt7u7igCzMwoAArJmtmSiSgA5OQP6NAA0/6/UAC4gBCogC+TAGFa/92gAA +AMChKCBRLyBJsIgISjgPSjlloqApIFAJCUMpJFD6QGgdoAsVAFgAsRs8oOOkAA0UNgAALSArJiRQ +JCQr5tsBDeAEgAD6ICYV4ApFAPxEUBXgG4UAWCdsLhIBZeJXKyAjwPn/YOYN4CwFAHW5EiggQ8yM +KSAsd5kH8AAYDaAKBQDAoS0gUSsgSbDdDUo4C0o5ZKIhLCRQ+kBoHaALBQBYAJPjpAANEIYAACsg +Q8iz2iBb+/rDMPx4/AWgCkUA/ERQFeAbhQBYJ08jJFDaIFv8ZysgI8CJ6LEMfRgEgAD1f/kFYgCd +ACkgQ2WfFSogLPdf+H1iAJ0A//wkDaAKBQAAKiAiWBfGY/4VsJkJCU8pJRPzP/Lv0gCdAGWePvRE +ph2gCkUA/HYQBaAbhQBYJzYpIhuKnGShYYudZLFc2iALsABj/i0AAPd/7cRgCgUAGzkq0w8ospQk +sposCmf9CAAUMB4FAOhECAXbmwAAbeoMKbGQ7JEIddgJAACxqserFTlzGzkT0w8rsoUAoQQA3Bp8 +uAUsQCx3ySotUCkjCv9z0Q/Apfx4hgWgG4UAWCcWI1QpwDBnPUvSMNEP2iBb/uBj/bUAwOMuFAD+ +ICYdoPr1AFv50+agk20YBIAA+iBoHeCqBQD8ACIdoA0VAFv5E+ageG0YBIAA+iAgFeCqFQD8ACId +oA0VAFv5DOagXW0YBIAAIxAB0w/TD2YwTi1QKdMPDwIAfTlXLVAoL1AqffFGKUAsaJRAaJU9Kgr/ +/ArCHaCrBQBYFVbmoCNtGASAABw8GvylUBXgClUA/qUQFaAbhQBYJukoUCgoVCpnP0XaQFv6JmP/ +PQD//OQNoAMFAPoAoh2gG4UA7DwNGfAEgABYJt3ypSYd7/5GACogIhs4vekgSi1UwoAAq6obOLur +qvlQBhXv8wIAZzARx7X6f+d9YgCdAP/z8A2gBAUAwEEsIC7Iw9ogW/o1ZE7bGzvg7Dv4GVAEgABY +IjTSMNEPAABsEAYkICLAUgVFNuY5nBqswoAA9oAKSVIAnQD0gAsJEgCdAPSAC0mSAJ0A+gFCHeAM +pQAYOaIaOJznOIIaNMKAAKhmqprqFgEhipGAACligAnZUm+TDR073w2dCv2v6BXgAB4AHTvdHjmB +rl4p4oYr+v8LywMJuQEp5oYI6jAP6jApckEImQgPnwxq8Q5tCAgP6jAPnwxq8QJj//Ao4ogIuAEI +yAIo5ogP6jAI6jApckGvmQiYDGqBD20ICAvqMAubDGqxA2P/8AArooDEwAy7AiumgAnqMA7qMCxy +QayZDp4MauEObQgIDuowDp4MauECY//wCeowKnJC0w8K2iwKyiiqmQ/qMA+fDGrxDm0ICAvqMAub +DGqxAmP/8Cx6/ixmgS5igB85bhg4Ov/ABAdwDwUAA484D+4CLmaALSIbjd7uYoAmgKmAANog+mBo +HeAMBQAL0ADSoNEPwCDRDwAAKiAjW55IwI/5QAckIgCdAMC1pln8H+Idr/ruAAAAAAD2oABEsAtV +AP/6nA2gDFUAGTk9+KAARP/6UgCbEOw5OxPoawAALdKUGjuNLMKCpN3qWggO7kKAAK3MnBMswCPs +FgIt4ASAAFueHxo5RosQ+qAARTAMBQBbnhuKE4sSjREs0oAu+r8OzAEs1oAJ6jAsckGsmQ3qMA2d +DGrRCA/qMA+fDGvx9gnqMAnJCQjqMAiYDGqBCAzqMAycDGvB9iyhIPqAaB2lzAEAWAALCuowDeow +KXJBCpkJDZ0M97/3GJIAnQAL6jALmwxrsfZj/tIA+h/iHe/8egBsEAQCKgLrNAAKYASAAFtpLmah +w/RgDMEQC7UA9GAMg5AKBQBoOUd7MURoQi9kMYdoMSRoMib0YAvxkgCdAG83Am80E2g3G285B/Rg +C1RSAJ0AbzoZbjkWwYAIqgJoNwJpMgUpSgAJqgIsGgAMqgIYOP0DJBGoRChCgBk7QwmIAQioAihG +gC5Chh84rg/uAi5Ghvyfwh3gBRUA7UaBIa01AABoNkVoNEL0YAjjkgCdAPRgCKESAJ0A9GAIpBIA +nQDAmfhgCFRiAJ0A9GAIEZIAnQD0YAhUkgCdAPpgCBRiAJ0A+P/CHeAAIgAAKUqu+nAUBaz79QAL +mwErRoEqoH0PAgAPAgDzQAR/0gCdAOokAAnYBIAAW2Z+6iQACdgEgABbZgvqJAAJ2ASAAFtlThk3 +4CqSlCmSmqKqCaoRqpkpkSD4dgAFpZkBAPUgBNiSAJ0A+z/AFeAKpQALpTjZUCtCgxo6+Qi7AQmq +LQuqAipGgyJChB869ggiAQn/LQL/Ai9GhC1CgB43jA7dAi1GgPyQCBWgAgUA0Q8tQoAeN4cO3QIt +RoD8kAgVoAIFANEPAAD6cBoFr/nCAB869f9GAA1/+sIAAAAAAAD4lMId7/yKAPiZgh3v/GoA0qDR +DwAAAAD4iYId7/wqAPgMgh3v/coAbBAEKyIbi7LIuAIqAguwANKg0Q/AINEPbBAEKyIbi7PIuAIq +AguwANKg0Q/AINEPbBAEaDFJ6zfAEZRBAABoNEZoOEtoO1BoPC0YN2wogkIpOugJuSwHiBEJiCyw +iBo60AMpEaqZ6JYAKVAEgABa3iTRDwAAAAAA+nViBe//OgD6dVIF7/8aAPp1VAXv/voA+nVSBe/+ +2gD6dVAF7/66AGwQBBo3odMPI6B9FzdU8mAGR9ALFQDyAAIdoCb1APTgaB3gGAUAbYoNKVGQdpEJ +4iwBIqgJAADHK2Ygmxk3b9MPLZKEACEE/WABA1/19QAFZQMNXQENbQItloQskoT6QAQA0A0FAOXM +AQ7oCoAADcwCLJaECOowDOowJKIgqEQMTAxqwQ5tCAgI6jAISAxqgQJj//AqkoQFqgEKagIqloQm +ckHAUPbAAQM31wUA/tgAEzAAKgAAsVV3URwE6jAI6jCmRAhIDGqB7G0ICAjqMAhIDGqB4GP/8NEP +Gjg+KQr++VAmFe/+8gBsEAQTNxAlCsD0QAQC8AQFAOU0OQFkMIAAEjcJAkIC0Q/SQNEPAAAAbBAE +Ezjf9AACHeAEhQDjIwoJOASAAPJz6BXgAgUADwIA0w/TD21KF/pABADRQxEA4EQaARAJAAD0pgAK +tDMdABk4WgN4EamI9QAGFeACBQDRDwAAbBAE5DhXGSgEgAD2AAIdoAOFANMPbToPKEKlCAhS5IBL +YzAhAAC4RMBAFjhLGTcLFzeL+HA0BaAiBQD4gABCcAkFANMPbSoiIoJAInLSBiMBA1MCI3bSI3LS +KUagI0KgInbS4nLSIiARAADRDyRCpAQESf6QABI//s4AAGwQBBg4tdMPI4J/wGDpZAABgbmAABc4 +KPLgaB2gFHUA44J/JMgFAADTD21KCiYmgOYmgSEQIQAA9uQAJeAUdQDjk9x7kASAAPBjoA3gBwUA +Ejga0w/4QGgd4ATVAG1KCiYmsOYmsSEQIQAAI4J/9uAgFeAE1QDmlsokkIMAAONz23lIBIAA8GNA +DeAJBQAXOArTDw8CANJw+SAgFeAUtQDTD21KCiYm0OYm0SEQIQAAJIJ/J30g5JPee5AEgADRD2wQ +BPIAAh3vQwEA60QACdAEgABbipXmoAdhmAUAAGk06dKg0Q8AAABsEATnOFcZMASAABU2mgUlAiV2 +syJytBQ4mRM6CPRABAEwFAUA8kYACXADBQDidrQrkASAAG1KB+MmtSEQEQAAJnaz0Q8AAABsEATy +c/oF5SIdAAMiCtEPbBAE8nP0BeUiHQADIgrRD2wQBBg59wMjEQ8CAKgzgzDz4AAB8AIFAOs0AAlQ +BIAAW4pt5qAJYRAFAABpJOnRD9EPAABsEARb/54cOer8AAId4AMFAA8CAPmAaB2gGhUA7M0EJugF +AADTD22qCiOGQOOGQSRAIQAAwaHp2OJuQASAABQ4F/SP6BWgAgUAKgr//EBoHaALFQBYjxUqCv9b +/2mxImku5txA+gACHeD69QBYjw/ZMPhyfAWgGgUAbaoKKYYQ6YYRJEAhAAAYOTmIgBk5OMCiCogC +mJDRD2wQBMAw9mz2Be/19QAYOEEMJhGoZidmgyVmgidmgSVmgBQ4OAQkCyNGgSNGgNEPAGwQCOI2 +iRlABIAA2TDiAAUIkASAAAICYQICYW+EfxI5KPQgaB2gAzUAbToh5UIHIRgTAADnQgYhMBEAAOU2 +ACIj4QAA5W0EIRAhAACXUBI2vvRyOgWgA2UAbToP4yIHIRPxAADjRggiIBEAABc5FRo2luY2Jxwi +AoAABJQCCkQC9P/mFaPihQDTD20ICbAiyC8lcv91YAJj/+3AINEPwCDRD8cr0Q8AAGwQBBU3SsCI +CCgCKFZSDzQRJFZT0Q8AAGwQBBU48QIkCwtEEaVEJ0LC/ngAFTAJNQD7QAQA0AgVAOCIGg0BCoAA +/SABBN/69QAKmQMJdwEIdwInRsL0cvYF4RaFAAYmKCRCwqZVJFaf0Q8AAGwQBBU43AIkCwtEEaVE +I0LAFTX6BTMCI0bA0Q9sEAQVONUCJAsLRBGlRCNCwBY2nhU2eQYzAQUzAiNGwNEPAABsEAQbOMrr +sn8p0ASAAFglfPwBAh3gDAUAWCSl/EBoHeAMBQBYJLDSsNEPAGwQBPJtCAXgAhUAIjaAIjaB0Q8A +bBAEhyD4YAgVr/b1AOZGAwJL/QAACXkBCUkMCWYBBoQMp2bmJgAigHGAAAVILghIDJgw0Q+UMNEP +AAAAbBAGGDlHEzYhGzlG8wGyDaAqBQApMH0KmQIpNH1yuxTaIOwcBCjYBIAAWI5QiRBokhJolgHR +DywwfS0KgA3MAiw0fdEPLjB9xPAP7gIuNH3RDwAAbBAEKAoACOQWAQIAHTbpAiwJDcwKI8ZkLfrA +DU0B/KYADvAuBQAO3QItxmUnxmYswmYK6jAbNbUpskErskELmQoKkgoG6jAGJgxqYQ5tCAgO6jAO +Lgxq4QJj//AI5BbRDwAAAAAAAABsEAT4EAId5UgFAPMRAAk/iAUAA5M6oyQkTQEkTD8IQgHRDwBs +EAT6QGgdo+uFAFglJh01nP2oKBXgDAUAWCRc0rDRD2wQBOokAAnYBIAAWmyM0Q9sEAQJIhEiLXm0 +ItEPAAAAbBAEGDXN6AAFCZ+CgABtOQICAmHRDwAAbBAEEjj70Q9sEAQSNbgoIpkiIpoJiBGoIoon +KqwQWuI7GDW/0w8IAIf4QOgVoEkFAG2aAggCYcCQmSfRDwAAAGwQBBI47CMiQSIhf6Mi0Q9sEAQS +Nx4UOOgjIoF7Nh4pIooJCVX7IAQA0AgVAACIGiIi/gIiFPMAAEEwAB4AIkF9JEGC4zVeEgBhgAAD +IjWkItEPANEPAABsEAQSNwwjIoF7NhopIooJCVX7IAQA0AgVAACIGiIi/gIiFKKC0Q8SOM0iIX/R +DwBsEATKILAjAyUBcyAXbQgMsFTkVQEKkASAAHQgAmP/7A8iEdEP0Q/AIdEPAGwQBBM4wSMyf/Bg +4A3gAgUAGDi+wCAihsDRD9EPAAAAbBAIFDdoEjb3FTdDGDWAKyJ7+FBoFeADBQD4IOYVoAoFAOg2 +jR3eQoAA65kIATqRAAD4IMYV4AHKACy1iCqEjynSFQmJVymEjirSFvtxRB2vqoEAKoSTKdIWCYlX +KYSSKtIX+3GEHa+qgQAqhJcp0hcJiVcphJYq0hj7ccQdr6qBACqEmynSGAmJVymEmvhs5AWgCgUA +sVXkTAEhmAUAAPRgE8ISAJ0AJnKWKyKDpjbsgIArNkKAAKtmhmcAMAT4kBAV4MydAOZiDiZ/LIAA +fpfDLXKXrT0J3RGtvY7X7uIOKdAEgADuFgIo4ASAAPOg5h3gC3UAWCDpjhfYYP4ACB2gKfUAbZoC +CAJhI2QkL1CA0w/z4JAN4A0lAIgS6mwoJEAHAADojIAo2ASAAPMcJh3gDGUAWBvW/sBAJaAMZQD6 +wEAloAhFAPjDxB2ge4UA+sOkHeAf5QDvZR8lUcUAAOoWBCdyAQAA/iBmFaAJFQD53WYd788lAP/d +ph3gDeUA/d4GHe+IBQDo5Owo2ASAAFgbv4wT+fECHaALRQD5nuYdr8/FAP+fBh3gDiUA/58mHaAN +dQDtxPojUAsAAOvE+yVR8QAA+iBoHeAMZQBYG7CLFPzAYCXgDnUA/bAAFeAMNQD8IKYV4A9FAO/U +AiNQDwAA7NQEJVIVAAD/oGYdoAxlAFgbo4wV+gBCHeANZQDtxAsjUA8AAOvEDCVSNQAA+sdAFeAM +JQBYG5rqEgYp2ASAAFvrZf4AIh2gD4UA8U24DeA8JQCaYCtyly0ig6s76HKULd5CgACr24u3qDjr +sg4sRkKAAKjdGDVvLrQvLrRUL7RWLLRc/WumHaAKJQAqtGQqtGUqtGYqtGcqtGgqtGkqtGoqtGsu +tDoutPAvtPIvtPP/aKYdoAkFACm0VSm0Xim0Xym0YCm0YSm0Yim0Yym08ei2FiXABwAA+RAAFaAJ +NQAphIws0hX9lewN76yBABk20xo2Ixw20iy1iP8Rxh3gDAUALISPKrWKL4SSLIST+XGEHeAtBQAt +hJYuhJcstY4shJv9E0Ydr/XaANKg0Q/8sAYd7/feAGwQBhY0uAYAhxY37xk0hthgbZoCCAJhFTft +GzftHDfuHjfvHTfsGjSiGDfwFDfvEzdzEjfsHzfqnz2SPJQ7ljmYOiky7Cqiki029Z4+LDbQKzbz +7LwwJdlBAADrNs8m8CMAAOw29iboowAALTbu7jbtLVZCgACqmYmXJVFziZ74IAYV4AQFAOVlAiRj +/wAA5WUDIrn9AAD8wAYVp3cdAPbAhB3mJQEA5GUFIQFpgAACKgL6gGgd4A0VAFrapWiuFfpAaB2g +CwUA/MAIFaANFQBa2p9prumIOieFBCSFBSWFAiWFA+WEAARgQQAA7IYAIQFRgADaIPoAAh3gDRUA +WtqUaK4V+kBoHaALBQD8oAgVoA0VAFrajmmu6YcQhTsncS8kVQUnVQLnVQMi4EEAAOxWACOx/QAA +9sAAAXdmHQDmVQQhEWmAAAIqAvoAAh3gDRUAWtp/aK4V+kBoHaALBQD8oAgVoA0VAFraeWmu6YU8 +0w8mVQTnVQIpUASAAPagZB3gCwUA5FUFIuBBAAD8oAYVoA0VAFrabmiuFfpAaB2gCwUA/KAIFaAN +FQBa2mlprukYN4YogYpkgJsXN4SFPSdxdyRVBSdVAudVAyLgQQAA7FYAI7H9AAD2wAABd2YdAOZV +BCEBUYAA2iD6AAId4A0VAFraV2iuFfpAaB2gCwUA/KAIFaANFQBa2lFpruklMg4PAgAPAgAmVQQn +VQInVQPkVQUi4EEAAOxWACEBUYAA2iD6AAId4A0VAFraRWiuFfpAaB2gCwUA/KAIFaANFQBa2j9p +rukpMisiMu4mCvv4zwALcFoFAPRApB2hXAUA/EBEHaALBQD8QGQdoAg1AOglBCFgQQAA/EAGFaAN +FQBa2jBorhX6CgIdoAsFAPxACBWgDRUAWtoqaa7pJTLzLWx/DX0ULVUEJlUC9qBkHaYmAQDkVQUi +4EEAAOxWACEBWYAAAioC+gACHeANFQBa2hxorhX6QGgdoAsFAPygCBWgDRUAWtoXaa7pKjIsZKCQ +sK4OqAF+oBVtCAywj++IAQxQBIAAf6ACY//sD6oRIjL20w8kJQXqJQIlGf0AAPpAZB2nMx0AIyUE +/EIAFaY6AQDsJgAhgVGAANow+gACHeANFQBa2f9orhX6YGgdoAsFAPxACBWgDRUAWtn5aa7p0Q+I +PCaFBCeFAieFA+SFBSRIQQAA+QAGFe/4qgAAAAAAAP/+JA2gChUAbBAEEjU9IyKBezYbKSKKCQlV ++yAEANAIFQAAiBoiIv4CIhSigtEPABI2/iIhf9EPbBAI5BYCKbgEgABYHG8TNwbTD9MPKDF+0w/z +AB2X0gCdACwxfygxghs3AfgAAh3gCjUA/UJgHaGIAQAeNv0tsH4t5pUv4pIv5pRkg6IsMYAMTEFk +w6oWNvYdNKT+bewFoARFACRmscL3L9YQLdIRJiAM9EGwFaCdAQD5z4Yd4P0RAP/Pxh3gjQkA+c+m +HaDdGQAt5H9YmnLmFgAtEASAAOYzkh0UKgAA6TJfIhmRgAAaNi6IEKqIKIB9ImKRpIioIgkiEaKS +IiyAGzbZKjJeK7Ky+0AARXAENQBYmkpYGuuSEViZzuaiQG0QBIAAWJlHWJg75qIybRAEgABYl2Hm +oidtEASAACwxe9MPfscKWJda5qIUbRAEgABYlurmogltEASAAFiWneah/m0QBIAALTF+86ARf9IA +nQAuMX/+gBGgogCdACsxgsH4DwIA++ARuOIAnQD6gBK44gCdACgxgNMPe4ca6hIBK9gEgADsEgIq +6ASAAFiUI+ahrW0QBIAAW/6tHDar0w/TDynCqvYgZhXgAgUA5RYEJIR5gAAWNqcXNqblNFcTICEA +ABM2nxgzTiMyXyiCjyZ2viZ2vyR2wKgo5HbBLEZCgACoMy0wB/pg6BWg/sUA/6AEBrALBQD8RgAO +8AwVAO00ByVQgQAAWuJWlaCJMBw2kv1ARhWgDhUA7DaLHM4CgAAOmQKZoSjCqid8FOIsASIgUQAA +6COLczBRAACFFIcTEzNKLTIgLcZQ/aABB/PohQAI2Cjoxk0v/8KAAP+J5hXgbkUADt0oLcZOWt9M +ZaJXwCBmIMtb/azmoMVtEASAAFgb1ykwfQ8CAOs2dBTonoAAHTLgKLJ2x50JiAEotnYvCgAvtnEs +0sgeMwApMH0OzAEs1sh5nyItsnbH6w7dAS22dhw2YCzCWMDQ7bZyJgBhgAAYNlDA8C+GwFrfMMmo +Wt8vZKGnixEqsA2JtyuweYme47QABQnBgAAYMvgvgq4ZM00aMs78ZegF4AsFAPnmAA/wTHUA/xXG +FeAeBQBt6gwuoZDs4S51UAkAALG7L9KCGDZM+eAEB7AIFQAI/wIv1oJYG6faUOt0AAlgBIAAWB5N +wCDRD2a/1CrShPtgBADQCRUA/SABBN/89QAMnAMMqgEKmQL5sIYV7/8mAABYlf7nrc5tEASAAGP/ +tQAAWJXq563JbRAEgABj/6UAAAAAAOoSASvYBIAA7BICKugEgABYlZHmr4ptEASAACsxgtMP+p/t +jmIAnQDqEgEr2ASAAOwSAiroBIAAWJPz562WbRAEgABj/1oAAFv+/xw2F/uSRhWv8SIAiBAiYpCo +IgkiEfMgAEE/83YAHDYQKcaWKcaX+ZMGFe/xQgAdNgsp1pwp1psp1pr5s6YV7/EWAB02DfggKBWg +DIUA7LsCBPgfAAD9r+gV57sBAPsPJh3gblUALvUD7vUEJoB5gAAIigIL0ACLESuwecszx88MPAP7 +n/RGYgCdAMCl/GbgBaALBQD+DIIdoA01AFggomP+a8Ci/GbcBaALBQBYIJ5j/ltkv8fApfxmzAWg +CwUAWCCZihEbM2QcM2RYHAKLEftvMBXv/qoAAAAAAAAA+gcCHeD69QD8H4IdoK2lAFgO8+auYm0Q +BIAA+gcCHeD69QD8AWIdoA01AFgO7fNAaB2v9eIAAABsEAbnJAABgEmAAMAg0Q8ALCET5MwICf+m +AAAtISkbMmzs2Qd+GASAACslKXthHetkAAvQBIAA/AICHaANBQBYACQbMmPmoIJtEASAABoz8i6g +fcnpLKE/wLLjzAgL0ASAAFgdOBsyWuagX20QBIAAe1Ea61QAC9AEgAD8AgIdoA0FAFgAE+agQW0Q +BIAA2nD8YGgdoAslAFgdKuagLG0QBIAA3DD64GgdoAsVAFgdJeagF20QBIAA6nIKKlgEgAD8AAId +oA0VAFrcRtEPAAAAbBAGGDWlhC8ogn8mIgwDRAgIRAokQgDjZggCBgGAAOkyrBKFwYAAJUAHBQVB +6zKpGtcCgAAJqggsop4LWAoogr/3gApbUgCdACeinQh3AWRxPQVaAlrfof4AAh2gDwUA/f/iHaAN +BQDtFgEr2ASAAOwWAC04BIAA+EAIFeAMFQD4IEYV4A1VAFrg7fwAAh2gDVUA/gACHaAIBQD4IAYV +oA8FAOgWAS1YBIAA6BYCK9AEgABa4OMeMoYMXRH/oABGsAxlACzWnSsgBokiwKHqmQIF2AUAACsk +Bpki2iD8wGgdoAsFAFgc4+agoG0oBIAA6iINKdgEgAD8AAIdoA0VAFrcBPCIUA3gBwUAikcnRAUq +qRRloJEqQAcpQhonRHT2jqYd4aoBAOqsCgSBKYAALUIbHzOVmdAuQhoPzAorwf6d4SdGG+dGGiXb +/QAAK8X+i0oZMev6QkgVoCgFAPiARhWgDAUA+IOGFeANFQBa2+kbNUqMLIovK7J/DGwMrKoLqgrn +pgAqkASAANEP0lDRD+tcGClQBIAA/AACHaANZQBa51fHJNEPABw1PS4gDS0gDOoWACn4BIAA+IBI +FaAbJQD4ICYVoApFAFgf3YtHikL5ZAAV78wFAAyZAee1FCTJAQAAmbnptgglAjmAAP3gAh2gCwUA ++4JAHa/9BQAKihTkoBxl2CEAAHrI8XrQC7S78AAYDaSqHQCxuwoaFGWv9/qAaB2v/PUAWuRfY/7n +AAD//7ANoAsFAGwQBvgQAh3mYwEA6TkBAeBmgAADhULpFgEiqAcAAPSwABXgADoAAADpFgErKASA +ABkxsihAJhc1DCmSlA8CACdy3AmICOmIEQpQBIAA+OAAQ7ALBQBbkZTrNAAKUASAAPwAAh2gDQUA +W5C36iQAClgEgAD8oGgdoA0FAFuLUOtUAA0YBIAA+oBoHaAMBQBbjoAqQCbTD+qtAirYBIAA+0oA +FaAMBQBbjagaMxUeMVoPAgAPAgAOXgIuprPAgPxmYgXgCwUA/VaGFeAZBQBtmgrqiQoEQAUAACuW +tSkgDSWms+ogDCSB2YAA7TK1FICxgAAcNN0t0H0swhiwmw27KKrKq6ofMzAOrhGv7o7gDg5GfmkJ +8ABYDaALBQAAACkgDSsgUcDBCck5C2sMq5sqIhOGEfwAAh2gDRUAWttoZGHHKUAmFjFV/f/iHaAP +FQDjmREK8EwAABszFypcgPsgAETwAFoAABozFAVbFAm5CvsgAES0pQEApp4t4oD7QAQA0AgFAOCI +Gg0BCoAAAPsaDLsDC90BCN0CLeaALEA2fMcPKXEYsJkJCU/pdRgkjGGAAG5eDRozHwZZEaqZiZBg +ACAAHDJsBVsUDLsKKrKEK7KIBQxEAMEE66oCD8gKgAAKmQHJk9pQ+oTQFeAMBQD+4wQVoA0FAFuF +citAXho0m/wAAh2gDRUA+1zIFaa7AQBa2zP6hNAVoAsFACtEXiskXytFGStFGitENluXXSxAJhsy ++gPMEavMpswswoD9QAWMIgCdAC1wNGTQ0yVAJhg0ZwNVEahVhVDAIPogBhWvVQEA6iQACtgEgABb +hN3moAdhEAUAAGkk6RgxOiiAfelAJiR1woAAf5czihAAqhEYMhMJEhQIIgovIvSNTi7RAy3RAg8P +Tw+vAv+vAA6//CUADcM57yb0KZAEgADRD4oQGDIGCRIUCCIKLyL0jU4YMjIu0QMt0QII/wEPrwL/ +rwAOv/wlAA3DOe8m9CmQBIAA0Q8Aik4roQMqoQL7TwANf/klAAqTOdIw0Q8AKyITLLEDK7EC/X/x +XCIAnQDSMNEPLHAiA8wRq8ymzCrGgPrjJB2v/G4AAAAAAAAA+uBoHaALFQBb+Cv/+aQNoA8VAABs +EAQlIA3OPMpa4iAMIoG5gAAYMhgUNEIogH0kQn+wUwgzKKJCoyITMpQOIhGjIoIgAgJG0Q8iIFHA +QQVFOaMiBSIM0Q8UMowOIhGkIoIgAgJG0Q9sEASILQ8CACmBAyiBAvkH5g3v9kUAwED6QGgdoAuF +AFgaiuahEW0YBIAAii0roQMpoQL7L/4N4AUFAC+hAy6hAsDR/88AD3AMBQAO3Dhkz8baIPwfoh2g +CwUAWBvG06BmMNCIKg8CACmBAyiBAvkAByRiAJ0AwDD6QGgdoAuFAFgacuag4W0gBIAAiioroQMp +oQL7Kz4N4AUFAC+hAy6hAsDR/88AD3AMBQAO3Dhkz8ZgAKEAANtAWtrUwMD1QGgdoA0FAOokAApY +BIAAW/5W5qBgbRgEgACKLSmhAyihArFV+R/6rGIAnQBpWMhj/0rbMFraxh0wjB4wjPNAaB3gCwUA +6iQACeAEgABb/hPmoHBtIASAAIoqLKEDK6EC7wIAAqgFAAD9f/uMIgCdAGlUvmP/ZtIw0Q/3X/kl +IgCdAPpAaB2gG8UA/AAiHaAtBQBa5fVj/wnaIPwfoh2gCwUAWBuE0qDRDwB2qRb6QGgdoBvFAPwA +Ah2gLQUAWuXr0kDRD9JA0Q8AAGwQChswvC4gOC0gDCiyeiUyASayhA2ICOczzBxGQoAA+MAAQzdV +QQD4RzAVoFVNAOgWCSKAoYAA98AH4VAJdQD4RwYd4A51ACd89u8wbhcJaYAA9cAKCJIAnQD1wBh5 +EgCdAPXAHLGSAJ0A9cAiShIAnQD1wCeKkgCdAPXALKMSAJ0A+cAFs9IAnQDT8Bwzry4gDY8gKyA5 +mxAqIRiaEfhBaBXgO0UA+CBGFeAKVQBYHkzjAAULyASAAAkCYQkCYQkCYQkCYRkwFxszoSwgDCog +DR0zoO12AS5mAoAADKoCC6oCKnYAKCEYCYgCKHUELyA5LgoA/OBoHaANBQDs/xELWASAAO90ECtQ +BIAAW+aU5aQABQC5gAD6AEIdoDtFAOwzjhroBIAAWB4twODuJDgqkASAANEPAMDwLyQ4HDOHLSA5 +HzB4LiEYEzB1L/IUIxYAKCIL9CBGFeAKVQD4ICYVoDtFAFgeHhowb9sw6qIUKuAEgABYG3fSUNEP +HTBqHDN4/iEoFeAKVQD9oogV4DtFAFgeEx8wG+8ABQvIBIAA6QwAD6gEgAAJAmEJAmEJAmEeMFAZ +L9kfL9sbMTsaMB4dMT0sIAwoIA2dceqgfS5mAoAADIgCC4gC+OAGFaCqAQAKnzkvdQQpIDn/z0gV +oAoFAOp0ESzPAoAAKXQQjWAZMAQlFgr/rwAOt4jBAPkAAQRwDEUA6IK4K9gEgAD9oAAWsA5VAO7d +AgtQBIAAC4AAhXGMYhszTPToAAL/idUA8YHQDeBVTQDJtYhniI6bgPUAJhWvgtUA0Q8AAAAAAAAA ++KAjPGIAnQBlXtErcQTTDwsLSyslGCxxDB4zM/4hBhWqzAEALCUZKuAAKeABKSQ7KiQ6KOACL+AD +LyQ9KCQ8LeAF7uAEISjpAAD+R8YdoAwVAO0kPytQBIAAWAkuHDMqLmAMK2ANKWEJKGEILSEYL2AU +L6QUKKUIKaUJK6QNLqQMKiYSLyA6LiA7LhYALhIJKyA8KxYBKiA9KhYCKSA+mRP4R/AVoDtFAPgg +hhWgClUAWB2zHDMWL2AULmEILWEJ+EMkFaAKVQD4IAYVoDtFANMPWB2riSAqPBromREK2ASAAPhg +ZhXgDGUAWBZPGi/1gxoqohRYGyX6IQgV4AwlAPxHBh2gAFIAAAAAAAAA0/DrMvYRKOkAAOMABQvI +BIAACQJhCQJhCQJhCQJhHzDOHDL4/kMEFaANFQCdcSx1BA/uAp5wKlABKVAAKbQAKrQBKFADL1AC +L7QCKLQDLVAELlAFLrQF7bQEK+AEgADtMuUbUASAAOsiEipwBIAAW+Xg9UBoHe+I1QD5X+xkIgCd +AGWtScCT+EcGHeAAGgDT8PxlugWgClUA/ENEFeA7RQDvEgkr8ASAAFgdcsDh4wAFC8gEgAAJAmEJ +AmEJAmEJAmEZMKcfL7AaMtAoIRiecZpyL/J6CYgCGS9ymHCNYPRCSBXniMEACYgK790MC9gEgAD5 +FwgVoAwVAP2gABawDlUA7t0CCtAEgAALgACJcYhSCYVH8QGgDeBVTQAaMrbIr4tni76asPVgJhWv +gtUA0Q8ALPqN/KARJCIAnQBlXI7A1PxHBh3gABoA0/DAtOMABQvIBIAACQJhCQJhCQJhCQJhGTCB +Hi+IGjCAKCEY+uAmFeAMBQD84KQdoA0VAC11BJp0LuJ6CYgCGS9GmHCNYPRCSBXniMEACYgK7t0M +C9gEgAD5FwgVoAxFAP2gABawDlUA7t0CCtAEgAALgACIcY9SCIVH8eGQDeBVTQAZMorInopniq6Z +oPVAJhWvgtUA0Q8r+o36oAukYgCdAGVb3sDF/EcGHaAAGgDT8MCy4wAFC8gEgAAJAmEJAmEJAmEJ +AmEZMFceL1waMFYoIRibcZpzLuJ6CYgCGS8emHCNYPRCSBXniMEACYgK/68ADrAMJQDogrgr2ASA +AP2gABawDlUA7t0CCtAEgAALgACIcY9SCIVH8eGgDeBVTQAZMmLIn4pniq6ZoPVAJhWvgtUA0Q8A +K/qN+qAGpGIAnQBlWz76QGgdoAs1AFp5TsDG/EcGHaAAGgDT8OMABQvIBIAACQJhCQJhCQJhCQJh +/GSoBaAFFQD8QwQV4ApVAP5CSBWgO0UAWBznHy6qGTAXKCEYGy7wHi8pCYgCmHD4QWgV4AwFAOov +KR5oBIAA/89IFaeIwQD7AAEEcJnhAAmtOQn8OQ3MAgXMApxxjWAogrgqIhLu3QwL2ASAAP2gABaw +DlUA/6YADrfMAQALgACFcfQkAAS3VUEA+yhgDeBVTQD1P9XIkgCdAPxBkBXv5xoA0lDRDwBsEASC +J4IuIiIT0Q9sEASCJ4IuIyIUIiIToyKwItEPAGwQBIIngi6CJNEPAGwQDhgvCRUyHyiCEtow41Lg +JDZBgAArMhbAcOoWBiKwBwAA4hYFJYRBgAD8oOgVoAA2AAAAACgyFmSAcv+fYA3gBAUA6jITKlgE +gABa2M4tYolmoE8sUtqq3QndEa3MjMpkwECCyPBDsA3gj8UALsB0f+Ew+l58Be+IxQDoxHQpUASA +AFrkTyekEoki26DnpQgsgJ4AAIiiwMT6QGgdoA01AAuAAIxXsUR8Q5dj/4aMWPGOsA3vwgUA8AEw +DaAEBQCKxymsIAKZAeelFCTJAQAAmamZqJfIl8mXypfLl8yXzZfOl88nxhAnxhEnxhInxhMnxhQn +xhUnxhYnxheMWLFE/IAE2qIAnQAtYocsUtqtTQndEa3MiszsFgclfpSAACvCEu4yKSZhIQAA/CFG +FaSrQQD7WgANMA0VAP9AAQUwDAUA+0AIFa+7gQBa2FuNF4gaj9fnhgAn8IEAAALuAef1FCdxAQAA +nvme+JfYl9mX2pfbl9yX3Zfel98n1hAn1hEn1hIn1hMn1hQn1hUn1hYn1heMWCRMAfyf+2uiAJ0A +KTIUKsx/+CFmFeeqHQAqlQQnlQX9IEQdpkwBAOyVAyTgQQAA7JYAIgFhgADaQPoAAh3gDRUAWtRn +aK4XjBv6gGgdoAsFAP2ACBWgDRUAWtRhaa7nLVIFDwIAytjAQCxiiCpS2tMPrEwJzBH9QABFMAsF +APtEABWgbAUAWBTnjVUkTAF9Q9eOOYRZnhwn5QUk5QLk5QMnYEEAAOzmACJB/QAA9MAAAjeIHQDo +5QQiAWGAANpA+gACHeANFQBa1EVorheMHPqAaB2gCwUA/YAIFaANFQBa1D9prueXOxgwKC4yEZ4d +KDUYJFIF5+UFJ2BBAAAs5gAk5QLk5QMiaf0AAPTAAAI33R0A7eUEIgFxgADaQPoAAh3gDRUAWtQu +aK4ZjB36gGgdoAsFANMP/YAIFaANFQBa1CdpruWMV2TAbsBAbQhkLmKJLVLark4J7hGu3Y/XLvwg +Au4B5/UUJ3EBAACe+Z74l9iX2Zfal9uX3Jfdl96X3yfWECfWESfWEifWEyfWFCfWFSfWFifWFyfW +GCfWGSfWGifWGyfWHCfWHSfWHoxXsUR8SwRj/5QAAC8yE58eJ/UF7PUCJiH9AAD94GQdp0QdACT1 +BP3iABWmTAEA7PYAIgFhgADaQPoAAh3gDRUAWtP8aK4XjB76gGgdoAsFAP2ACBWgDRUAWtP2aa7n +jFZkwFIkCgAoYooiUtqoSAmIEQgiCC4iB/3EABXvzwUAD90B5+UUJukBAADt5gkqWASAAP3BBhXg +DAUA+mJIFaANFQBa17SXLJcrlyqXKZcojFaxRHxDryIyEinMfwl5FCklBCclBfxARB2mTAEA7CUD +IWBBAADsJgAiAVGAANpA+gACHeANFQBa09JorhX6gGgdoAsFAPxACBWgDRUAWtPMaa7pGi29KVLa +K2KQKqB9CbsR65kIBXxYgAArkieLviuyFLC7+iEGFeAAQgAskqeMzizCFLDMnBgtMBT3oAxokgCd +ABwxAR0w/y0WEPwhJhWgCwUAmxQpYoaIFCRS2qmI7BIQLEZCgACoRI1ALkAMgkcvQA0oQDnyQcgV +oApVAPggBhWgO0UAWBuGKkA49UAIoxIAnQCLS3a2GIpHKqwQWtoEHC3WK0ILDwIADwIADLsBm0v6 +QEAloAsFAPteABWgbAUAWBQsKiwQ/DwCHaALBQBYFCj6QGAloAsFAPtSABWgPIUAWBQkK0IQghTr +FhElhCGAAIwZKEA5jbCJty6wDC+wDSmSDvgh5hXgClUA+CAGFaA7RQBYG2KKH/tAQCWgCwUA+14A +FaBsBQBYFBKKH8Cw+0IAFaHsBQBYFA6KH/tAYCWgCwUA+1IAFaA8hQBYFAksEhGXyJfJl8qXy5fM +l82XzpfPJ8YQJ8YRJ8YSJ8YTJ8YUJ0YUJ0YTJ0YSJ0YRJ0YQl0+XTpdNl0yXS5dKl0mXSC0wFLEi +khT8X/XDYgCdAGAAJh8tk4wUjkv6IMgV4C0FAA3MAg/uAe5GCypQBIAAW/y/Y/7MAAAAAIUYKhIF +WtR7ijMFXAr/iAAWMAsFAFgT5Io0BVwL/4gAFjALBQBYE+DkMgEql8KAACgsfwh4FChFBCdFBeJF +AiJgQQAA8oBkHaYiAQDsRgAhAVmAAAIqAvoAAh3gDRUAWtNBaK4V+kBoHaALBQD8gAgVoA0VAFrT +O2mu6SIyAilcf/ZApB3nmR0AKSUE9EBEHeY1AQDlJQMhYEEAAOwmACGBWYAAAzoC+gACHeANFQBa +0y1orhX6YGgdoAsFAPxACBWgDRUAWtMnaa7p0Q9sEAgYLgwuIAwVMGsbMGoXLPofLi0vFgIqcpCE +sC1S7ixS7fwghhWgAwUA/CBmFeAGBQD8vUgV4AlFAOruCAXZ0QAA6xYFL3ZCgADu3QgMEASAACgh +/y5ylOxS6iQFMYAArj4J7hGuzCzAIogVjhL1gASCUgCdACvQDC/QDQgAhw4CYQ4CYQ4CYQ4CYR4t +6gzICQyIEajunhEu4f8pFgbtFgAnAwGAAIoQGTA06xICLe4CgADsMEIeRwKAAA39Ah8uBSjEiCnG +Hxgs3A/vAh4wKi/FQCiCzA7dAi3GHvwAgh2gDVUAC4AAHjA1LuIf+CDIFefuQQDtEgAvAE4AAI8R +JvZ/JiaD4zwBJMv9AADln0FhEMEAABkwJxgwKRowKiKSiSqW1yqW2CiW++iW/CV4IQAAL5bZ75ba +JXDBAAAuluPuluQlYOEAACyW5eyW5iVZgQAAK5bv65bwJEAhAAAolv3olv4lUaEAACqW8eqW8iEC +qYAAg9eDPoM05iUFIWBBAACcICMlAuMlAyHB/QAA8sAAAfeIHQDoJQQhgVGAANow+gACHeANFQBa +0rtorhX6YGgdoAsFAPxACBWgDRUAWtK1aa7pEi/7DwIADwIAIiKGZCBQEy/3JiUF4zKHIWBBAACc +ICMlAuMlAyHB/QAA8sAAAfeIHQDoJQQhgVGAANow+gACHeANFQBa0qNorhX6YGgdoAsFAPxACBWg +DRUAWtKdaa7pKVIT+FmQBaATBQAJMzbwYuAN4AIFAIqLzKlgACIAiqsPAgDJptsg/AACHaANFQBa +1mHqLL0REAUAAHMj4ClSE/EngA3gAgUAKHKFI1LqqCgJiBGoM4o30w8qrBBa2OWMN4oV+4QAFe/N +BQANuwHmxRQl2QEAAJvJm8iWOfZhRhWgKUUAKTQF6gAFAdiBAAALAmELAmELAmELAmELAmELAmHq +RAAJWASAAPwAAh2gDRUAWtZBLlITsSJ+I4srUhLxb3AN4AMFABIvsSlyhCRS6igi26k5CZkRqUT1 +AAaUIgCdACoi5w8CAPVABiQiAJ0ALCLz9YAFzCIAnQAtIv/1oAV0IgCdACpCByqsEFrYuYtH/WQA +Fa/NBQANzAEszECcuJy5ikvmtRQlAKGAAIKrWtO+6iQACX++AAASL5WKTA8CAGSgD4KrWtO46iQA +CX++AAASL4+KTQ8CAGSgD4KrWtOy6iQACX++AAASL4mWSyZGCi4SBfaBJhWgKbUAKUQF7gAFAliB +AAALAmELAmELAmELAmELAmELAmHqEgMp2ASAAPwAAh2gDRUAWtYCK1ISsTP6f/ij4gCdAC9SEfHr +MA3gBAUAKHKDI1LqqEgJiBGoM4o3KqwQWtiGiTf7JAAVr8sFAAuqASqsQJqYmpmKOeaVFCUAiYAA +gqta04vqJAAJf74AAIo6DwIAZKAMgqta04bqJAAJf74AAIo7yKuCq1rTguokAAl/vgAAljmMFfZh +BhWgOfUAKTQF7AAFAdiBAAALAmELAmELAmELAmELAmELAmHqEgQqWASAAPwAAh2gDRUAWtXULVIR +sUT8n/qr4gCdAB4sLJbvJuYQlu7RDwAAbBAEFi1R/FeSBeAKFQD+XogF7/71ACcgIigiCivSrgBx +BOSAj21QCoAAC6wBDAxHZMC84LcVfeAEgAALyEJ4eQr/YAQGcAkFAClkgBcr2w6oAwjIAS9hUPm1 +xhWgCzUAf7AdKSAM6nB9KAQKgAD1IATzEgCdAH+nB/UgBJiSAJ0AK2FOe7cQKSAM7HB9JK2pAAB/ +xwJokV/qJAAJ2ASAAOxEAAroBIAAWABj0qDRDwAAAAAAAMDA4LcVffgEgAALyEJ4eQofLxbAgChk +gA+/Ae6pAwnYBIAA6fkBCtAEgAAp1q5YFvzAINEPAAAAAAAA/fciHa//DgDqJAAJ2ASAAOxEAAro +BIAAW/zcY/+JAADqJAAJ2ASAAOxEAAroBIAAW/6NY/9aAABsEAQvMgItCgHqVAAJ2ASAAPJW+AXi +/4kAIiAiLDKuACEE4N0aDnAEgAD9hEAd54wBAPmgBhUiAJ0A+WBIFe/89QAM3AMM7AHxIAVj0gCd +AAxYUuj/DA/ywoAAD+w57yzwFkDigACJsv8lwAiSSaEACXhQ5C4RDENCgADo7gIKRAKAAA6IAh4u +3QjMAg7MAgwOR+T0gCcDUYAADNwC/B4ABzKMqQDsNq4mQDCAAPAAGA2inGEAwJ/x0AAXMNz5AO3w +gC7gQoAA7O4CDEPCgAAI7gLomBAO6wKAAAjdAg7dAp2yLPIh/WBmFaAMBQBYFq/AINEPAAAAAP33 +Ih2v/8YAf5aWHi3e/4AEBj/+QgAAAGwQBIgiwnrmLEocBm4AANogWA2xZaDAHC62/EGQFeAKNQD+ +QbAVoBsFAFgZPywiBy3JFBcshOkiAiZYgQAA6sIJJoM5gABkkHfxReAN4C+lAC6gABgseCyiAn/p +BXjBWnfBQNqw/ABCHaALBQBa3kIbLHaWoIwgl6KTpSSkHPVAxhXgDSUA+0CGFeAJBQDppB0uZgKA +AA3MAuymASrQBIAAWBakwCDRDwDJlNqw/ABCHaALBQBa2jBj/7R3ybFj/+LaUPpgaB3gDAUAWBZ0 +2iBYEFXSoNEPAIwnLckU5NBfZlCBAACLyWSwVS6wAHfpBxgsUY+yePE/+gACHeAMJQBa3h0dLEwb +LnuWoIwgk6UkpByVpvtAhhXv+fUAKaQdLaYC/YAAFjANJQANzALspgEq0ASAAFgWf8Ag0Q8AAAAA ++gACHeAMJQBa2gtj/7QAAGwQBB4q6CggDeziriQA2YAA6iQACdgEgADsRAAK6ASAAFv/o9Kg0Q/A +sCkyA+vmtiyCugAALSAiHyqoCN0RDc0CD90CGCqwKIJC/dXGFefZBQAJiCgqMgL/AAAUMAkVAAmI +Aijmty/itwvkMQECAC3it2bQC20IBS/it2bwAmP/81phocAg0Q/rNAAK0ASAABgtzwjIAvnVxhWg +DAUAWBYrwCDRDwAAAGwQBCowAwo6FG6pENpQ+mBoHe/spQBYFiPAINEPGC44CKgKiIDrNAAKYASA +AO1UAAlQBIAAC4AA0qDRD2wQBIww+qBoHeKcAQDqNAAEgemAAGiRNWmSPerGEn5IBIAADeowDOow +/Y8ADnAAGgDAwHmWDg/qMMCAmKMO6jAP7gyuzMDQWBY70qDRDy362v//yA2gDAUAxtr//6ANoAwF +AABsEATiIA0pQASAACuADC2BBw0iEeYqkhEQxwAA/C4ABvO7AQAUKvOx3AvHKKQi8kAIFaAExQD2 +gAXz4gCdAC6ADP5W5gXqIgEA/EAAETPuIQAC7gIP7gIiggDuZrshKTSAAGSwRfoAAh2gCQUA+SAB +ATAEBQBtyRGDJOZFCgEQEQAA41a8IiAFAAAkgAgu3AHumQgFUBEAAOiSCgJxcIAA+1o2DeAEBQAi +ggB5JknxZGAN4AkFAMCg+SABAjACBQAPAgDTD23JEeYjCgIgEQAA4zK8IRAFAACTQy+ACOqsBCaQ +BQAA8yAARLACBQDolAoH8ByAAHujx8AgIma70Q8AbBAG0jCKIA8CAA8CAPpAAASwBhUA41QABIJZ +gAD1IAbQkgCdAGiSF/39Qh3gDAUA6iQACdgEgABYFeXSoNEPAATqMPNADAqSAJ0A80AM4lIAnQDA +0GbRZwzqMPWPAA4//0YAAAzqMHmmvSogCPlACaEf7aUAZqEs6SEFJQ8hgAAJDkctIAkaK2f6AAId +4A+FAG36FCiipfthABXiiIEA+aANBCIAnQC4qsCQiCMdKpypiBsrKyuyQC/S0horWAr6AQrqAirW +0ivS0hoqiaqI6AAFAVBBAADqAAcNWASAAC/W0i3S0gsAiAsgiPqKaB2gDQUA+oJoHaACugAE6jAq +IAgsIAz+QVAV4AnFAPNABR4SugEA+gQABrPMAQD9IAuDoOoZACkgC+ggCS7pAoAA7iphH1DCgADt +qgIMzgKAAOn/AgxDAoAACogCGioE6P8CDe0CgAAP3QIO3QKJIO2mmCSocIAAycTZIG3JDo+ULiAI +tJnvppkncHiAAIkgeZYU2SBtyQ8ropmblCggCOyHBHTIEQAAwNBw3iUM6jD1jwAOP/o+AA7qMP3P +AA4/+hIAAAAA2iBb/1Lgpt59aASAAP/5sA2gDAUAKiAIKyAJLCAK/EFwFeGqMQBYBlNmoHiJINMP +8T/zYlIAnQAqIAglIAkrIAorFAD6ICYd4aoxAFvqqmagMtsQ+h/CHaAMFQD6oAQFMA0VAFvp62ag +GrEb9qYADTAMFQD64AAFMA0VAFvp5WagAioQAWagHPpBZh2v+FIAKaKkCQlJ/zAAFL/5egAAAAAA +AAAA//fkDa/99QB4lwfwABwNppkBAAmJQh0pxCrSk/mzSBXvuQEAq6oJqhGqmWSdof8m8BWv9+YA +AAD//AwNr+2lAGwQBooyiDAZKXztKkgUJWiAAPsgBJOgGxUAGCsr/1AAFT/59QBtugfphtQkQBEA +ABIrJg2rAismvRotJComvFgFKuekAAUBYYAA6jQACtgEgAD84Ggd4AwFAFgVN9Kg0Q8AAAAAAAAA +//94Da/npQAAABQrFRYtFiwi8Ow0ECGQRQAA2iDtQu8o2ASAAPwgBhXgDEUAWBBCJEz85knkcRAR +AABj/6MAAP/+eA2v56UAbBAGHCxyKzAI0w/pwOEmU4EAAPVgBkpQBhUALMx8rLwswIDxIAjnFMwB +AMCw/AICHeBsdQBt2gwuoZDs4Ql1UAkAALG7K/r7HylyL/KFwY8LiAz54AXKIgCdACkyAPMgBbqf +7aUA/yxAAlA4BQAqMAgiMAkkMAspMAopFAD1AAZ7ogCdAFvqNuagQG1oBIAA2xD6H8IdoAwVAPpA +BAUwDRUAW+l25qAjbWgEgADcQOYqAgHYQQAA+uAABTANFQBb6W/moAZtaASAAMDQ2jD6oGgd4AwF +AFgU7NKg0Q8AAADzP/o/EgCdAMHQ/WYADnALBQBt2g4uoZCyqv3f+lQiAJ0Asbv//QANr/u1AAAA +AAD//uANr+3VAAArMAksMAoqMAgtMBBYBa4K+VD4ACIdoA8FAAmPOO2kAAf8kYAAiTBj/yLA3n3J +n2P+3wAAAAAA//3gDa/tpQBsEAQqMQQK+UAM6jCIMBsqtvEABRpQDQUAZJBQ+SAFANIAnQAdKPYK +CU4NmQIptsUossYvMQUI/wIvNQUussqeMy2yyZ00KbLImTUosseYNi+yyp83LrLJnjgtssidOSmy +x/hhRhXgANYAAAAACg9OL7azwIDusrQp0ASAAP5g5hWgGQUAbZoS64kKBVAJAADpkrUkQAUAACml +DwjqMP0PAA4wDQUA6jQACtgEgABYFKDSoNEPAAAA//+oDaAMBQDG2v//gA2gDAUAAABsEATqNAAK +2ASAAAzqMIgw/wZAApBNhQApMAPtmTFxwCEAAAgghwAJY+gCGgH4YQAADwBhDuow/c8ADjANBQBY +FInSoNEPwND//8wNoAwFAMba//+kDaAMBQAAAGwQBBYosuQwQGlWwoAAA6gCKGbBJGbCGyiv/AZC +HeAOFQD6UVYFoAwFAPzYZhWgDwUAWtXl5qBXbRAEgADAk/jYZhXgAEoAAAAABKwCLGbBwLorZsP6 +UT4F4AwFAPpROAWgPSUA/gAiHaAPBQBa1dbmoAxtEASAAC1iwi1VANEPwKT8US4FoBtFAFgWz9EP +AMCk/FEiBaAbRQBYFsvRDwBsEAQWKIrkMEBpVsKAAAOoAihmwSRmwhsoh/wGQh3gDhUA+lEGBaAM +BQD82GYVoA8FAFrVveagU20QBIAAJWbCwJH42GYV4ABKAASsAixmwSVmwsC5K2bD+lDuBeAMBQD6 +UOgFoD0lAP4AIh2gDwUAWtWt5qAGbRAEgADRD8Ch/FDkBaAbRQBYFqjRD8Ch/FDWBaAbRQBYFqTR +DwBsEAaJMCQxBMCH+QAKyOIAnQAdKXsEB0Tw9AAN5ERBAC7SfC3SgiwKgP3IABEwCwUA/EAAQXAI +RQBtih8vICEPAgDk+Q590ASAACkiG8iTiJplgBTsIggFWAUAAK6iCSIR86AAQTAAKgBotAJkcZzA +kYsw/2agApAGBQCZEwbqMGSQ+OwxBSpQBIAA7TEHK9gEgABb/7SJE4swmhAI6jD3DwALMAAmAAAA +AAAA8WAGIlIAnQAK6jDqFgIkiEmAAOIoNBpWwoAA7DEFI4H5gACEEgerAismwSwmwhsoL/wGQh3g +DhUA+lBWBaAMBQD8WGYVoA8FAFrVZeahPG04BIAAwMP8WGYVoABGAIQSDK4CLibBwNotJsP6UEAF +4AwFAPpQOgWgPSUA/gAiHaAPBQBa1VfmoPJtOASAAC8iwi81B91wCOowBIgMqGbaMOtUAAtgBIAA +WBPd0qDRDwApMQX3P/XuUgCdAMba//90DaAGBQAAAAD8IAgV7/9KACogJGSgkSwiG4zKmRPsFgEm +AVmAANpA6BIBK9gEgADsMQUh6DkAAP5gxBWgDxUAC4AAiRP6YAgV4AAeACr62vogBhWv+3YAACkg +JGSQUyoiG4qq6hYBJQFZgADaQOgSASvYBIAA7DEFIeg5AAD+YMQVoA8FAAuAAIQS/UBoHe/9IgCE +Ev37Qh3v/PoAAAAAAP/5hA2gCQUAx6/6IAYVr/oKAIQS//x4Da/99QDApPxPvAWgG0UAWBYWY/8H +wKT8T7AFoBtFAFgWEmP+92wQBBQn9KQiIyaAIiKB0Q9sEAQVJ/ClJSNWgCRWgdEPbBAEiTD6T9YF +4pkBAOorfRSDAYAAaJFb6it6HJWAAACJMP8kAAKQDAUADeowiDKOM6uvKPaALvaBDOowiTD9jwAO +cAASAHmWFg3qMI8yq64v5oAu4oGeMwnqMA2ZDKnMwNDqNAAK2ASAAFgTftKg0Q9j/6v6VsoFr/6i +AMba//+ADaAMBQAAAGwQBIonKKkUFyks6SICJViBAADqogkkAxmAAGSQc/FFoA3gLKUAKaAAHSkf +fJkHjKJ9wVd3wT3asPwAQh2gCwUAWtrqHikeGCjXmKCPIJeik6UkpByVpvdDph2gCCUA7qYEL/4C +gAAI/wLvpgEq0ASAAFgTTcAg0Q8AyZTasPwAQh2gCwUAWtbZY/+3d8m0Y//i2lDrNAALYASAAFgT +HNogWAz+0qDRD2wQBBYqaxgquOUnfRkaAoAACDMC8t/mFePihQDTD20ICbAiyCspYv95UAJj/+3A +INEPxyvRDwAAbBAGiDMmMgAXKK/kMgIqSASAAPMADQBSZgEAKCICKRYA7SkoHA7uAABkYUr0wAqo +kgCdAPTACuESAJ0A69B9KxxUAAAq0T8AsQQAqhr6gBKaogCdAPpAaB2gCyUAWBFQ5qIYbTgEgACJ +MPMgBRqSAJ0A8yAGQlIAnQDbYPpAaB2gDAUAWBKQ9lGoBa+M1QB8oQIHpziMJy3JFOkiAiZYgQAA +6sIJJoXxgABkkR/xS2AN4C+lAC6gABgoxCyiAn/pCvmABzwiAJ0AdsE82rD8AEIdoAsFAFrajYwQ +GSjAHSh5naCLIJaik6WVpiekHZmkLKQc/WAAFbAMJQAMuwLrpgEq0ASAAFgS8MAg0Q8AAAAADuow +2iDrZAAKYASAAO4nuBHoQQAAWBE35qC3bTgEgAAP6jCJMPE/+gJSAJ0ACOow7EQACVAEgADtPBAr +WASAAFgRXeagnG04BIAACeowY/8XAABkkGXasPwAQh2gCwUAWtZmY/9hKtIg+p/1c6IAnQBgAR4r +0iP6n/VD4gCdAGABEAAu0H0s0T8A4QQAzBr8n/TDogCdAGAA+AD3n/lNIgCdAGP/UdpgW/+D3KDr +NAAK0ASAAFgSmsAg0Q/aUOs0AAvgBIAAWBKW2iBYDHfSoNEPAC/6jf9f+jRiAJ0AY/6HAAAo+o35 +X/sMIgCdAGP+d4wnKckU5JBhZlCBAACLyWSwVyywAMLafckHHyhrjrJ/4aT6AAId4AwlAFraN4wQ +GSqcHShll6CLIJ2ik6WVpvlAhhXv+PUAKKQdLKQc/WAAFbAMJQAMuwLrpgEq0ASAAFgSmcAg0Q8A +AAD6AAId4AwlAFrWJWP/tAAA9lAkBe/+RQD/X/vVIgCdAPpAaB2gG8UA/AACHaAtBQBa3Hdj/18A +AP/3SA2v56UAbBAEiTCEMv8noAqQAgUAeZ4Y6jQACtgEgAD8QGgdoA0FAFgSi9Kg0Q8AANpAWBaU +WBaqCeowikCaMwjqMAmIDPhAAEE//zoACOowiTOZQALqMOgiDApQBIAAWBaJWBafiTBj/6kAAABs +EASCJyYpFOIiCSMBgYAA8EKADeAopQAnIAAaKCqJInh5GHqZFYsmLCAcjSWdMCxEAPqgBhXgAgUA +0Q/HItEPbBAG6iQACNgEgADsHAQg6CEAAFv/64sQZqA1KTwQ6QceBdBBAAAKAmMJAIYKAmEJ6jAo +sQMsOQEaJrwImTIMmQwKmTbotgEszAKAAAmIApix+kBoHaALBQBaX6rRDwAAbBAEFygUEifrJnLV +JHITAjIBdisKpkRySwXAINEPAAAocusJIhGigtEPAABsEAQXKAkSJ+AmctYkchQCMgF2KwimRHJL +A8Ag0Q8ocusJIhGigtEPbBAEFCfXEifSIiJ/BDQBCUQRpCLRDwAAbBAEFCfCJECAAwJDACAEBAQb +6CaeEnwigADAINEPJIKUKIKapCIJIhGigtEPAAAAbBAE8kgABXCJBQDpOQEFKAcAAPJgBq4SAJ0A +JVyA9KAGl1IAnQDxLiAN4oNBAC8gDBYnuykgDfngBo0mowEALSBRLCBQGye4Hie37cwIBIVpgADk +kK1mG/0AACjgfSxiHbCdCN0or8ytzAvJCimSgAkJRnmhEi0gUf1ABHviAJ0A80AEOuIAnQBkQEwp +IA3sIAwkgYmAAOjgfSSAiYAAL2IdsJ0I3Sis/A3MCAvJCimSgAkJRvlBHg3gCwUAYAAQACkgDSsg +UcDBCck5C6sMq5sqIhNa0H7LoRgmYCiCkyJi4aWICYgRqCLRDwD//NgNplMBAPVAaB3v/LoA84Bo +He/95gD94Ggdr/2KAMAg0Q9sEATySAAFcIkFAOk5AQUgBwAA8mAG7hIAnQAkTID0gAbXUgCdAPEu +oA3ig0EALiAMFSd3KSAN+cAGzSajAQAtIFEsIFAbJ3QfJ3PtzAgEhamAAOSQtWYb/QAAKPB9LFId +sJ0I3SiuzA3MCAvJCimSgA8CAAkJRnmhEi0gUf1ABJviAJ0A80AEWuIAnQApIA3sIAwkgYGAAOjw +fSSAgYAALlIdsJ0I3Sis7K3MC8kKKZKACQlG+UEeDeALBQBgABAAKSANKyBRwMEJyTkLqwyrmyoi +E1rQOugmHRUByYAAKIKTIlLhpIgJiBGoItEPAAAAAAAA//y4DaZDAQD1QGgdr/yaAPOAaB3v/dYA +/cBoHa/9bgDAINEPbBAEJCANyELRDwAAFiYKGCip8kgAAvczAQDiYpohgNGAAKhYKIB9JGKRo4io +RAlEEaQiIiyA0Q8pYpClmQmZEaki0Q9sEATRDwAAAGwQBBcl4acnI3aAJnKBI3aAx48ISAMIZgEG +VgImdoHRDwAAAGwQBstIKCAADwIADwIA+IQGDaAHBQACKQJtCBLkgRxjuAUAACiQAehBB3TIBQAA +Y//monQkTAHiRAACENmAABYpYCpgAPFOAA3gBAUAbQgMJWABsUTkUAdjMAUAAGP/7GRAxScgANMP +DwIAZHC69oALEJIAnQD64ArVIAgFABkpUAhGDO8CAARABQAA9MAEyJIAnQCxmfbACSESAJ0AKpAA +oosrsAAIRgx7odd6sw/7QdYN4AQVAPAAGA2gBAUAx09kQGQWKUAqYADxRbAN4AQFAG0IDCxgAbFE +5MAHYzAFAABj/+xkQED2gAwAkgCdAPdAC8VgCAUAGSkzCEYM6GEnZEAFAACxmfbACoESAJ0AKpAA +oosrsAAIRgx7od96syL7RDYN4AQVAMfP/GAGFaACBQDRDwAAAAAAAAD/+9wNoAQFAMdPZE/fFikf +LGAAwIDkhAAGAvmAAG0IDC1gAbFE5NALYzAFAABj/+wAAAAAZEBA9oAMKJIAnQD3gAvtYAkFABop +EQlGDOhhJ2TIBQAAsar2wAqpEgCdACygAKKbK7AACUYMe8HffLMx+4YWDeAEFQD4YAYVoAIFANEP +GikAoosrsACqiiqgAGP+3QAAAAAAAPrgaB3v+1IAAADHT2RP0BYo+ixgAPGF8A3gBAUAbQgMLWAB +sUTk0AtjMAUAAGP/7AAAAABkQED2gAwokgCdAPeAC+1gCQUAGijsCUYM6GEnZMgFAACxqvbACokS +AJ0ALKAAopsrsAAJRgx7wd98szH7hhYN4AQVAPhgBhWgAgUA0Q/GKtEPAAAaKNqiiyuwAKqKKqAA +Y/6x+uBoHe/6ugAAAMdPZE/QFijVLGAA8YWwDeAEBQBtCAwtYAGxROTQB2MwBQAAY//sZEBA9oAO +SJIAnQD3gA4NYAkFABooyAlGDOhhJ2TIBQAAsar2wAyxEgCdACygAKKbK7AACUYMe8HffLMt+4WW +DeAEFQDAwfxgBhWgAgUA0Q8cKLeimyuwAKycLMAAY/6s+uBoHe/6pgAAAMdPZE/UFiiyLmAA+gci +HaAp9QDzwXAN4AQFAG0IDCxgAbFE5MAHYzAFAABj/+xkQIn2gAyIkgCdAPfADE1gDAUAHSijDEYM +6GFzZmAFAACx3fbAC8kSAJ0ALtAAossrsAAMRgx74d9+syv7xVYN4AQVAPAAiA2gBAUAHCiTopsr +sACsnCzAAGP+sAAAAAD64Ggd7/qmAMdPykKYMCggAMBA+SAJ8qALBQD5QAvbogCdANyA+2AgFeAC +7gBk4W/2UQgFoAQFANMPbQgMLGABsUTkwAdjMAUAAGP/6pgwokctcADTD/GtIA3gBAUAKApg+gjC +HeBsZQBtCFUMRBGUMCJwAHKbEHKjDfJaABWgANYAAAAAAAAA8wKSDaBOBQBywwzyVSAVoAByAAAA +AAAA89/w8qIAnQDzf/CzogCdACIsyaJElDAvcAHk8G5juAUAAGP/oxwoXaKbK7AArJwswABj/msA +AAD64Ggd7/mWAAB4oxuit+RECgYrQQAABUQJlDAocACxu+iT5XxgBIAAyogqCm34CWId4EvVAPMA +aB2gaLUAeCFHeSFUeiFeeyFrInAB5S/tY7gFAADAgOgWACwQBIAA0Q/64Ggd7/p2AB4oQKLLK7AA +rs4u4ABj/ogAAAAAAGSP0/ZAaB3v/poALDroDEwo/GAGFaACBQDRDwZNEfxgBhXgAgUA0Q8eJMoO +Tij+YAYVoAIFANEPDE8Q/mAGFeACBQDRD2WPumP/i5gwJSAA/rgwDeAEBQD2QGgd7/q2AAAAAGwQ +BCYgANMPDwIA9mPmDaAHBQDYIG0IEuRgGGO4BQAAJoAB5jEHdEAFAABj/+aicrEi0Q/AINEPbBAE +IyAAAiQC8GFwDeACBQBtCAwoQAGxIuSAB2IgBQAAY//s0Q8AAGwQBMAh8mAGFaACBQDRDwBsEAQi +CsjRD2wQBBUl9xYkTfAAJA2gBAUAsURoSSkjUrx8Nx8D6jAiYkGjIgjqMAgoDGqB420ICAjqMAgo +DGqB12P/8MAg0Q/HL9EPbBAEIix/8k6eBeMiHQADIgEiLBDRDwAAbBAIHCftGyftGSfuFCftiCAp +knQXJdyNMfkPAAx/9oUA+CBmFa/y9QDxoAU4EK35APOgC5BSAJ0A7SfgFRP5gAAt0X8aJdDzqZAN +4B4VAN0wbeog9mHmDaAOBQB6Qgf/oKgVoAASAC6mwC3c/OKm1CVQEQAAHyfUKDwICM85L3a8W//I +5KQABQ+xgADpJ9AaCaIAACgRABInyymRCvJRSBWiiB0ACYgMB4gRCCII6xIDKVAEgABazlhkoi/a +UOs0AApgBIAAWA+YwCDRDwAAAAAAAADxoAZ4UgCdACuxf90w+iCmFaAeFQDqdAAFhiGAAG3qIPZh +5g2gDgUAekIH/6CoFaAAEgAupsAt3PziptQlUBEAAB8nrBgnqLg50w8Jjzkvdrxb/59koXBmoIIe +J6MpEQAaJ6Qu4X6LE/tRSBWi2R0ADt0M7RYELu3CgAD9QABFcAwFAPogRhWgDRUAWs4KjxIo8QMv +8QItEQCOFHj5FClyvRonlgqZAQnZAil2vRgnlCh2vMCl/E8mBaALZQBYEggcJ4n6IKgVoAsFAPog +JhXv+loAmhX//DwNr+SlABwngfogqBWvvZUA/CAmFe/55gAeJ34u4X9k4VHoJW0ZUASAAPgAAh3g +GxUAbboKKYbA6obUJEARAAAfJ3cvdrxb/2zkpAAFCGGAAMCQZUBMKRUAGiVgjTUtpsDtJ3QR4/EA +AIzFLNbA7CdxEdvhAACLtSvGwOsnZhHD0QAAKIIFKLbAL3K9GCVsCP8BD58CL3a9HidoLna8W/9W +1KBmTmofJ1opEQASJ1sv8X7yUUgVoukdAA/uDAfoEagiKyEDKiECe6FN9fciHa/5CgAqcuV/pw9+ +pwwKyBT4IAQdr/f6AAAA//fQDa/0RQAscuXxn/h30gCdAPGf+DeSAJ0ADMkU+CAEHe/51gAAAPQg +KBWv9/IA/SBoHeAKVQD8To4FoAtlAFgRt//3TA2gBAUAAAAAAAD/9pANr+SlAOsSAylQBIAA/AAi +HaANFQBazaJj/bkAACly5X+XCn6XB//7tA2vmWEAx0T/+4wNoAkFAMZK//tkDaAJBQAAAABsEATA +INEPAGwQBMAg0Q8AbBAEwCDRDwBsEATAINEPAGwQCvZOTgWgBwUA9CImHee0AQD6IgYd4Pj1APhC +Pg2gBRUA0nD6IKYV4APaAAAAAO4mmxNgMQAArCzswIApAQqAAO3ggCr4CoAA+iCmFeP/AQAvFBL8 +QAYUZMwBAC9gjCpgjf6AAAfwC5UA++AbpWSqAQD7QB4tYgCdANpQKGCOCAhE64kGdVAFAACxqilg +jwkJRPsgGI1iAJ0AK6wB9WAYcVIAnQDA/n/BBMCNeMlg+kZyBaALBQD+RrwF4HwFAP2mAA4wGQUA +0w9tmg4poZCyqv0gGNQiAJ0AsbsaIy78DgIdoAsFAPxGAA4wHQUA0w9t2g4toZCyqv2gGKwiAJ0A +sbv6TMgF59oFAFrJsB4mY8CQ89AGHaAAGgDZcNKQZiJwC+owHiZXDwIAL+J/f7sHKOJ+sYgo5n4r +5n8SI5wtYh0q4n7yQugVoAwFAFgR1ClgcQKyDBsjlSoK/g8CAOW0YCTwNIAALBIFDwIAaMM0+mAE +A7/95QD84AQG8D6FAH7RJAQPR/IgBhWgClUA/Ex8BaALhQD+4GgdoA0lAFgRMmAAAwAKNwHB43Lj +DfpMcgXgGkUAAqoMWsmEFCLs0w8nRr0aJjIbIuv8DIId4AwFAP4BQh2gCDUA+JfGFaAPBQBa0CHM +oSlCvvIg5hWgAwUA/ExOBaAFNQD8IQYVoLcBAPohJhXgDhUA/CEoFeACBQAD4jgPLhHuFgsugtYA +ACocEKo6KqAAKka9GiYYGyLR8iDGFaAMBQD4TC4FoAkVAPnGAAzwbUUA+JfGFeAPBQD4IAYVoA6l +AFrJLeKkAA0CtgAAK0K+cb5L8/ciHaABLgAAAAAAGiYFGyK/khb4TAoFoAwFAPghaBXgbUUA+JfG +FeAOpQD4IAYVoA8FAFrJHOKkAA0AjgAA6kK9INhBAACrOyq0AGcg3IwYjhaNFy8QEJMRkhP8IAYV +4ApFAP4gRhWgC4UA/uBoHaANJQBYEOLONMCQKUa9GiXpGyKi/AyCHeAMBQD+AUIdoAgVAPiXxhWg +DwUAWs/YsFUL6jAK6jAcIqEpwkEswkEMmQoLmQoKmgxqoQgN6jANnQxr0fbAMP6tXA3gDhUAC+ow +HiXSLuJ/GiXQEyMafrsQGiXOL6J+4yMXF/gFAAAvpn4tYh37T+YV4AQFAPtPyBWgDAUAWBFMKzYX +6jYWKoEuAADAofxLjAWgC4UAWBC49GwGHa/ytQDRD9EPsTNvMp1j/5IkNGDRDwAAAAAAAPdf59FS +AJ0A+iJAFeDqBQD8ACIdoA0VAFvittmg6hYKLWqiAAD6S2IF4GpFAFrI/R4lsfghSBXv9NIAe6lm ++uBoHa/yTgAAAGa86CnyhACxBPygAQRf+vUACooDCpkBCYgC+fCGFa/zOgBmvO0s8oQAsQTgfRoN +gQqAAPygAQRf+fUACYgDCMwBDcwC/fCGFa/zLgAqYI4KCkR7qRr6oGgdr/EKAC9gjg8PRHv5Ifrg +aB2v8MYAAAAoYI8ICET7H+HlYgCdAPqgaB2v8KYAAAApYI8JCUT7P+ElYgCdAPrgaB2v8EYAAABs +EAQrICPAzvBhEA3gCpUAerEcaLoZfLEWwCDRD3qxH3yxHGi6GcAg0Q8AAAAAAAD6QGgdoAsVAFgA +BdKg0Q8A+kBoHaALBQBYAAHSoNEPAGwQBikgLCQgIhgideogIySUYQAAaJEQaJMNaJYKaJUHwCDR +DwAAAAD5D7AVoAuFAP4Bwh3v/vUA+oAEANANFQDg3BoEcJCAABoioSmgKA7MA+yZAQnYCoAAC5kC ++UUGHeACBQDRDwAA/0uGDeAI1QD5ToYNoBkFABoiDwtMAvJEaAWgCwUAbZoMLqGQ7OEIdVAJAACx +u8e7LyKEwMHjwzkNgQqAAOA4Gg2BCoAA/aABBN/69QAKmQMJ/wEI/wL+UIYV4AIFANEP+oBoHaCr +BQD+AeIdoA0FAPPNAA7wXGUAW/6P0qDRDwDF/e8UACpQBIAAW+LrZqAt+iBoHeCqBQD8ACIdoA0V +AFviLGagF/ogIBXgqhUA/AAiHaANFQBb4idmoAHAoGagdCgQAXmHbikKbukUACpQBIAAW+LZZqAt ++iBoHeCqJQD8ACIdoA0VAFviGmagF/ogQBXgqjUA/AAiHaANFQBb4hVmoAHAoGagLC0QAi8Kv+/d +AQn2goAA7t0CClAEgAD84AAG8KslAPwgRh3gbOUAW/5i0qDRD9Kg0Q8AAGwQBh4iDijgffpDggWg +DeUA/AziHaALBQD/CeAHEBkFAG2aDC+hkOzxCXVQCQAAsbsr+vsYId4ogoX7z7AVoBn1AAuZDHmF +DSkRAvigBhXv4tUA0Q8AfK8CfTk79IAEURIAnQDGKtEPAAAAAPx2Jg3gHwUA/kYADnALBQDTD236 +DCihkOyBrHVQCQAAsbv//ogNr/u1AAAAxZwpFAHpFAIpUASAAFvilWagLvogIBXgqgUA/AAiHaAN +FQBb4ddmoBj6IEAV4KoVAPwAIh2gDRUAW+HRZqACKhACea9rKhEC+qAGFa/CNQDRD8KyCysJ+iAG +HeD69QBb4oFmoD76IGgd4KoFAPwAIh2gDRUAW+HCZqAo+iCAFeCqFQD8AEIdoA0VAFvhvWagEvwg +RBWgCgUA7FYALRAEgADRDwAsEQLsVgAtEASAANEPsE/+DMIdoG2FAA/tOO0UAClQBIAAW+JnZqA/ ++iBoHeCqJQD8ACIdoA0VAFvhqGagKfoggBXgqjUA/ABCHaANFQBb4aNmoBPyIEQVoAoFAOJWAC0Q +BIAA0Q8AACIRAuJWAC0QBIAA0Q8AAABsEAQsMAjoMgAmHDsAAGrBBnmOH8Yq0Q9owwTGKtEPAIkw +e5b16zIDKVAEgABb/x7SoNEPKiAi6yAjIegxAABb/4PSoNEPAABsEA4dIlYYIY7UIP+viBWgDOUA ++Q+wFaAKdQD9sEgV4AUFAP5AAEGwBoUA5oYBCZ5CgAD8YABB8P/1APMABk8QCxUALTAj/aFmDaAO +5QDAnXnRAdtQLxQgLxQhLxQiJRQjJRQkJzAsLTAjIjA2/GawFaAJBQD/oAf8IBgFAMDRBtk47SEk +HTAEgAD4jQALcA4FAPjGAAswGAUAbYoMKNGQ5oEIdugJAACx7sfr1uD+SboFoA0FAPwkhh3gCQUA ++CRmHeD49QAoFCKJ4P/AKBWg+PUA6BQhIOihAACe0fhCaAWg/vUA+aAGFeAZ9QAogoUGmQwtMCx5 +jVvBj/mgBqQiAJ0AwCDRDwAlFCMvFCIvFCEvFCAlFCQnMCziMDYvfkKAAOwwNSICkYAAr98r8DUq +8DYp8Cwv8R8vNR8pNCwqNDYrNDV3mXpyqXfryXR6kASAANEPACsWEvoh5hWgEvUA86AIpSIAnQD0 +aQYd4AIFANEPAAAAAAAA/AAiHeAJBQD3ogAMsA4FAO0g4x14BIAA+I0AD/BoBQD55gAPsBgFANMP +bYoMKNGQ74EIdugJAACx7sfrLwr/BvQ598BoHa/7wgDAkfhlxh3gAhUA0Q8AAAAAAC4UICowSOwW +DSUYOYAAKzBHsawsNEj7f/iyogCdAPoAoh2gG4UA7CSLGmgEgABYDvklNEglNDblNDUqUASAAP4A +Ih2gqwUA/mXGHaAd1QD8ZYYd4AwFAPwmABXgDhUAWAIF6hYQLSbSAAAqEDD1QBdhkgCdAMD9/0AK +rGIAnQAcJHb8gGgd4BjlAPhlhh2gCiUA/iYQFaAbhQBYDt7GKtEPAAAAAP4kBh2gClUA7CRsHbAE +gAD8gGgd4BuFAFgO1RkkaC0wIgnZCCmQgH+fDSowIysKDvtAEwxiAJ0AKzIbJTQ1IjQsi7PIttow +C7AAYAABwKD6Y+QdoAwVAOw0LiN0qYAAGSDaGiCOKZB9jB/4ACIdoAuFAPsgBATwDwUA+QIAD/Ad +BQD+jQAOcF4FAP+GAA4wCwUAbdoNLaGQfNFM67wBJVAJAADHuxggpCKChP5CBAXv/PUA+gAiHaD+ +9QDlNEgtgQqAAOBZGg2BCoAA7vQpLVAKgAAMqgMKIgEJIgLzEIYVoAIFANEPAAAYIJQigoT+QeIF +7/z1APoAIh2g/vUA5TRILYEKgADgWRoNgQqAAO70KS1QCoAADKoDCiIBCSIC8xCGFaACBQDRDwAA +wLErFhEsEhHtHCgqUASAAP2AAQZwqwUA/CHGFaAOFQDswAAg6IEAAFgBn8G96hYQLRn6AAAvEhHA +wu4QICeK+YAA8cAIj1IAnQDsNCwuUASAACgQICkK/3mBES0wI8Du/6ASfCIAnQDA+C81IPtACfRi +AJ0AKBAhKQr/0w/5AAwkYgCdAPtADKxiAJ0AjB4ESgL8JGAV4KsFAP2AMBWgDhUAWAGA6hYQLRY6 +AAAtEhErECPrNDUmgGmAAAu+Cg/uES40NSUwLBsj8yoxHykxIAuqAeo1HySUQQAAaJgRaZsUYAAL +AAAALBoADKoCKjUfCa0CLTUfdXkNLjA2cukHiB0vMDV48TUcI+QvECAtECGdECsQI5sRKhAi6hYC +K3AEgADpECQqaASAAPggZhXgG4UA9CCGFeAKRQBYDkLAIdEPZLz56zAjKlAEgABYCIz6aRAVr/Ou +AH7nKvoAIh2gDhUA/mWGHa/7sgAvMCz5/+ziUgCdAMCl/EeSBaAbhQBYDjFj/Yf6ZZAVr/smAMCA ++CImFa/5ygAAAAAAAADxwAjm0gCdANrA/GWGHa/6ngApEhFlnr/aQPwAwh2gqwUA/CQgFeAOFQBY +ATfAwuoWEC0NAgAAKhAh9UAPoJIAnQD1QA9hEgCdAPVADyQSAJ0AKjAswb37X/PsYgCdAPxkBB2v ++dYAAC4QIC8K///f87ViAJ0AJTUg+1/znWIAnQCMHtpA/CRAFeCrBQD9gFAVoA4VAFgBHOoWEC0J +ugAALhIR+gGCHeAKtQAPAgDxzjAN4A1VACwQIgxMFG/JcGjIbcDS/GWGHeAFJQAuCh3+v/K8IgCd +ACwSDgRKAvwkgBXgqwUA/YBwFaAOFQBYAQbqFhAtBvoAAC4QJC40NS0QJPWgBhiSAJ0Ab9Q3wIr4 +ZsYdr/hWAMGQ+GQEHe/20gB65y3AoSo0LP/2JA2gChUAaMl8esF5bsouLTQs//4wDaAFVQBv1ijA +y/xmxh2v92oAeect+gDCHaANZQD8ZYYd7/VWAAAAAAD0ZZAV7/2CAPW/7ZxSAJ0AwPz+ZsYd7/am +AHjnOvoAYh2gCDUA+GWGHa/0kgApECILmQFolHn1IAQ0EgCdAPRlkBXv/IoAAAD0AIId4ApFAPpl +hh2v/EIA+mWQFa/zxgDAufpmxh3v9WYAANowW+D1HCNLLxAgLRAhnRArECObESoQIpoS6RAkK3AE +gADpFgMqaASAAPhlkBWgG4UA+CCGFaAKJQBYDaYiEhDRDwAAAPQAgh3gDkUA/mWGHa/6ogDV0Pxl +hh3v+noALDQs//hwDaAKJQAAAABsEAYjICP0AcId4AfVAPREUBWgC5UA+mAMtGAKBQD0YAx0YgCd +APZgDDRiAJ0A9GAL9RIAnQAqJCx1MQp3MQf4YAtNUgCdAAvqMBYjH9MPDwIAKGLrDwIA+WAR+6IA +nQAdH5grZusqYur9pAgV4AwFAFgOCxofky+gfSsWAPPgDscSAJ0A9GAOhGIAnQAaIw8bIotayWWL +ECokRyhiFRojCxkjCwuIDKqK+QDuDeAMBQAKrAIuYFgAQAQPAgAODRvxoAbv0AsVABgffg8CAA8C +ACiAfSkKCA8CAAmKAfkABwZgDXUAwJApZFj4ACId4AgFAOqYOA74BIAA+I0AD7BmBQAG/wIWHyPA +4PrAaB2gGAUAbYoOKKGQsqr/AAeUYgCdALHu8AOgDa/+tQAZH2XHryokSCmQfcDIDJoB/SAKRiIA +nQDB4PwAIh2gCQUA+4IADLBYBQDpTTkLUASAAPmmAA6wDAUAbeoMLqGQ7eEIdVAJAACxzMfL/j8a +BaD99QAp8oTHr+3kKS4BCoAA7eQqLcAKgAAKigMKmQEJiAIo9oTrJC4qUASAAFv9tcAg0Q/B/y8k +LPp/9AViAJ0AY/6GAABBBP1gAQRf+fUACYgDCOgBKGRY9H/4jGIAnQDAYOq2OA74BIAABk85Fh7q +/gACHaAYBQDo/wILUASAAG2KDCihkO+BCXVQCQAAse4u+vsfHwjTDyryhwDhBAC5Gin2h/s/++Ci +AJ0ACeowGh8lKqIgDKooqpkO6jAOngz33/dYkgCdAG0IDQzqMAycDPef9tCSAJ0AY//rGh9PGyIY +WsjyjxAqJEctYhUaH0seIpkP3Qyq2v+/8dsgDAUA/UBoHa/4zgAAAAD0f/XEYgCdAPZ/9YRiAJ0A +Y/8FKGLqsYj43UYVr/byAGwQBCMgI8BO9GDGDaHCpQDRDyIK0NEPAGwQBMAg0Q8AbBAGw4B2g0jk +FAApUASAAFvfqWagNtsQ+h/CHaAMFQD6YAQFMA0VAFve6magHsDR7ToCCtgEgAD8wGgdp6oBAFve +5PFAaA3gAgUA0Q/SoNEPxirRDwAAAGwQBiogIysgLPREUBXgDZUA/ULGDeAM5QB8oQ5oqgvAIfJg +BhWgAgUA0Q8kIETAYeiyHmpwBIAAaLEWaLMTaLYQ/WGgAtAIBQD4SIYdoADaAAB9oV/9S4AFUAnV +APlABrxiAJ0A/UAJZCIAnQDwiaAN4AoFAGThd/VAC6CSAJ0AKyAswa/7Y+YNoBzFAP1i5g2gHeUA +/WHmDeAOFQD+YAYVoAIFANEPAMDw/mAGFeACBQDRDwAYHroogH18j1YaHm36AAId4ByFAPymAA4w +GQUAbZoMLaGQ7NEIdVAJAACxu8e7HB6LLsKFALEEAGoafqgIL8KHKsaHevA0wJEJDkf+SIYdoAoF +AGVPacCB/w0ADT/9ngAZHt0pkCkAUAQJCRv//2ANoJkBAAAAAAAAAAD//yQNoAkFAMWt6hQAKtAE +gABb30dmoC36IGgd4KoFAPwAIh2gDQUAW96IZqAX+iBAFeCqFQD8ACIdoA0FAFveg2agAcCgZq8G +KxAC82AEZtIAnQAuEAQOHkD+SIYdr/3qAAAAAMDz7xQAKtAEgABb3zBmoC36IGgd4KoFAPwAIh2g +DQUAW95xZqAX+iAgFeCqFQD8ACIdoA0FAFvebGagAcCgZqB5LhAB/mAABzAIFQAOjjn+SIYdr/yC +AAAAAAD8oGgd4ApFAPxD4AWgG4UAWAxRY/55AAAAAAAALwpu7xQDKtAEgABb3xJmoCv6IGAV4Kol +APwAIh2gDRUAW95TZqAV+iCAFeCqNQD8ACIdoA0VAFveTmegFmevN2P+MAAmJET/+sQNoA4VAAAA +AAAA//+UDaAKBQBsEATAINEPAGwQBMA29EiQFaACBQAEMjnRDwAAbBAEFx9dFR4r8w4ACjcyAQDi +cuEhjOEAAChSkKSICYgRqCIkIA0WH1Ujch3iIAwiAWmAACZgfaIzEiG/sEUGVSgiIn+lMwozEaMi +0Q8oUpGkiAmIEfhAAEE//yoAGSG2KZJ/CiIRopLRDwAAbBAEHB9CGx4Q8w4ADTeSAQDowuEkjfkA +AC2ykKrdCd0RrYgSIaoqgA0dHzkogAziIn8lAKmAAC3QfSvCHSms/w2ZKKi4CYgICokR6SIIAYKh +gACKIYggCgqO+R8ADDAJdQDoJgEpQASAAG2aE4mC6oYAJEAhAACKgQkJjpmBCgqO6oYAKVAEgABY +DY/aIFgNjNEPLbKRqt0J3RH9AABEf/4SAIohiyAKCo4LC476QCYV4Al1AG2aE4ki6iYAIRAhAACK +IQkJjpkhCgqOmiDRD2wQBBMhfwwiEaMigiDRDwBsEAQVIXwMJBGlRCNGwCRCwNEPAGwQBBUhePg8 +UAWoYh0A6mQRC08CgADlRQgMz4KAAOiZCAQ5wQAA50IIAYHpgAD2QGgdoAOFAA8CAG06EOOSHCTI +IQAAJJIbJJYaI5YbBmCGBQJnBkCGBQJlBiCGBQJjBgCGBQJh0Q8F4IYCAm8FwIYCAm0FoIYCAmsF +gIbyEKgdoAOFAAxiEQgiCg8CANMP0w9tOhDjIhwhECEAACQiGyQmGiMmG9EPAGwQBPI7/gWoMh0A +CjMRoyIiLHDRDwAAAAAAAABsEAQaIUgbIUjvPRAJZAKAAO3MAgpuAoAADcwCWJi7wCDRDwBsEAQE +6jAYHWgogkECiCioQgPqMAMjDGoxDm0ICAnqMAkpDGqRAmP/8NEPAGwQBC0gDSsgDBQevucevxaL +QYAAKHB9LkIdsN8I/yir7q/uKCAFaIMmaIR29QAJapIAnQD1AApDEgCdAPUACpOSAJ0AaIgDwCDR +D8CTKSQFHx1zwDAP7gsq4sAYISAKDEoIzBEIzAIMDE/992YVqaphAG2pAiP2vCP2uyriwBgeVAoM +SgjMEQjMAv3gAAYwCRUA/fdmFamqYQBtqQIp9rwj9rv1YAWLEgCdABkdZehC4SaHcYAAGiACqroq +oH0jkpGtqqozCTMRA4MIIzyACLYRKjITL6EDLqECf+F6KwoAWseEKDANy6YpMFHAsQi4OaqZCJgM +9wYACrCIBQDoVQIJ0ASAAPqgaB3gDBUAW/a13KDrVAAJ0ASAAFvri2P/sGSPxOkwDCQBSYAALHB9 +K0IdsIoMqiipuKqIGR50CYgKKIKA//64DaaIAQAAAAAAAPkgaB2v/5oAwKUqJAXaIFvsYvFEqA3g +DIUA7CQFLRAEgADRDwAAAAD/YGgdr/qeAPoAAh2gDIUA7CQFLRAEgADRD8DY/ECmHeACBQDRDwAA +I5KQqzMJMxHzAABB//yKANKg0Q9sEAQWHRkGJgslYsHHfwc3AwdVAQVFAiVmwdEPbBAEiCLOh9og +W/+JzqBoUwPAINEPiif6gGgd4AwFAOqsICpoBIAAWseG0qDRDwAAaFMyiifAsPtEABWgDBUAWswk +HR4RnaCMIPpBYgXgDRUA66YCLmYCgAANzAL9QCYVoAIFANEPwCDRDwAAbBAEiicUIKiKrhweMBsc +9O0gDCVQHwAAKKAdL6AcLiAN6IwKJRoBAADopB0ngTmAAGjxcfXgBekSAJ0AwKH8QTYFoAsFAFgK +88ci0Q8AAAAAAAAA8cvADeAFFQAvwH0pQhmw6g+qKK2ZqpkLnAsqwsEdHjoNqgIqxsGIImWApdog +W/9QZaCdiyAlNJwuQtP6nKgVoAwVAP9vAA2wDRUAWsbSwCDRDyigHcT1ePth78B9JwNRgAApQhmw +6g+qKK2ZqpkLkgsvIsEPj0ll8IIqIsEbHJMLqgIqJsEoIsEZIHQJiAH4WCYVoAIlAPJzhh2gAgUA +0Q/AwCykHCykHS5C04sgKkLl/28ADbANFQBaxrbAINEP+aBoHe/9TgD5oGgd7/6KAACKJ8Cw+0QA +FaAMFQBay8weHbqeoIsgHSBa7aYCLeYCgAAFzAL9QCYVr/zyAMCh/ECwBaAbBQBYCq4qIsEbHG8L +qgIqJsEoIsEZIFAJiAH4WCYVoAIlAPJzhh2gAgUA0Q8AAABsEAQVIEsqUogpoQMooQL5AAaMYgCd +AMCwWsbF5x9jHSAEgADuHJYdMASAAC7ikC1SgA8CAKTuCe4RDt0ILtANKdAMZOCl73QABIYRgAD/ +IYAH0AoFAOpwfSP4BQAACRkU5JAqZNv9AADs8H0nwAkAAG25DuuAfCRACQAAqsosgHuquuqAfC1Y +BIAAq8urqrDvr68PWxQOuxELOwz7YGgV5P8BAPvgBADQDBUAAMwa/WAEBbAMFQALyzna0Fv/bipS +iA8CACmhAyihAnmBFStMAVrGl+4cah0gBIAA+t/6ZSIAnQDAINEPAAAAAPsgBADQCxUAALsa+kAE +BfAKFQD7TQAN//7eAAAAAAAA//38DaAKBQBsEBAZHFnnHE8Uy/MAACmS1hMcohsfsv84ABSwKAUA ++Q8ADHAFFQASH/4rsn8iIvPpvP8sAgqAAP1lACDRIp0ACZYC/T8gFaAEBQACCEFpgwJ8SSf0gCAV +oiIdAOtJ63Mz/QAAKxwQW/+hEh1oKSKD8yAFYFIAnQDAINEPHRw7Gh24LdKQKqJGrW0J3RGtqi5y +ri2gIuDnGH94BIAADshCeNkNGR2vHh+kwIAolIAO/gEA0QT8oAEEX/n1AAmIAwjoASh2ri9yrnH2 +iI2imhgsFhTrFhUugJYAAFv+kysSFewSFCV7gYAAihiKp8Cw+0QAFaAMFQBayzQrEhWNGB4dIJ6g +jdAsEhQeH8DupgIu7gKAAAXdAv1AJhXv/OoAAAAbH8EkIriUHCQmuBwfvwsAh+sABwDQQQAACgBh +jxWOFIkW6RYAKmgEgAD4IOgVoBsFAPggJhWgClUAWAoHIyaDGh9fKqJ/wNCdG+lyriUL0YAAZEFy +jBsUHsfzIAQB8I4FAJ4d7EwMALBBAAD8IUYVoAF6AAAaHXIsojwrokasLQndEa27mxmLsCqiTgy7 +DFrGC2Shso8eL/B98e1cDeAOBQAaH0Yqon+NHIsbjB0NHRTtFgwl2AUAAOsWCyZgBQAAnB37YAgK +ogCdAGTQ+YkaiBuPHOmICAwQBIAA6BYOJ/8ggABlP40HKwsqssEcG50MqgL7eCYVr/56AAAAAAAA +6UQAAQWhgADAoP5BgAfQ0o0A6kB9IkgFAADk0C1m2/0AAOyQfSTACQAADwIAbboO64B8JEAJAACq +yiyAe6q66oB8LVgEgACry6uqquoKXRQO3RENbQz9oGgVpJoBAACRBABYGgjMApzTse7/3/ma4gCd +AMg56hINLwYcAABj/8zqHOQXBPEAABkfDSqgfSmSfwrqKKkpqpkHmgsoosEbG3GPHguIAiimwf/v +sBXv/vIAAAAAAAAAAP/+PA2gCgUA+EBoHe//UgAcH1GPFo4VjRT4IOgVoApVAPggBhWgGwUAWAmd +KBwQCCCH8joqBaADBQADNWIWG5QBIGP0f+rPEgCdAChikSQiRqOI7CI8LEZCgACoRItAKiJODLsM +WsWnyaQBRIexMwM1ZOFEBwn3VAAAY/0iAAAA+oBoHaALBQBb/oBj/9sAAPohKBWgCwUAW/58Y/4+ +AABsEASKJ4kwK6EV90QAFa/NBQD8wAQG98UBAP1gAEX2iUEA67xALhVYAABkgIOJqwiMEeycCAJT +/QAA7K4RBmAHAAD7gATa4gCdAO7OCAHAQQAA/2AEs6IAnQBoQQptqQUIAIYMAmGJY4iQsYiYkI8w +8+AFbBIAnQDAINEPKjAHaaH1/kKQFaf5AQDv/AErUASAAP4uAA/wCxUA/8AAR3AMBQD+QoYdoA0V +AFrFxdKg0Q8lMBceHwEvqRTpoggiqAUAAOmmCyrnAoAArP8vpRSekIwgCMwRDFUC9SAmFe/9SgAo +YQX5jwAOP/2KAAy7DAtJFLieDq420w9t6QUIAIYMAmEDuAjpTwwGyQEAAO/8/yRAQQAAbfkFCCCG +CQJjY/9EFRs9JJAQI5AXimEFRAr0gggVoAcFAOmpaHSoQQAA+sBoHaALFQD84GgdoA0VAFrFnIlj +KZADKCAU6ZwBKVAEgAD6oGgd4ZkdAOmICAngBIAA+EKGHaANJQALQACKYw8CAGSu8us0AAtQBIAA +/GBoHeAMBQBaxYr2wGYV4AIFANEPAI4g61QACVAEgAD9wAAXMA8VAO/uAgngBIAA/yAmFaANJQAL +QACJY2Seqi9pBOlmACGQBQAA52YDKRcCgAAC/wz+wIQd4AIFANEPAAAAbBAE0Q8AAABsEAYoCgEo +FAD4ICYdoPr1AFvbw2agPfogaB3gOoUA/AAiHaANBQBb2wVmoCf6ICAV4DqVAPwAIh2gDQUAW9r/ +6RABLQCKAAAaG+r5T+YV4GJFANEPGxvm+2/mFaBiRQDRDwAAbBAEBOowFR6WIlKAckMEJFaA0Q8o +Un/kVoAkQAUAAChWf9EPbBAEExswiDhpgA8K6jCJOQqZDGqRBMAg0Q8AwKFYBGmJOGqRLRsbOuge +hhlXAoAAq6orop4IIgriIp8t6JQAACyinQLCAeQgJmTT/QAAmjjRDwDAoFgEW4k4a5HHwCDRD8C6 +C5s0+mEGFeACBQDRD8C6C5s0mzjRDwAAAAAAAABsEASJJyiZFPskABWgAgUA65IJJAEBgADxYYAN +4CylAB0b+ymwAIuyfJkIfbEHHhv7frEB0Q/AsvwAAh2gDSUAWsUf0qDRDwBsEASJJyiZFOuSCSQA +YYAAiSLImsAg0Q///9ANoAsFACywHYq2i7UAzDJYBgDaIFv/4tKg0Q8AAGwQBIs1iDQsMBztMgYp +UASAAAuAANKg0Q8AAABsEAYvMgAkIgAYGqL+dAAF9//BAPXgBsbSAJ0ACPgKKIK4ZIDwGRqCGB5A +KZKuD/UK6FUIBIQ+gAAqUHzzQAn30gCdAOZQfSWhgoAAC2wBDAxD/WpeDaD99QAnUH59cQyLMQsL +R/dgB61iAJ0AJ1CAKkAgizAcG+V3qAsjUH8vQCF8uElz8EYZHikGSEMPAgAJiAoogn8ESgILgADq +JgAlBImAAMAg0Q8cHiIuQA38gZAV4AolAPogBhXjhgEA+CAmFaALBQBYCGfGKtEPABweGS5ADS1A +DJMR+iAGFaALBQD2IEYV4AolAFgIXscv0Q8cHhL8gZAV4AolAP6BsBWgSQUA+CAGFeALBQBYCFbG +KtEPAAAAAC1ADP6BsBWgCiUA/DwMBaALBQBYCE4i+trRDxweAy9AIS5ADS1ADCpAIJoQKVB/mRH4 +sBAVoAsFAPggRhWgCiUAWAhDxirRDxwd+S5ADS1ADPogBhXgCiUA9iAmFeALBQBYCDvGKtEPLUAM +/oGwFaAKJQD8O94FoAsFAFgINCL6udEPAAAAbBAKGx3rCysLKrCALLCCLrCB8gAiHeAPBQDszAEl +U/0AAOyqAQdABQAA+kUABjeqAQDqtIIkAvmAAC2yH/AAoA2gBAUALrCBscwOzgwOTDgusIELyhH/ +4CAV56oBAOq0gidABQAAePsrDckKiZAKCEQAgAQJCRlkn81tCBR/nxSxqvguAAz3qgEA6rSCJP25 +gABj/+QdGggIrBH7s0gV4B4VAP5ACgwiAJ0AJdKQqlUJVRHltQgOGASAABQaDwMCRwQiCigi+AOK +FAuAACgi/PpgaB2gCwUAC4AAKCL+2jALgAApUHqxmSlUeiegB+SkAAqwBIAA9OAIItIAnQCKoAqP +V/XgCx7TqqEAGxn7C/sKK7K4ZLFzHBnfGB2cLMKuD/IK6CIIBgQ+gAAtIHzzoA5H0gCdAJoYKyB9 +80AGchIAnQAKvgH6IQYVo+4BAP9ABd0iAJ0AKiB+KAr/eKEVKUIB+iDmFaeZAQApFgT7IAslIgCd +AC0ggC5gIIpAfeggL2AhnhadFRgbOiwgf5wZ+UAFSKIAnQCcGf3gBP4iAJ0AGR17C0hDCYgKKIJ/ +66QAC1AEgAALgADlpAAFB2mAAMDAZsA6iEAZGcwIiFcJiAoogrjqVAAKWASAAOx0AAnoBIAAC4AA +0Q8l0pGqVePDAgquQoAA9WAAQv/7CgAAxsrqNAAKWASAAFgFDNEPHB1hiRguYA38wZAV44sBAPgg +JhWgCiUA+CAGFeALBQBYB6b//jgNr+ylAAAAAAAcHVeIFYoWLmANLWAMiRmZEfogBhWgCwUA+CBG +FaAKJQBYB5r//XgNr/z1AAAAHB1M/KGQFeAKJQD+obAVoEsFAPogBhXgCwUAWAeQY/+kLVAM/qGw +FaAKJQD8OoQFoAsFAFgHiv37Qh2v/HYAHB0+L2AhLmANLWAMKmAgmhApIH+ZEfhQEBWgCwUA+CBG +FaAKJQBYB35j/1ocHTSIFy5gDS1gDPggBhXgCiUA+CAmFaALBQBYB3Vj/zkAAAAALVAM/qGwFaAK +JQD8OlIFoAsFAFgHbv33Ih2v+roAbBAEiTDaUO0dJRnYBIAA7DAIJKg8gABuxi/wABgNr+ylAMDA +7xl8FKRsgAAp0h4u0IKvmf9hBh2kmR0AmbQo0h+YtVgEuMAg0Q8s1IL//0wNoAwFAAAAAGwQBOYk +AAmQBIAA40QACyAEgADTD205D+MgACEQBQAA40QAIiAFAADSYNEPbBAE1iDTD9MPbUkH4yQAIRAF +AADSYNEPbBAEKQpg+AXiHaB7pQDyLgAKsDqVAG1ZWiIwAXKLDHKjCfZaABXgAGYAAADimw9xOyUA +AHKzB/ZVIBXgABIAIjAA4osPcZgJAAByowfyWgAVoABaAHKbC3KzCPJVIBWgACIAACIsyQwsEax8 +7EUAIiAJAADAINEPbBAE+gwCHeAIBQD6BeIdoH2lAPIuAAqwPJUA0w9tWWsiMAByqw1ywwr2WgAV +4ABqAAAAAOK7D3E7JQAActMH9lUgFeAAEgAiMAHkjwgLyASAAOM8AiRABQAA4qsWfPcCgAByww72 +WgAV4AB6AAAAAAAAAADiuw9xOyUAAHLTB/ZVIBXgABIArn4u9ADAINEPbBAEAjIUZCBn+gwCHaB8 +pQD4BeId4DuVAPRAAQcwAgUA8kAAQ/AIBQD8QQAV4AWFAG1aLCJwAAyIEeKbD3O4BQAAcrMH8loA +FaAAWgByqwtywwjyVSAVoAAiAAAiLMmoKOhGACIgEQAA7km0fpAEgADAINEPAAAAbBAE5yQAAYKB +gAD6ByIdoCj1APoPQh3gaQUA8gACHaAGBQBtOS6nYyMwAAIiCuOLD3MwBQAAc6MH8noAFeAAVgBz +mwpzswfydSAV4AAeACM8yQMiCdEPwCDRDwBsEATwRSAN4AcFAMO5/A9CHaAp9QD4AAIdoGoFAG0p +LqNyIiAACIgK4psPc7gFAAByswfyWgAVoABWAHKrCnLDB/JVIBWgAB4AIizJAogJ+IAGFaACBQDR +D8CQ+IAGFeACBQDRDwAAAGwQBCMlAuMlAyFgQQAA/EAGFaALBQDrJQUhwf0AAPLAAAH3iB0A6CUE +IYFBgAD6YGgdoA0VAFq+ymiuFfpgaB2gCwUA/EAIFaANFQBavsRprunISykhBAyZESmcEJlA0Q/R +DwBsEAQYGJYSHFUogpkjImsJiBGoM4g3ZIBS/DiiBaAKRQD8YAgV4AsFAO8yBynwBIAAWAaOhDfq +GVkSIEEAAFpU6xwZVh0YSR4cR48w66QAClAEgABaVKGDNyM8ENowWlR/aKEq0Q8AAAAAAAD6CAId +oEsFAFiUyfpgCBXv/PUA+mDmFaANBQBYcPdj/4sAANowWlSPExiTC6gR6DMIBQGxgAAM6jArMoWL +sLCj7LsICdAEgABYB+cqIpX6YAQA0AsVAAC7GguqAiomlVgIHdEPAAAAAPoA4h2gCxUAWsW7LDJ/ +LDaD0Q8AbBAEExmFEhioIjaD0Q8AAGwQBBMcBwMAh+MABwEASYAAAgBh0Q/RD2wQBBMZeyIyuCI2 +uNEPAABsEAQdGB0eGGsfHBEZHBMSGRsTHA0VHA0cHA8sNo4lNn4iNoUpNnApNnEvNoYuNo3t0kEk +0QEAACo2gOo2gSTYgQAAKzZ4+m8mFeAIFQD4bqYVoGRFAOQ2fSTJgQAAKTaIKTaJAtIoBNQolFCS +8BQb/BUb+hIb/A7dKJ3AIjaPJTZ/JDaH0Q8AbBAEEhneIyLdIiIhoyLRD2wQBBgb8w0nEQ8CAKh3 +4nIAIYBRgAACyVMpNADwgHAN46LBACpEAPCgcA3psmEAK1UA8MCQDerCAQAsZQDRD9EPAAAAbBAE +ExlAIjac0Q8AbBAE6BlGEYDBgAAVG28ogH0lUn+wNAhEKKJSpCLRD9EPAAAAbBAEGBfa+DLABeAF +9QD3EmgVoArlAAlmAQZaORQY8gMjEaQzKzKCGRf8+RJoFa/8BQAMuwELqgL6cEYVoPYFAPkABARw +4gUACGI5JDKCJfoPBUQBBCIC8nBGFaACBQDRDwAAAGwQBPI3fAXgycUACSkoGBgJ+GAAQfAKFQD6 +cEYdoAkFACk0gCk0gyk1PiiAfQ8CAA8CAH+HGAIqAlheyuKkAA0A5gAAwKsqNIDRDwAAAADaIFhe +rOKkAAV/YYAA0Q8AAGwQBMAh0Q8AbBAEFxl3KXIjFBuj+SAIFeDFxQAFJSj0gABCcJmBAClEgChy +I4iACBJSIkSBJnIjhmAGRlAmRIIlciOFUPRWAALwCBUA5USDITytAAAqcIAGLAz1jwAOcAIFAOzM +HSUMVQAAAMEE6ESfLFgKgAArRiPRDwDAICJGI9EPAGwQBBUXryRShPpABADQBxUA4DYaCQEKgAD8 +4AED3/j1AAh3AwdEAQZEAiRWhNEPAGwQBBgXxxUXe/kPsBWgBgUA+i8+BeD99QD+L/gFoAmFAPkA +BARwBHUA+EIACjBXBQD2hgAJcBMFAG06DClRkOKRCHKoCQAAsWbHayyyhO3kKSsBCoAA/cVGHeAK +FQD9QAEFX/31AA2tAw3MAQyqAiq2hNEPbBAEFBeGJEKF+kAEANADFQAAMhoCQgECMjnRD2wQBBQX +fyVChfpABADQAxUAADMa+GAAEb/29QAGNgMGVQEFMwIjRoXRDwAAbBAEFRtMDCQRpUSTQNEPAGwQ +BBMbSAwiEaMigiDRDwBsEAQSF0UiIkPyQ2gVoAMVAAJyVgMiCQICR9EPbBAEZEBRKSAAZJBLwHDo +MAAiDMuAAHmJKm0IGgdGDOhhNWO4BQAAamIPo3iieSmQACiAAHmJDGP/3qN4onkpkAAogAB4kwv5 +AjYN4AIVAMAg0Q/HL9EPAMAg0Q/RDwAAbBAEExsmoyLRDwAAbBAEGxcjK7JD6SwEKeAEgADzYABF +sfj1APkBlg3gCgUA+2AoFaAAEgCLsFgGvQtCAdEPAGwQBB0bFxgbF/mgaB3huvUAbaoFCACGCQJh +6xsTHtAEgABYBujRDwBsEAYTFzT0Nb4F4AIFACQyrhgZzghIASg2riJWqCJWqSJWqiJWq1iazxcX +Aik66Cl2QViaqFialOag920QBIAAWJp35qDsbRAEgABYminmoOFtEASAAFiZieag1m0QBIAAW//d +/oGACJAGFQArUoDTD3+3RS9yQhga8yl60An/KAhIAeg2ri//AoAABv8CLza3/nboFaANBQAN5DEB +AgAsMrdmwA9tCAUqMrdmoAZj//MAAAAAwKNaTeBYmMzmoHJtEASAAFiYoOagZ20QBIAAWJg75qBc +bRAEgABYl+PmoFFtEASAACIyrtMPDwIAdC9mGxmXCysBKzauWJekWJeX5qAvbRAEgAAaF48bF45Y +k0ocGs0PAgDqxn8lARGAABsayyy8BFiTHuegDG0QBIAAYAALwKFaxA7RD2P//Mck+gACHaALBQDs +GsIZaASAAFgE7mcv4mP/2vQt8AXickEACHcRBwRHBUQKKEL4B4UU6BYAKtAEgAALgAAKCUFokQf5 +IAQh0gCdAChC/tpwC4AAzamIENpQC4AACglBaJECaZPvKEL+2nALgABkr+SWoRwZhpygGxqoGhhO +iBD6QAQF8pKBACmkgOs2rirQBIAAC4AAKEL806D64GgdoAsVAAuAAPifSBWv+8UA+mAEBfAMpQDs +uwIK0ASAAAuAAPJf+ciSAJ0AY/7x//4QDaAKBQBsEAQdFojrNAAJUASAAP2oKBXgDAUAWAVG47QA +DRAEgADRDwBsEAQD6jAWGbokYn90MwcjZn8iYn7RDyJifuNmfyEQBQAAImZ+0Q8AbBAE+EDoFa/1 +9QCJg4Mii4KbkIqCBUUDBTMB+UAmFeAGBQCWgpaDkyLRDwBsEASEJ/SCABWgakUAWlL87yIAKfAE +gAD7QGgd4GxFAO0WVhpQBIAAWlKx0Q8AAABsEASFJ+VcECnQBIAAWlLw7DQACnAEgAD7QGgd4A0F +AO8iACrQBIAAWlKlgiciLBDaIFpSg2ihAtEPANogWlKdEhahC6gR6CIIBQGxgAAM6jArIoWLsLCi +7LsICVAEgABYBfUcFrYqwn/6QAQA0AsVAAC7GguqAirGf1gGKtEPAPoA4h2gCxUAWsPJLCJ/LCaD +0Q8AbBAEFRa/g1jIMMkoGBkbKIKp+UAABDGEBQD4gVYNoIkFAJlY0Q/RD8fPnFgK6jAbFi4rskEs +GvQMuyirqppZ0Q8AAABsEAQUFq6ESPItgAWgCHUA6DgoAagpAAB1QiAlIq4bGir4pNYNoAkFACoi +rSuyf/tABAV/8kUACpI50Q/A0PwAAh2v8kUADcI50Q/A8P4AAh2v8kUAD+I50Q8AAGwQBBQaGxUW +liRAgIVYujjwgwAN4AIFAPilTg2gCXUAEhajFBoSKCKuCTkoJEJ/eYMiIyKtwID0YAQBv/JFAAOC +OdEP0Q/AoPgAAh3v8kUACpI50Q/AMPgAAh2v8kUAA4I50Q9sEARkMJH0YARSUgCdAGQwgvgxsAWg +CTUA0w/TD22aIetSByRIEwAA7VIGJGARAADrlgAiq+EAAOvNBCRAIQAAnbAYFm4ZGM0GhjiKYotj +jGSNZY9miGeYmJ+ZnZqcm5ucmp0cGMQaFkXrFdYZygKAAAlJAgqZAvmf5hXj6oUAbQgJsKrLqC3C +/32wA2P/7wDAINEPxyLRDx4Z3S7ggGTvb+okAAnYBIAA/IBoHeCMBQDuVAALeASAAFgAlNKg0Q/H +K9EPbBAE5iQAAYN5gABvNGNkMGAdGKfsFbsZwgKAAAhIAvm/5hWj64UAbQgKsLtksMgp0v95wAZj +/+4AAAAA+DE6BaAJNQAPAgDTD22aIemNBCRYEQAA6ZIAJdgTAADpVgciq+EAAOmyACRAIQAAmVjA +INEPxyLRDxoZtCqggGSvkRIWLoko4xZAFI3TgAArMq5ksHsbGawqMq0rsn8LqgFkoG6wnJwo+MAI +FaAPFQAvpAD/QQYd4AIFAOKkCSpeAoAAD7sCm6EJ6jAppQX9AAAUMAk1AAmIApijLWAGjmIvNq3v +7gIG6AUAAC1kBv7ARhWm3QEALWQG0Q/HK9EPwKBb/06JKGuRhf/+bA2gCgUAwKDAygycNPxBBhWv +/jIAAAAAbBAMGxW9DwIA6wAFCMgEgAAJAmEJAmHrAAUAyIEAAAkCYQkCYWQwoxkVhPRgBqiSAJ0A +9GAG6RIAnQD0YARKUgCdAGQwgRgYUvogaB2gCTUAbZoh66IHJEgTAADtogYkYBEAAOuWACVT4QAA +680EJEAhAACdsBoYSPgkABWgCWUAbZoP6YIHJEPxAADppgglUBEAAB4YPxkVwOwVURnCAoAACEgC +CYgC+d/mFaPqhQDTD20ICrCqZKBpKeL/ecADY//sAMAg0Q/HItEPGhlXKqCA6xYPGuQCgACcEusW +CiV7MYAA6iQACdgEgAD8gGgd4IwFAO8cICjwBIAAWAAK0qDRDwDpFgsq3MKAAPogZhXv/K4A6RYN +KuTCgAD8IKYVr/xuAMcr0Q9sEAQcFbuKyOcVzRuYBIAA90AFAJIAnQAocq76AOId4AlVAAO5OfkA +BSPiAJ0AGxkzKXKtK7J/C5kB5JCSZWv9AACdyMDi7pQAJNBBAAAGIIYKAmMGAIYKAmHkMDZk0MEA +APLIaB3gCFUACgJnA0CGCgJlCFoRCooCmpEvIAYodq0E/wL+QMYd4AIFANEPAAAAAAAA/KAAFrAM +NQANzQKdkSsgBix2rQS7AvpAxh3gAgUA0Q/AoFv+zhwVjYrI+V/6sJIAnQD//egNoAkFAMCQwOoO +rjT/gQYVr/2uAAAAAGwQCBgVO9MP0w/oAAUIyASAAAkCYQkCYWQwkPRgBDpSAJ0AZDB/GBfY+iBo +HaAJNQBtmiHrogckSBMAAO2iBiRgEQAA65YAJVPhAADrzQQkQCEAAJ2wGBVu+i+aBaAJZQBtmg/p +ggckQ/EAAOmmCCVQEQAAHhfFGhVG7BTXGcoCgAAJSQIKmQL53+YV4+qFANMPbQgJsKrLqSvi/3vA +AmP/7cAg0Q/HItEPAAAcGN0swIBkz3DqJAAJ2ASAAPyAaB3gjAUA/iBoHaAPBQBb/5TSoNEPxyvR +D2wQBMo3bzQhyT4cFL36L1AF4+qFAG0ICrCqZKC2KLL/eMACY//uwCDRD8ci0Q8ZGMbTDymQgGSf +0CogBvFABBYQBhUAFRU9iVgTFU73IARAkgCdACsyrmSwlBsYuioyrSuyfwuqAWSgh7CcnFiLICak +AJahJqQIJKQJDOowLKUF/WAAFbAMNQAMuwKbo4kiKCAGBpkC6SYCJEAFAAAoJAYvIAaOIvZ1phWv +jdUA/gAABzb/AQD+QMYd4AIFAA7SOdEPjiIt+o3+AAAHMAIFAA7SOdEPxyvRD8CgW/5XiVj5P/uI +kgCdAP/+CA2gCgUAwKDA+g+fNP6hBhXv/c4AAABsEASXI+gVIxrUAoAA6CYCKkqCgAAKmQLqFR4Z +3gKAAAtrApshCpkC6SYAIRBBAADRDwAAbBAEFhSrFBdK5WKaIYDZgACkJCRAfSJikaNEpCIJIhGi +UiIsgNEPACRikKJCCSIRolLRD2wQBBkYeCgyAAQKBio0BvkABAR3ogEA6DYAJS0ZAABoo3ETFKYD +owooMvgChBQESgILgAAoMvzVoPpAaB2gCxUAC4AA+H9IFa/7xQD6oAQF8AylAOy7AgpQBIAAC4AA +0Q8aFIYropD7U0gVqMIdAKy7CbsRq6qLp4u+jbDJ1P1gKBWgDgUALrYA7rYBKdgEgAAL0ADRDwAA +bBAEAgpHaKUoExSG0w8DowooMvgCghTaIAuAACgy+vtAaB3gDDUA7LsCCVAEgAALgADRD2wQBBkU +XyghAwlJNuCZEQnQBIAA6YgCCuAEgADoJgEpWASAAFv/w8Ag0Q8AbBAEIyUSlCslJhAnJFAoIA2L +G4wajRkpIQctJFzsJF0rVQKAAPpFRB3rmQEACpkC6SUHJACBgACOHY8cLyQhLiQg0Q/RDwAAbBAE +GBVuZCBD+ig2BaAHBQD5EBAVoAYFAG0pEQBgBAgJG++XBnMwBQAAuHfTDyuhiytFACqiRqeqqjr6 +gEQdr6qBAPqAJB2gAgUA0Q8cFAotwYstRQAswkasPPyARB2vzIEA/IAkHaACBQDRDwAAAGwQBBIX +RCcgeiggeyogfAh3Eeh3AgxOAoAACpkCGBYj+PcAC/IJBQAJdwL44AQEOHcdAPiAJh2g+OUACHcB +J0QAJiB9JkQCJSB+JUQDIiB/IkQE8oCmHeACBQDRDwAAAGwQBCggDcmCKyEt2jD8oGgdprsBAFv/ +4tKg0Q/aMOtEAArgBIAAW//C0qDRDwAAAGwQBMsnwFD3/gId7wYFANMPbQgNcmANAoIU5CAcYqgh +AABj/+lycAu0VfAAGA2kIh0AsVUCEhRlL/fSUNEPwCDRDwAAbBAEFRP6iCzAQPKxiBXvmWUA6SQF +JH0agACIJ4sglCz/BAAV78kFAAn/AeSFFCf5AQAAn4mfiC5Sc/piiBWgDAUA/28ADbANFQBaveQq +MhWwqio2FdEPAAAAAAArIhIuMikLikTqqg8KYASAAP9AAQUwDRUA+0AIFa+7gQBavdf0QkYVr/5a +AGwQBPZAUBXgFQUA9kAQFaAEBQDjIAMqAQqAAOIgASu+AoAA5zMCCzYCgAAGIgLgIhoKgQqAAAAz +GgMiAtEPAABsEAyVFhUXpeJS6ylIBIAAkx2WGCgiFZcblBfkNAAEFUmAACtSE/Np4A3gAwUAkhXp +FgQiUSEAAPohJhWgCAUA+CFGFaAGBQCWHPYncgWgAZoAiRyJmMmUixyMuZnAjbj9oCYVoAoFAJq4 +mrmau44bihqJHChCE+sSCSVQBQAA6hYKJPiBAACfgJiZm5gvRhP1wBAIkgCdAPXAD8kSAJ0A+qJo +FeANBQCdHLEz+mAPKuIAnQAoYocnUuWoOAmIEah3incuqRRk7+ApcAXzQSgVoJxlAHyR0mQvz45w +j0B+8ciLFYoUK7IVmxCKoJoRiUqJkJkSiHrsF2oZ6ASAAPkACBWgOwUA+CBmFaAKVQBYAYyMG/mA +BXGSAJ0A94AFMJIAnQCLF4kiiiMLmQyLFvgAIh2gDgUACY44+08ADXAPBQAKjzj/wAgA4gCdAIwc +ZM9OwKAoIDssIDorIDgvIDn9gAAWMBkFAOyIAg3eAoAA6/8CDQEKgADg/xoMgQqAAACIGgj/AhwX +Ry5wBY1wiCOJIvggBhXgClUA+CAmFaA7BQBYAWeMHIrCK/qa68QFJXUZgAB+p2qMHMe9C6sB+4BG +Fe/6RgCNG/mgCMLSAJ0AwKAoIDssIDorIDgvIDn9gAAWMBkFAOyIAg3eAoAA6/8CDQEKgADg/xoM +gQqAAACIGgj/Ao0Y/7/6HWIAnQCIQI9wjhwI/wwPfjn+IYYVr/y+ABkTRfs/8VYiAJ0AZKCowLBt +CBAt+gB60DEKihTkoEJl2CEAAGP/6ItAinD7X/fkYgCdAI4UjXqO4I3QjBwO3QwNfDj8IYYVr/uS +AMfwevALtLvwABgNpKodALG7ChoUZa/3+iGIFa/89QBaxcdj/cAAAAAAwCCIGsyLihv7X2AVoAkl +AAqSOfwuAAWgClUA/iFIFaA7BQDvEgspaASAAFgBH9EPAAAA8gACHaALBQD6IUYV7/8eAP/+nA2g +CwUAAAAAwKL8LeIFoDsFAFgBFP/+jA2gEmUAbBAEL2EHLWAHGBOv/MEEFar/AQD8AgAE8d0BAOqZ +EA7sAoAA7cwCD/8CgAAJ/wII/wIdE22fIB8Vdo5glCOdIg/MAuwmBC92AoAADj4C/kAmFaAOBQCe +JQcEif5AxhXgvgUAnicCIIuLZy1gDOuyDi7sAoAADcwC7CYEIuDBAADsJgchUKEAAFv5mQw4Eagi +0Q8AAGwQBBoS6BgTAeUgByqgBIAAKaKaKqKQKIB9/UgAFTFVAQDqmQgEfDyAACadAfbQABWgACoA +Jp0DJmyAiCIaE0LnE0EUAEGAAMAg0Q/0oAdKEgCdAAxZEQeZCCuSnvdgCRpSAJ0AKZKdClsKK7K/ +C5kBZJD3HxM4LCAHKCEHGhLmGxNt+UAABDDMEQDqzBAMRwKAAAyIAguIApiQjSD7IMYVoD4FAP8g +RhXgTAUA7pYDLu4CgAAM3QKdkStgByhhKfogAAXwDgUA7pYFLdwCgAALiAIKiAKYlA/qMJ+V7pYH +JNCBAAD4ZAAV4A0lAG3aBQkAhgoCYQxaEfdAAEV3lAEA7KadJJSFAACKJ/oAgh3gDAUA+0QAFaAN +RQBavRb1QGgdoAASAIw0yMnrMgUpUASAAAvAANJA0Q8cEu+LyGqxSgxZEaeZLZKeDwIADwIAbtRT +KZKdCl0KLdK/DZkB5JBGZfP9AAAuxghlnwfrXBgpUASAAPwAAh2gTQUAWsf9wCDRDwD/+6QNoAkF +AMCgW/waHBLZi8jqEuwVjp8AAP//HA2gCQUAwJDA+g+/NP+BBhXv/uIAAAAAbBAGGhJ6GRKTKKKa +KqKQKZB97iICLVZCgADqiAgE/ESAACqNAftQABWgADIAAAAqjQMqrIAoIAcXEtUdEtXx0KwN4YgB +AOwyByxPAoAAp5krkp7kzE8sMASAAPggBhWkRB0A9WAIa6IAnQAokp0Naworsr8LiAHthAAECAmA +AB4SaA4Ah21JAggCYS8gByshBx4S+vtAAAXw/xEA6v8QDd8CgAAPuwIOuwIeEryb0PhACBXv6BUA +6NQYJnjBAACf0+7WAizOAoAACUkCmdEroAf5RSQV4AgFAPolQAWhuwEA6NYFLdwCgAALmQIKmQKZ +1A/qMJ/VLNUP6zIIJtCBAABb+OgMbRH3oABG98UBAOTWnSYUhQAAiif6AIId4AwFAPtEABWgDUUA +Wryk9UBoHaAAGgDAQIg0yInrMgUpUASAAAuAANJA0Q8AAAD8QAgV4ApVAPwsDAWn9QEA///AFeAL +FQD/bQAP8DsFAFgAI8Ag0Q8A//v8DaAIBQCLEO1EAAlQBIAA+2MAFeAMBQBax4uNIPwr7gWgClUA +/iAIFaA7BQBYABbAINEPAABsEATrFfEZUASAAFrHyeSmBC1YBIAA9UDGFeAIBQDopgUlSIEAAAMg +hgkCYwMAhgkCYYkiyJLAINEPiKLAxPpAaB2gDTUAC4AA0qDRDwAAAGwQCpYYGxXdGBW5lxkrsc4q +gh4pgILlFgct3gKAAKul8yAH46IAnQAL6jAaFPwson8IhgL9YAdTogCdAB0RvCumfxcVzyqifv2o +KBXgDAUAWAB5m1HjVA8iyEEAAP7gCBWgHYUA8qHGHaAIxQD6oAYVoAIFAO5WAid4BQAA/uAGFeAK +xQBtqgUEAIYJAmEiVM/oFgIq0ASAAP4iABWgCYUA/iAmFaADpQDjVM4g+MEAAP4gBhXgI0UAbZo3 +tIjoFgIvWASAAPmhzg2gGdUAYAASAAAAAAAAAOmKBn/YBIAA2DCYEquMLM3/LMI/7KY0JVARAAD6 +oGgdoQsFAFgBbB0VoShhQC3SprGI+eAABDjdHQB9iQQiZUDRDyhlQNEPANEPLqJ+5hVxF3AFAAD/ +T8YVr/wuAAAAAGwQCBwVkxoUrY8gIyAH+EMIFedFAQD0n8AV4A0VAAXVOS2QBIia/yAIFaB7hQAr +lAUKiAIrJAX5IUYVoTMBAPIgBhXgClUA9CAmFeA7BQBb/576QGgdoBsFAO0cECngBIAAWseG7BV7 +FQI5gACLIMDQLaQJDLsCm6CJKZmhGBGJ7hIEKf8CgACo/+72ACIUeQAAKiIH+gAiHeAMBQD7RAAV +oA0VAFq7+MAg0Q/AINEP2iDsFWka2ASAAFrHVsAg0Q8AAAAAAAAAbBAIIxYBIhYA5RYDKdAEgADk +FgIq2ASAAFgAzSgSAoIQA4MoBSIooyLioggNmASAANEPAAAAAABsEAjaIPZgaB2gLAUA61QAAgc5 +gAB0KwvyAAId4AIFANEPAAAHTwTnyAwDlTmAAACABPrgBADRMp0ABi8Y9IsADv+fAQD94AAHfy2B +AAI0LgIzLPPDAA54AD0A70QYCdgEgADsSxl6UASAAKTa7aMPcdv9AAB8qwfq2ggB2/kAAAyvDAL4 +LgL/LO/sKAx0AoAA7p4CD9AEgADs6xl/EASAAK7S7SMPd9P9AAB8Kwfi0ggH0/kAAOwiDA2cAoAA +46MCC4EKgADqNAAK2AqAAFgAknojFfpABjUiAJ0AAHEEAGga+wAFwuIAnQDyf+AV4AIFANEPAAAA +APRABULiAJ0ACV8E5JAPbIEKgAAAWxrjIhgJmAqAAPvgAAZ/24EADSQuDSIs84MADTgAPQDjRBgJ +eASAAOpLGXpwBIAAq07r4w9xe/0AAHrrB+6+CAF7+QAA+88ADT+DAQANqS4NrSztzigM5AKAAAyM +Au7LI37QBIAAq8zrwxl20/0AAH7LEeDzEQbT+QAA80YACfACBQDRDwDzEfNGAAnwAgUA0Q/AINEP +AABkUVIOvwRk4VTuyQwPAQqAAOC7GgyCCoAA++AABnFKnQD7wAQA39uBAA1FLuCoGgyCCoAADUQs ++8AEANEmnQDoIgILGAqAAPWDAA84AD0A4lUYCngEgADuWxl60ASAAKta66MPcnv9AAB+qwfquggC +e/kAAP9PAAw/UgEADYQsDYgu5MIoDEQCgADoVQIKcASAAOJbGXrQBIAAq1rrow9yc/0AAHKrB+q6 +CAJz+QAA4qIMD/wCgAAP7wINJS4NJCz1gwANOAA9AONVGAoQBIAA6lsZevAEgACrXuvjD3IT/QAA +eusH7r4IAhP5AAD7zwANP4MBAA2pLg2tLO3OKAzkAoAADIwC7ss0ftAEgACrzOvDKnbT/QAAfssi +49z+KUQCgADoMwIPkASAANEPAHJDAnUzF/IAIh3gAgUA0Q8AIxHjowIPkASAANEPAPIAAh3gAgUA +0Q/AsQW7LGP+pAuiDPvwAAb/ywEA//00DaAPFQAAAABsEAIDBV/0XQALf0KBAANLHA8CAKtm+sDS +DeAJBQCxmfJdAA34AD0A5pkYCzAKgACrZntrAimcAQVCHOkiCAsYBIAA0Q8AAABsEALgQQQCZEcA +AOMiGAmYCoAA0Q8AAAD8YAEBUAMFANEPAABsEALgQAQCZEcAAPJLAAnxIp0A0Q8AAADynwAJsAIF +ANEPAABsEAIC6jDRD2wQAswlA/AxYAAPAG8iBQPxMWAABW8jBQPyMQACANEPbBACzCUC8DDRDwAA +byIEAvEw0Q9vIwQC8jDRD8Ag0Q9sEAIiCoAjCgBtKA4oN0AoN0QoN0goN0wjPQHRDwAAAGwQAiIK +gCMKAG0oDig3UCg3VCg3WCg3XCM9AdEPAAAAbBACJicA0Q9sEAIlJwDRD2wQAgIERaQzIzw/A2MU +bTkFJicAIixA0Q8AAABsEAICBEWkMyM8PwNjFG05BSQnACIsQNEPAAAAbBACAgRFpDMjPD8DYxRt +OQUlJwAiLEDRDwAAAGwQAtEPAAAAbBACAuQx0Q8AAAAAAAAAAAAAAAAAAAAAAAAAACAGl3AgBpoY +IAaakCAGmjAAAAAAIAac+CAGnQAgBo+oAAAAAAAAAAAAAAAAAAAAACAGjAAgBodoAAAAACAGh2Ag +BodYIAaHUAAAAAAAAAAAAAAAAAAAAAAgBoPAIAaDsAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAEnuQgBKXwIASm +vCAEmcQAAAAAAAAAACAEqBAAAAAAAAAAAAAAAAAgBKVkIASkwCAEqtggBKi8IASbyCAEnSAgBJ4A +IASl+CAEm2gAAAAAIAgiECAIInAgAy3AIAMrGCADKaQAAAAAAAAAACADK7wAAAAAAAAAAAAAAAAA +AAAAAAAAACADJtAgA8CsIAMojCADJXAgAygMIAMpnAAAAAAgA0NgIAglXCAIIqQgA0TIIAM3uCAD +MgAgAzUwIAMyyCADOlggAy6MAAAAACADPTggAzusIAM0GCADNoggAz5gAAAAACADJXAgAzC4IAMt +yAAAAAAAAAAB/wAAAAAAAAEf/OEwAAAAAOAAAOABAAAAIAkEUAAAAAEgCQKEAAAAAiAI/cgAAAAB +IAj7QAAAAAEgCPksAAAAASAI9yAAAAABIAj0jAAAAAEgCOewAAAAASAI7qgAAAABIAjmeAAAAAEg +COZwAAAAAQAAAAAAAAAAAAEAAQAAAAAAAAAAAAAAAAAA/wAAAA7/AAEAAAAAAAAKAQCBAAoBAAEA +CgEAAQAKAQABAA4DAQEAHv+BgQAeAoEBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAARsE +AwMBGwIDAwEbAwMDARsCAQEBHwSBgQEr/4GBASoBgYEBKQGBgQEfA4EBAR8DgQEBLP+BgQE9AoEF +ATz/hQABPP+FAAE5AQUFAT4PBQUBLgSBgQEbAgEBAA4CgQEBLgKBgQAOAgABAA4CgQEADgIBAQEa +AYGBAQ4CAQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABwDAQAADgMB +AQBPBAEBAF8EAQEAPAQBAAAAAAAAAGz/AQEATAQBAQAAAAAAAA4CgQUAAAAAAAAAAAAAAAAAAAAA +HgIBAQAAAAAAAAAAAB8AAAAAAAABAAAAAAAAAAIBAAAAAAAABAIAAAAAAAAHEgAAAAAAAAgDAAAA +AAAADxMAAAAAAAAQBAAAAAAAAB8UAAAAAAAAIAUAAAAAAAA/FQAAAAAAAEAGAAAAAAAAgAcAAAAA +AADAFgAAAAAAAQAIAAAAAAACAAkAAAAAAAMAFwAAAAAABAAKAAAAAAAIAAsAAAAAAAwAGAAAAAAA +EAAMAAAAAAAYABoAAAAAACAADQAAAAAAQAAOAAAAAACAAA8AAAAAAMAAGgAAAAAA4AAbAAAAAAEA +ABAAAAAAAYAAHAAAAAABwAAdAAAAAAHgAB4AAAAAAgAAEQAAAPLVEpjy1RKY8tUSmPLVEpjy1RKY +8tUSmPLVEpjy1RKY8tUSmPLVEpjy1RKYA4UbGAOFG5jy1RKY8tUSmPLVEpjy1RKY8tUSmPLVEpjy +1RKY8tUSmAAAAAAAAAAAAAAAAP//AAUABgAHAAgACQAKAAsADAANAA4ADwAQ////////AAAAMgAA +A+gAAAAoAABOIAAAAAAAA9CQAJiWgAAPQkAAmJaAAAAcIAAAAEsAPQkAAAPQkACYloABAQAAAwMC +AgUFBAQHBwYGAAAAMgAAA+gAAABkAADDUAAAAAAAA9CQA9CQAABMS0AD0JAAAAAcIAAAAEsAPQkA +AA9CQCPDRgADAgEABwYFBAsKCQgPDg0MAAAAMgAAA+gAAAAKAAAB9AAAAAAAAAPoAJiWgAAPQkAA +mJaAAAAcIAAAAEsAPQkAAAPQkACYloADAgEABwYFBAkJCAgLCwoKAAAAAAAAAAAACgAUAIwAggBk +AFoAoACWAHgAbgBQAEYAPAAyACgAHgAKBM4EkgnOCZICsgRWBOIEpgO2BBoHsglWBl4CdgniCaYH +TgI6CLYJGggWBiICxgRqAJYDegFyB3YAvgPeBPYEugRCA6ICYgc6Bg4AggPKBC4AbgBaAyoBNgHq +Az4HxglqAUoHEgWWCHoGwgH+BnICigNSBeYFvgjeAZoH2gn2CboJfgeeCUIIogkGAV4HYgJOByYD +FgEiBYIIZgWqCMoJLgiOAQ4FbgVaBUYG1ggqBjYCEgHCBuoIPgDmBoYC2gR+Ap4EBgZKAiYIAgNm +AKoDjgX6AEYB1gb+Bq4F0gGGB4oI8gMCCFIA+gUyAa4A0gPyB+4AMgaaAu4FHgAeBQoAAAnECYgE +2AScB6gJTAnYCZwIrAkQArwEYAFoB2wE7ASwAlgHMAPABCQDIAEsB7wJYAWMCHAGaAKABbQI1Ans +CbAJOAiYB1gCRAEYBXgIwAkkBWQFUAggBiwG4Ag0AtAEdAZAAhwAoAOEAcwG9AF8B4AISADwAMgD +6AaQAuQFAATEBIgCqARMA6wEEAZUAmwHRAIwCAwGGACMA3AAtAPUBDgDmAYEAHgAZABQAeADNAFA +BwgGuAH0A0gF3AGQB9AJdAeUCPwBVAccAwwIXAWgCIQBBAU8BswCCAG4ANwGfAKUA/wH+ANcBfAA +PAakBcgI6AL4BSgBpAfkACgFFAAUAAAEyQSNCckJjQKtBFEE3QShA7EEFQetCVEGWQJxCd0JoQdJ +AjUIsQkVCBEGHQLBBGUAkQN1AW0HcQC5A9kE8QS1BD0DnQJdBzUGCQB9A8UEKQBpAFUDJQExAeUD +OQfBCWUBRQcNBZEIdQa9AfkGbQKFA00F4QW5CNkBlQfVCfEJtQl5B5kJPQidCQEBWQddAkkHIQMR +AR0FfQhhBaUIxQkpCIkBCQVpBVUFQQbRCCUGMQINAb0G5Qg5AOEGgQLVBHkCmQQBBkUCIQf9A2EA +pQOJBfUAQQHRBvkGqQXNAYEHhQjtAv0ITQD1BS0BqQDNA+0H6QAtBpUC6QUZABkFBQAACb8JgwTT +BJcHowlHCdMJlwinCQsCtwRbAWMHZwTnBKsCUwcrA7sEHwMbAScHtwlbBYcIawZjAnsFrwjPCecJ +qwkzCJMHUwI/ARMFcwi7CR8FXwVLCBsGJwbbCC8CywRvBjsCFwCbA38BxwbvAXcHewhDAOsAwwPj +BosC3wT7BL8EgwKjBEcDpwQLBk8CZwc/AisIBwYTAIcDawCvA88EMwOTBf8AcwBfAEsB2wMvATsH +AwazAe8DQwXXAYsHywlvB48I9wFPBxcDBwhXBZsIfwD/BTcGxwIDAbMA1wZ3Ao8D9wfzA1cF6wA3 +Bp8FwwjjAvMFIwGfB98AIwUPAAAACgCMAG4AlgB4ADwAUACgAIIAZAAyAEYAWgAoAB4AFAAAAIcA +aQCRAHMANwBLAJsAfQBfAC0AQQBVACMAGQAAAAAwBAAAMBAAAFkIAABZpAABlDAAABAkAAAQMAAA +EDwAABDcAAB7LAAAezQAAeKMAAHmjAAB6owAAe6MAAHyjAAB9owAAfqMAAH+jAAAjcwAAH50AACP +3AABkVgAAI/8AAB1HAAAdRgAAHUQAAB34AAAd+QAAHf0AAGcPAABEHQAARCEAACUCAAAmFwAAJYM +AACWFAAAliAAAJYsAADQKAAAkAgAAhDcAAIw3AACUNwAAnDcAAGQVAAAYBwAAaDYAAGQkAAAd+AA +AHfkAAB39AAAeXgAAHn4AAGUBAAB48AAAefAAAHrwAAB78AAAfPAAAH3wAAB+8AAAf/AAAGUDAAA +MAA////AAAAwCD///8AAAFkQrIAAAAAAWax+JQAAAAGUEB////8AAZQ0AAAAEQABlAgf////AAGU +OAAAABEAABAof////wAAECx/////AAAQNH////8AABA4f////wAAEEAPRAAAAAB7KAAf/+IAAHsw +P//u/wAAezgAAAABAAB7QD//7v8AAHwkAB//4gAB4ogACAAAAAHmiAAIAAAAAeqIAAgAAAAB7ogA +CAAAAAHyiAAIAAAAAfaIAAgAAAAB+ogACAAAAAH+iAAIAAAAAI3I/////wAAjdD/////AAB+cH+/ +//8AAH54f7///wAAfgACwAAAAAGRVAH///8AAZGcAf///wAAj9gAf//9AACP+P////0AAHUUAAAA +BwAAdQwAAAALAAB33AAAAAMAAHfw/////wABnDgAAYBAAAGc+AAAAAMAAJAEAAAAPgABEHgA//// +AAEQfAD///8AARCIAAAAAAAAlAQAAP//AACUEAAA//8AAJhUAAAB/wAAmFgAAAH/AACWCAAAAAAA +AJYQAB///wAAlhgAH///AACWHAAP//8AAJYkAA///wAAligA////AACWMAD///8AANAgAAAABwAA +0CQAAAAHAAIQ2AAAAAMAAjDYAAAAAwACUNgAAAADAAJw2AAAAAMAAZBQAAAALwABoNQAAAGDAAGQ +jAA4AAAAAZCYADgAAAAAeXQAAAAvAAB5cAAAAAUAAHn0AAAALwAAefAAAAAFIAMPBCAI02wgAw8I +IAji0CADDxQgCOH4IAMPICAI4aggAw80IAjg+CADD0wgCN+QIAMPXCAI3nggAw9kIAjeUCADD3gg +CN4oIAMPiCAI3fggAw+UIAjc3CADD5wgCNy0IAMPsCAI3IAgAw+8IAjbFCADD8QgCNpsIAMP1CAI +2WggAw/gIAjYWCADD/AgCNdQIAMQACAI1iQAAAAAAAAAACADEBggCNM0IAMQHCAI0rggAxAkIAjS +gCADECwgCNJIIAMQOCAI0hAgAxA8IAjR2CADEEggCNGgIAMQTCAI0vAgAxBUIAjRaCADEFwgCNEw +IAMQZCAI0PggAxBsIAjOKCADEHQgCNCoIAMQgCAI0KAgAxCIIAjQaCADEJAgCNAwIAMQmCAIz/gg +AxCgIAjPwCADDtwgCMxMIAMQqCAIzBQgAxCwIAjL3CADELggCMukIAMQyCAIy2wgAxDQIAjLNCAD +ENggCMr8IAMQ4CAIytQgAxDsIAjKrCADEPggCMp8IAMRECAIylQgAxEsIAjKLCADETwgCMoEIAMR +TCAIydwgAxFcIAjJtCADEWwgCMmMIAMRfCAIyWQgAxGMIAjJPCADEZggCMkUIAMRpCAIyOwgAxGw +IAjIsAAAAAAAAAAAIAMRvCAIx5AgAxHAIAjFxCADEcwgCMTgIAMR4CAIxLAgAxHoIAjEgCADEfQg +CMRQIAMR+CAIxCAgAxH8IAjD8CADEgAgCMPEIAMPgCAIwtAgAxIQIAjCqCADEhggCMKAIAMO6CAI +5cAgAw7wIAjk8CADDtQgCORAIAMO/CAI45gAABAhIEIwY0CEUKVgxnDngQiRKaFKsWvBjNGt4c7x +7xIxAhAycyJSUrVClHL3YtaTOYMYs3ujWtO9w5zz/+PeJGI0QwQgFAFk5nTHRKRUhaVqtUuFKJUJ +5e71z8Ws1Y02UyZyFhEGMHbXZvZWlUa0t1unepcZhzj33+f+153HvEjEWOVohninCEAYYSgCOCPJ +zNnt6Y75r4lImWmpCrkrWvVK1Hq3apYacQpQOjMqEtv9y9z7v+uem3mLWLs7qxpspnyHTORcxSwi +PAMMYBxB7a79j83s3c2tKr0LjWidSX6XbrZe1U70PhMuMh5RDnD/n+++393P/L8brzqfWY94kYiB +qbHKoevRDMEt8U7hbxCAAKEwwiDjUARAJXBGYGeDuZOYo/uz2sM90xzjf/NeArESkCLzMtJCNVIU +YndyVrXqpcuVqIWJ9W7lT9UsxQ004iTDFKAEgXRmZEdUJEQFp9u3+oeZl7jnX/d+xx3XPCbTNvIG +kRawZld2dkYVVjTZTMlt+Q7pL5nIiem5iqmrWERIZXgGaCcYwAjhOIIoo8t921zrP/sei/mb2Ku7 +u5pKdVpUajd6FgrxGtAqszqS/S7tD91szU29qq2LneiNyXwmbAdcZExFPKIsgxzgDMHvH/8+z13f +fK+bv7qP2Z/4bhd+Nk5VXnQukz6yDtEe8CALAAAf/6qQH/+VIAAAE4gf/5PsAAALuAAAQA0gCwCQ +IAsAICALAHAgCwCwH/+VHAAAQR4AAPADAABBDgAAQEAAAKAAAACgIAAAQYgAAH//AABBhgAAgAAA +AEGBAACoGQAAqBoAAMMAAACoGwAAqBwAAKgXAAD//wAAEAQAAOWfAAAfEQAA7gkAAP/+AADq/yAL +AOAAAP8AIAsBIOEAXgAADwAPIFAAAAAAMNQAAP/p4QIOAAAAQAAACAAIAADkAAAAxDAAAMQxIAsC +kAAJiWgAAMRQIAsCwCALAuAgCwMAAAAgAAAAxFEAAMABAADEUiBP/4AgCAtUIAtlUCALZbAgC2UA +AADABgAIAAIgC2XwAACA1wADB4AAAMSCAABAggAAhAMgC2bgIAtn4AAAgKYAAIA9IAtmQAAAgEAg +C2dAIAtnkCALZpAgC0BgH/+ckCALQIDhAHIA4QBiAAAAYkgABAAphhAAAAAAYkCAAAAAgBQAA4AW +AAOAEgBDgBHSA4AQAAUf/5wYIAbYcB//rdAgC4uw4AAAAOEAdgAgC4sgIAuLYCALQKAf/5MgAA9C +QOEAegAgC0EwIAtBgCALQeAAAA//P////yALQkAgC0KwIAtC8CALQzAgC0NwIAtDsCALQ/AgC0Qw +IAtEcCALRLAf/5wUIAMNcCADDRAf/5Ok4QGaAB//nIT/wP//ABAAAB//reAAAAgAAAYIAB//nlgA +AZ4M4QGeAAABnnQAAZ6sAAGe1AABnuwAAZ8UIAtE8CALRVAgC41AIAuM0CALi/AgC4wwIAuMgOEA +LgAgAw2AAACQAB//lUQALBQAgAAAgOEAWgCB8OCAIAAAAOEAVgAMAAAA//OAAAAMOABGAAAAPz// +/4CAAADz/////+D//wABAAAgCAAAIAgKNAAACzAgCAEA4QGSAOEADgAf/64MH/+byAACAAAAfwBA +AAMAAACAAIAAwQDA//8j/wAAyAAQIAEg4QCKAOEAfgDhAI4APAAAAP//v/9QaOhH+P///wQAAACS +AAAA8ADwAJ+///sgAAAEH/+ToH/3//+AAAIA///v////gP//9/9/AAYAAP//8AD/AAAAAEkkkgAA +fhgIAQgBEAEQAQAAfkAgASABFRUVFYQhhCEQEBAQ4QGOAAAA/n8EBAGA4QDOAERERETMzMzMiIiI +iOEAjgThAI4I4QCODOD//gCAAAEAIAgExAAAkAgAAAjAgAHEEcQRxBEA/wD/AEAAQP//P/8gC43w +IAuOIB//nBD/D///IAuNsAgICAjMiEQAIAuOUP/w/wAAAgAzKioVFR//q3gf/5jIH/+tIB//nTgf +/5s4H/+d+B//mwQAAP/9H/+q9OMAAgDi//8AIAtGwCAI45ggC49AAFAAAACgAAAgoAAA0AAAACAL +joAgC48QAAAQACADB5gf/7AQH/+sBB//gLAf/6wgH/+teCALkPAgC0kAIAtJgCALSfAgC5CgIAuQ +YCALkMAgC0qAAAAoAAAAP/8AAMAAAAQAAB//gOAf/4EgH/+BYB//mnAf/6p8H/+VJOEBlgDhAf4A +4QJeAOECPgDhAh4A4QHiAOEBDgDhAJIACAAAAP//8P8f/6kgH/+b+OEBDgThAQ4I4QEODB//nSD/ +8AAAAAKABh//rhD/+///IAtP0CALUDAf/4HgH/+b7B//mugf/64IEAAAAP/w//8gAwsQH/+ZIAAA +fkwA8ADwAADwACALUKAgC1EAIAtRYOEALgjhAC4Q4QAuGB//lYiAAIAAH/+xEB//nHAf/5VYH/zi +AAAAe2Af/N4A4QGYAB//reQf/6noH/+r3CALUaAgC1IgIAuXQCALUdAf/6v4H/+dLCALUqAgC1Jg +IAuVwB//myAgC1MQIAtTUAAoAAAgC5gwIAuXkN+wAAAgC5fQH/+sEB//q8T/gAAA///gACALU5Ag +C1PAIAuVcCAG1mQgAwscH/+B8B//qdz//8f/IAuYkB//nUQgC5jQH/+t1CALVAAf/6wUH/+yrB// +suAf/6u0BAAACBIAAAAgC5IwgQAAAAAAMAAf/6+0IAuSYCADCxgf/7D8H/+zuB//ryAf/7L4SQAA +ACALkcAgC5EQIAuRcAAPA/8DEQAAAxUAAB//rtAgBtTAIAtUQB//qyAf/5oAH/+dDB//noAf/5+E +IACj8B//7swf/+4cH//vzB//qwQCAIIQAgACEAIAABABAAAAABoAAAD6xogAIAAAH/+sCCAG1bwg +BtUUH/+CICALVMAgC1SQIAtUYCALVPAf/508H/+r0B//mxggC5UA4QA2AB//q5gAAPgA4QBGAB// +mZAf/610ABBBBAAIAAAgCAXEIAbWAB//lCAf/5q8IAuW8CALlpAgC5mQH/+bXCALVZAgC5kwIAuZ +ACALVSAgC5lgIAuWIB//m1gf/5tQH/+bVB//qXgf/6l0H/+CoB//qwwf/5wIH/+cBB//m/wf/4LA +H/+rCCADCAAgAwogH/+C4B//rgQgC1oQH/+qKB//qiwf/6owH/+qZB//qmAf/6pcH/+qWB//qlQf +/6pMH/+qPB//qkAf/6pEH/+DEB//qbAf/4PAH/+uJCALWlAf/5xIIAtaoB//nBwgC1rQIAtbAB// +g9Af/5uMAAAlgCALWzAgC1twH/+bNB//g/Af/5swH/+ZyB//mRwf/4P4//8AAAPn/BggC1ugH/+E +AB//qvAgAwg0H/+q7A////8gAw2gH/+a7CALXAAgCAok///04CAICdT///UwIAgIlCAICcz///Zw +IAgH9CAICIz///cQ4QBqAAAAgID//wj6AABDBAAAfTP//8P/AIAAAP8A/wAf/4RQAQEBAQAAZAwf +/4RwVapVqgAAqqpaWlpapaWlpTMiEQAAESIziBIAAyADDgDhAGYAAABqGP//f3+AEAAAH/+EkAAA +amAAACEBAABigB//hKAACAApAAB0BAAAYkQAAGIAAABi1B//hLAAAGLYAABi6AAAYtwAAGL4AABi +5B3NZQAAAAnDAABi7B//hNAAAGLwH/+E4AAAYvQf/4UAAABi/AACCNUAAGMAAAEEawAAYwQAAgjW +AABjCAAAYwwf/4UQAABjEAAAosMAAGMUAABjGAAAYyAAAYagAABjJAAAYygf/4UgAABjLAAAYzAA +ACcQAABjNAAAYzgAAGKQABEREwF9eEAAAGoAAAB1AB//hTAAAGKMAABhqAAAdFAAAE4gAAB0HAAA +YsAAAGLMAABixAAAYsjhABIAIAtfMOEB3gDhAeYA4QHqAOEB7gDhAfIA4QH2AOEB+gAf/6xY//x/ +/x//k7yAAAUAAAB+6IAABwAgC53QgAAEAIAABgAgC52wD//wD//w8ADf//4AH/zAAAAAgGD//9ff +IAklYCALX6Af/5QwIAkmLCADB5Af/5O4IAtf0B//rYggBtX4H/+smB//q5Af/66wH/+VIx//q/wA +lAAAIAwAAAAMAAAgC2AAIAbWECAG1Wgf/5OwAEQAAPgAA/8f/5ogAABACQgAAAEAAAnEH/+cdN6t +vu8gCAWEAAJiWiADDjAgC2BQIAud8CALYCAgC2BwH/+rWCAKAAAACgAAIAtgkOL//gAf/5i8IAqg +AB//rawgCuBAbBAGwKT9+qwFoBtFAFutNsBQ9/qoBeAEBQD3+qYFoACaAAAAACpgfPVABHQiAJ0A +wKFboY4b/U2xVdMP+qAJRGIAnQArcn9kv9rz+pIF4AIFACpgfG0IGgAgBAoMG/+A4AfQ1J0Af9cO +sSLrK7lxmAUAAGP/3gAA+nAQFaALFQD8IGgd4AwFAFuWJS4ZAGbgEPpABADQCBUAAIgaCEQCBARH +K3J/sSLrI6dxmAUAAClgfPU/+9UiAJ0AwFDwAHQNoAQFAAAqYHx0oXHAoVuhZxv9KbFV+qAFjGIA +nQArcn9kv+Hz+kYF4AIFACpgfG0IGgAgBAoMG/+A4AfQ1J0Af9cNsSLrK8BxmAUAAGP/3gAqMIAc +/Rn8IGgd4BvlAFuWAC4RAA7uFGjhJStyf7Ei6yO4cZgFAAAvYHx0+Y3ApP36HgWgG0UAW6zowCDR +DwAA+kAEANAIFQAAiBoIRAL//yANp0QBAAAAKWB89T/6XCIAnQD6AEIdoBtFAOz9ARpoBIAAW6zZ +xyvRDypgfHShrPyAaB3gCiUA/fn2BaAbRQBbrNHHK9EPAGwQBv357gWgCkUA8iAmFaAbRQBbrMsS +/OkPAgAtIn8W/Orn/PAWglGAAPTAaB3gBAUALHCAbQgZAEAEDAgb6lCAJHwYgADMq7FE7UsicqgF +AABj/98c/OT9+coF4BvlAFuV7S0if7FE7UPGcqgFAADxpEAN4AQFANVgLHCAbQgZAEAEDAkb6lCA +JPwYgADMq7FE7UsicqgFAABj/98c/NT8gCId4BvlAFuV2y0if7FE7UPGcqgFAADxpEAN4AQFANVg +LHCAbQgSAEAEDAobf68QsUTtSylyqAUAAGP/5gAAAAAqUIAc/MP6ACId4B0FAFuVyS0if7FE7UPG +cqgFAADxpEAN4AQFANVgLHCAbQgSAEAEDAsbf78QsUTtSylyqAUAAGP/5gAAAAAqUIAc/LL6ACId +4A0FAFuVty0if7FE7UPGcqgFAADxpEAN4AQFANVgLHCAbQgSAEAEDA4bf+8QsUTtSylyqAUAAGP/ +5gAAAAAqUIAc/KH6ACId4A0FAFuVpS0if7FE7UPGcqgFAADxpEAN4AQFANVgLHCAbQgSAEAEDA8b +f/8QsUTtSylyqAUAAGP/5gAAAAAqUIAc/JD9+SIF4BvlAFuVky0if7FE7UPGcqgFAADxpEAN4AQF +ANVgLHCAbQgSAEAEDAgbf48QsUTtSylyqAUAAGP/5gAAAAAqUIAc/ID9+QIF4BvlAFuVgS0if7FE +7UPGcqgFAADxpEAN4AQFANVgLHCAbQgSAEAEDAkbf58QsUTtSylyqAUAAGP/5gAAAAAqUIAc/HD8 +L4Id4BvlAFuVby0if7FE7UPGcqgFAADxpEAN4AQFANVgLHCAbQgSAEAEDAobf68QsUTtSylyqAUA +AGP/5gAAAAAqUIAc/F76A8Id4E0FAFuVXS0if7FE7UPGcqgFAADxpEAN4AQFANVgLHCAbQgSAEAE +DAsbf78QsUTtSylyqAUAAGP/5gAAAAAqUIAc/EX6ACId4B0FAFuVSy0if7FE7UPGcqgFAADxpEAN +4AQFANVgLHCAbQgSAEAEDA4bf+8QsUTtSylyqAUAAGP/5gAAAAAqUIAc/Db9+G4F4BvlAFuVOS0i +f7FE7UPGcqgFAAD9+GoFoAoFAPoAIh3gDQUAW5Ux/fhiBaAKBQD9+GAF4AsVAFuVLf34XAWgCgUA ++gAiHeAd5QBblSj9+FQFoAoFAPoAIh3gDQUAW5Uj/fhOBaAKBQD6ACId4A2VAFuVHv34OgWgCgUA ++gAiHeANBQBblRr9+DIFoAoFAP34OAXgCxUAW5UV/fgsBaAKBQD9+DAF4AsVAFuVEP34JgWgCgUA +/fgqBeALFQBblQv9+B4FoAoFAPoAIh3gDZUAW5UH/fgKBaAKBQD6ACId4A1FAFuVAv34AgWgCgUA +/fgIBeALFQBblP399/4FoAoFAP34BgXgCxUAW5T4/ff2BaAKBQD99/4F4AsVAFuU9P337gWgCgUA ++gAiHeANlQBblO/999oFoAoFAPoAIh3gDYUAW5Tq/ffUBaAKBQD999oF4AsVAFuU5f33zgWgCgUA +/ffaBeALFQBblOH998YFoAoFAP330gXgCxUAW5Tc/fe+BaAKBQD6ACId4A2VAFuU1/33rAWgCgUA ++gAiHeANxQBblNL996QFoAoFAP33qgXgCxUAW5TO/feeBaAKBQD6ACId4C0VAFuUyf33lgWgCgUA ++gAiHeANJQBblMT995AFoAoFAPoAIh3gDZUAW5S/LSJ/0w/xpCAN4AQFANVgLHCAbQgSAEAEDA8b +f/8OsUTtSydyqAUAAGP/5gAAKlCAHPux+gPCHeANBQBblLAtIn+xRO1DyHKoBQAAwKT993AFoBtF +AFurc/33UgWgCgUA+gAiHeANBQBblKX990YFoAoFAPoAIh3gDQUAW5SgZDHT8iAoFaAEBQD391QF +oAUFANoQ+kBoHeAMRQBbpAyPENMP7PuZH/ICgAD34AQEON8dAObdAQxGAoAA+cYADzf/wQD/pgAO +8AoFAP+mAA6wCxUA/CAGFe/dgQBblIj99xQFoAoFAPwgJBXgCxUAW5SD/fcOBaAKBQD6ACId4C2V +AFuUf+RMASKoEQAA41OCcRARAAAW+2b+kAAWsApFAP33CgWgG0UAW6s//fbyBaAKBQD6ACId4A0F +AFuUcP323gWgCgUA+gAiHeANBQBblGz99tYFoAoFAP321AXgCxUAW5Rn/fbQBaAKBQD6ACId4A3F +AFuUYv32ygWgCgUA+gAiHeANBQBblF399sIFoAoFAPoAIh3gDZUAW5RZG/tBDwIALbJ/8apwDeAC +BQAGYwIscIBtCBkAIAQMDhvqMIAnfBiAAMyrsSLtKydxmAUAAGP/3xz7QPoDwh3gDQUAW5RHG/sw +0w8tsn+xIu0jwXGYBQAAZNBZ8/ZcBeACBQAscIDTD20IEgAgBAwPG3//DrEi7SsHcZgFAABj/+TR +DyowgBz7NfoDwh3gTQUAW5Q0KjCAHPsx+gPCHeANBQBblDAb+xgtsn+xIu0jsnGYBQAA0Q8AAAAA +//r0DaAEBQBsEAT8YMAA3+KlANEPFvszKGKEGfszCYgC+NCGFaAaRQBbn0oqYoQr+vAPAgD7QAQF +cAIFAPrQhhWgY0UAwaRbn0OxInMp9Rv7JwBKEeuqCArYBIAAW/4MW/2h0qDRDwBsEAQY+yHoJSYp +UASAAFrDMGagGRz7HvpEMBWgC3UA/gACHaENBQBbIbXSoNEP0qDRDwAAbBAEKCAiG/sV0w8DiRGr +mSuSgBz7Ewy7AiuWgOmSgCQBKYAAHvsJLeKEH/sOHPsJD90CLeaELCUmKiAhWse7yK7HK9EPWsf2 +Z6/Y0qDRDwAAKiAhWsdx5qBJbRgEgAAqICH99gIFoAsVAPwAQh3gDgUAWyGV2iBawwnmoCZtGASA +ACogIRz6+foDwh3gDQUAW5PeKiAhHPr2+gPCHeANBQBbk9rSMNEPAAAAAGwQCOlEAAnQBIAA/KBo +HecyAQD8IKYV4AIFAPoghhWhBQUA+CBmFeAURQD6YGgdoBvlAPwgaB3hDAUAW5OhLhEAsSL1w+Ad +7yIBAHJL3PoAgh2gG0UA7PrcGWgEgABbqorAINEPAIoUGPrZHPrZ/CCoFeAJBQD7LQAMMBvlAOgW +AinQBIAAW5O2wLCbEfpgaB2gG+UA/CBoFeEMBQBbk7DAIPpgaB2gG+UA/CBoHeEMBQBbk4MsEQB1 +wByxIgICT3JL3sCi/fWGBaAbRQBbqm7AINEPAAAAAPpgaB2gG+UA/CBAFeEMVQBbk3UqEQHLcXao +MokS6Pq1EVARAACqmZkSeYuIixHsEgQl6AUAAA0LT5sR/WKSDaAOBQD+IEYVr/26AHaozMAh0Q8A +wKL99VQFoBtFAFuqVMAg0Q9sEATApP31TAWgG0UAW6pP/fVKBeAb5QDy4AABMQwFAO40AAlQBIAA +WyEt7TQACVAEgAD8IAIdoBvlAFuTeu1kAAlQBIAA/fUwBaAb5QBbk3XtVAAJUASAAP31HAWgG+UA +W5Nw7UQACVAEgAD99SAFoBvlAFuTa9og/fUaBaAb5QD8ACId4A4FAFshFdEPAABsEAb0AoId4QQF +APIAAh2nMgEA+mBoHaAb5QD8IGgd4QwFAFuTNCgRALEi9QFgHa8iAQByW9zAINEPwCHRDwAAbBAO +IhYQJRYPWsdX6hYLKAQKgAD7QEwoUgCdACoSEFrHFeoWCiGMIQAAxirRD4of7PptGlwCgACbHKq7 +rLsssH4rsH8IzBHsuwIFU/kAAPohphWvuwEA6xYJJRgpgAAd+mGMHO3MCA1IBIAA/0MAB9ANBQAe ++l0twIAO3Qkt0X79gCAVr90BAAkfFGTwiCjAgB76VQ2JFAmIAw6ICSiBfurAgS7uAoAADY0D+YBA +FafNQQDsrAMHy/0AAP+AAIY/3QEA7MF+Lu4CgAAPAgAPAgBtmTzpgIAkQAkAAA3NA/0P8BXvrQEA +/UAAFji6HQALmQMOmQkpkX4MmQP54AAE98lBAOzZAwzuAoAADpkJLJF+Dc0DDQ1Pjhl94Rf99GgF +oAolAP4hKBWgG0UAW6nTxyvRDwDApP30XAWgG0UAW6nPIxIQHPon0w/y4AAB8BvlAPpgaB2gDTUA +W5L+7PogGdAEgAD8IgAV4BvlAFuS0ez6FRnQBIAA/CJAFeAb5QBbks3s+hUZ0ASAAPwigBXgG+UA +W5LI+mBoHaAb5QD8IsAV4QwFAFuSwxz6Ey8RCi4RCS0RCPghZBWgCkUA+CAGFaAbRQBbqa3aMP30 +BAXgG+UA//QABaEMBQBbII3aMP3z/gWgG+UA/AgiHeBOFQBbIIgc+gHt+e0Z0ASAAP/z1gWgG+UA +WyCCAzoC/fOeBeAb5QD8AAIdoA4FAFsgfdow/fOUBeAb5QD/85AFoAwFAFsgeNow/fOKBeAb5QD8 +AAIdoA4FAFsgcsBA+mBoHaAb5QD8AAId4wwFAFuSvrFEaU3nHPnl7fnRGdAEgAD6A8Id4A4FAFsg +Zuz52BnQBIAA/CICHeAb5QBbkrOKHxn53HqbCccr0Q8AAAAAAAD0AAIdoBZFAPpgaB2gG+UA/CMA +FeEMBQBbkn8sEQz0gCAVoQ0FAP2GwB3vRAEAdGvW/fOYBaAKJQD8O6Id4BtFAFupZysRCywRCC0R +CSoSEC4RClv/EMcr0Q8AAAAAAADs+bIZ0ASAAPwgAh3gG+UAW5KR+mBoHaAb5QD983YF4QwFAFuS +jSoSEFv/JmSlqPpgaB2gG+UA/CNAFeEMVQBbkl4oEQ3xACj/0gCdAIkdZJLuix/7f0AV4AQFAPoh +xhXgAY4AZL3Q//bUDaANBQAAiR8EmQwpnP71IAuwkgCdAPUgDUESAJ0A9SAPIZIAnQD1IBHyEgCd +ANow/fLYBeAb5QD/8tQFoQwFAFsgGvvzLgXgCgUAWmGLKhIN+oAUEqIAnQAqEhAc+ZD8IAId4Aul +AP4AIh3gjgUAW/6HZKOo7Pl6GdAEgAD8gGId4BvlAFuSWvpgaB2gG+UA9PAABrEMJQBbklX6YGgd +oBvlAPXgAAaxDDUAW5JQix6FHPfy5gWgBwUA7wIAChAEgAD6gARy4gCdAKRVplXwADQNoAYFAAAA +AACIHngrdC1QgihQgy9QgS5QgOiIEQnQBIAA6N0CD/4CgAD/xgAPcBvlAP4h5B2v3QEA/CHEHeEM +RQBbkjb6YGgdoBvlAPwh5BXhDFUAW5Ix+mBoHaAb5QD98roF4QwFAFuSLed8ASEQEQAA9sCAFaD7 +xQDrY4pyqBEAAOR0Cgu3goAA+mBoHaAb5QD98qIF4QwFAFuSICkK/Pjf9IPiAJ0AKRoABpkM+T/0 +kNIAnQCNHB75Pdow9aAARrAb5QD/oABGsQxVAO3QgCIgBQAAW5IR2jD98lgFoBvlAPzgAh3hDgUA +Wx+7Y/5rjRwe+S6k3a7dLtCBLdCA2jD9wAAXMBvlAP+mAA6xDFUA9IBAFa/dAQBbkgDaMP3yNgWg +G+UA/OACHeIOBQBbH6pj/ieGHBj5HeRmCAnQBIAA+MAAQzAb5QD80FAV4QxFAFuR8i5ggS1ggOju +EQnQBIAA/6YADrAb5QD94AAG8QxVAFuR6uo0AAIgDQAA/fIGBaAb5QD84AId4w4FAFsfkmP9yAAA +AIYcGPkFpGaoZi5ggy1ggujuEQnQBIAA/6YADrAb5QD94AAG8QxFAFuR1y5ggS1ggA8CAOjuEQnQ +BIAA/6YADrAb5QD94AAG8QxVAFuRzuo0AAIgEQAA/fHQBaAb5QD84AId5A4FAFsfdmP9WQAAACoS +EBz48fwgAh3gC6UA/gAiHeCOBQBb/ehkoxrs+NoZ0ASAAPyAYh3gG+UAW5G6+mBoHaAb5QD8AAId +4QwlAFuRtfpgaB2gG+UA/AACHeEMNQBbkbGEH9MPDwIAJEz99E4ACTAGBQDkFhEhCHmAAPXxngXg +B0UA9kABA/AERQD6YGgdoBvlAP3xqAXhDAUAW5Gh+mBoHaAb5QD8I8AV4QxVAFuRdSkRD/cOAA03 +uQEAC6oDBaoJKqF+CGgRCogDCAZPBpYDBoZPBWYJJmF+LBoE7RwcLEYCgAD41wALMBvlAPpgaB2v +ZgEAW5FjKREO9w4ADbepAQALqgMFqgkqoX4IaBEKiAMIBk8GlgMGhk8FZgkmYX4IiBH41wALN8QB +APGA/A3vZgEA+/FQBeAKBQBaYJy0RPaf+jViAJ0A9CIoFaAA5gAAAPoAQh2gG0UA/fFGBaItpQD+ +gGgd4A4FAFuoNysRCywRCC0RCSoSEC4RClv94Mcr0Q8AANow/CACHaAb5QD+AAIdpA0FAFsfEfpg +aB2t1JEA/CBCHaAb5QBbkV36YGgdr9IBAPwgYh2gG+UAW5FZ2jD98KoF4BvlAP/wpgWhDAUAWx8C +KhIQW/3tZKGTiR0JCUFkkbv1IBKAkgCdAPUgFAESAJ0A9SAWQZIAnQArEQssEQgtEQkqEhAuEQpb +/byKGfdABbwiAJ0AwKL98OYFoBtFAFuoCccr0Q8AAAAAAAAA7PhYGdAEgAD6A8Id4A01AFuRN/pg +aB2gG+UA/AVCHeEMJQBbkTP6YGgdoBvlAP3wxgXhDDUAW5Eu+mBoHaAb5QD98L4F4QwFAFuRKSoS +EBz4VPwgAh3gC6UA/gAiHeCOBQBb/UtkoqfHK9EPAAAAAP3wqgWgCiUA/D3CHeAbRQBbp+UrEQss +EQgtEQkqEhAuEQpb/Y7HK9EPAADaMP3wbAWgG+UA/AgiHeBOFQBbHr8c+DLt+AwZ0ASAAP/wFAWg +G+UAWx66wED6YGgdoBvlAPwAAh3jDAUAW5EFsURpTecc+Cbt+DkZ0ASAAPoDwh3gDgUAWx6ujRqL +G8fLDcs56xYLLZAEgADRDwAAAAAAAAD98F4FoAolAPxSgh3gG0UAW6e9KxELLBEILREJKhIQLhEK +W/1mxyvRD9Kg0Q8AAAD98EgFoAolAPxcAh3gG0UAW6exKxELLBEILREJKhIQLhEKW/1axyvRDwAA +AAAAAAD6YGgdoBvlAPwkABXhDFUAW5CzJBEQGff/9w4ADbekAQALqgMJqgkqoX4IaBEKiAMICk8K +RAMEhE8JRAkkQX4sGgTtHCAsRgKAAPiXAAowG+UA+mBoHa9EAQBbkKEmERAZ9+31DgANt6YBAAuq +AwmqCSqhfghIEQqIAwgKTwpmAwaGTwlmCSZhfgiIEQhmA//3JA2vZgEAAPpgaB2gG+UA/CQAFeEM +VQBbkI0oECEGiRQJiAMZ99gJiAkogX4IZhEGhgP/9lQNr2YBAAAAAAAA+mBoHaAb5QD8JAAV4QxV +AFuQfyoREBn3y/cOAA43ugEADLsDCbsJK7F+CGgRC4gDCAZPBqYDBoZPCWYJJmF+CIgRCGYD//UM +Da9mAQAAAAD6YGgdoBvlAPwkABXhDFUAW5BrJBEQGfe39w4ADbekAQALqgMJqgkqoX4IaBEKiAMI +Ck8KRAMEhE8JRAkkQX4sGgTtHCAsRgKAAPiXAAowG+UA+mBoHa9EAQBbkFkmECEEiBQIZgMY96MI +ZgkmYX4ISBEIZgP/8wwNr2YBAAAAAP3vYgWgCiUA/ELCHeAbRQBbpz0rEQssEQgtEQkqEhAuEQpb +/ObHK9EPAAAAAAAAAGwQBPoAoh2gC4UA7PejGWgEgABbpzHz70IF4MTFAAQkKPRgAEG/9LUA6iQA +CdgEgABbbOHkoQt9KASAAGWv6dJQ0Q9nr/j6AAIdoAuFAOz3lBroBIAAW6cg0lDRDwAAAGwQBvhA +aB3gAgUA4hYAJIBJgADRDwAAAPJAaB3gxIUAwKFbm3axM3Q59fnvDAXgBRUA8+8KBeAGRQD2AeId +4CgFACoKKCo2MCY2MyQ2MSU2MieWwCg2yCg2yRr3fPoAYh3gDDUA/qBoHaPthQDlNhEo+ASAAFpm +AGahhPQAYh2gDlUA+gDCHeAIhQAd93EtNiAc923EoZrAKDYjIjYjJjY1xZgpNjYoNjfA9y82OCI2 +OSs2Oi42Oyc2PMHXLTY9KzY+KzY/KzZAwMwsNkErNkIqKgAqNkMmNkTBkCk2RSgKZCg2Ri86IC82 +RyU2SC42SS42SiQ2Sy0KEi02TCc2TSw6ACw2TvvuqAWgDAUA++6iBePthQD6YgYV4A4VAOv3Txj4 +BIAAWmXUZqDUGvdLG/dL/H0CHeAMBQDu90kY+ASAAP5iBhWgDhUAWmXLZqCwGvdCG/dC/H0CHeAM +BQD/7oIF4A4VAO82ECj4BIAAWmXCZqCMGvc5G/c5/H0CHeAMBQD57nIFoA4VAOg2ECj4BIAAWmW5 +ZqBoGvcwG/cw/H0CHeAMBQD57mIF4A4VAOk2ECj4BIAAWmWwZqBE++5QBeAMBQD77lYFo+2FAPpi +BhWgDhUA6vchGPgEgABaZadmoB7E0C02NJUxHfcXLNLBBMwCLNbBwLLrNgEtEASAANEP0qDRD2wQ +BBn3GyiQgOqSISwAjgAAyKnIJ8CgW6Cg0qDRD8Ag0Q8AAGwQBBP3EwIiCgMiCiIioNEPAAAAbBAE +GPcOAiMKCDMKIjKfIzKe/GAAEb8igQADIgLRDwBsEAQb9wcV9wX7cEgVr+wFAOiyfCHofQAADN0B +DaoM7KQBBACpgAAvsoEusn0P/jn+gAUqogCdAMBAwKD97fQFoAtlAFumdQIqCgWqCuSmnSIGWYAA +Hfb0HPb10w/8gABG8AUVAOOmoC74BIAAK8J2f7cQLsJwDO4Q7et3fvgEgAAO3wz/ZMAHkAIlAC7C +cQzuEH/rce7/DAX0YoAAwKH97cgFoAsFAFumXcYq0Q99t+sownIICF8MiBD/G/YN4A4lACKmni+m +n8Cl/e20BaALBQBbplLAINEPJLaC/V/61iIAnQACKgoFqgr1U6YVr/2eAAAALaaf/gACHaALBQD7 +U8YV7/8WACWmni+mn//+3A2gDhUAAAAAAAAAAP3tjgWgChUA+gACHe/9RQBbpjvHJNEPAGwQDhP2 +wYog0w8rMkILqihbb4EtMkKMIe3KKA0gBIAAW299LzJCjiLv6igNKASAAFtveRj2tiYyQuhmKAJL +/QAAAJAE8+1mBeFGnQAc9rKNIO4iASzMAoAA7yICKsYCgADpiAIFK/0AAPimAAwwClUA+HyGFaAL +hQBbphsc9qeNI44kjyWLJpsQiieaEfhBCBXgC4UA+CBGFeAKVQBbphIc9p+NKY4qjyuJLJkQ+EGo +FaAKVQD4ICYVoAuFAFumCo4jGvaSAFAE+kCoFeHWnQAOriwO3SyOJnurDAq7LPqDAA3wADIAAAAL +qywLSyzuqxJ94ASAAB/2hQ/vLP6DAA/wADYAH/aCDv8sD08sjiefFJ8VfqsNH/Z9D+8s/oMAD/AA +NgAf9noO/ywPTyyfFp8XhimFKo4oBGYoBFUofqsPH/ZzD+8s/oMAD/AAPgAAAB/2bw7/LA9PLI4r +nxifGX6rDRf2agfnLPaDAAvwADYAF/ZnDncsB0csjiwnFhB+qw4f9mIP7yz+gwAP8AA6AAAf9l8O +/ywPTyyfGp8bji0sFhErFhJ+qw8a9lnfcArqLPqDAA0wAD4AGvZV33AOqiwKSiyaHBf2A5odHvZV +B/g2mB6YH/3ABtviAJ0AFPZSLTbtwKAqNuX8gAcbogCdAIwUKzbm/IAHm6IAnQCNFo4VLjbn/IAI +I+IAnQCPGIgXKDbo/oAIq+IAnQCJGSk26faACUOiAJ0AJjbq9IAJ6+IAnQCKHiU26/rgCmuiAJ0A +ixr64Asb4gCdAI4fjRvsEgwvdAKAAA7dAi027PyAC0uiAJ0AjC6PHf59xhXgCQUAA50K7NbUIVgR +AADrsg4k4AUAAAPMCuvG1CFQIQAA6qIOJNgJAAADuwrqttQhQDEAAOiCDiTQDQAAA6oKKKbUwCDR +DwDAo/3sOAWgC4UAW6WKKxISLBIR/ewsBe/8SgDAo+72FR5oBIAA/ewqBaALhQBbpYH77CAF7/wi +AI0U/ewgBaAKNQD/7BYFoAuFAFuleh72Cf4gphWv+9YAjRb97BIFoAo1AP/sBgWgC4UAW6VyH/YB +/iDmFe/7kgCNGP3sBAWgCjUA/+v2BaALhQBbpWoY9fn4ISYVr/tOAN1g/ev2BaAKNQD/6+YFoAuF +AFulYvfr4gWv+w4AAAAA3VD96+gFoAo1AP/r1gWgC4UAW6Va9evSBe/6ugCNHv3r3AWgCjUA/+sg +BaALhQBbpVMZ9Y34IeYV7/puAMCj7PXnHegEgAD/6xAFoAuFAFulSxr1hfohZhWv+hYAjRz968AF +oAo1AP/rqAWgC4UAW6VDG/XR+iGmFe/5/gBsEBIY9dob9dgd9cGIgCqwfyuyIvggBhWgDwUAwOTp +0nElg8GAAPlwAAZ7iQEArIj5AAAUO8uhAAjMAizWcqGoKIAADogCKNZ0LNJ2DswCLNZ2L9ZzwPgv +1nob9cX6IgAVoA5VAP+vZhWgbAUAW53O6vWoEMBBAADyAAIdoBmFAA8CANMPbZoP6YIAJVARAADp +pj8kQBEAANEPL9ZyKNJ2x5sJiAH5rsYVr/6mAAAAAGwQEBj1sNMPKIB9/eteBaAa9QDz61wF4A8V +APMABE/QBwUAiTZklBIuMdMoMnElMdcrMdkmMdsiMd2lvabd4t0IBAPZgAB+0XMvNfL9wCRb4gCd +AAUPRGX0WAsIRGWEUgYJRGWUTPNAIkiiAJ0ADe8MBekMKTXW/nvkHe+ZAQALmwwrNdgGuwwrNdoP +uwz6e8Qd77sBAPNvAA+wCgUA/nuEHeAB7gAAAC4x0yUx1ysx2SYx2yIx3aW9pt2i3Sc18v3AILvk +9QEA8/58DeSLAQBlg98GCURlk9nzQB6wogCdAAXvDA3qDCo13/56xB3vnwEAC5gMKDXYLzXWC/sM +BrsMKzXaAr0MCtoM+nvEHa+7AQACvQz8e4Qd4AoFAA6dESgx2O3GhCxHgoAAKMaHLzHcDr4R7saF +L/+CgAAvxoYtMdzuxv0u74KAAC3G/Oaiq21IBIAAJDJxZEA/9oAeDdIAnQCwTvXAHniiAJ0A2kBb +oqUY9VUsgooe9VYrMdLuzAENbAKAAA3MAiyGiiuG/i+CgRn1UAn/Ai+GgcCoW5I5FvVOFfVOK2KI +/tBoFaACBQDvYoItbUKAAP1vAA3//AUA7LsBDSAEgADqtAAHgLGAAC9ihw/+OX6zCPrRBhXgAB4A +AMCg6aQABRC5gADpFhUkmHmAAPjOxhXgAwUAKmJ2wLgPAgDzQABFMAwFAFud3OJCCAGYBQAAdTnh +8+pYBeACBQDmIdVpSASAABz1JyvCgR31Ky76/g67AQ27AuvGgSDQgQAAW2tY5qEnbRAEgADr9SUQ +0IEAAFpcdeahFG0QBIAAGfUKKDJ2JzZ1/eouBaAKBQD5AAQEf/T1APhuxhWgHxUA0w9t+hjbQMDZ +faMB23DuzQQlUBEAAOvmACZgEQAAGvURW2s5HPUR+gACHaAfFQBt+hTbQMKBeKMCB3sCK8YU6qwE +JmARAAAa9Qlbay8c9Qb6AAIdoAlFANMPbZoT20DA2X2jAdtwK8Yo6qwEJmARAAAa9P9bayQc9Pz6 +AAIdoA7FAG3qE9tAwvF/owHbcCvGLOqsBCZgEQAAGvT2W2saHPTy+gACHaAIRQBtihPbQMCZeaMB +23ArxjjqrAQmYBEAABr07VtrEBz06PoAAh2gC8UAbboT20DC0X2jAdtwK8Y86qwEJmARAAAa9ORb +awbmIIVpSASAACUx1ysx2SYx2y4x0ycx3y8x8iQycSIx3ekWFCeBcYAAlhCXESIWAiQWA/3prgWg +CkUA77QAD2gEgAD+oGgdoAtlAFukJCISFNEPHPTQlBP2IEYV4ApFAOIWAS34BIAA5hYAL2gEgADo +MeQq8ASAAPgghhWgC2UAW6QXKRIU0pDRD9KQ0Q8qYn0uYn7pYnolUD0AAOyqAQcEsYAALGJ7DJwM +DOw2LmJ4yOuq23vDB/rPphXgABoAwKD5QGgd7/baACgyOWWL5ikx82Wb4Cc2cSc18i4x0yUx1/oE +Ah3iAgUA+nskHeTGBQDmNdsi6B8AAOI13SbrgQAA/cyWDebkBQAFD0TP8Q3qDAXvDAT5DPh75B3v +nwEA7zXWJMOBAAD4ewQdr/FuAAAAAAAAAAD9IGgdr/3OAAAAAN1Q4hYAK3gEgAD/YGgdoAolAP3p +IgWgC2UAW6Pg//HkDa/qpQAAAACWEeIWAir4BIAA+iAGFeAKJQD96RAFoAtlAFuj1v/xRA2v+kUA +8yBoHaAKBQD96QYFoAtlAFujzyJmdv/0JA2v8kUAAAD8gGgd4AolAP3o+AWgC2UAW6PHY/xkAAD8 +gGgd4AolAP3o7gWgC2UAW6PBY/xMAABsEAwU9HP0AEId4AhlAB30cS4qQC5GqozRi9KK04nUh9WX +FZkUmhObEpwRjdCdEBL0UR/0aSRChSIgffghBhWgBgUA9CEmFeI0oQDlPjYBfFiAAAHiCoIgAv8s +wiDz4QAPsABiAB/0XAU+NgHnCodwIgqAB/8sAv829+iwBeACNQD14AzeEAM1APXgC/cQBbUAlRry +IWYV4Bg1APjv5h2gCZUAKXR+FfRQGvROLHB/+u/QFeKEuQDk9EYcQQKAAOgWDC9JwoAACYgCrLsZ +9EUsQocLiwIJuwIKzAEMuwL6kOYV7gwFAPanCBWgC1UADwIA0w/TD20qL+JwfyIgIQAA43B+Iqgh +AAAMZgELZgKiMgKCAgkiAiZWNiNChwozAQMiAiJGhyZSOBL0Khr0GIgc/MAEBrAJFQAJ6Tbr3QIA +oIEAAO1WOCzJwoAA+QYADHADJQDp9CYQsKEAANMPbTosIyKQ5UIAIRAhAADnYgAiIBEAAOkzAQMw +EQAAAFURBYUCBXUCBTMCCjMCIyaOE/QZGvQYJTLAGPQYCFUCJTbAG/QMIrK5FPQVBCIBFPQVAuIC +BCICIra5HfQTLTbaKjbcKjbeKjbiwsAM/DYIzBAsNuQqNuYlMugZ9AwY9AwJVQEIVQIlNugiMsAU +9AkEIgEiNsAqsqwd9Acc9AgNqgEMqgL7dYYVoAIFANEPlRqTG/bv5h2gGbUA+O/GHe/6LgAAlRso +dH727+YdoApFAPohRhWv+dYAAAAAbBAEFfP49kAIFaAjBQBtOgaHUHZ7BbhVwiDRD5cgIlAE0Q8A +bBAIlRXiFgIqYASAAObz7RnQBIAA+EBoHeACBQDsFgQkmAUAAOoWAyMggQAAJ2J/DwIADwIAB3oC +W5CG6zQADSgEgADqdAAK4ASAAFudoeSgE2MwIQAA5GnScRAhAADAINEPAAAAjBKxXa3MKsAAxd39 +QAhcYA8FAOX0AAjwBIAA8gACHeAGBQD0BEIdoCf1AG0IFGSgfMlhd6EvaGJMscrcoCqgAH2hUGP/ +5HSp7OZsASZQBQAA6uYAJ3ARAAD9QGgdr/+CAAAAAAAA78QAIzAFAADqzAEuGASAAOrmACdwEQAA +/UBoHa/+7gB0qa8vxAD1gGgd7/6mAMppaGFSaGJCyDEnNABkX1T0oAYdoAIFANEPyDEnNABkX0L0 +oAYdoAIFANEPAI4T5uYAIYA5gAAnNADLXBjzpB/zpCRUAKj/ryLRD4sV+iAoFaAMBQBbjuCLFPog +CBWgDAUAW47ciRPmlgAhgDmAACc0AMhRJFQAZq7qG/OVGvOVq6qqItEPHfOSjhMc85Gf4K3MrCLR +D2wQBIswJrAAJwoA6GlJbcgEgABkYEELuQL4IAIdoAoFAPwBIh2gLTUAbQgoaGwVfGESfWE26Iz/ +JVAFAADmJAAhEAUAALF3q3kmkABoaVBkgGNkYEpj/9DAQOQkACTABQAA+GAGFaACBQDRDyyQAP2F +IATQBQUAq3vmsAAtuASAAG0IFORgGGKoBQAAJnABsXfoaQlryASAAGP/5Kt7q1nJgsDQ7SQAJPAF +AADuNgAtEASAANEPxirRDwAAbBAIW/7V5qabbRAEgAAc81ga810V81/55rYF4AsVAPfmtgXgBAUA +GPNbKJalLJakJJanH/NZL5amHvNYLpapHfNYLZaoLJarGPNXKJaqLaKCH/NVHvNW0w8P3QEO3QIt +poIc81MspoYrpocoUjUpSkXppqUkMdmAABLzT/3mnAWv8/UA9+X+BaAJRQAowvAJiAIoxvAf80ke +80qe8CxiwB3zSQ3MASxmwC9i0CjqwPngBAexGFUACP8CL2bQHvNCLmbRKGLYHfNBHPNBDYgBDIgC +KGbYLmLbH/M/D+4CLmbbHfM9LSY1L2LSHPM8GPM8DP8BCP8CL2bSLWLSLkoADt0CLWbSLWLSHPM3 +LMCAx+4O3QHtZtIuEHAAACxi0x7zMh3zMg7MAQ3MAixm0yNm9CNm9S8KgC1i3h7zLQ8CANMPDt0B +LWbeK2LewMQMuwIrZt4pYsMa8yf7IAQEtQoFAAqZAilmwy5iwRjzIwjuAQ/uAi5mwSxiwh3zIQ3M +AixmwioiLBvzH/tABAV0GwUAC6oCKiYsLyItGvMdGfMaGPMaHPMbCf8BCP8C/kWmFeALVQBbjBwa +8xX95iwFoAtlAFuMGBrzEv3mJAWgC3UAW4wVGvMO/eYeBaALhQBbjBEa8wv95hgFoAuVAFuMDhrz +B/3mEAWgC6UAW4wKGvME/eYIBaALtQBbjAca8wMc8wUf8wP+QcYV4CsFAFuMAvvl/AWiS0UA/ABC +HaANJQBbjgz75fQFoUsVAPwAAh3g/PUAW44H++XqBaFLFQD8AAId4Pz1AFuOAxry8P3l5AWg6EUA ++EFGFaArNQBbi+4a8uv95doFoCtFAFuL6xry5/3l0gWgK1UAW4vnG/LomyybK5stKVBEmRAtYtyd +ESxi2AxMU+wWAiSkmYAAmRD1ICWokgCdAJkQ9SAqORIAnQD1IC0RkgCdAMYqGfJjZiPXLpCAZORR +wCBmI8wS8tUvItQZ8tQY8tQJ/wH55gAPsCrlAP5ahhXgC3UAW2jRKnF98UAefpIAnQD6BWIdoAsV +AFtovPoFYh2gK5UAW2jI+gZCHaALFQBbaLf6BkIdoCuVAFtow/oF4h2gCxUAW2ix+gXiHaArxQBb +aL36BMIdoAsVAFtorPoEwh2gK5UAW2i4+gdCHaBLdQBbaLX6ACId4GoFAFtoo/oFoh3gagUAW2iw ++gbCHaALNQBbaJ76BsIdoCvlAFtoqvoG4h2gCxUAW2iY+gbiHaA7xQBbaKX6ACId4KolAFtok/oF +Ih3gqiUAW2if+gSiHaALJQBbaI36BKIdoAs1AFtomvoHYh2gCyUAW2iI+gdiHaALZQBbaJT6ACId +4Lo1AFtogitxgiIKGPpAF3jiAJ0A+grCHeC6NQBbaIv6COIdoAsVAFtoeSxxgvxAFvCiAJ0A+gji +HaA7pQBbaIP6CMIdoAsVAFtocS1xgg8CAA8CAPxAFjjiAJ0A+gjCHaA7lQBbaHn6CAIdoEvFAFto +dvoGYh2gS9UAW2h0+ghCHaBL9QBbaHH6ByIdoEvlAFtobvoJoh2gCxUAW2hcEvJn+gwiHeBK1QBb +aGgoYv4Z8g8JiAIoZv4uIpAvCi8P7gIuJpBb/FTmoeltEASAABzxhdMPLMJ/ZMOsG/GM+3AQFeAJ +BQBtyQwAkAQLDBt/xwGxmrGZHfJWHvJUGPJT6vJVHUgEgAAsgoDH+w/MAS9xeyuc/f0QBhWgCEUA +64k4B/y8gAD1IBhYkgCdAPUgGtESAJ0AaZQvLlYpKlYqLVYr9KUGFaAAjgAAAAAAAAAA9SAXYJIA +nQD1IBnhEgCdAPUgGfoSAJ0A9SAT6RIAnQAb8Yka8hYZ8W8ppowrppAd8jYPAgAp1owr1pAc8jQp +xowrxpAY8jMphowrhpBb+/LmoRFtEASAABXyLxryCA8CACiicRvx+/9mqBXv7OUADIgBKKZx7xYD +J4D5gADAoFt9Zxvx86WtJNaBjhMu1oIk1oMZ8iEp1oAvsmjvFgQngOGAAMChW31dpakkloGKFCqW +giSWgxjyGCiWgBryGP3kMAWgSwUAbboRLaJ/pdv9oAS0IgCdACO2gLSqLnF+ZOGXwNAa8f38H+Id +oCt1AFuNDBrx+RvyDBzyDFuK+hjx3xnyCimGcBrx9BzyCR3yCh/yB/8PBhXiSwUAW40BxLDAwwy7 +LPouAA5//cUA6nF+JmANAAANzAHuuxEOZgKAAP1mAA2wDBUADLsCHPG/68alLQCmAAAtcX/M2S5x +gMzkL3GCZPIU0Q8A9XAGFa/9tgAoUmhlicIpcX5kkecsoqQd8e4NzAH9VIYVr+bCAAAAAPoFoh2g +CxUAW2fJ+gWiHaArlQBbZ9Zj/BsAAAAAAAAA+gBCHeC6NQBbZ8Fj/QYAAPoI4h2gCyUAW2e9Y/0X +AAD6CMIdoAslAFtnuWP9LgAAAAAAAAAukiFk66fAoFua0/NAaB2v7oYAwKT946AFoAuFAFugxY8Q +iBIb8bf6ICgVoAkVAAmINpgSC6oC6hYBL4xEAADApP3jjAWgC4UAW6C6GvHE0qAsYtge8cONEu7M +AQ7rAoAADcwCLGbYixAc8XyNEQu7Cwy7C+1m3CXYBwAA+3AAFeBMhQBbmVXaIFv6cPNAaB2v7EoA +LnF/Ze5hL3GAZf5bKHGCZY5VKXGBZZ5P//k4DaANxQAkVLQkVLotULArULYsULkuULMkVLMkVLms +u67dLVSw+rbGHe/1kgDApP3jRAWgC4UAW6CTiRIY8aCPEQSZNpkSCP8C/iAmFe/8lgAb8Zz6pQYV +7/S2AAAkVigkVLQkVLckVLouULAsULktULYvULMkVLMkVLYkVLmv7q7drcz8tgYdr/P2AAAAAADA +pP3jGAWgC4UAW6B68+MKBa/84gBj/GoqVin0pQYVr/NaAAAAKlYp9KUGFa/zJgAuVikqViotViv0 +pQYVr/LiAChxf2WOESlxgGWeCyxxgmXOBWP3vwAtcYFl3eQqYuAc8XYb8XYMqgELqgIqZuAoYsAZ +8KsJiAIoZsAuYtgf8QAa8U0c8W8P7gL+2wYVoCsFAFuKS9EPAABsEBoY8WoogkBkhCP94tIFoAMF +APQAAh3gBAUA9gACHaAIBQD4I2YVoA8FAP4iJhXgDgUA/iIGFaANBQD8IoYV4AcFAPYkJhXgAgUA +8iJmFaAHBQD2IqYVoAIFAPQiRhWgBgUA9CNGFeAEBQD8IsYVoAwFAPwjphWgBQUAGPFOH/EEKIKQ +L/JfqDgJiBGo/y8WIi3xEo77nhGdFY/+7xYCKdAEgADvFgco2ASAAFtnKSsSECwSES0SEi4SEy8S +FCoWFykSIuoWHC0lGgAAJhYlIxYkiBAqkhCYnIMRhhUmlRIqFiaTm4gUKJUTI5IaKhIWpoanN+MS +HSMz/QAA6KX/JUALAAAmhQAklFEoEiaGEZOfJZYRpjOoVSaQbyMWHSiQUCMSGigWGKhEo2MokHAm +EhUjFhojkHGmhigSISYWFSaQc6g4IxIbKBYhKJEwo2MmkTEjFhuvjy8WFCiRMyORMqJiJpBsqzus +jBjxECOQba5uKIAJJhIl7T0IBVARAADjEiQkfE6AACgSGCiUXvgjJhWgAC4AACiQXigWGSoWFisW +ECwWERnxAS0WEigSGSmSQC4WE+hmCAGYBQAA+H/12+IAnQAY8PkogkIqEhopEhfpFhwkBjmAAPgi +qBXgAwUAbQi0JhYlFvDzGPCpJmKSIxYnKIJfpjMJMxGjgyMWHygSISYwcSQ0USU2EahoJjEwKBYh +KDExr28mMTKigigxM6trJjIarIwoMGynZyYwba6OKDBvrW0mMHCqihjw3KlpJjIQKIAJIzBQIxYe +plUmEiWjROMSJyR8eoAAKRYVIhYoKBIfIhIeIhYgIoRe8iUIFaAAQgAoEh8pFhUogF4oFiAZ8Moo +EiApkkLoZggBmAUAAHk7BSkSFWP/RGQiDBjwxioWGiKFfyuFgeyFgyOBuYAALxYUGfDALhYTLRYS +LJWDK5WB4pV/K9AEgABbfBYtEhIuEhPvEhQtOASAAPvhbgXgAFYALIWDIoV/KhYa64WBJFv7AAAq +EhontsQS8GQsIX4b8K7pIX8mDDmAACMhgCiwfS60fAPYOei0fSSAyYAAKrR/LRIbLhIhKBIVKLSA +LrSBLbSCLSF9/6LABpAOBQAZ8J0utHkutHj/MGQdoAAiAABkwXwX8JUqckHkdkglDSmAAP4ihhXg +AwUAH/CRL/KRLiJfrz8J/xGv7i4WIyzhEo3rnRmcHY7u7hYKIdAhAADuFg8g2IEAAFtmbikSI+oW +HC0OOgAAJZYRJJRRLZBQix2IGC8SHYwcLJUTn5+YnCuVEogZ+SFmFaAOFQAO3TctlFD/IggVp90B +AK1EK3AJqP/vFh0hmAUAAO5VCAX8MoAA/SvGHeAAHgAtkF4uckGtZv5/+yuiAJ0AJXY+L3IoKCF9 +IhIUJHZD9uiGFaCIMQAILznvdigtEASAANEPGfBfKZJCZJDq/gACHaAHBQDyAAIdoA8FAPYAAh2g +BAUA9AACHeAIBQD8AAIdoAsFAPokJhXgCgUA+iKmFaANBQD8I4YV4AoFAPwjZhWgDQUA/AACHaAL +BQD4I6YVr/UyAGWeeSghgmWOcyMhgCqwfQPaOftvph2v+kIAAGW972XN7GR+RyoWGi8WFBjwPy4W +Ey0WEiKFfyuFgf0QZB2v95YAAABlnn8pIYJlnnllPnYc8DYutHkutHguxYMpwX0qwXkowX8uxkQJ +qgwIqgz7kCQdr/lWACV2PiR2QypyKCZ2RPIjiBWgzTEADPo5KnYo0Q8AAP4AAh2gDwUA9gACHaAE +BQD0AAId4AwFAPwjZhWgCQUA+CKmFeANBQD8I4YV4AoFAPokJhWgCAUA+gACHaANBQD4I6YVr/ZW +ACISHNEPAAAAbBAEGO/G0w8igXvANfJGAIXgBgUAF+8TKXJ/ypLk8AsbKASAAG0IFSZEgCpyf+Vc +ASVT/QAA5aMHciAFAABj/+MjgX15Pxt6PxgrgX7NsiyBf8zNLYGAzNgugYHM4y+Bgsjw0Q8S7/om +JmYmJpnRD2wQBBPvqhjv9/RvZBWgAgUAIjWBCEQBJDV70Q9sEATAINEPAGwQLOnv8BEUKYAA9EAU +aJIAnQD0QBTBEgCdAPRAFioSAJ0AaCUFxirRDwAAHO9nLMB9Gu/l6O/lFnxMgAAjgcQHMxH6YABB +sAA2AAAjgcMHMxGqM/oAgh2gCxUA7O/dGWgEgADuVAAJ+ASAAFueucCl/GBoHaALFQBbnrYqMAAj +FkT4MoAVoAUFAPFN8A3g9PUA9UAGvC/ipQD2AAId4AwFAPwpZhWgAOYAAAAAAGRxxysSRYhxLBJG +7RJHINBBAAALgADmofxtEASAACgSRCiAANVg8QhADeD59QB5gXwrHQHqHBAl2EEAAFv71SgQEOoW +TCKwBQAADwIA/xzQDeBctQB8iacoHJSopS1Qe8XtDwIAftmXLR0B6hwQJthRAADs3Bgm6HEAAFv7 +YeekAAUXIYAALhJLZeLMFO+mj6F0+Yn4KIgVoAkVACkWSxnvnQOIDPkjphWv/dYAAGYhZBvvmcCh ++3GGHaABMgAAwGD4oABCv+KlAPoAQh2gCxUA7O+WG2gEgABbnnPAovoAIh3gCQUA+K+mHeAIpQDo +VHwg4EEAAFueaxvvh8Ch6rSMKQkSAAAf74Qv8h38AAIdoA4FAP5OAAxwBBUA6oQABAhxgADt9w55 +wASAAI4w7EQAAcARAAAKGhTLoYmA7MwCJVv9AAAPAgAPAgBtuRPrggEmYAkAAO6eCARAIQAAiYCu +vu6CAS9QBIAAqpio7vOAAQXxjwEA5IBBYMgHAAAf72b7YAgV4A0VAPopBhXgCkUA6KoMBMiBAAD5 +AABEcAkFANMPbakH6YQAJEAFAAAsEkgt9Iyuzi72HtEPH+9W/+PGFaANFQAt9IzRDyUSTPgygBWv +4qUA+KAAQr/7vgAZ7u4ANRH4oABB//b6AAAAFe9QGO50ADMRpTX4YABBv/amABXvTBjvTAAzEaU1 ++GAAQb/2WgAAACUSTCgclPigAEK/+qYAwJEptIzRDwAAAP/88A2gDgUA+96CBeAKZQD8ACId4A4V +APhgABKwHwUA45IcKuAEgABbehvAcAfkFgECACUWSf3ebAWgClUA/ClGFaALBQBbngwW7zAPAgAP +AgAGAIaWEBbvLyoKBfRgaB2gCwUA5AwAC2AEgABbngL73k4FoBsFAFufnQfkFvoAwh2gCwUA/AAC +HaANBQD+AAIdoA8FAFt6ACgwACkK//kABOxiAJ0ALBJJE+8P+94uBeAKZQD/3WwF4A0VAPJjiBXg +DhUAW3n0wHAH5BYBAgDApfwpSBWgCwUAW53nGu8O6O8LGcgEgADTD22qBQgAhgkCYSoKBfzAaB2g +CwUAW53eGu8CG+6jW596B+QW+gDCHaALBQD8AAIdoA0FAP4AAh2gDwUAW3ncY/xMwKL93fYFoAsV +AFud0P/1fA2v4qUAGO74CCCH8gSoHe/y5QDRD2wQBBXu9NMPJFIeI1IdIlIf9922Ba/39QD0YABB +sAgFAPJAAEFwBAUAbSlhImKQI1Lh4kIIAiAFAAAJIhGiMigkISgkICglEyglEpgsmCsoJhAoJhEo +JFEoJFAoJF4oJF8oJSonJHgoJTEoJTIoJTMoJhooJGwoJG0oJG8oJHAoJHEoJHcoJTooJHMoJHvR +D2wQDiQWEBTu0CIWEYlGiECKRYxEjUOOQo9BnxGeEp0TnBSaFZgQmRaIR5gXhEjkFggp2ASAAOQk +AAEM8YAA7O7DEYyxgAD/3YIFoA2VAC0mESXC+C/i9/2eSBWvCEUA+KAAQr/9BQANVQHqVAAGAMGA +AC7i8w/+OX5TCh/utPX/BhXgABoAwKDlpAAFCzmAAOzuMxKNeYAAJUYS6xYNKLAEgAD4gKgV4AcF +APgh5hXgAwUAiB2FYAhVKPKCSBWgaEUACFUs+mAIANZVHQD0IcYV4VWdAOciCArQBIAAW4r7Hu6b +lRwv4vgo4vMp4vL77wAPv/uFAOv/AQ1oBIAA6vQABICxgAAp4vcJmDl48wj/3wYV4AAeAADAoOWk +AAUDkYAAZFC25SYAKtAEgAD6IYgV4AwFAFuWpIsfLBIQjh7uJgMpgQqAAPxAhhWgDRUA6yYBLugK +gADtJgYvfoKAAK+7mx/s7AgF2wEAAJsi7BYQJmP9AACcJSpCEbRm4zwBI7hxAAD6f/lbogCdAMAg +0Q8l4u0s4u4o4uvp4uoiqB0AAOtVAQYBGYAACJgMCMw2KeLo5d8IBIDRgAB/wxL/3aYV7/2GAAAA +AP0gaB2v/44A//04DaAFBQDBJtEPwKD9274FoAtlAFudLPRABhXv8kUA0Q8Z7lYa7lUlku0qouss +ku7pkuoiqD0AAA1VAR3uTwqaDO3S6CYCSYAACsw25NAWYtAHAAAqrPx6wwsc7kj7naYVr/l2AAD/ ++VANoAUFAAAAAMCg/B+CHeALZQBbnRL0gkYV4ALFANEPAAAAAAAA/SBoHa/+6gBsEAhbZnwc7acX +7jcswH0jCgH670gV4AVVAPjwiBWgzAEADDU55boIDSAEgADmfKgtVkKAAPsAAEU3VQEAW2ZqKiYc +K2KQKnKEq1sJuxGrqltmYCsiHComHfqBng3gDAUA/EQGFaAAQgB7SwgEvQwNbRQtJiAV7hb7TwAO +8A5FAO3cASlQBIAA/EPGFeALxQAW7XIZ7dEsJJguJJsuJJYlJhcjJJkrJhj6U4Yd4AglACgkmikm +GfbbSBWgCeUA+FPGHeAYBQD4U+YdoAvVAPpTph3vZoEAJiYa9kNmFaALBQBt6hIvoJwA8QQAPhrl +4Qh1UAUAALG7wLQLDkcuJJb1wAxqEgCdAP3b8gWgClUA/dvgBeA7BQBbnMYrIhwqIh0Lqgyxqgpq +FOmkAAUNsYAACgxfZMJ8KgogCY1XZNKACc5TZOKFCe9RZPKM5hYEJUP9AAAJijsnChEHpzbpIiAj +0/0AAAChBAA2GulpCAMz/QAA5iYfJMv9AAApJiFbZhLVoFtmHApaDLGqCmoU6aQABRK5gAAKC19k +senCoAmMV2TB7AnNU2TR8QnuUWTh+LCvCfo7Hu2U6iSUK4EKgADlEgQp0AqAAOcklSVT/QAAKiYi +KSCUtpkAkQTo4tkp+AqAAOgmIyf7/QAALyYkHO3ALuLaLyIiLSIjKSIkmRAoIJT4ICYVoApVAPhS +sBWgOwUA6BYCL/6CgABbnIUc7bYvIh0uIhwoIh6YEC0iGp0R+kNoFeAKVQDrFgIqaASAAPQgZhXg +OwUAW5x6HO2rKCIhLyIgLiIi/EPoFeAJlQD4IGYV4fsFAJsR+iBGFeAKVQD4IAYVoDsFAFucbQpr +EewiICFRcQAAW/7EwCDRDwAAAP3bNgWgClUA/dscBeA7BQBbnGMqIJwtIhfTDwChBOA+Gg0CCoAA +DQkZZJE2LCIYsMvgsAQHS/0AAPifAAz/+vUAbQgKCRkU5JARZVAFAABj/+7AoPYghhWv+boAAMEE +AD0a69z/LYIKgAD6nwAN//n1AG0ICgsbFOSwDGTIBQAAY//uAAAAAADsJJwpWASAAOqfDAZwDQAA +7iSfJlAFAADqJJ0mQAkAAP5TBh3gCgUA6CSeJ+gFAADtJJknwAkAAOgkmif4DQAA/lNmHeAORQDT +D23qEi2wnADRBAA8GuXBCHXYBQAAsarApAoOR/5Sxh2v9hoAAKkR//hEDaAaBQAImRH7XwAVr/gy +AAyZEftfgBWv+B4AAAAOmRH7X8AVr/gCAACpEf/1/A2gGgUAAAAImRH7XwAVr/XiAAyZEftfgBWv +9c4AAAAOmRH7X8AVr/WyAAAAAAAA//cgDaAKBQAAAADAov3ahAWgCwUAW5wM/dqCBaAKVQD92mQF +4DsFAFucB2P9AwAAbBAIGOyiFO0xKIB9K0LQKkLa6bsRCbAEgADrqggEfEiAACqtASqsgFt/P2AA +CgAAKq0DKqyAW388Gex3LZIR7kIIJoF5gADAIItGhUWPR45IHO0nlRCJSZkR+iBGFeAKVQDyIGYV +oDsFAFub69EPAAAAGu0gyud+oyWPRxrsisr7f6MphUXLUHWjLotG8WBwDeBMBQB7yyv//tQNr+Kl +AItGhUWPR//+nA2v4qUAi0aFRf/+bA2v4qUAi0b//kQNr+KlABvsIB3tDO1GCSEY0QAAky3jJg4h +KPEAAJUv9EIGFeJKBQBbd+7XoPpQAh3iSgUAW3frjkaaFQ8CAPfDAA1wiwUAWAZe60IGJQwhgACV +FuYWBCWBwYAA90BoHaAFBQD6wGgdr/v1AP3YDAXiTAUAWAY3iS24qJiRmaKTo5gtj0bmdggCqAUA +AH9T04cVikX3QwANcIsFAFgGSdag5UIFJQipgADLUvIgyBXgBQUA+sBoHa/79QD8SAIdoo0FAFgG +I40vuKyc0Z2ik6OcL4tF5nYIAqgFAAB7U9OKSVuJKyVC+C5C8sdg6lUMDWgEgADmVQEHAMGAAClC +9yhC8wmYOXhTB/SfBhXgABoAwFBkUaDn7EIShomAAOUmCSrQBIAA+oEoFeAMBQBblNcb7MP6QwQd +4AoFAJormiqKR1uJEyVC+CxC8upVDA1oBIAA5lUBBgDRgAApQvcoQvMJmDl4Uwn0nwYV4AAiAAAA +wFBkUXxkURTlJhMq0ASAAPqA6BXgDAUAW5TAikZbiQDBsFgGCyomEutCBiUBsYAAwMBblLmKRVuI ++cGwWAYFKiYR5UIFLQLmAACLRh3r549H/oEIFa/yRQD9oigV7/caAACFRR3r4Y9H/oEIFa/yRQD9 +oigV7/a6AADAoPzgaB2gC2UAW5tZHevYlSmLRoVFj0f+gQgVr/JFAP2iKBXv9hYA+qBoHeAMBQBb +lJuKSFuI2yVC+C5C8upVDA1oBIAA5lUBBwDZgAApQvcoQvMJmDl4Uwr0nwYV4AAmAAAAAMBQZFEG +ZFDa5SYUKtAEgAD6gQgV4AwFAFuUiOsSBClQBIAAW/4tG+u5LbIRwMHqzTgNEASAAP1iJhXv9AoA +3HD6AAIdoAtlAFubMB3rsCUmE4tGhUWPR/6BCBWv8kUA/aIoFe/zhgAlQu0qQu7pQuoiqD0AAOZV +AQUGkYAALELrDJwMDKw2LkLoyO6l2nrDCvqdphWv+MoAAAAA//icDaAFBQAlQu0qQu7pQuoiqD0A +AOZVAQUE8YAALELrDJwMDKw2LkLoyeKl2nrDDvqdphWv+VoAAAAAAAAAAP/5HA2gBQUA3HD6AAId +oAtlAFubBx3rhiUmFItGhUWPR/6BCBWv8kUA/aIoFe/w8gAlQu0qQu7pQuoiqD0AAOZVAQUB6YAA +LELrDJwMDKw2LkLoyeGl2nrDDfqdphWv+zIAAAAAAAAA//r4DaAFBQD9IGgdr/zeAP0gaB2v/a4A +/SBoHa//MgBsEAYZ64YV7BXTDymQfSpS0ChS2uRdAS1WQoAA6ogIBPzQgAAqjQH7UAAVoIYFAFt+ +HSxCkCtS2gnMEay75roIDSAEgABbfhT6jwALMAC+AAAAAAAqjQP7UAAVooYFAFt+ES5CkC1S2gnu +Ea7d5toIDSAEgABbfggKRgwvUvIoUvgGbQruUvMu70KAAP0PAAx/9wUA54gBB4CxgAAvUvcP/jl+ +gwj4vwYVoAAeAADAgOSEAAQMcYAA6OttEhRRgAAa6+SUI/bAAga0nR0A6gAFDu9CgABtmQIEAmEo +UvgrUvIvUvcNiAzniAEFgKmAAC5S8w/+OX6DB/i/BhWgABoAwIDkhAAEDHGAAGRBHeQmBCpQBIAA +/aBoHaALBQBbk1cPZBHaQFuILShS+ClS8pQQ6ogMDWgEgADniAEEgMGAACpS9ylS8wqpOXmDB/i/ +BhWgABoAwIDkhAAEC7GAAGRBG+QmASpQBIAA+iAIFeAMBQBbk9naYFuIGShS+CtS8uqIDA1oBIAA +54gBBYDBgAAqUvcpUvMKqTl5gwf4vwYVoAAaAMCA5IQABAsRgADNR8Cg/dZcBaALZQBbmnv0QEYV +r/JFANEPAADkJgIqUASAAPrAaB3gDAUAW5O/HuutKVIb+EKGHeAPBQAvJir+RkYV4G1FAPxLRB3g +DDUA/EuEHaQLBQD6RuYV4AgVACgkrCglWyglXS4mNO4mNSnQBIAAWlOpwCDRDwAAAADAoP3WHgWg +C2UAW5pc9ECGFa/yRQDRDyhS7S9S7u5S6iRAPQAA54gBB4hhgAApUusJ6QwJ/zYqUujIq6jaevMH ++r2mFaAAGgDAgPUAaB2v+P4AwKD91fYFoAtlAFuaSPRAJhWv8kUA0Q8oUu0vUu7uUuokQD0AAOeI +AQeGEYAAKVLrCekMCf82KlLoyKuo2nrzB/q9phWgABoAwID1AGgdr/j+AAAoUu0vUu7uUuokQD0A +AOeIAQeEcYAAKVLrCekMCf82KlLoyKuo1HTzB/S9phWgABoAwID1AGgdr/leAChS7S9S7u5S6iRA +PQAA54gBB4LZgAApUusJ6QwJ/zYqUujIq6jaevMH+r2mFaAAGgDAgPUAaB2v+a4AwKD9AGgdoAtl +AFuaFfRAZhWv8kUA0Q8AAAD/wGgd7/v2AP/AaB3v/R4A/8BoHe/97gD/wGgd7/66AGwQJBvrRPog +aB2gTAUAW5KwG+tB+igAFaBMBQBbkqzr6z8Q0f0AAPtAIBWgjAUAW5KoFus7EuqG9gACHeADJQAk +Yb7aQFuX5f1f4BWgG/UADLsM60UGfWAEgACxrPggaB2gDgUA/PgAErApBQDgzBEL2gKAAOy7AgDR +/QAA7BxAJVAFAADrSwIDuAUAAOsm+SIjYQAAbZo76YEAJEAJAADrogAlUBEAAO3BACZgCQAACUko +5e8CB3AFAAALmSzjmTUO3MKAAOuZAg/cAoAAC5kCKSb37wIAAzAJAAD4//sF0gCdAMAg0Q9sEAYb +6w7TD9MPK7J/DwIA8WhADeAHBQAW6wr11hQF4A0FAPwgJhXgAwUALmB98gAiHaAPhQDvfwIHAiGA +AJ8Q6nQACVgEgABbk6TUoPwgCBWgC/UAW46TiREFSwr4YABE8IoFAAqZAim2gChgfbEz6DPMcRAF +AAAb6vErsn+MEew8CAMwBQAA7BYBI7gFAAD68vYN4AMFANEPAABsEBQZ6cfTDymSf+cUAASj6YAA +G+nN+3AQFeAIBQBtmQwAgAQLDBt/xwGxirGIHup281+gFeAPRQAD+jj6LgAOsVQFAP3QBhXgAwUA +2jBbdQixM3Q59Rrq1Rvq1Rzq1v/VrAWgCAUA9gACHaBJBQDTD22aJAqJCimdBJaQDokKKZ0ElpAM +iQopnQSWkOuJCgRABQAAKZ0ElpBbdM/51ZAFoAqFAA8CANMPbaoH5oYwJEATAAAY6ZvTD9MPKIJ/ +0w8PAgDxA9AN4AMFABTquBXqRiZGwiZGwyZGxCZGxeZGxinQBIAAW3SvKkLAGemNKZJ/BaoC6kbA +IZgFAADpM9FyIIMAAPPUzgXgAgUA2iBbdKGjquamgClQBIAAW3Sa46sIARCBAADmtoApd4gAABrq +pMCA0w/3VeYVoluFAG26FiamxiamxyamyCamySamyuimxSRABQAAJXwQ+KBoHaAaBQAPAgDTD9MP +baoH5oYAJEARAAD0EQIdoAMFAOtUAAnQBIAAW3RtIzwBdDnuGelkKZJ/89UYBeAEJQDxI1AN4AgF +ABvqghzqiG0IISqygAyqAiq2gBnpWimSfwOKCuSmjSRABQAA6YsKddiDAABj/9cAAADxI2AN4AUF +ABjqdBvpWtMP0w8rsIBtCBzodh0qggqAAPkEACWgy50A84AR/9IAnQCxVXlbCmP/3AAAG+lOK7CA +7XxgLfYCgAAf6mkPAgAi8oAV6mkFIgEC7gIu9oAc6mcswtv/kAAWP/4FAOY2iyZgPQAADswBDBwM +LHYcAcEAGOpgI4CAL4CBx+7yYACB8GZFAO9lDAmdwoAABjMsDjMBDz8o9GMACfAFZQAG/ywF+wEG +MywOMwEDQzfzYABF//OFAAP/AfvgAEVwCTUA78YAJEANAADvRAAGYBEAAPXAaB2gDgUAbZpJ6YCA +JEANAACq7uvWACboEQAACZkJ6YB+LNXCgAAGqiwEqgEJqygJaQwGuywJqSgDugEFuwEGmSwEmQHq +xgAmYBEAAAn5N6m7q6qb0PvAAEQ2DwUAePsowJBtCB8sfGAMnAqLwLGZCQlB7bz+JZQxAADtxgAk +Q/kAAHj7A2P/2QD/BbIN4AkFANMPbQgfLHxgDJwKi8CxmQkJQeiyDGXoCQAA7cYAJEAJAAB/iwNj +/9cALXxgG+oUj9DvtoQm8BEAAB/qFo7g7vaEJuAhAAAe6hSMwOzmhCbQMQAAG+oSiqAqtoQochyN +gBrqB7SMjMAtpogd6gq4i4uwLNaIHOoJvImJkCvGiBrqBymmiC5yHBrppo/jLOIALeICLuIB/G4A +DjP/HQD38AAXs90dAPugABaz7h0A790CD3aCgAAOzAL9hgAOcCuVAFuCmsAg2iBbc16xImkk9R/o +ww8CAC/yf/HhoA3gAwUA+mBoHaXr5QBbc7kS6LwiIn+xM3Iz6cAg0Q8A2lD86gAVoAsFAFuWQyhy +HSxxKCyGiunoshPZSQAAKrEAK7EB6ZJ/LVQCgADrqgICqAUAAOqGiSRAgwAA+L/rA+IAnQAb6LEr +sIBj/Ydj+54AbBAIFOnUF+kjE+kgKEGkHOkw/pCkFaAS9QDlQYkkDFGAACtBiyZBjSpBj6W9pt2q +3f3AGxPk9QEA8/N8DeSLAQDzEvwN5JYBAGWTJ/pAGSCiAJ0ABegMDeIMIkWRKEWIC48MBv8M/pGE +He+IAQALiQwpRYoC/wz+kgQd7/8BAAr5DOlFjixHgoAAIkGK6DaEKReCgAAiNociQY4O/xHvNoUp +F4KAACI2hixBju82/S5ngoAA/H+GFaACBQBmIrIiQkrLKfZAF6XSAJ0AsCjzABgQogCdAAIqAluW +Oy0yiixBhOfdAQ10AoAADt0CLTaKLDb+KTKBG+joC5kCKTaBKkGE99MqBaACBQDl6ZQVAZGAAGAA +BwAAKkGEeisiLzK95v8BCUcCgAAI/wIvNr0lNrxbhbTkr99hEAUAAMcu0Q8Kqwoa6YcpoXzrNo0k +jdGAACwygR3phA3MASw2gSJCTCdBkSpBjyZBjStBiy5BhS9BpCVBiSI2jOJCSieNAYAAlhCXEZIT +HOl477QAD2gEgADqFgIq8ASAAPoAgh2gC2UAW5gcwCDRDysyhCpBhClBhQuqDAoqFCpFiQqZDClF +iCYyhCgyhyVBiAhmDAYmFCZFiwZVDCVFii4yhy8yhQ/uDA4uFC5FjSwyhS5BhS0yhiVBiStBiw3M +DPaRpBWvrBEAKkWPpb33oABGv8wRAKzY+cAP46T1AQBl8c4LCERlgcgGCURlkcL6QA34ogCdACxB +pKrZBe8ML0WICekM+JIkHe/fAQAL3gzuRYomCCGAAAbrDCtFjAm5DPiSBB3vmQEACpsMK0WODtgR +IkGK6DaEKReCgAAiNocvQY4OnhHuNoUv/4KAAC82hixBju42/S5ngoAA/H+GFaACBQBmINIpMoF7 +llcoMooICFX7AAQA0AIVAAAiGuJGSiFyKYAA9kALldIAnQCwKfMgDACiAJ0A2iBblb0uMootQYTn +7gENfAKAAA/uAi42ii02/isygRzoagy7AvpwJhXv+BoAwID4iUYVr/fyACmhfWWeQyuhfmW+PSyh +gGXON2P+QBzpFJIT5xYCLfgEgADmFgAvaASAAOoWASrwBIAA+JLEFaAKRQD4IIYVoAtlAFuXssAg +0Q8L+AwGiAwoRYwKjAwJzAz8kgQdr5gBAAqeDP6RxB2v++YA0Q/tVAALeASAAOoWAC3wBIAA+gBC +HaALZQBbl6H/9KQNr+KlAJYR6xYAKvgEgADqFgImYkEAAPoAQh2gC2UAW5eY//QQDa/yRQD6AEId +oAtlAOzoRhloBIAAW5eRY/0sAAD6AEIdoAtlAOzoQRloBIAAW5eLY/0U3VD+wGgd4AolAOwWAC3w +BIAA/dBqBaALZQBbl4P/+kQNr+KlAACWERzoMKrdmhLrFgAq+ASAAPoAwh3gCiUAW5d6//mwDa/y +RQD6AEIdoAtlAOzoKBloBIAAW5dzY/y0AAD6AEIdoAtlAOzoIxloBIAAW5dtY/ycAABsEAYZ6MIa +6MIokAQoFAQpkgApFgBb/VwV6L8a6FX8sAQVoUsFAFuBVRrouw8CAA8CACqhf/NAFr6RAgUA+9CY +BaFLFQBbgUn1z8gFoMpBACxUTCtC02ay3i5SGS1SGB/or67Y+eAXG6IAnQDHfylSFu1GxCSV2YAA +KUbGLFIa5ugkFhLxgAApUhxkklOLXcAx/WLAQVAKBQBtCAqxqgChBAA9GnvbA2P/7gAtUMoZ6JuI +XypWEAuZLA2ILOlWEiRD+QAAKFYRLEbIiF0uUMqh7i7gAI1f6EbNL3CCgAAO3QIpUhApnPXtRs4s +zAKAAC1C2x7oiyhSHA7dAQ2ZAilG2yhGyo5cLVDLod0t0ACJXu5Gyy7oQoAADZkCLlIfLVIeKUbM +rtj54BGTogCdAI9fGOh9KlDKLlDLjF6oqqjuLuCAKqCAG+h4r8yuqqyqKFDLj16OX/i5UBXgHIUA +DP8sDO4sCe4sCP8s6mYbL/wCgAAP7gIuRscKqhGq2i1GxS2ygAzdLOpmGC7ugoAAraorsoEMuyzq +Zhkt3oKAAKuqKmYaGuhi/EACHeAMBQD+ACIdoAslAPrCZhXgDwUAWlX85qGpbRAEgACLXvLCZhXn +ux0AK2YhK1IjKlIiHOfMH+fpC3o4KlYiKVIjKFIiKvbbqYguUiUtUiQo9twOfTgtViQrUiUqUiQt +9terqilSKS5SKCr22Al+OC5WKChSKS1SKKjd7sb1Juv9AAArUigtxvYqUikpUigr9t+qmShSKy1S +Kin24Ah9OC1WKi5SKytSKq677cb3Jdv9AAAqUiorxvgpUisoUioq9uOpiC5SLS1SLCj25A59OC1W +LCxSLStSLC324ay7KlIxKVIwK/biCnk4KVYwKFIxLlIwKfbpqO4u9uosQvAd6CINzAIsRvAqQvAb +6CALqgIqRvApQsIpVjooQtgoVjsvQtkvVjwuQtsuVj0tQvAtVj4sQuwsVj/RD8AgJ0bGJ0bIIkbN +IkbOJ0bKIkbLIkbMImYbIkbHJ0bFJ2YYJ2YZ9sNGFe/7KgAA+88wBaFLFQBbgJQCrAL7zygFoUsV +AFuAlWP9EAAAAAD2mMYV7/UiAB3nOyzQfcDkDswC/a+mHa/0SgDAof3P9AWgC2UAW5aWxirRD8Ch +/c/uBaALZQBblpLGKtEPwKH9z+gFoAtlAFuWjtEPbBAGGecM+85+Ba/49QCYkJiRmJKYk5iUmJWY +lpiXK6KH/8/SBei7gQDrFgAgwBEAAC/yh/3PzAXo/4EA74YAIPAhAAAt0of7z8QF6N2BAO3mACDg +MQAAEuffK7KHKSA6886CBei7gQCbwMqQ6J8QDPQCgADv7gIM7gKAAA2dAg7dAiwgOy02wSw2wikg +Oh3n0i4gO48QihGp7uD5EQ96AoAA6fkCD3YCgAAJ6QIJqQINmQIpNrGIEg8CAA8CAOwSAyxEAoAA +CP8CD+4CDswCDcwCLDayG+cLK7KHLzKCKspx+lwABPK7yQDrmTcFwAkAAOr/AQTICQAA74gRDM5C +gAAJiAII/wIvNoIa57Qd5xj8cEgVoA4VAC4kwPhEqBXgiwUA/YAEBnBIBQAMuDnopIAkgTmAACk2 +oS8iEfHhkA3gBAUA+oBoHeAKBQBbcbgoIhGxRNMPeEPqKSInypEpNqIqIhTxQZAN4AQFAPqAaB3g +ChUAW3GuKyIUsUTTD3tD6ikiKcqRKTajLCIT8YGQDeADBQD6YGgd4AolAFtxpC0iE7Ez0w99M+ou +IhLxwXAN4AMFAPpgaB3gCjUAW3GcLyISsTN/M+zAINEPAGwQBBrnhNMPKqJ/KzroC6osKK39KIzg +bogKKxqX+2AKSqIAnQAqCmQT53wPAgD6b8QdoAsVAFtx4BTnePpv5B2gAgUA2iBbcdPaIFtxy8DQ +/IBGFeADBQDqJAAJ2ASAAFtxsLEzaTvvIiwB5EwQKSdUAADzzQ4F4AcFAPYAoh2gLAUABwJH/v+g +FeALBQDvyzgJUASAAFtxncCICHgCCAhHKDZSJTJTBSQR9G4ACjAFBQDlNlYiKAcAABrnWfoAQh3g +DAUA9pgAFLANVQD3JgAMsA4VAPhrBhXgDwUAWlTi5qCBbRAEgACxRHVJzMLAKwoAKzZYJ3wB6jJY +KQNKAAD4//v7UgCdAB/nAh7nRv3OPgXgCwUA+gACHaAUBQAEvAIMDEcsNlIKiRQAmRENmQIpNlML +CEfvAAUF2AUAAOkyUyxHAoAA7ogIBVAjAAD5E6gVoIkFAG2aAggCYWm2vmYgAltxoNEPAAAALepw +ra1u2AUuCs966wr/+rANoDolAAAAAC/6OK+vbvgExYd6iwf/+lANoBqVAP/6MA2gCqUAbBAEG+Y1 +/kBoHeAOFQAPAgAqsnZ/pxAssnAMzBDzjPINoA8FAAwvDP9FgAeQDSUALLJxDMwQf8tg7P8MBXR6 +gAD6ACIdoAsFAOzmJBloBIAAW5WdxirRD32n5S6ycg4OXwzuEH/j2Z0w/oAGFeAOJQD8QGgd4ApV +AP3MMgWgCwUAW5WRwCDRD5JA/mAGFeAOBQD+QGgd7/9uAJ9AnjD//zwNoA4VAAAAbBAEF+b3CTUR +5UUCCTeCgACnZpVg0Q8AbBAE8kAGFeVGBQD4AAId78wFAOklFCFYgQAA/WAEBbCKBQDlpToBYMEA +AOwmDCpABIAA/EGmFaA0+QDjaDkF2QEAAJsp6yYIIVDhAADoJRUq2ASAAFte4ANkOetUAApQBIAA +W3GLCm0ULSUC0Q8AAGwQBBrm1uKihyloBIAAKKKBKaKG/E8ACXCzTQDisgEEAKmAACiiggmYOXgj +B/NQ5hWgABoAwCDPIyKifCyifaMi6aJ5IRP9AADisgEGAdmAACuiegubDAvLNiyid8jLrSx8swf9 +T4YVoAAaAMAgyCHRDwDAoP3L8gWgC2UAW5VG0Q8AAAAAAAD7IGgd7/86AGwQBhjmbNMPKIIg8QTA +DeACBQAU5lMT5mckQpMjMuGkJAlEEaQzhDeETisaUPqAaB2gDAUAW46A+mHGFaBLBQD6iAAVoAwF +AFuOexjmWpo/DwIAKIIgsSJ4I7cb5lYrsh3xeVAN4AIFABPmZ8DAnBPrMH0pUASAAFuPChvmTo0T +K7IdCt037RYDIRAFAADrI95xmAUAAI4THOZH7cIeJ3AFAACeEyzCH50Qq939gABGcA0FAO0WAiYK +AYAA88yoBeCCBQD1zE4F4A0FAPwgJhXgAiYAAC9AUC5EUa7+7hYAL1AEgAD+4Ag6ogCdAB3mMR7m +HI8T908ADHAKBQBtiS4o4pOnqynS4auI6EIALGZCgACsmZiYJpQNJZQMr6golDfiuwIFUAUAAAOI +CiuGgIgTqKiYExrmHxXmCokSLKIeK6Id6qIfJMgFAACZEqy7q6r7IAUaogCdACVSkBrmFiQSAiqi +4QVECO4SAComQoAACkQIJkANDucC5UAMI3rBgAAX5fcoEgEncpMIdwjoQgArvkKAAKenJXQM5nQN +K1gEgADodggq0ASAAFuOvogR6kBQLUgEgAApdDfoRFEkQAUAAOgWASgECoAA91/7OVIAnQAnEgAH +rAjnRFEmW/0AAOsWAC3QBIAA+v/4C+IAnQD//OwNoAoFANEPwND8IGYV7/paAGwQBBfmMhTmMuzm +MhloBIAA9O+mFaAKVQDkdn4iIDEAAPTwBhWgCwUA5HaBKT6CgADuNAALoASAAFuUsvfLvgWvyQUA +CTMB8uEACfACBQDsYu4hg0mAACpi7Sti6i5i6O1i6yVQ/QAACaoB5KQABgBRgAANuwwLyzbjqggH +AHmAAHqzB/rdphWgABoAwEBkQMJkQR/lNAABgOGAAJJO4kYPKlAEgABaTcolXMDlX+xiIQEAAPLv +AAp/yQUA6mL4IgJ5gAAvYvIsYvcEqgzpqgEHgKmAACti8wzLOXujB/rfBhWgABoAwKDKqGSgnuNE +AAIA8YAA1KCSTuJGDypQBIAAWk2zIzzA5T/sYiEBAADAINEPACti6ipi7Sxi7i5i6PtH4BWvzQUA +7aoBBgBpgAAtYusNuwwLyzbI76SsfLML/N2mFa/+mgAAAAAA//5oDaAKBQAkYvgvYvLyjwAKf8gF +AOhEAQeA8YAAKWL3KGLzCZg5eEMN9N8GFa/8XgAAAAAAAAD//CQNoAQFAPoAAh2gC2UA7OUNGmgE +gABblFnAof3LmgWgC2UAW5RWxyTRDwAAAAD8YGgd4AoFAP3LkAWgC2UAW5RPwKH9y4oFoAtlAFuU +TMck0Q9sEBQY5RcPAgDTDyiBfyIWHfxgaB2ghQUA+CAABDADBQD4rQAJv/r1AOwWBinYBIAAW3Bg +0qD6AAId7/r1AFtwXSoWG/oAAh3v+vUAW3BZKhYa+gACHe/69QBbcFYqFhn7/+IdoQsFAFtwUioW +GPv/4h2hCwUAW3BPKhYW+//iHaELBQBbcEsqFhX6AAId7/r1AFtwSCoWEPoAAh3gSgUAW3BEmh/7 +/+IdowsFAFtwQSoWFPogAh3iSgUAW3A+KhYT+rACHeJKBQBbcDoqFhL6cAId4koFAFtwNyoWEfoA +Ah3v+vUAW3Azmh76AAId7/r1AFtwMJod+gACHe/69QBbcC0qFgz7/+Idp4sFAFtwKZob+//iHaeL +BQBbcCaaGvv/4h2niwUAW3AjKhYJ+//iHaCLBQBbcB+aGPv/4h2hCwUAW3Ac1qD6AAId7/r1AFtw +Gdeg+//iHaMLBQBbcBXVoPv/4h2hiwUAW3ASmhf7/+IdoIsFAFtwD5oV+gACHe/69QBbcAwU5WEu +EhuNSC8SGYxHDt0ojkoMKyibFA/uKK27LxIajUkP3SgvEhau3Y5MrbuNSw/uKC8SGA/dKC8SFK7d +jk6tu41ND+4oLxIVD90oLxITrt0uQhKtuy1CEQ/uKC8SEg/dKC8SEK7dLkIerbstQhAP7igvEhEP +3SiPH67dLkIfrbstQhsP7iiPHg/dKI8crt0uQh2tuy1CHA/uKI8dD90ojxqu3S5CIa27LUIgD+4o +jxsP3SiPGK7dLkIjrbstQiIP7iiPGQ/dKK7drbsuQiYtQiUH7igG3SiPF67drbsuQiotQikP7igF +3SiPFa7drbsuQistQicP7igK3Siu3a27HeUilhEt0X+XEpUTfcsOhRT6IAYVoABGAAAAAAAA+iAG +FaAFBQD1YABFcIsFAFgCStmg56QACAQKgAD7QEhQEgCdAGRQRY9HDwIADwIA8eNQDeAGBQDqFh4t +KASAAPqgaB2v+/UA/GBoHe/89QBb/gtaTKuIR+UlCAMwBQAADwIAeGPZKRIeAmcop5eIFtMPDwIA +ZIBmJRIGKIoADwIACFUBAlUsJRYcBSUo+qBoHaCLBQBYAin7QELwEgCdACkSHA8CAOMWFySBqYAA +wFDmNAANGASAAPpgaB2v+/UA/MBoHe/89QBb/e1aTI0qEhzjIwgCqAUAAHpZ2yMSFysSHeMWFyW0 +WYAA8gACHeAFBQAmQuSlZpNgLELJiEd8MwmsiPhgBwuiAJ0ALELKiUh8MwmsmfhgCPviAJ0ALELL +ikl8MwoMqgj6YAmjogCdACxCzItKfDMJrLv6YApT4gCdACxCzY1LfDMJrN38YAsD4gCdACxCzi5C +DNMPfDMJrO7+YAubogCdACxCz49NfDMJrP/+YAxL4gCdACxC0IhOfDMJrIj4YAz7ogCdACxC0SlC +EtMPfDMJrJn4YA3T4gCdACxC0ypCEXwzCayq+mAOu6IAnQAsQtQrQhB8Mwmsu/pgD6PiAJ0ALELS +jU/8YBDLogCdAKzd/GAQeuAeVQD+wIYdoADWAADqdAAJ2ASAAPwi6BXgDwUA/sCGHe/89QBb/Z/2 +QABD//n1APlABhXgCAUAmGdaTDoqEh0lXQHlXIAhmAUAAPp/9kUiAJ0AYAU/AAAAAAAAAOp0AAnY +BIAA/AACHeAMJQD8wIYdr/z1AFv9iy0SG5pn96AAQ//+8gDqdAAJ2ASAAP3/4h2gDjUA/sCGHaAN +BQBb/YEvEhqaZ/fgAEP//lIA6nQACdgEgAD9/+IdoCglAPjAhh2gDQUAW/13KRIZmmf3IABD//2y +APpgaB3v/PUA+gCCHaENBQDqZAQr0ASAAFv9bSsSGJpn92AAQ//9EgDqdAAJ2ASAAPwAoh2hDQUA +/MCGHa/89QBb/WMtEhaaZ/egAEP//HIA6nQACdgEgAD9/+IdoA4VAP7Ahh2hDQUAW/1ZLxIVmmf3 +4ABD//vSAOp0AAnYBIAA/f/iHaAYNQD4wIYdow0FAFv9T5pnia4qEhSWkPdAAEP/+yIAAAAAAPrg +aB2gHJUA/MCGHa+bZQD6wKYd4Q0FAPpgaB3iTAUAW/1BLRITmmf3oABD//pSAOp0AAnYBIAA/gLi +HeJMBQD+wIYd754FAP7Aph2ljQUAW/01KBISmmf3AABD//mSAPpgaB3iTAUA+gLCHaONBQD6wIYd +r4lVAOlkBSvQBIAAW/0pKxIRmmf3YABD//jSAAAALELYLUIefDMJrN38YAo74gCdACxC2S5CH9MP +fDMJrO7+YArTogCdACxC1S9CG3wzCaz//mALe+IAnQAsQtYoQhzTD3wzCayI+GAME6IAnQAsQtcp +Qh18MwmsmfhgDLviAJ0ALELaKkIg0w98MwmsqvpgDVOiAJ0ALELbK0IhfDMJrLv6YA374gCdACxC +3C1CItMPfDMJrN38YA6T4gCdACxC3S5CI3wzCazu/mAPO6IAnQAsQt4vQiXTD3wzCaz//mAP0+IA +nQAsQt8oQiZ8MwmsiPhgEHuiAJ0ALELgKUIp0w98MwmsmfhgERPiAJ0ALELhKkIqfDMJrKr6YBG7 +ogCdACxC4itCK3wzCay7+mASY+IAnQAsQuMtQif8f+hzogCdAKzd/H/oIuIAnQDqdAAJ2ASAAP3/ +4h2gHrUA/sCGHaANBQBb/NOPEJpn9+AAQ//zdgAA6nQACdgEgAD9/+IdoAiFAPjAhh2gDQUAW/zJ +KRIQmmf3IABD//LSAPpgaB3gTAUA/AACHeAKlQDqZAQr0ASAAFv8v4sfmmf3YABD//I2AADqdAAJ +2ASAAPwAAh3gDKUA/MCGHa/89QBb/LWNHppn96AAQ//xlgAA6nQACdgEgAD9/+IdoA61AP7Ahh2g +DQUAW/yrjx2aZ/fgAEP/8PYAAOp0AAnYBIAA/f/iHaAIxQD4wIYdoA0FAFv8oYkcmmf3IABD//BW +AAD6YGgd7/z1APoBoh2njQUA6mQEK9AEgABb/JeLG5pn92AAQ//vtgAA6nQACdgEgAD8AcIdp40F +APzAhh2v/PUAW/yNjRqaZ/egAEP/7xYAAOp0AAnYBIAA/f/iHaAO9QD+wIYdp40FAFv8g48Zmmf3 +4ABD/+52AADqdAAJ2ASAAP3/4h2gGAUA+MCGHaCNBQBb/HmJGJpn9yAAQ//t1gAA+mBoHe/89QD6 +AiIdoQ0FAOpkBCvQBIAAW/xvixGaZ/dgAEP/7TYAAOp0AAnYBIAA/AACHeAcJQD8wIYdr/z1AFv8 +ZY0Smmf3oABD/+yWAADqdAAJ2ASAAP3/4h2gHuUA/sCGHaMNBQBb/FuPE5pn9+AAQ//r9gAA6nQA +CdgEgAD9/+IdoBilAPjAhh2hjQUAW/xRiReaZ/cgAEP/61YAAPpgaB3v/PUA+gOiHaCNBQDqZAQr +0ASAAFv8R4sVmmf3YABD/+q2AAAALEIg9pYCHeKjBQDxh5AN4AUFABbi1yZikCJC5KZWCWYRpiKG +J4ZuwMDrIRIjUcEAAFuLBYsr42oIDUAEgAD4QUYVoAwFAFuLACsiEOdqCA1IBIAA+EGmFeAMBQBb +ivr6ShAV4AwFAOptBy1oBIAA7SYSJVMBAABbivMqJhMrQiCxVQ8CAHtTiixCIfGHkA3gBQUAFuK3 +JmKRIkLkplYJZhGmIoYnhm7AwOshEiNRwQAAW4rliyvjaggNQASAAPhBRhWgDAUAW4rgKyIQ52oI +DUgEgAD4QaYV4AwFAFuK2vpKEBXgDAUA6m0HLWgEgADtJhIlUwEAAFuK0yomEytCIbFVDwIAe1OK +LEIi8YdgDeAFBQAW4pcmYpIiQuSmVglmEaYihieGbsDA6yESI1HBAABbisWLK+NqCA1ABIAA+EFG +FaAMBQBbisArIhDnaggNSASAAPhBphXgDAUAW4q6+koQFeAMBQDqbQctaASAAO0mEiVTAQAAW4qz +KiYTK0IisVV7U43AINEPjRYc4t0pQvUvQvQoQvfjFhcq8ASAAPnvAA/wCkUA+e8AD7ALZQBbkVny +IugV797iAMCh/cWiBaALZQBbkVTHJNEPbBAEGuLD4qJ8KWgEgAAoonoron3yQABBcMNNAOmieSET +/QAA4sIBBYKhgAAImAwIuzYponftLggEgHmAAH6zB/9PhhWgABoAwCDOJiKihyuigSmihg0iDOLC +AQWAsYAAKKKCCZg5eCMI81DmFaAAHgAAwCDILNEPAAAAAPsgaB3v/soAwKD9xU4FoAtlAFuRL9EP +AGwQCBziqRbiqZIUkxUpYJkoYJstYJQuYJUvYJckYJit5693lBCZEZgSpHepd/jgAEOwClUA9iBm +FeALZQBbkR5kce0c4pv4IIgVoApVAPJgAEewC2UA7xYGKWgEgADo/wwJ8ASAAFuRFOocECDYUQAA +/AgCHaBNBQBbbPMqYJSEFORmFC0AngAA8ACwDaAMBQAAAAAAAAAA+iCoFeBFBQBbkln84Ggd4AwF +AFuRkfVgQAZw1U0ADcwBKmCVLGYVpMTkZhYtAJ4AAPAAsA2gCgUAAAAAAAAAAPogqBXgRQUAW5JJ +/OBoHeAMBQBbkYH1YEAFcLVNAAuqAfVACcbQCQUAKWZOKmCX/SfgFa/NBQANzAEsZhekxORmGi0A +bgAA8ACYDaAJBQAA+iCoFeBFBQBbkjX84Ggd4AwFAFuRbfVgQATwpU0ACpkBKmCYKWYbpJTkZhwt +AJ4AAPAAsA2gCQUAAAAAAAAAAPogqBXgRQUAW5Il/OBoHeAMBQBbkV31YEAE8KVNAAqZASpgmSlm +HaSU5GYeLQCeAADwALANoAkFAAAAAAAAAAD6IKgV4EUFAFuSFfzgaB3gDAUAW5FN9WBABPClTQAK +mQEqYJspZh+klORmIi0AngAAhxbwALANoAoFAAAAAAAA+iCoFeBFBQBbkgX84Ggd4AwFAFuRPYcW +9WBABXC1TQALqgEc4irqZiMpaASAAOSvCAnwBIAA/iCGFeALZQD+7wAP8ApVAFuQooIU0Q8qZheU +FFuOjPtABADQCRUA5BIELMgKgAD4ycYV7/rOAAAAAGwQEJMU4uGwGUgEgAAV4aqZFSsiZidSmipS +kixSkS1SkOhSmS1WQoAA6noIDmZCgADsfAgO7kKAAK19/CJGFeAGBQD8ImYVr8MFAOoWFCxGQoAA +6HcIDYCOAAAvIpnO+/ggiBXgAjoA2mBbbL4Z4XsJqQgokoBngAttCAUqkoBnoAJj//MpIpkPAgDJ +nsChW2y1GeFyqakrkoBnsAxtCAUqkoBnoANj//MAKSKZJla2LyJmHOGOG+GOK1ax+rZmFeAKJQAq +VrksVroJ/wgvVrKr9PS2hhWgDQUA5Fa4J/j9AADz4AQH8A4VAFtsZYkUKyJmKZw/A5kB6VarJaGh +gAAuUqovUq3TDwnuDA/uDLHtDu07HuHTDR0SDt00ZtRzLwpkD98s/+ABB/AKVQDs4c0f9wKAAO4W +CC//goAA/iDGFeALBQBbkEZbbIgT4ZAmVn8vMsgkMsQoMsMpMsIqMsErMsAtMr4uMr0sMr8uVoCu +3S4yxS1Wga3MLFaCrLstMscrVoOrqiwyxipWhKqZKzLRKVaFqYgqMtIoVoaoRCky0yRWh6T/KDLU +L1aIr+4kMtUuVomu3S8y1i1Wiq3MLjLXLFaLrLstMtgrVoyrqiwy2SpWjaqZKzLbKVaOqYgqMtwo +Vo+oRCky3yRWkKT/L1aRKDLgr+4uVpIkMuGu3S1Wk63MLFaUrLsrVpWrqipWlqqZKVaXqYgoVpio +RCRWmVuJ3yoWEFuJ3SlSrSRSri1Sqvkv4BXvjgUA7pkBAgBpgAAvUqsP3QwNTTYoUqjIjwmoEaiY +eNMI+LWmFaAAHgAAwJDpFg8kltGAAIwfZMM4LFaaLTLWJFKSKlKQKFKR61KZKiZCgADkxAgNVkKA +AOrJCAxGQoAA6MgIDd5CgACry5sa6BYJLu5CgAD4IkgVpK0dAG2pBQgAhgkCYfoiSBWmvR0AW2wi +LTLX6RIJLu5CgAD4ImgVpK0dAG2pBQgAhgkCYfoiaBWmvR0AW2wYLTLY0w8J3RH4IogVpK0dAG2p +BQgAhgQCYfoiiBWmvR0AW2wPLTLd0w/pEgou7kKAAPjgaB2krR0AbakFCACGCQJh+uBoHaa9HQBb +bAUnUqoqUq6aG+p3DAsgBIAABOQWAQIA23BbkWEE5BYqCgFba/iXEBThQ48b/cKABaALhQD6IiYV +oA0VAOT/CA1wBIAA/iGGFeAKVQBbj7bZYBjgtCoSEYscqKgoFhUrhoEnhoImhoMJ5BaZHQECACiC +gwTqMCsaAltr3gjqMCkSFSmSiOkWDiSAqYAABOow+iIoFaELJQBba9YI6jCKHQrkFgSKDFtry9ig +jh7+IqgV4ApVAOzhIBunQoAACEQs//EIFeALhQD0IAYVoA0VAFuPlSgyvSlSqiZWripSqyZWqxbh +FvsvAAy/xwUA6VaqJAq5gAAsUfXAs3ywD/QAQh3gLQUA/CDmFeAAOgDA4P4g5hWgBWUAhBYqEhAf +4QmLGC82qe82qif4MQAALzasLzatW/syixcqYgEFuwjrqigKWASAAFv6t+agoW0YBIAAW/ozLGL2 +ZMBAKmL9LGL+JGL1HuD4K2L8HeD4L2L4rrsNuwErZvcrZvsL/wwEtAz1gABGMA4VAOxm/if4/QAA +9+AEB/ANBQBba2wvImZk8JnAgCli7MyX8AD0DaAIBQAAKmLzKWLwJWLxK2LtqJioVexi9CKo/QAA +B1UB+q8AD/ANBQDlZu4n+P0AAPfgBAfwDhUAW2tZ2FCKFSimAFuKAluQ19Iw0Q8AKyKZZbvJ/++U +DaANBQAAAClSuCxSsgmrEQuZDO6ZAQYAwYAALVK3LFKzDdw5fJMH+LcGFeAAGgDAkPgh5hXv8/IA +LyKZZf9f+cASBa/9egD6IggVoAsFAFv66v/75A2gAwUAwKX9wXYFoAtlAFuPMP2//gXv7e4A/UgA +FrALZQD9wUQFoAoFAFuPKRzgs/Qh6BWgChUA9LNGFaALZQBbjyTHJNEPAAAAbBAGW2uIFuCZ0w8m +YX8Gpjdba3Ic4Kgb30UX4Kcd4KcY3+cU4HIKbzcogX796AAXsA4VAP6DJhXgBQUA5YAdZrPRAAAa +394voX/ooYAvgH4AAOmhgSwAPgAAZJGiW2tb+76qBeQNBQD7oAjzoD71APmgaB3gChUACZ0P/6AC +Br/OBQAO3QEtRhcc34kpQhcd3yf7niYVoAglAOrgiByJ+gAAKaB8LKB9LdCACVk3DJk3LKB+KqB/ +0w/9IEAEsP0RAPsgQASw7QEA+SAgFeAMFQD/jQAMsK0JAPsNAAyw3RkA+O+QFaAONQD/zQAM8ApF +AA2pOe1CDCTj9QAADKk4CFg3KnB9JUDLLHB+Cog3KnB/J0DKDIg3Cog36Xc3BEAFAAAIVTcoQm36 +gagVp3cBAPaZRh3nVQEA5UTLJAOhgAAc4F0NPiymXy/wgAotLK7bpnr7UBAVoBKFAALYLALpLKuq +qv8C8iyvmamIqCL8UAARMAtlAPIgBhWgClUAW47C8oPmFaACBQDRDx3f5+rTD37IBIAA//t8DaAK +JQAAAADqsyZ9yASAAP/7MA2gCjUAKEKgik2NTGWPhQq6Ng29NppN/IGGFe/96gAd33Xq0xB+yASA +AP/6fA2gCkUA0pDRDx3f4+rTDH7IBIAA//ogDaAKVQAZ30b/+fQNoAoFAAApoX16nwUvoYJk8PUo +0Hwp0H0q0H4IWDcJiDcp0H8tsIAKiDf5AEAEcAIlAPkAIBWgnQEA+c0ADHD9EQD475AV4D0JAPJN +AAxwDjUA/80ADHAKRQDymVAV4N0ZAA2oOS1wfelZNwRb9QAAC6g4JUDLKnB/CDM3+u/QFeczAQAG +MggNmTcLmTcKmTfiIIAkyAUAAAlVN/KZRh3nVQEA5l8ICW1CgAD/8BAV690dAPSZZh3gFYUABdgs +6t8XH/VCgAD6gaYVq+4dAAXpLA3jCAMiCAL/CAX1LA+ZCAmICPigAEKwC2UA6kYMKq6CgAD0IAYV +4ApVAFuOZPSD5hXgAgUA0Q/SUNEPAABsEAwU3ysc3+wS3n0T35eOx4XGhsWIxCnAAuvBACDQQQAA +K6UA6aQCIPiBAACY8JbxJfYC/+BmFaANNQAX3+AuIizmQqQmYIEAAOjAAiDYwQAAKLQC/YAEFaAK +FQDstQAgyEEAAPLABAXxZnEA+MAARPAFBQD7QgAK8AiFAOUkqSDggQAA+SAQFeAKdQDpJKgqgQqA +AG2KDyvBByslVe67CHZj+QAAsKrHr+zfAh3wCoAALiYtLkKkGd/CK8F/LyCpLCFV6e4BDUVCgADo +7gIPgQqAAO5GpC5gCoAALCYt+6ANEOIAnQAvIkYtcnQLPznvJkYmkbmAAPWgEsCSAJ0A9aAT4RIA +nQD1oBTpkgCdAPWgFfISAJ0A9aAW+pIAnQD1oBgDEgCdAPWgGSOSAJ0A+GFgFaAKRQD7AAQA0AMV +APxgAQHQC2UA7N+fGfAEgABbjgv6SMgVoDj1AO7ekRD4wQAA/sAAR/GTHQDqkzkKggqAAPnVyBXh +M50A6uKrIYXhgAAqIisDqixbi+oX30gocqMOiBH7AAQA0AX1AOCrGgqoCoAALEKE+kXIFa/49QAI +VQMMXAEMuwIrRoQDqixbi90tcqMO3REA0QQAqxosQr3p3nMQ0MEAAKpqKqAADFwBLZKrDLsCK0a9 +LJKuKZKtDd0J/YAAhjA79QDqmSgO7oKAAPxuAA7/ygUA65kLBuj9AADq3QEOZoKAAPxIBhXjzB0A +6pkBBmD9AAAKzAEsJkL4SIYV4AIFANEPL/AALuKtCZkJ6qoJDM6CgAD9UAAVM5kdAPkn4BXjqh0A +/8MAD3/PBQDvmQEFUP0AAA+qASomQCkmQgjuCw/uAf5IhhWgAgUA0Q8rIi76RWgVpAwFAAy7Nysm +LguqN1tqLOrfSx0oBIAAJSYrKaJBJSYuJXZw5XZyJI4pgAAroX8JnAkPzBH7u8QFoswdAKy7q1sL +qjYqJisqJi5bah0uIi4c3zz8RWgV4AtlAOXoDA14BIAA+CAGFaAKRQBbjaIqIitbahPqIi4tSASA +ACkmK1tqEComLhveaAWtDCwiKy12cyuxfwXKDPruJhWv9wIAJUKDKgp49GAAAvALZQD0oUAV4Bz1 +AFuINu1ydC0YBIAA/kjIFe/3ggAlQoMqCpgPAgD0ZAAC8AulAPShQBXgHPUAW4gr7XJ0LRgEgAD+ +SMgV7/bSACVCgyoKuPRoAALwC+UA9KFAFeAc9QBbiCHtcnQtGASAAP5IyBXv9i4AJUKDKgrY9GwA +AvAbJQD0oUAV4Bz1AFuIF+1ydC0YBIAA/kjIFe/1igAlQoMqCvj0cAAC8BtlAPShQBXgHPUAW4gM +7XJ0LRgEgAD+SMgV7/TmACVCgyoaDPR0AALwG7UA9KFAFeAc9QBbiALtcnQtGASAAP5IyBXv9EIA +JUKDKhokDwIA9HgAAvALBQD0oUAV4Bz1AFuH9+1ydC0YBIAA/kjIFe/zkgAlQoMqGjj0fAAC8AtV +APShQBXgHPUAW4ft7XJ0LRgEgAD+SMgV7/LuACpyjgWqCSomK/pFxhWv+UIAAABsEAYZ3bzi3s8Z +OASAACiSEuYicyQA+YAAIgoA3WD+wQgVoApVAP29kAWgOwUAW40x0Q8AJiKLKyKF+FDIFe8oBQD4 +wABDP/oFAOpmAQWAuYAAKyKKC7k5eWMJ9lFmFaAAIgAAAMBgZGBj5hYBIwUxgADaYPwcAh2gCwUA +W4XSjREa3gz8TmYV4UsFAFt3COoSAS1wBIAA23DsNAAKaASAAO6mCCrwBIAAW/Io+UBoHeALFQDq +uTkNEASAAOkWACUDYYAAwPAJvzhl/0/RDyYigCsigSgifukifSMwPQAA6mYBBYUJgAAImAwIuzYp +InsqbQHkkBVlU4EAAHqzDfpQBhWv/aIAAAAAAAAA//1oDaAGBQD9u1IFoAoFAPwcAh3gC2UAW4zz +xyTRDwCKEet0AAngBIAA7UQACvAEgABb8Ocd3Wz+IAgV4AsFAPNAaB2gDhUA7NISKUgEgADzzQAM +sAoVAA+rOALsOOzWEiX68YAAwIAJqDhljqFj/04AAAAAAAAA+yBoHe/9lgBsEAwZ3m8rIAwY3Vcq +kt0pktPogg0ltLEAABvdcOuwfSzOQoAAqakjnQHjPIAl/ByAAGAAAiOdA/EBgA3gAgUA0Q+pswkz +EfNAAEH//7YAAAAAAAAb3lvTD+uyBinQBIAAW1ZFHd5X69IFLWAEgADs1uIp0ASAAFtWQB/eUevy +BC1wBIAA7vbhKdAEgABbVjoY3kwZ3ksrgvsqhuAogvX7egAl7/wFAAy7Aeq0AAQA2YAALJL6KZL2 +DMk5ebMKHN5A+59mFeAAGgDAoOKkAAUKiYAA7d1WEQzJgAAe3jri5j0p0ASAAFtv3OKkAAnQBIAA +W2/cAq8Msfr14BAwEgCdABvdwxjeMZsc+gAIHeAJxQBtmgIIAmEW3i8X3SES3iwU3gEe3QoY3icc +3ij8IeYVoI8FAJ8bHN4oKoUjKoU7KoVT+w1kHaALNQArhDcrhGcrhJcrhMf7GIYd4A8lAP8Shh3v ++fUAKYQ2KYRmKYSWKYTG/CGmFaANFQD9DIYd79oBAA7dAu0WDiZjQQAA/CFGFaAJBQAphDQuQp6I +H/fAI5lQBQUAKIK/L0KdCP0B+eAjFiIAnQCKHOoABQ7IBIAACQJhCQJhjB0e3f+IHhreA5LQ/mAI +FeAZBQCZ05bUJ9UMmtKY1f3gABewCCUA+eYAD7A7JQD/oCYV4ApVAP/EZBWgDQUAW4xYwLL6k6YV +4AoFAGVfguKkAAUDeYAA0Q8AGd3oKpLwK5Lxx8Dpku0lUD0AAOyqAQWOyYAAHN3hLMLuDJwMDLs2 +Hd3eLdLryN8srTB8swoe3dr93gYVoAAaAMCg80BoHa/5tgAAAAAAAAD6AAIdoAtlAO3d2h7gBIAA +W4w5Y/5UAAAY3c6IhsEgCCI26jQACVgEgABbVbcZ3LLqlgstAEYAAMck0Q8U3c4qQhOLTQItEf1P +AA1//AUA7KoBBYDBgAAsQhKLTgzLOXujCPqCZhWgAB4AAMCg5aQABQnZgABkoWwd3KD5/oId4AIF +AAWSOOXWDCEBQYAA0Q8AAADAo/27cAWgOyUA/7lIBaANFQBbjBT/95QNoAoVAAAAG92yEt2yF921 +Ft2ylhnm3bITqCEAACpiHicmf5sYKKECJyaAJSaB5SaCJAZZgABaRHAd3Rst0oQsYhqq3e8SCC7u +QoAArcwuwAf/8BAV4PjFAAjuAf27RAXn7gEAD+4CLsQHnRSJwIrH+iIAFeANFQDsJoMszgKAAO2Z +AgVQgQAA+CCmFeAMFQBaTQOLGI4Z4iwwIqjBAADrvAEjuMEAAP7/+5UiAJ0AAzoCW28q66QACdAE +gABbVWfqRoMp0ASAAFtvJf1AaB3gOyUA/bsKBaAKVQBbi9woQoP//oId4AIFAAjyOGQgZdEPAAAA +APsgaB3v+M4AxyTRD4pIi0mJRftB4BWv/AUA7KoBBYHRgACMRgycDAy7No5DyOuq3n6zB/6BBhWg +ABoAwKDlpAANdL4AAMCg/bjqBaALZQBbi8Jj/oIAAAD7IGgd7/86ABXcsyJS2+VS3CnQBIAAW1U7 +6kbDKdAEgABbVTMqRsT4mGgV4AgFAChGxylGxQmrDPMvAAy2ux0A+pAmFeaZHQDpRsYp0ASAAFtV +L+pGgC0AdgAAxyTRDwAAAAAAABzdTu5CxCl4BIAA/JhoFeAKVQD0IAYV4GgFAPggJhWgOyUAW4ud +HN1GLULG/pioFaAKVQD+kCgV4DslAFuLlyIKAPu5DAWhSwUAW3WDKkb8+7kEBaFLFQBbdX8sGgAM +rAL7uPwFoUsVAFt1f/4H4h2sDAUA+gDCHaAJBQAY3AcpZq8pZq4pZrApZrUpZrQpZropZrwpZsEp +ZsApZsIpZsYpZsgpZtMpZtIpZtgpZtosZs0sZs/82qYVoA8VAC9mvipmwypm2S5mzP7ZxhWv/fUA +/NYmFeALNQArZrj81uYV4AsFAPraxhXgG7UA+tiGFeAdBQAtZtAe26SfjRrdEipmx/7WxhWgH6UA +L2ayHdyd/boYBaAY5QAoZqz816YVoBgVAChmyi1mu/23zAXj//UA/tqGFeAO9QAuZtst0sIZ3QMp +ZsnRD44b0w/TD//f4BWgDxUA7hYLJygFAAAF9Tn5398IUgCdAPoAoh2gOyUA/CFIFaANBQBbi0j/ +7xgNoBoFAGwQBBXbxShSFfEAsA3gAgUA0Q8AAAAAAPu4YAWhSxUAW3UsE9zqHdzq/mQEFaACBQD5 +rSgV4Q8FAOrcJx1gBIAA/4YADnDuIQD+TQAMsBQFAPmtJhXhSxUAW3UiJDbkHNzdGNzXGdxmG9tn +HdzXIjbqHtzULjbb/HumFewCBQAiNuEiNuPyfSYVr//1AC82xf55ZhXgOvUAKjbgKjbiKzbKKTbP ++HomFaP59QD4fQYV4Aj1APh95hWgGxUA+nvGFeAKBQAqNsMqNsIqNsQqNskqNsgqNs4qNtAqNtUq +NtQqNtYqNtoqNtwqNucqNuYqNuz6fcYVoA9lAP565hXgK+UA/n2mFeAS5QDyeAYVoAIVAPJ6RhWg +H6UA/njGFeAftQD+ewYV4Ao1APp5hhWgCkUAW4r58qKmFaACBQDRDwAAbBAE87lKBeH6xQAU2+gK +Cz8pQt8JWRQpNhwoQuEIaBQoNh0kQuMEVBQkNh4S23UiIt4CAkDycgYdoAIFANEPAGwQBPW5LAWg +AgUAIkZFIkZEIkZDIkZCI0JLI0V8I0V9I0V+8o/kHeMzHQAjRYAjRYEjRYIjRYPRDwAAAGwQBhXc +ihvbEBTch/O5DAXgBgUA+7f6BaAdBQDqFgAiOA8AAOJMCCpIBIAA6DQACVAEgAAc3H/TD23aIOyG +YSRAwQAAK4W0KYZR6YZSJMjBAAAqhlPqhlQlUMEAAP247AWgCwUAK1Z/69xzE1BJAABbVFnr2vUT +MAUAAPwgCBWgHQUA4i0DIiAPAADjPQMjuA8AAOVdAyO4gQAA5VwgIZiBAADkTCAhEIEAAOokAApI +BIAA7wIACcAEgAD8v/utIgCdABLcWhjbuxbcXPW2ggXgAwUA9wwAFeMkBQArgpUqcoIuUH2rO+lS +IC3eQoAA66oIB3xMgAAc2roMnCj8UIYVoAA6AAAtCn0NnSgtJoTbYFuF8o4QGNunpCLuKb1xmAUA +AMAg0Q8AbBAEFNxFKkJ587YoBaAJBQD6fAAFNAgFAG2KCgybEOsm+yTIBQAAZKBRaaFOI0J6KkJh +W2bzW4hs6tshHSgEgABbiGn+ZyAF0C0FABzcNBvcNAN+QNMP7ss5AeQogAAf2sAPuwJ6NwUY2skI +uwJ7NxIZ25j5ZgAN8AAyAMAg0Q8AG9wo/mGABxAMhQAe2znTDw67Av5kQAdQCUUAH9wj0w8PAgDv +uwIB+EiAAChAfQ8CANMPf48CDbsCfzcCCbsCDLsCKyb8BaYMBGMQIyb9GtwXCjoCKib+Iyb/KS0E +iZAa20Ub3BT9uCQFrz31AA2ZAeuZAgFoEwAA+aAGFeA7hQBbdD/q2zwbQwKAAORvEQtnAoAA7GwC +C3YCgADv7gILagKAAO09Agt8AoAACP8CD90CDt0C/YYADnA7lQBbdDAoQl32oAATMDMFANMP8QKQ +DeAFBQAY2rDTDw8CAAhmAtxg6tskGdgEgABbdCUpQl2xVelT6nGYBQAAwDD6YGgdoAsFAPwAAh2g +DQUAW1PdsTNpPucjCgDaMFtTzLEzaTv1KiLBG9uFC6oC+lgmFaACBQDRD2wQBMAg0Q8AbBAIFtve +Fdo+KGIh87TKBaAEBQD7t7YFoA0FAPMSQA3gDBUAKhYBnRUd29bsFgQlUVEAAJoS7RYDJvFRAACe +EBfbJA8CACdylCNi4KdHCXcRBzMIJzIHJ3IOK2If+uoAFaAMBQBbg1D6YyYVoAwFAOtiHyPRwQAA +W4NL+mNGFaAJBQApdiQpdiUnYtrB4S40BKdHlzAnUID6ACId4B0FAP4Aoh3v/PUA9sAABHXq5QD9 +AoAmVOcBAPhkhh3gGPUA+GQmHaAAPgArNCT+ZCYdperlABjbrSQ0Ii5QUCk1HCs0LSw0Syk0ICk1 +HSk1Hik1ICk0RSk0Kik0Kyk0SSk2Gyo1GSk0NC80KSk0LCk0NSk0Qyk1JvhqZh3k7gEACOgKiIAt +NFArNFHuNCMsACKAAAAAGdt/+GNmFeDPBQD+Y8Qd4BgFAPhkBB2gygUAW2R4KzEeCroC+mPEHaAE +cgAAAABvQwssIrgd2mcNzAIsJrj6gGgdoAsFAFtkF2ABcfm22AWgD4UA/mQEHeDOBQAuNR74Y2YV +oMoFAFtkZSkxHgqZAvhjxB3gA0IALlCIixL9w0AB0AqFAPoAQh2gG4UA7NtzGmgEgABbiaxgASIr +Nhv6ZAQdoAKKAC5QiI0R/cNAAtAMhQD6AEIdoBuFAOzbaBpoBIAAW4mgYAD0LTYb/GQEHaAB0gAA +AACOFMjtW2QO6hYFLQnqAADA8J8ULlCIiBD9w2AEUAklAPoAQh2gG4UA7NtYGmgEgABbiY9gALAA +KDYb+GQEHeAAvgAAAAAAAIwT/GNmFaDKBQD6Y8QdoAuFAPpkBB3gygUAW2QxLTEeCt0CLTUe2jBb +Y9EKCk0qNRxbZCsuMRwK7gIuNRz+Y6Qdr+4BAO41HynQBIAAW2PC6hYFLQVqAAAsMSDaQPpkcBXl +zAEAW2NA6hYFLQSqAAD6YGgdoAsVAFtipeoWBS0EEgAAAzoCW2GeKTEce58wfJ89fpdv+oBoHaAL +JQBbY7otYiEiLSDlXAEiIAUAAPyf6fPiAJ0AYAA+AAAAAAAAAPqAaB2gGwUAW2OvY//RAAD6gGgd +oAuFAFtjq2P/wfoAQh2gG4UA7NsYGmgEgABbiU5j/6vA4J4VH9npL/B9e/8HghXRD8Yq0Q9bYVqC +FdEPbBAUH9sPFdsPHNsMK/IrKvIqKfIsLcF+LsF8KFIimBOeEp0RLMGALVIYLlIWnhQtFhacEC/y +1C8WF6uqLFIcLBYVCpkIK1Ie+iKGFeAHBQD6pAgVoAIFAOoWEySeaYAA+CCmFe/GBQD7tfAFoAgF +APgiRhWv8vUA+iMGFaALBQAY2jwc2u8ogpCKFCTC7qh47MISLEZCgACoRINHKRIX/7XQBaANBQDj +Mg4mAWmAAB3ZvSxBMJwzmTKpyS/iEekWFyVI/QAABpkBf9MU/mCGFeAAWgAAAAAA/IYEHe//TgAA +L0IanzSZNShQnOgWHCQDMYAAK1IXKkBsmh6bH1uKWvwjiBXgDAUAW4mRAqoB/AAiHeAMBQAM3DkK +2jkMqgLmvgEFASmAACwSGC0SD/4hyBWgCiUA/iOIFeALBQBbiPbwADANr+ulAP5gxhWgCwUAZrLR +iTUqEhaINg8CAOmICAVQ/QAABqoBKjYH71CdJED9AAAGiAEoFgTvFhsng0GAACtSGSpAbSoWDCsW +DVuKNvwjaBXgDAUAW4ltAqwB/gAiHaANBQAN7TkM7DkNzALmvwEGASmAACwSGC0SDf4hiBWgCiUA +/iNoFeALBQBbiNLwADANr+ulAP5hBhXgCwUAZrJBijcpEhWMOA8CAOrMCATI/QAABpkBKTYL6FCf +JmD9AAAGzAEsFhboFhokA0GAACtSHSpAbyoWCisWC1uKEvwjSBXgDAUAW4lJAqoB/AAiHeAMBQAM +3DkK2jkMqgLmvQEFASmAACwSGC0SC/4hSBWgCiUA/iNIFeALBQBbiK7wADANr+ulAPxhhhXgCwUA +ZrGxiTsuEhSIPA8CAOmICAdw/QAABu4BLjYN71CgJED9AAAGiAEoFhXvFhkng0mAACtSHypAcCoW +CCsWCVuJ7vwjKBXgDAUAW4klAq8B+AAiHeAIBQAImDkPnzkI/wLmuQEHgSmAACwSGC0SCf4hCBWg +CiUA/iMoFeALBQBbiIrwADANr+ulAPhhxhXgCwUAZrEhLjINjT4qEhOPEq7d7hIBJVD9AAAGqgEq +Ng8sUKDqFh0m6P0AAAbdAe0WFCYEOYAALFChK1IhKkBxKhYGKxYHLBYQW4nI/CIIFeAMBQBbiP8C +rQH+ACId4A4FAA7+OQ39OQ7dAuoSHSaBeYAALBIYLRIH/iDIFaAKJQD+IggV4AsFAFuIZIo//mII +Fe/rpQD+IiYV4ABKAAa4ASgWEfhiBhWgCwUAjRCOEY8S/CBoFaAAOgCNEIwTKTIQKRYRKUE6LDYR +KEBzLzUsKTYUKDYSrIwoEhKcEyg2E6iYKBYSKUExLjUuKTUtKEEyr5+fEi01MCg1LylBM66OnhEp +NTEoEhGtnZ0QqKjpEgUkQP0AAAaIAegWEyO4BQAA+P/i/WIAnQDSsNEP0Q8AbBAGGtjd+bQMBaAJ +dQAPAgBtqgfphsAkQBEAABvaAiuyOBbaARfZ/vFhPA3gAwUAYABwABvZ/CuyOLEzeztkFNlBEtn4 +JEKQIiL8pDQJRBGkIiUhByQhEyogDPpBsBXjVWEAW4IJKSEHKCESBpkB9SYADLALBQDpJQckfcmA +AOdMCgruAoAADaoCbQgSLiES6sbAJdgFAADuu5h2YBEAAGP/5gDA8O8WACWGqYAAGNlP+CBGFaAA +igAb2dorsjiMEI0SsczsFgAm6AUAAJ0S+4AFYuIAnQCIEBnZGo4SH9nRKZKQLuB9L/L8qYgJiBH5 +4ABHsAoFAO8WAS8AzgAAY/+8ixLTDw8CACuwfes7qXnQBIAA6hIBJRgFAADTD+qgDCnYBIAAW4VB +JaEH5KETLRAEgAArIA37QZAVo1VhAFuB0ikhByghEgaZAfiGAAzwCwUA6SUHJH1ZgADnTAoK7gKA +AA2qAm0IEi4hEurGwCXYBQAA7ruKdmARAABj/+Yf2amP8uLZLReDoYAAHdkj7dKjJuATAAAswpAr +Il6tzOTZoR5mQoAArLsjsQeEQyqwDPthsBXjM2EAW4GzHdmajdLxo3AN4AsFAOdMCgn2AoAA/0YA +DTf99QBtCBp00xwf2ZEqxsCP8uRMASXYBQAA77sJdmARAABj/94AACghfm+EAdEPGtmKwJUppr/R +DwAAbBAGW/Gc5qHabRAEgABb8Sbmoc9tEASAABPZgRXYidMPKDJLmFEPAgBb8EDmobRtEASAAC0y +svuxPAWv/vUA0w8O3Qn3svAFpt0dACyi2QrdEdMP+naIFeXMAQANzAIsptkpYnbrpuUszgKAACui +kAsLRwuZAimmkFvvE+ahZG0QBIAAW+3s5qFZbRAEgAAY2BYvMkoPAgAI/wgY2EQvhqtb7b7zsfoF +4AIFAP3+gh3giAUA9AACHaAHNQApMp4sYk8PAgD3IAeZ0gCdACoynQyrAf1ABy4iAJ0A+gACHaAM +FQD8AAId4AkFAPggJhXgDgUA+CBGFeAIFQD4IAYVoA8FAFpINic2nenX/hkGvgAAHthKHdlFLeYt +K1J5x8cMuwErVnktktMe2G8a2UAY2UD7sNIF7//1APumAA6wTAUA7ZbTJFP/AABtyhEpon+rnf8g +BDwiAJ0AL9aAtKopCkZtmg/pgn8kQCEAACqCfquZKpaAGtg90w8qoID9QsBBUAwlAC1SdgzdAi1W +ditSfgy7AitWfipir8a/+0AEBXAbBQALqgIqZq9biQEd2Bgs0oLA4Q7MAizWgtEPsIj/ACAV4AoV +AO+vOQRIBQAA6dI4D/dWAABj/y8AAAD1sAYVr/3yANEPAABsEAQd2Gcs0h7LyyjM/wjKAejAGn5Y +BIAAbQgMsKnpqgENWASAAHmwAmP/7A+7ER7ZBy/MH/vPhh3l/x0A/8+mHeAKBQAq5H75vCgVoIoF +AAgAP1uFFQoBP9EPAGwQIlv4xeakiG0QBIAAGtj5Kq0VLKLhK6LgLaLfrLssotwuotutzC2i2S+i +2K7dLqLXIqLWr+4votUjotSi/yKi0ySi0qMiI6LRJaLHpDMkosYmosilRCWixSeixKZVJqLDKKLC +p2YnosEpor+odyiiwKiZKKK9KqK+qoga2FKpiKh3p2Yqot2mVaVEpDOjIqL/E9jZr+6u3a3MLTKr +/WAARbAJBQD+bwgV78cFAPtAAEVwBYUA5NjPFVD9AADnqgEOsASAAOo2ASegcYAAKzD1ZNQL8WGc +DeMvHQAlMYHdkPagABKwDwUA9SEACvACggAsMPwrMP0tMPguMPkvMPsqMP+t6a+ZmhKbEZwQrJmr +mRzYXaqZ+CWGFeALZQD4IGYV4ApVAFuG3CoSLMyoxKDwAOgNoA8FAMCx+iVmFeALhQBbiCkuMPUt +EizTDw8CAP+gAEawDAUAW4ddKBIr+WBAB7CITQD54AQHsEoFACgxgfiAMBXgDYUAD90MDSUo6pkC +DEMCgAAIVTYpRAEPKCj6AKIdq+WhAP2xMgWriKEA+CAGFaALZQBbhrsGMhTqJAAJWASAAFpCqCsw +9CkxgPyAMBWgDoUA+88ADrCOBQDkt5NsywKAAA0rKAm7NtawDswCLEQB/bEMBauCoQD6ICYVoA8F +APoAoh2r66EA/iAGFeALZQD4IEYVoA8FAFuGoupkAArYBIAAW/d95qJzbRAEgABbYwQpMuPvMngl +aP0AAAfdAe02aiSAUYAADJ4RLjbmFdfvZPc0LFF/JjIqKUKEGtcZiDErQoIqosYJiAgpQoALqgkr +MiQKmQgJiAgqMuYLZggIZggK0gjmIggGaCiAAAsiDAoiDPoAoh2gC2UA7NhdGWgEgABbhn/qHCAp +WASAAFv15eah6G0QBIAAFtfXHNgEGNb8iRga2FMlMngf19DomQgFU9EAAOkWCCK16YAAKPF/8QAN +/pIAnQAvQobulAAHgLGAAK+e/9/gFaCPTQAI7gEuRoWv7ihCiCtChC1Cgikw9u5CgCd4/QAAB/8B +L0Z/r+6mmSmQgI8xLkaBrt0tRoOtuytGhy4yaquIr4gtMhgtFjcoNmmo7p4wDZkoKRYur+77IFmw +EgCdACk2Jq3l/L/gFeD9TQAP3QHtNiUsqASAAC8w9yQyF6b/L/CArV4E+SiZGfsgWXASAJ0AKTYo +LxYbpO7/3+AVoIRNAAjuAe42JyzoBIAALxIbKDIYKjD2/6AARLAbhQAE3iwL7SzuNhkkoP0AAAdE +AaaqCFgsKDYaKqCAC4ksroWqVaX/C/Usr92tmfigAELwClUA/LAAErALZQDlFgAsaASAAFuGKSQ2 +KSU2KioyJCsy5qRcLDblrLv7b+AV74wFAAy7ASs2I6uqW2IT0Q+l7f2/4BXgxU0ADc0Bf9tmKDJC +f49gGNc7nhgtMPcO/gwI7jam3S3QgA7+DK5e6TIoJ3P9AAAOzgEF3SguNif9IE7S4gCdAK5e7TYo +J3P9AAAOzgEuNicoQAHApP2vygWgKQUA+QYADHALZQDoRAEv6ASAAFuGAtEPAAAAAO0yqyK9OYAA +6dQABrz5gAAoMPX4JaYV49UdAO0WNSwGjgAAKDGB+gACHeAPBQD3AAAUMAoFAPlBAA0wBUoAAADm +1AAGtTmAAAb7Nws7FOsWKC3QBIAAWkHaLDD8KzD9LTD4LjD5LzD7KTD/reiviJkSmxGcEKyIC4gI +HNdd6YgIDRAEgAD4JEYVoApVAPggZhWgC2UAW4XaKTD1AlsM5jD0LegEgAD7IEjgEgCdACkWHyoS +IvjAAEbwDAUALBYh7a0IDfAEgADtFiAtKi4AAP8gaB3gCgUA+iRmFaAIFQD4JWYVr/j1APgkphWg +FW4AACsw/Sww/C0w+C4w+S8w+yow/63pr5maEpsRnBCsmauZHNc4qpn4JSYV4AtlAPggZhXgClUA +W4W2KhIpzKbwANANoA8FAMCx+iVmFeALhQBbhwQuMPUtEinTD/+gAEawDAUAW4Y5KBIr0w/5YEAH +sIhNAAj/ASwxgS5AAfwmqBXgC4UA/28ADfBIBQAI7gIL2ijuRAEuYwKAAAyqNhzXdioWNv+jAAx7 +6qEA/WBoHeALZQD4IgYVq4ihAPggBhWgClUAW4WTKRI2ZJU8Hta0KTYoLjYnrp4pEhAtEi3v1ucU +gFGAAC42Kyk2LCvyeSryeguqDPomZhWjvR0AKxYqWkF0LjD0KTGA/CZoFaANhQAK3Qzk5PpsywKA +AC4SKg3uKAnuNi9AASgKgAj/Ai9EAcDw+iAmFaAJBQD+IeYVq7yhAP2umgWr7qEA+iBGFeAKVQD4 +IAYV4AtlAFuFbYkfyJkc1o8pNiasXCw2JS0yJWTQqC0yJ2TQoi0WNBzXQ/5lCBWgClUA/iTGFaAL +ZQBbhWAvMPcoMiIlMhem/y/wgC4SJi0SNAX/KAjuDP/AJPLiAJ0ALzYopd7/3+AVoIVNAAjuAe42 +Jy/oBIAAHNcwrt8vNiEtMiUuMib+JkYVoApVAPwk5hXgC2UAW4VJLzD2JTIYpv8v8IAuEjIF/yj/ +wCOS4gCdACgSJy82JqWI+R/gFaCVTQAJiAEoNiUqMivIpCsyLFv0DS9ChuUSCCeAsYAAr1X0v+AV +4I9NAAhVASVGha9VKEJ/JVw/51UBBB7JgAAoQoMpQoIlRoHllQgEHrGAAClCiMiUKkKHZKPUKzIl +iDGVMCwyaqWFJTZp5cUIBYPhgAApMidkkHEc1qwvMhcuMigpMPYoMhgtMiammSmQgAjdLA/uLC42 +GS8w967YqYim///wEBXgGYUACessCdosqP8J+SyvuwuqCAqZCO02GizOgoAA+CSGFeALZQD4IAYV +4ApVAFuFCigSJOg2KiKo/QAAB1UBJTYpqFUrMuYlNuUqMiSlu/tv4BXvjAUADLsBKzYjq6oqFjhb +YPAvMifuEjgn3zmAACUyF///2yqiAJ0AJDD3pkQkQICeGCwyKAVNKC42J/2AGlriAJ0ALTYoLxYY +pej5H+AVoJVNAAmIASg2JykyGCgyJiwyKSow9gXeLP5jJhWgG4UAC+0spqrs1m8WKP0AACqggAmI +LAdVASg2GguJLK6Pqv+vTwv0LA/dCA2ZCPiAAEJwClUA/JAAEjALZQDkFgAsaASAAFuE1CU2KSQ2 +Ki0SGP5k6BWgCkUA/a1eBaALZQBbhM3RD8BgCWY29aAnLBIAnQDbYP/hmA2gDQUAKDKrZYjE/+RQ +DaACBQAoMqvpFgssSgYAAB7V4+2UAAyQBIAA7NaeHKgEgAD5zwAPcApVAP4hxhWgC2UAW4S3L0KG +yfCvJfS/4BXgj00ACFUBJUaFr1UpMiIqMuYrMmqMMS1CiC5ChC9CguVCgCLA/QAAB4gBKEZ/qFUo +MPQlRoGl/y9Gg6/uLkaHJTIkrt2dMK3MLDZprLsrNuWrqio2IaqZ+S/gFe+KBQAKmQEpNiPpVQgM +AFYAACkw9WSWZJUcKzD9LDD8LTD4LjD5LzD7KjD/remvmZoSmxGcEKyZq5kc1gqqmfghphXgC2UA ++CBmFeAKVQBbhIkiMPYlMhgqMPSmIiIggCoWEeUiKA0lngAA9kfgDeAPBQAiFi4oMPX4I+YVoBOm +AAAAAAD6I6YV4AkVAPglZhXv+fUAKRYlW4XLLRIgLBIhW4UCLBIr0w8MvDcrEiUuEh0vEh8MuwEr +FiPtEiMv0ASAAO0WHi9YBIAAW4W+LRIgLBIhW4T2LBIeLRIjLxIrKBIlrS0PvzcI/wGt/Q1dDCkx +gORjTmzLAoAAKhIoCtooCao21qArMnhksvAuQAEoCkAI7gIuRAEpEigoMYGSE5wR/yMACvvqoQD9 +IwANsApVAP2sZAWrmaEA6RYELEMCgAD4oQAKu7uhAPogRhXrlaEA+CAGFeALZQBbhEZj9owV1WgW +1Wdj9oMAAAAA/6rKBa/rKgDA4AnuNvWgGjwSAJ0A/+wIDaANBQAvQoAlRn/14ABC//CGAChChCVG +g/UAAEL/8JIAJUaH+KAAQv/wkgAa1hQuNijtNicq4ASAAOusBC/oBIAAW2APLTIo/mToFa/tegAA +ABrWC+42JirgBIAA6RInL+gEgADpNiUlWBEAAFtgBGP7hgAa1gIvFhguNifrrAQq4ASAAFtf/iQw +9yUyFy0yKKZE9JAQFa/ysgAs8nkq8noNWzcLOxQrFhoMqgwqFhVaP/8sMPwrMP0tMPguMPkqFhwv +MPsqMP+t6a+ZmhKbEZwQrJmrmRzVgvsgAESwC2UA+CXmFeAKVQDpFgMmKYEAAFuD/y8SLy4w9CwS +HCow9foj5hWgC4UADLsM7bQABRMJgAD7wABEsAgFACgWF++ZCA3oBIAA6RYWL4FGAAD+IiYVoAsF +APojJhXgChUA+iVmFa/69QD6JKYVoAEGAAAAAAArFhL+IiYVoAwVAPwlZhWv/PUA7BYlL9AEgABb +hTQtEhYsEhdbhGwvEisuEiUtEhIPvzcP7gEuFhkqEh8oEhnoFhMu2ASAAFuFKS0SFiwSF1uEYSkS +GSgSHC8SK6mIKRIlLhIRD783Cf8B+eAARDANhQAI3QwpMYArEhPk4a9sywKAAC4SGg7eKAnuNigy +eGSBbilAASoKQAqZAilEAS4WFCoSGigxgZsR66UoCuAEgADoEhwsWwKAAA+qKJgTC6o2KhYx+iKo +FeuqoQD6IAYVq6WhAPogRhWr7qEA+3QABfAKVQD6IIYV4AtlAFuDrCkSMWSRACk2KB7UzCUWMC42 +J66eLRIw6RIUJoBhgAAtNiwuNiuu3mSY3y42JfhkxhXv42YAAAAoMqtkjRQpQAErCoALmQL4gCYd +7/QiAAAa1XsvFhjrrAQq4ASAAFtfeC8SGP5k6BWv2IoA22D/ziwNoA0FAMDw//K8DaAMBQDAYAlm +NvWgEnwSAJ0A2mD/8rgNoA0FAAAuNiUb1Wr9oGgdoAgFAOg2JizoBIAAW19kHNUKJTIm/GSoFe/T +DgAAAAAa1V7uNicqYASAAPwhKBXgCQUA6TYoJVgRAABbX1gc1P8kMhctMigqMPcuMiclMiamqiqg +gPojZhWv0uIAAP/fLA2gDQUAHtSN9CYGFe/8GgAsMqtkzpYoQAEpCoAJiAL4gCYdr/oqAAAAAAAA +AP4AAh3gCgUA+iJmFa/5LgDA4AnuNvWgDrwSAJ0A//k0DaANBQCLHo0cLBILLzD1/iPmFeAIFQAo +FisNzAwMuwhbhKMvEh8uEhGNHa/u/6AARrAMBQBbg9goEisiFi75YEAHsIhNAAj/AfPgDFqiAJ0A +jRwiEi71oABG8OVNAOI2Jibr/QAADt0BLTYlLzD3LhIfJTIXpv8v8IAvFhvl/ygPAKYAAPHwkA3g +DAUA/EAARvABMgCfGSoSH4sejBv8QABG8A4VAC4WK50aDcwMrLtbhH8uEh+NHf+gAEawDAUAW4O1 +LhIrjRqPGf9gQAYw7k0ADswB/4AF2uIAnQAvNiil3v/f4BWghU0ACO4B7jYnL+gEgAAc1KUvEhso +MhgqMPb/oABEsBuFAAXeLAvtLO42GSSo/QAAB1UBpqoIKCwoNhoqoIALiSyugqoiov8L8iwP3QgN +mQj4QABBcApVAPxQABEwC2UA4hYALGgEgABbgwQlNikiNiqMG4sepSoKzAysu1vx0dWgHdQgddsK +LkABwvAP7gIuRAEY1Bz1H57S4AIFAMck0Q/aYP/p1A2gDQUArS0a1NYtNifsNigv6ASAAOusBCrg +BIAAW17RJTIXLTIoKTD3LjInIjImppkpkID4I2YV7/zCAAAAAAAAAAD/8jANoA0FAIocKjYlGtTE +7FQACWgEgADvNiYlWBEAAFtevyIyJi0yJSsw9foj5hXv+bIAAAAAAGwQBtog+iBoHeA81QBbbt0Y +1LiJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW27TGNSviRAign8Kkjvihn8tEASA +ANEPAAAAbBAKH9Spi/SI9uLyBylQBIAAifWM843yjvGeEZ0SLBYDKRYFIhYHKBYGKxYE7/IAKhgE +gAD+IAYV4DvVAFtwEOWkAAUB8YAAKKAA6dSYFAPZgAAmHCDyIQYV4AQFANIQgyADOgJbcBTrNAAN +OASAAOpUAAvgBIAAW30vyKe4InYp3cYq0Q+lfCvAAMLc7bEKflAEgABlv+RgAAGxyukiASV/CYAA +LqAA1aDklAIPfYYAAC8K/39JJxnUfYgY+QAARHACBQAihIDRD5MY+GAARXAEBQD1UAYdoAIFANEP +AAAb1HOKGKuq9VAGHaACBQDRDwAAAGwQBtog+iBoHeA81QBbbozo1DodAJIAAIIQqEjihIAtEASA +ANEP0qDRDwAAbBAG2iD6IGgd4DzVAFtugenUYB0AqgAAghAESAmpiOKEfi0QBIAA0Q/SoNEPAAAA +bBAG2iD6IGgd4DzVAFtudenUVB0AqgAAghAESAmpiOKEfS0QBIAA0Q/SoNEPAAAAbBAG2iD6IGgd +4DzVAFtuaenUSR0AqgAAghAESAmpiOKEgC0QBIAA0Q/SoNEPAAAAbBAG2iD6IGgd4DzVAFtuXenU +Ph0AqgAAghAESAmpiOKEfS0QBIAA0Q/SoNEPAAAAbBAG2iD6IGgd4DzVAFtuUenTkh0AqgAAghAE +SAmpiOKEgC0QBIAA0Q/SoNEPAAAAbBAKHtQqi+OI5YnkjOIt4gEtFgEsFgIpFgQoFgXrFgMpUASA +AO7iACoYBIAA/iAGFaA71QBbb47lpAAFBGmAAC+gAGTwhvYjABXgBAUA8iEGFeABTgC4InchbYMg +DwIADwIA2jBbb5DrNAANMASAAOpUAAtgBIAAW3yrZa/XpWwrwADCnOmxJ35QBIAAZb/F6SIBJQGp +gAAroADVoOSUAgWAuYAA8iBoHa/+ygAAAAD7gCAVr/9yAB3T/owYrcz1kAYdoAIFANEPxirRDx/T ++P6AAEfwDgUA//AGHaACBQDRDwAAbBAIJgoAJhYA5hYBKVAEgAD2IEYVoFu1AFtvXOOkAAUIIYAA +wLD+IgAVoFrVAPXAaB3gCLUAbYoco78s8ADqwRx96ASAAOTAL2XYBQAA7OQAJ3AFAAD+YWAV4A21 +AOocECeYBQAA5dkICNgEgAD3IAYdoAwFAFtt8ooQDwIADwIA9UAE+lIAnQD6YGgdoDvVAFtvPuOk +AAUEYYAAHtL0KOKU7uKaIOBBAAD1AABCMA0FAPyIABIwKsUA9cAAQjALtQBtuhej3yvwAHqxF+Sw +8WboBQAA68QAJmAFAAD+YWAV4A21AOP8ASDQQQAA5d8IANgRAAD34AYdoAwFAFtt0GagxBLTswIq +AltvLtyg6yQACdAEgABbfEvMq/AAjA2gBwUAAMYq0Q8S06vaIFtvJdyg6yQACdAEgABbfEJlr+PA +cfpgaB2gK8UAW28Q/10wDeANBQD4IgAV4Ai1AG2KFSugAOSwEWVQBQAAK5QA7dwBJMgFAADA2yoc +EOXZCADYIQAA9yAGHaAMBQBbba3uEgItAbIAAIsRjBD7wAQA0A0VAOB+EQ7oCoAA5MwKDuoCgAAO +3QINuwLrxhUtEASAANEPxqrSoNEP0qDRD2wQDB/Tgov0iPbi8gkpUASAAOTyCCoYBIAAhfeJ9Yzz +jfKO8Z4RnRKcE5kVlRckFggiFgkoFgYrFgQv8gD+IAYV4DvVAFtu3uakAAUCMYAAKKAAwJDq0pUU +BTmAAAmUAuMWDCCQoQAA0xCFMA8CAA8CAAVaAltu4OtUAA04BIAA6mQAC+AEgABbe/vIp7gzcjnX +xirRD6Z8K8AAwtztsQp+UASAAGW/5GAAAbHK6TIBJX8JgAAuoADWoOSUAg99VgAAG9J6ihz7QABF +cP/1AH9BPhnTTySkgP6BoAfQChUALJF/CswCLJV/fkcgLZF/wOQO3QL9L+Qd4AIFANEPAAD6YABH +sAIFACL0gNEPwCDRD8Ag81AGHaACBQDRDwAAAGwQBNogW260/aZ2BaALFQDzQABEsA2lAP0gBh3g +CAUA+SAmHaAKJQBbgT/cIPoAQh2gCxUAW4E8wCDRD2wQBtog+iBoHeA81QBbbUIY0yuJECKCfwqS +O+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW204GNMiiRAign8Kkjvihn8tEASAANEPAAAAbBAG +2iD6IGgd4DzVAFttLhjTGYkQIoJ/CpI74oZ/LRAEgADRDwAAAGwQBtog+iBoHeA81QBbbSQY0xCJ +ECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW20aGNMHiRAign8Kkjvihn8tEASAANEP +AAAAbBAG2iD6IGgd4DzVAFttEBjS/okQIoJ/CpI74oZ/LRAEgADRDwAAAGwQBtog+iBoHeA81QBb +bQYY0vWJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2z8GNLsiRAign8Kkjvihn8t +EASAANEPAAAAbBAG2iD6IGgd4DzVAFts8hjS44kQIoJ/CpI74oZ/LRAEgADRDwAAAGwQBtog+iBo +HeA81QBbbOgY0tqJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2ze6NLQHQCyAACJ +ECKCgAkiKOKGfi0QBIAA0Q/SoNEPAABsEAbaIPogaB3gPNUAW2zSGNLFiRAign8Kkjvihn8tEASA +ANEPAAAAbBAG2iD6IGgd4DzVAFtsyBjSvIkQIoJ/CpI74oZ/LRAEgADRDwAAAGwQBtog+iBoHeA8 +1QBbbL7moB9tEASAAOo0AApYBIAA/KBoHaB9FQD+IAgV4A4VAFgWTtEPAAAAbBAG2iD6IGgd4DzV +AFtssOagH20QBIAA6jQAClgEgAD8oGgdoH0FAP4gCBXgDhUAWBZA0Q8AAABsEAbaIPogaB3gPNUA +W2yi5qAfbRAEgADqNAAKWASAAPygaB2gbfUA/iAIFeAOFQBYFjLRDwAAAGwQBtog+iBoHeA81QBb +bJTmoB9tEASAAOo0AApYBIAA/KBoHaBt1QD+IAgV4A4VAFgWJNEPAAAAbBAG2iD6IGgd4DzVAFts +huagH20QBIAA6jQAClgEgAD8oGgdoG3FAP4gCBXgDhUAWBYW0Q8AAABsEAbaIPogaB3gPNUAW2x4 +5qAfbRAEgADqNAAKWASAAPygaB2gfUUA/iAIFeAOJQBYFgjRDwAAAGwQHBvSYvogaB2grIUAW3kJ ++kBoHaA71QBbbbripAAFDZGAACigACMWLiUWLeQWLCQEWYAA9C/gFaAlxQD0hSAVoAkFAPgl5hXg +AX4AuGb0wAv8IgCdAIdgDwIADwIA2nBbbbbrdAANGASAAOokAAngBIAAW3rRZa/SojctcADl0TF7 +8ASAAGXfwuliAScKIYAAKhIvKOAA6poCDxAEgADqFi8kAOmAAPYgaB2v/q4AAAAAAP7gIBWv/0oA +wLArFi8qEi4sEi36JYgV4F2FAP4l6BXgDkUAWBXRLBIv+aKCBeAOJQAPAgD/gaAH0A0VAC+R8w7/ +Ai+V8ygSL/8BoAdQCoUAK5HzCrsCK5XzLBIv/4GgBxATBQAvkfMD/wIvlfMoEi/TD9MP/wGgBpAi +BQAqkfMCqgIqlfMrEi/TD9MPebcNLJH00w8PAgANzAIslfQvEi/TD9MPePcNKJH10w8PAgANiAIo +lfUqEi93pwgrkfUOuwIrlfUY0gYqEiwsEi8vgn8rGoALywELrznvhn8mWDSAACyR9g3MAiyV9i0S +L3XXCC+R9g7/Ai+V9igSL3SHCCqR9gOqAiqV9isSL3O3EyyR9gLMAv0+xB2gAgUA0Q/GKtEPwCDR +DwAAbBAK2iD6IGgd4DzVAFtr8+agvW0QBIAAG9APK7CA/CIAFaAPFQD6AAAD8A5FAPYhBhXgCSUA ++WAEA/ANhQDxYAS30AYlAJ8U8WAFp5IAnQDa8J8UDK8KlvDutgEFUAUAAO6wDnf4EQAAsaru9gAn ++BEAAA28AX2wB+32ACVQBQAAZKBMiRD/IkAH0A8FAIsYiBTA8fsCAA/wABIAfpcK8OvQDeAIJQAI +/wJ9lwVkYMcO/wJ8lwVkwHYN/wLaMOtEAArgBIAA/AuiHeAOFQBYFVzRDwAAAAAA/2GAB5AKBQBj +/24AAAAAAO62AQX0sIAA38D//cwNoAoFAAAAAAAAAO62AQX1EIAA2vD+IoAV7/1uAAAAAAAAAO28 +AQXy1IAALxwQ//1IDaAKBQAAAAAAAPoiABXgCTUACpkuC5kKiZD/JgAP//3iAO28AQXxEIAA2vD+ +IoAV7/yOAAAAAAAAAPgiABWgCxUACrsuCLsKi7D/ZgAP//zaAPgiABWgDiUACu4uCO4KjuD/xgAP +//yeAPvgaB2v+8IAbBAG2iD6IGgd4DzVAFtrjeagH20QBIAA6jQAClgEgAD8oGgdoG2FAP4gCBXg +DkUAWBUd0Q8AAABsEAbaIPogaB3gPNUAW2t/5qAfbRAEgADqNAAKWASAAPygaB2gbWUA/iAIFeAO +JQBYFQ/RDwAAAGwQBtog+iBoHeA81QBba3HmoB9tEASAAOo0AApYBIAA/KBoHaBtRQD+IAgV4A4l +AFgVAdEPAAAAbBAG2iD6IGgd4DzVAFtrY+agH20QBIAA6jQAClgEgAD8oGgdoG0lAP4gCBXgDiUA +WBTz0Q8AAABsEATAINEPAGwQBtog+iBoHeA81QBba1PmoDdtEASAAOo0AApYBIAA/KBoHaBtBQD+ +IAgV4A4lAFgU44gQGtCY8QDwDeBLBQApoX8LmQIppX/RD9EPAAAAbBAG2iD6IGgd4DzVAFtrP+ag +H20QBIAA6jQAClgEgAD8oGgdoF3FAP4gCBXgDhUAWBTP0Q8AAABsEAbaIPogaB3gPNUAW2sx5qAf +bRAEgADqNAAKWASAAPygaB2gfbUA/iAIFeAOFQBYFMHRDwAAAGwQBtog+iBoHeA81QBbayPmoB9t +EASAAOo0AApYBIAA/KBoHaBdRQD+IAgV4A4lAFgUs9EPAAAAbBAG2iD6IGgd4DzVAFtrFeagH20Q +BIAA6jQAClgEgAD8oGgdoF0FAP4gCBXgDhUAWBSl0Q8AAABsEAbaIPogaB3gPNUAW2sH5qAfbRAE +gADqNAAKWASAAPygaB2gTQUA/iAIFeAORQBYFJfRDwAAAGwQBtog+iBoHeA81QBbavnmoB9tEASA +AOo0AApYBIAA/KBoHaAtxQD+IAgV4A5FAFgUidEPAAAAbBAG2iD6IGgd4DzVAFtq6+agH20QBIAA +6jQAClgEgAD8oGgdoC1FAP4gCBXgDiUAWBR70Q8AAABsEAbaIPogaB3gPNUAW2rd5qAfbRAEgADq +NAAKWASAAPygaB2gLRUA/iAIFeAOFQBYFG3RDwAAAGwQBtog+iBoHeA81QBbas/moB9tEASAAOo0 +AApYBIAA/KBoHaAtBQD+IAgV4A4VAFgUX9EPAAAAbBAG2iD6IGgd4DzVAFtqweagH20QBIAA6jQA +ClgEgAD8oGgdoF3lAP4gCBXgDhUAWBRRGdBmKJB9wKEKiAIolH3RDwBsEAZoMQPGKtEP2iD6IGgd +4DzVAFtqrujP4x0AygAAiRCoSCKAfQkiNuKEfS0QBIAA0Q8A0qDRD2wQDBzQnMffnRSLwYjDicIp +FgIoFgMrFgHswgApUASAAPwgBhWgW7UAW2vu4qQABQrRgAAqoAAuCmB662wvCnp682bTEPQiABXg +N6UAhDDaQFtr8utEAA0wBIAA6iQAC2AEgABbeQ3Ko7gzdTne8gACHaADBQD4ACId4AoFAPMiAA0w +CAUACpg4zY9gAPuibCvAANrA92APXGIAnQBlv8hgAeIAAAAAAAAAwDD8AAIdoF3VAPokABXgBAUA +9WBoHeAOtQDTD23qF6LOKuAAfaEX5KAvZmAFAADqtAAl2AUAAP5BYBWgDLUA6hwgJxAFAADlzwgA +2MEAAPXgBh2gDAUAW2pl+kBoHaA71QBba7XipAAFA7mAACugAPFhwA3gLfUACqwCbQgNfbFiK8AB +5LAIZmAFAABj/+sAiBRkgFDAwPgkABXgCrUAbaoVKiAA5KARYRAFAAAqlADszAEkyAUAAMDLpcv6 +JAAVoAwFAOS0ACDY0QAAW2pICv5Q/AAiHeAMBQAO3DhlwKrGKtEPlBTAwPokABWgD7UAbfoXos4r +4AB9sRfksJtmYAUAAOukACVQBQAA/kFgFaAMtQDqHCAnEAUAAOXICADY0QAA9QAGHaAMBQBbajDx +TIgN4Gr5AMDA+CQAFeAKtQBtqhUqIADkoBFhEAUAACqUAOzMASTIBQAAwMuly/okABWgDAUA5LQA +INhBAABbaiD6ACId4AwFAAa8OP+WEA3gmvkAwNAJvThk31TJM2g7VcHhfjE0wCDRDwD//kANr+ql +ABjPB4IcqCIoIoCJFP4hqBXv+vUACpkDCYgBCP8C/lAGFeACBQDRDwAazuuLHIwUjR1baf3AINEP +scrSoPJgKBXv96oAGs7nixyMFI0dW2n2wCDRDwAAAAD6ACIdoAkFAAapOGWfgmP+1AAAAGwQCvpA +aB2gO9UAW2tI46QABQh5gAD2IGgdoAUFAPIiABWgBwUA9AWCHaAKBQD+IgAVoAi1AG2KHKOsK8AA +5LEcfWgEgADksGJlUAUAAOvkACdwBQAA/GFgFaANtQDqHBAg2IEAAOLZCAYYBQAA9yAGHeAMBQBb +adpmoC/qEggiqAUAAOpkACMwBQAA/LPAgVAKBQAcz8srEAEtEAAtxHz7j6Yd4AIFANEPAAD4YGgd +4AoFAPoiABXgDrUA0w9t6hwukADdoOqsASzgBIAA5OAUZMgFAADutAAl2AUAAPxhYBWgDbUA6hwQ +INiBAADi3wgGGAUAAPfgBh3gDAUAW2m4ZqAg9L/7oJIAnQCxXf2fWgWgCkUA+gAiHeAOJQBbfaXG +KtEP0qDRDwAAAGwQBvpAaB2gO9UAW2r946QABQeRgAD1n0IF4AYFAPIgaB2gBwUA9AWCHaAItQD8 +IGgdoAoFAG2KHKOtK9AA5LEcfXAEgADksJZlUAUAAOvEACZgBQAA/GFgFeAOtQDqFAAGmAUAAOLp +CArYBIAA9yAGHeAMBQBbaY/xROQN4A4FANkQ/GBoHeAKtQDTD22qFCrQAMmm6pQAJ3AFAADt3AEk +yAUAAPxhYBXgDrUA49wBKNAEgADi7QgK2ASAAPegBh3gDAUAW2l7ZqAc5VwEIzAFAAD43/q6UAi1 +ANKg0Q8A//5UDa/qpQBoZO/dYP2e2AWgCiUA+gAiHeAORQBbfWLGKtEPwCDRD2wQBvpAaB2gO9UA +W2q746QABQfRgAAVz2HyIGgdoAYFAPYAAh3gJMUA8ABYDaAJtQC0VfbAIBWgCLUA+MAGTCAJtQD+ +IGgdoAoFAG2aHKOsK8AA5LEcfWgEgADksKFlUAUAAOvkACdwBQAA/GFgFaANtQDqFAAK2ASAAOLd +CAYYBQAA96AGHeAMBQBbaUj/WfQN4A0FAAM8AvggaB3gDrUAbeoUKsAAyabqlAAm6AUAAOzMASTI +BQAA/GFgFaANtQDqFAAK2ASAAOLfCAYYBQAA9+AGHeAMBQBbaTRnr1HAi3hhI91g/Z5cBaAKJQD6 +ACId4A61AFt9IsYq0Q8AAAD//igNr+qlANKg0Q/AINEPbBAG+kBoHaA71QBbanfjpAAFB3GAAPWc +QgXgBgUA8iBoHaAHBQD0BYIdoAi1APwgaB2gCgUAbYoco60r0ADksRx9cASAAOSwlmVQBQAA68QA +JmAFAAD8YWAV4A61AOoUAAaYBQAA4ukICtgEgAD3IAYd4AwFAFtpCfFE5A3gDgUA2RD8YGgd4Aq1 +ANMPbaoUKtAAyabqlAAncAUAAO3cASTIBQAA/GFgFeAOtQDj3AEo0ASAAOLtCArYBIAA96AGHeAM +BQBbaPVmoBzlXAQjMAUAAPjf+rnQCLUA0qDRDwD//lQNr+qlAGhj791g/Z3SBaAKJQD6ACId4A41 +AFt83MYq0Q9sEAgfzuSL9Ij24vIHKVAEgACJ9YzzjfKO8Z4RLRYCLBYDKRYFIhYHKBYGKxYEL/IA +/iAGFeA71QBbairkpAAFAbGAAMJ84hQAAKiBAACDIA8CAA8CAAM6AltqMOs0AA0wBIAA6kQAC2AE +gABbd0vIp7gidSnXxirRD6RsK8AA57EKflAEgABlv+ZgAAGxyujOwhV/GYAAiSHAIAqSOfMQBh2g +AgUA0Q9sEAz6QGgdoDvVAFtqDOSkAAUIeYAA9iBoHaADBQD3nWoF4AIFAPQFgh3gCgUA8ACwDaAL +tQAAjhzFp/9AB2qiAJ0A/uAHK6AKBQAuZQDjPAEjMAkAAPRgB2WQC7UALRwgbbocpKwrwADlsRx9 +cASAAOSwMmVQBQAA69QAJugFAAD8gWAVoA61AOscMCDQgQAA6u0IBiAFAADzoAYdoAwFAFtok2ev +j/iAaB3gCgUA+iQAFeAOtQDTD23qHC2QAN6g6qwBLOAEgADk0BRkyAUAAO20ACXYBQAA/IFgFaAO +tQDrHDAg0IEAAOrvCAYgBQAA8+AGHaAMBQBbaH3xRogN4Aj1APh/+XwiAJ0AsT39nPQFoApFAPoA +Ih3gHgUAW3xpxirRDwAAAAAAAAD6AIIdoAsVAOzOchnoBIAAW3xhxirRDwAAABrNnfggaB2gGQUA +bZoP6YEAJVAJAADppb0kQAkAAMAg0Q/SoNEPbBAG2iD6IGgd4DzVAFtoXeagF20QBIAAiBAbzl4I +CUfotH4kqCeAAGiTAdEPxirRDwAAAGwQBtog+iBoHeA81QBbaFAYzaOJECKCfwqSO+KGfy0QBIAA +0Q8AAABsEAgZzk4PAgAPAgCIkSgWAemSAClQBIAA+CAGFeA71QBbaZTjpAAFB4GAAMBQ5xQAAJBB +AAD2AAIdoCTFAPAAPA2gC7UAALFV9KAFuVALtQD8IgAVoAoFAG26HKOtK9AA5LEcfXAEgADksI9l +UAUAAOvEACZgBQAA/GFgFeAOtQDnWwoGmAUAAOLtCADQQQAA96AGHaAMBQBbaCP/WnQN4A4FACkc +EPxgaB3gD7UA0w9t+hQq0ADJpuqUACdwBQAA7dwBJMgFAAD8YWAV4A61AOdbCgaYBQAA4ugIANBB +AAD3AAYdoAwFAFtoD/9VdA3gBSUA0qDRDwAAAAD//nANr+qlABvOD4kRjBAstIDptUYtEASAANEP +xirRD2wQBtog+iBoHeA81QBbZ//moBRtEASAAIkQG84ACQhH6bR/JBgbgADRD8Yq0Q8AAGwQBtog ++iBoHeA81QBbZ/MYzfqJECKCfwqSO+KGfy0QBIAA0Q8AAABsEAbaIPogaB3gPNUAW2fpGM3xiRAi +gn8Kkjvihn8tEASAANEPAAAAbBAIGc3rDwIAiJGYEemSAClQBIAA+CAGFeA71QBbaS7jpAAFB4GA +AMBQ5xQAAJBBAAD2AAIdoCTFAPAAPA2gC7UAALFV9KAFuVALtQD8IgAVoAoFAG26HKOtK9AA5LEc +fXAEgADksI9lUAUAAOvEACZgBQAA/GFgFeAOtQDnWwoGmAUAAOLtCADQQQAA96AGHaAMBQBbZ73/ +WnQN4A4FACkcEPxgaB3gD7UA0w9t+hQq0ADJpuqUACdwBQAA7dwBJMgFAAD8YWAV4A61AOdbCgaY +BQAA4ugIANBBAAD3AAYdoAwFAFtnqf9VdA3gBSUA0qDRDwAAAAD//nANr+qlABvNp4kRjBAstH3p +tUUtEASAANEPxirRD2wQCPpAaB2gO9UAW2jt46QABQqJgAAXzFj3l4wFoAQFAPQFgh3gCgUA+AFi +HeALBQD6IKYV4ADaAAAZy74EHxQH/wot8q7H7w6YAwjdAf1mAA7wCgUA7fauIiAFAAD0gAf7UAm1 +AIgVZYD13RBtmhyjrivgAOWxHH14BIAA5LC3ZVAFAADr1AAm6AUAAP5hYBWgD7UA2hDj7AEg2EEA +APHgAEdwDQUA/cAGHeAMBQBbZ3HSoOcgWGnwBIAA2RD+AAId4Ai1AG2KFCvgAMm265QAJ/gFAADu +7AEkyAUAAP5hYBWgD7UAsePrHBAo0ASAAPHgAEbwCQUA+aAGHeAMBQBbZ1zmoFptEASAAMDhLhYF +Gsy5jhQqon8OqygsOugMuyx7Yxvyn/iX0gCdABnNXPlgABW//CoAAP/94A2v4qUAH81Y/ZqwBaAL +FQAK/yz8gGgd4ApFAFt7PPuW7AXv/x4A0Q/RDwAAAGwQDhvNT/ogaB2gTIUAW3Pe+kBoHaA71QBb +aI/ipAAFBAGAACigAA8CAA8CAGSAb/YpABWgBwUA8iBoHeAANgAAAAAAuDN2MVaEMNpAW2iQ60QA +DSgEgADqJAAK4ASAAFt1q2Wv3aJcKsAAwtztoTd+WASAAGWvy+kyASWBIYAALrAA0rDnlwIPfX4A +AC8aDH9wDBLNLPZP5hXgAgUA0Q/GKtEPAAAAAPuAIBXv/zIAbBAE+kBoHaA71QBbaGfipAAFANmA +ABPNINowW2hx3KDrNAAJUASAAFt1jciixirRDxjNGhrNGyKCfxnMWAoiAQkiAvMP5hWgAgUA0Q8A +AABsEBIbzRT6IGgdoGwFAFtznvpAaB2gO9UAW2hP5KQABQOBgAAooAAPAgAPAgBkgJn2LAAVoAcF +APIgaB2gADYAAAAAALgidiFGgyDaMFtoUOs0AA0oBIAA6kQACuAEgABbdWtlr92kXCrAAMLc7aFP +flgEgABlr8vpIgElgKGAAC6wANSw55cCD31+AABgADvGKmYgMhrM8C0SGC+hfn38Bi2lf9EPAAD/ +T+QVoAsVAP2Z1gWgCkUAW3rJxirRDwD7gCAV7/7SANEPwHAnFhj//vwNoAIFAABsEBAbzN76IGgd +oGwFAFtzaPpAaB2gO9UAW2gZ5KQABQPRgAAooAAPAgAPAgBkgIf2LAAVoAcFAPIgaB2gADYAAAAA +ALgidiFQgyDaMFtoGus0AA0oBIAA6kQACuAEgABbdTVlr92kXCrAAMLc7aE/flgEgABlr8vpIgEl +gPGAAC6wANSw55cCD31+AAASzLz2T8Qd4AIFANEPGcy5KJF++S/EHa/ipQDRDwAAAAAAAPuAIBXv +/xIAG8yywKD7b8QdoAIFANEPAGwQBi0gAOs0AApgBIAA+qBoHaA/1QD/pSYN4AYFAMU7c9Ef3iBt +CBXk0EhjMAUAAC3gAe/RDHdwBQAAc9EEY//jAAAXzJ+bEvwgJhWgAwUA6hYAI6BBAAAlcn/bIOxk +AArQBIAAW3UCyKy4d+R56HGYIQAAxirRD9pQW2fddqnpGss6GMyQqjp4oejrEgIlQC8AAIiJjBHt +EgApUASAAAuAANKg0Q8AAGwQBtxA6iAAKugEgADyIGYVoAcFAP5gaB2gP9UA76EqeRgEgADFK3Kh +IAM7Am0IFeSgSGO4BQAAKrAB76EMddgFAAByoQRj/+MAABbMdZ4S/CAmFaACBQDtFgAjKUEAACRi +f9sw7HQAClAEgABbdNbIrLhm5WnocRAhAADGKtEP2kBbZ7F3qekayw4YzGaqKnih6OsSAiVAKwAA +KII1jBHtEgAp0ASAAAuAANKg0Q8AbBAG3EDqIAAq6ASAAP5gaB2gP9UA4hYDKRgEgAD/RQYN4AIF +AMVLdKEeAzsCbQgV5KCYYRAFAAAqsAHvoQp12AUAAHShAmP/4xXMTJ0SnBH3mJQFoAcFAP4gBhWg +ADoAuGblYWZzuCEAACRif9sw7CQAClAEgABbdKhlr+LaQFtnhnKp2hrK4hjMPg8CAKp6eKE4ixBp +sRcsEgEtOv99yQ4ey1Av4oAu4n8P7gguFgHrEgAlQCcAACiCJYwR7RICKdAEgAALgADSoNEPxirR +D2wQBtxA6iAAKugEgAD+YGgdoD/VAOIWAykYBIAA/0UmDeACBQDFS3ShHwM7Am0IFeSgRGEQBQAA +KrAB76ELddgFAAB0oQNj/+MAFcwbnhIWzBmcEfwgBhXgBwUAJGJ/2zDsJAAKUASAAFt0d8ituGbl +aehzuCEAAMYq0Q8A2kBbZ1Jyqegayq4YzA2qenih5+sSAiVAIwAAKII9jBHtEgAp0ASAAAuAANKg +0Q8AAAAAbBAEwCDRDwBsEAQjIAb0QPAVoAoVAFgEu/OUjgWv9bUA9UAHPGAGBQAay/kDSQwmJoLk +Ox15xgKAAPsAAEQwGvUAbZkNKYJACpkC6YZAJEAHAAAsIsAdy+8NzAIsJsApIscby+0ay+0LmQEK +mQL4WOYV4AQFAMChW24cJEwBaUn0/ljoFaAEBQDAoVtuGLFEaUn1FMvjJCbKLwpO/kaGFeAEBQDA +oVtuEbFEaUn1+EaIFaAEBQDAoVtuDLFEaUn1KRoA+EjmFeAEBQDAoVtuB7FEaUn1+kjoFaACBQDA +oVtuA7EiaSn1wKNYBIZ1oRz5l5AFoAIFANMPbTkNJoZAJoZ85oZ+JEAHAADRD8cl0Q9sEAQTygkZ +y74IKBGpiCmCQSiCUSQyghXLv+VEAQkVgoAABCIC8nBGFaACFQDRDwBsEBgcyf2KJiYgBiggB/gk +RhWgCxUAKcKCC5kCKcaC+MAqaqIAnQAUy6onEiIIbRGk3QZ3DG15Ii7SQSzSQw7oCXyLEw7uEXzr +CP+PAAywAB4AAMCQKdZDLd0B+iMGFaADBQCmNdpQW//aZKDU4lsJCuYCgACkzC3CUS21HsCgKsZR +KrUnKrUw6rU5IZgFAAB3Oc8Yy5UvEhjAwCwWGfngBAewDhUA/iKGFeANBQAP7TgtFhUeydEt4oIj +Ehkfy4krEiKmM+/dAQn9goAAD90C7eaCK1AEgABYAunAoP2XBgWgCwUAWAFwZqBW6xIiK1AEgABY +AuPAoP2UpAWgCwUAWAFpZqA7IxYTWAFIZKSlGspM/CJoFaALBQD6JGYV4AMFAPokJhWgCQUA6RYg +LmaCgAD1gAEGMAUFAPwj5hWgAI4AxyvRD7FVLhIjLRIgDwIA7uwBIZhBAADuFiMug94AACkSH+WW +UiTwEQAA5eZSJOghAADl1lIk4DEAAOXGUiTYQQAA5bZSJNBRAADlplIkwGEAAOWGUiT4cQAAJfZS +6xIiK1AEgABYArYsEiHH/+/MAwnQBIAA7BYhKdgEgABYATpmr3xYARllr3rAkfgkBhXv/d4AAAAA +4xIhL18CgAArFh4rFhL8ImgV4AkFAPgjphXgDwUA/iFmFeAOBQD+IuYVoAUFAPQjZhXgDgUALhYa +AtgJ6BYWLu4CgAD1oABGsAwFAPwiBhXgBQUA/aomFaAA3gAAAAAA9KAFYZIAnQArCgHrFhsiqAUA +AC4SHC0SHSwSGi7sEO4WHibIBQAA6RYdLgS2AAD6JEgV7//1AO8zAwtQBIAAWAKCKxIe3DDrFhwt +0ASAAFgBCGautFgA52WvpIobDwIADwIA9V/1HdIAnQAtEhsrEhaxrA3KOSuxHiwSF+oWCy3fwoAA +/WAXQ6IAnQCxzCwWFy4SEC0SFisSIizmUezVJytQBIAAWAJo//2cDaAFBQApEh0rEhYqEhfqtSck +yAUAAB/K/Y3yjvGL9IjwjPOK9ZoVnBOYEJsUnhH8IEYV4A4FAJ4eLRITKxISiPboFgYs5wKAAOy7 +CA7ugoAABN0KLRYfj/efF9Ow6xIiK1AEgABYAk3aMOzK5xnYBIAAWADUZq3m8iGmFeAMhQD5lcQF +4AsFAPoiJhXgCgUA6hYPKegEgADpFiEumASAAO0SHyjwBIAA0w9tyiIv0lIoCn/5/+1EIgCdAIng +CQlA6fkIB3ARAADp1lIm6BEAAOsSIitQBIAAWAIxLBIh8iGGFe/69QDqzAMJ2ASAAOwWISnQBIAA +WACz7RIfLWsSAADyIGgd4AUFAIsw0w/1YAxgkgCdAOM8BCKoBQAA6VjoZugRAACNEPghABWgDgUA +/gAiHeAMBQD94gAOcAk1APwgKBXgzAEADwIAbZog+QAIFeAKBQAN/jjtggEkQCEAAAzrAfniAA1w +DgUAC6wBDf44jRx84Ar+IcYV4A4VAC4WDy4SEYkf/aIAFeAMhQDu7AEumASAAO4WEST4MYAAgx2P +HgzoEagz6zQAB/WBgAArEiIpEhXpFggrUASAAFgB9yUSIceP6FUDCdAEgADrNAAK4ASAAFgAe+UW +JC1kGgAAJRIWKRIUDwIAJVEe5JBlYqvBAACTGiMSJCgSECsSIuWGUStQBIAAWAHlixr6ISYV7/n1 +AAkzA+w0AA3QBIAAWABpZqw5WABIZKCxLBIWLMEe78wRAqgFAAD1gAUD4gCdAI4ZjRjjFiQncEEA +AO4WCib9QYAA6xIiK1AEgABYAc8pEhYPAgAqkTkokSeqiAgYEioSEC8SGSimUeiVMCf4BQAALxYZ +9//aRWIAnQDrEiIrUASAAFgBwcAg0Q8A7RYlKtAEgABYABDtEiUtc04AAMDgnjAr0lKwvAvLOPuq +RhXv+VYAACISFvxE5B2v8rUA0Q8TySL/8JANoAsFACgSFvUHJB3v/cYAAGwQBOjKSRFBQ4AAwGDl +yIcZAQqAAP0AAQFQA0UAbToYKVKECSkBKlKJ6ioBAzARAADqmSJyqBEAABzIfCrCJfpABAUwCwUA ++4SmFeeqAQDxQJAN4AIVAMAg0Q8A0Q8AAGwQBBvIctewJrKIx48IZgP34AADMAIFAChyhPpAgBWg +BQUA+PEoFeAMRQDTD23KJQAgBAYNGe/XGnEQBQAAAFAE+J8AD3H4nQD+4AAH9+4BAH/pH7hVJ3wE +6au7bRAEgAAisiXy4AABMAMFAOO2JSEAQYAAwCDRD8Ah0Q9sEAQZyFPTDySWhCSWhSSWhiSWhyKW +gCOWgSiSg/uUGAWgDAUA+gAiHePthQD7BgAMcA4VAPkwZhWgDwUAWjbQ+AACHe/yVQAKkjvRDwAA +AGwQFCQgByUgBiIWGnRbORnJ8gJaCeVMDArGAoAA+QAARHALBQBtyRspgkEppULrhkElUAkAAOul +SiRABwAAK6VTK6VcCQpPmhAZyC3AwSuSggy7AiuWgvSgJWqgCgUAIhIAKhYPBU8MLxYXGcglKJKC +IxIPGsneBTMI6ogBCdWCgADqiAIKWASAAOiWgirQBIAAWAE9wKD9k64FoAsFAFv/xGakoupUAApY +BIAAWAE2wKD9kUoFoAsFAFv/vWakh9pQ4xYRKlgEgABYAS8oEhopEhEPAgAPAgAImAkoFhsogULA +oCoWHRrJvOPIlxzOAoAADwIACpkI+COGFeAKBQD6IwYVoAYFAPkoJhWgBwUA6lQAClgEgABYARvH +n+kzAwtQBIAA62QACeAEgABb/6BmpBRb/4DsEhslIJGAACzBQg8CAA/MESzMEPOAH9OiAJ0AsSIu +Eh0vEhwtEhj2wgAVoAcFAOL2QSdIBQAA6RYdJvz5gAAnCgD4IYYV4AYFAOYWGSy3AoAA6lQAClgE +gABYAP3Hj+gzAwtQBIAA62QACeAEgABb/4Jmo5xb/2Lko2ZjuAUAACwSHCsSGy0SGSzCQSuxXfbC +ABWgGgUA7LsMBugFAAD7QBt74gCdAO0WGSvCnAAAKRIbHsmHIpVdiOCK5IvjjOLt4gEg+EEAAJ3x +nPKb85r0mPCJ5Zn1iOaY9u7iByrQBIAA7vYHKlgEgABYANmIHCcSGah37Ml3G58CgADqNAAJ2ASA +AFv/XWajByISHCIiT7Eilx0ax635kt4F4AsFACsWFvlRBhXgBgUA6lQAClgEgABYAMcZx6XaMP2Q +bAWgCAUA6JaIKdgEgABb/0tmosHqVAAKWASAAFgAvh7HmxzJWO3JXhnQBIAA7eaIKdgEgABb/0Jm +oppb/yHXoBnHkxzIJOjJVxnQBIAA6JaIKdgEgABb/zlmonlb/xn3QBSeYgCdALEiLBIWLRIcsWnm +lAABmEEAAOLWTyZ7iYAAHcgVnR4sEhzAgCjGTy8SEf4hqBWgCgUAKhYTGsd8rp4uFhD5UQYVoAsF +AOjJLh93AoAA6xYSJ3BBAADuFhQv/oKAAAj/Cv4iphXgD4UA6RIVIPBBAABt+iIvkkcoCn/54A+U +IgCdAIrgCgpA6voIB3ARAADqlkckyBEAAOpUAApYBIAAWACEgx4r+v8LMwMrEhTsNAAN0ASAAFv/ +COMWDi0NogAAIxwQ9iKoFeAGBQCMMA8CAPWADBCSAJ0A53wEIzAFAADpaOdhmBEAACgcEImA/gAC +HaAPFQD9ACgV4AwFAOn8OARAIQAA/AAABjAJNQBtmiD5AAgV4AoFAA3+OO2CASRAIQAADOsB+eIA +DXAOBQALrAErEhQqEhIN/jgM7QH+ImgVoAwVAP2NAA1wD4UA6hYSJdhBAADrFhQncAUAAO4WEyV4 +QYAALxIc+qBoHaAGBQDi9k8qWASAAFgATScSECMSE6czJxIODDMR8mIAFe/49QDodwMJ0ASAAOs0 +AAvgBIAAW/7NIxYe5hYfLQYqAADiZAAJsASAACMSHCMyQSM88CgSHNpQ44ZBKlgEgABYADjHn+l3 +AwtQBIAA62QAC+AEgABb/r1moIdb/pxkoLGwM2QwrOQvyWMwQQAAKRIbDwIADwIAKZFLLBIbIsFd +qSICEhItEhyKHysSFyLWQeLFVCVQBQAAKhYP+1/bPWIAnQDqVAAKWASAAFgAHcAg0Q8AKRIcKJJB ++R/gFaAHBQD5KCYVr/IyANpgW/5oZa55wKCaMClyR7CZ+OjmFe/5tgAAxyXRDywSHCkSHSsSG+LG +QSTIBQAA82ukHa/wXgAAAADpbAEhU/0AAPNNAAk/9f4AKxIbAwlP+WlkHe/9dgAAAGwQBOTIkxlG +AoAA8kNyDe369QACOQwEiAhtmQ0pgnwKmQHphnwkQAcAAMChW2q/4jkMCUYCgAD1AABEMgoFANMP +bZoNKYJ8CpkC6YZ8JEAHAAAqCgFbarXAINEPbBAK5iAGKSAEgAD2QPAV4Ao1AFgBNccr80AIlCIA +nQDzjX4F4AoVAPXgAh3gDQUALTaCLTaIKDKCCogCKDaC9sAL+uIAnQAcyHCdFSQWAgZ+DJ4WLzKC +hRUpEgIM/wEGVQjpWQgKrYKAAPXmAA/wBAUA/nBGFeAFBQCZESWUIYgR9QVGHaAKFQBYARfzQAT0 +IgCdACkyJAxKEfqmAA0/CwUAC5kBCpkC+GSGFeAKNQBYAQ1yoXfqZAAL2ASAAFv/uc1azUjA0C02 +gC02gRzIWSw2hBvIWSs2hSw2his2hygygxrITvoAIh3gDAUA+AAiHePthQD5BgAMcA4VAPhwZhWg +DwUAWjURZqAiKjIl4qcgciAFAAD4n/st0gCdAOkSASKoBQAA+L/6glAEBQDHJdEPixWNFuzINBXY +BQAAmxX9f/iFYgCdAI4W+CBIFaAPFQD+IGYV4AUVACUWBPjAAEQwCgUA+CAGFa8FBQBt6UCEEqap +pJQrQCovQCGr/g4ORy5EM3lrHihAIClAKQ+EDIgU+y8ADPAPBQAJ+DmJE5gUBPk5mRNkoK0MvDYN +7TexqokUZJDVihPTD+QSAiUGcYAAp2oKGhKqSiygKiqgISsyJAzMEQysAgW7AQy7Ais2JPRkiBWg +ChUAWAC/81/59CIAnQDAwy4yJMDw9CAABbOEIQDl7gEEQ/0AAOj/NQXYCQAA7Ls0D/8CgAAPvwIP +7gIuNiQsMjotMjsNzAz7YEAVoMwBAAyqAwoKQKq6sqoqNjgpMkj9ZCBBUpkBAKm6+1/gFaAAZgCN +ECzQKv2mcBXv/T4AAAAAAAAA2pAqNkjA4P5wRhWgCjUAWACb81/1dCIAnQDAINEPAAAAAP2vAA0/ +/P4AbBAOGMfXIyAG5CAHKNAEgAD2QHAV4AsFAPxAqBXgDDUA84wyBaAJlQBtmg4pgkGZoOuGQSRA +BwAAtKoZx9sYx9vAoComI46DhYLmggEg+MEAAJbxlfKe84iAmPANWkHsqjYA2MEAAAuqCoqgDfVQ +/rgAErBqCQD80AATMIoBAP8QABQwqhEA6FUCDVXCgAAKZgL2pgAKsIgFAAhYAgyIEQmIAvhCBhWg +BgUAwKFbaeOxZmlp9fpCCBXgBgUAwKFbad+xZmlp9RrF9BvF9Px9Ah3gDAUA/igAFeAOFQBaNHbx +UhgN4BwFAPxEZhWgBgUAwKFbadImbAFpafT8RGgV4AYFAMChW2nNsWZpafXAoVtpyxbHpxjHktMP +DwIAiIAax6X5jx4F4AxFAPoAgh3j7YUA+wYADHAOFQD5IAYVoA8FAFo0XWagueQ7HnnOAoAAG8eE +A0oMC5kIbakNLJJhKZ0B84AE/tIAnQAubQSO4MeL6O4BA3gTAACe8MDQ/ERmFeAKFQBbaa0Tx4gM +XxED/wL+QgYV4AMFAMChW2mosTNpOfX4QggVoAMFAMChW2mjsTNpOfUaxbgbxbn8fQId4AwFAP4o +ABXgDhUAWjQ7ZqAz+47GBaAJhQDpJiMowASAAPIAAh2gCZUA0w/TD22aD+mCACRAEQAA6aZBJVAH +AADRD2V/Qscr0Q8AAABsEAQjIAckIAYYx1IZxZzkMwwKLgKAAPigAEE/5AUA0w9tOg0jIkAEMwHj +JkAhEAcAACiSwBrHXQqIAfk4BhWgAgUA0Q8AAABsEAQUxYzCMCpCAg8CAAoKQsinaKEFaKMCaaUX +80bGDa/1tQBkIJFoITFoI19pJRhgAPQAsDNkMOrAoVtpa2P/xmQw38ChW2loi0ILC0LrKe9xm/0A +AMAg0Q8AAPVABbqSAJ0AwMH8gCYVoAUFAMChW2ldsVVpWfX8gCgV4AUFAMChW2lZsVVpWfVj/7tp +pVPA5P6AJhWgBQUAwKFbaVKxVWlZ9f6AKBXgBQUAwKFbaU6xVWlZ9WP/j8ChW//RdaFiwFCVQcCh +W2lIsVVpWfX4gCgVoAUFAMChW2lDsVVpWfVj/2TAoVv/xnWhNykKAviAJhXgBQUAwKFbaTuxVWlZ +9fqAKBWgBQUAwKFbaTexVWlZ9WP/MgDAo1v/ufVf+iViAJ0AxyvRD8CjW/+1daHzwLP6gCYV4AUF +AMChW2kqsVVpWfX8gCgVoAUFAMChW2kmsVVpWfVj/u8AAABsEAYWxTXoWRAKQ8KAAOmIAgnPAoAA +CYgCGcb8CCgCCYgC+MIGFaACBQDAoVtpF7EiaSn1+sIIFaACBQDAoVtpE7EiaSn1+4pSBeAMBQD+ +ACIdo+2FAOrFJBj4BIAAWjOq+gACHe/ytQAKsjvRDwAAbBAKG8bngiWIs4myirGaEZkSKBYD+2AI +FeAMVQDrFgAhXByAACwWAwKNUQHdCo3Q+426BaADNQD8IAAGct0dAOfdEQ5mQoAA/YYADnOLBQBY +AawUxQXAUCVGIytCOyu8+/NggAXwCjUA+kAABfAMJQD/aAAVsA2lAFv/xcioxyvRDwAAAAAAAPoA +Yh2gCwUA/ABiHaANpQBb/71lr9/9jXYFofIpAOP/NgDIQQAACf8Ki8GKwojDmJOakpuRjMCckC/y +AAL9UA/dEf4BAAdwCjUA/dAAFzC/AQD/cAAVsP8RAO27Ag/9woAA/8YAD3AMFQD/ZgANsA2lAFv/ +pWWvffIAAh2gBvUAwKFbaMSxInYp9cCIJ0I6KkJBeotA/0egB9CaAQCaGZkY/UBAFaAaBQAMqjT6 +iCYVoAIFAMChW2i3sSJpKfX8iCgV4AIFAMChW2iysSJpKfWLGYoYq6rAyHrDDyqs+/AAMA2iqgEA +AAAAAAAKGkLsQiAj6/EAAPoAYh2i6gEA+9gAFzK9AQD/YAAVsN0ZAO67Ag7vgoAA/WYADfDMiQD7 +gAAWMA2lAP1mAA2xDAUA/WYADbAMBQBb/3RlrrnAIMChW2iUIiwBdin0+gCiHaALBQD8AAIdoA0F +AFv/a2WulsAgwKFbaIwiLAF2KfT0hoYV4AIFAMChW2iHsSJpKfX+hogV4AIFAMChW2iCIiwBaSn0 +EsZj8pjmFaACBQDAoVtofbEiaSn1+JjoFaACBQDAoVtoeLEiaSn1wKFb/vzHm3mhOBXEhipSwQOq +Avq4JhWgAgUAwKFbaG+xImkp9fq4KBXgAgUAwKFbaGuxImkp9cDI/IRmFaACBQDRD8cl0Q8AbBAY +hiSHJoUlHcZHGsZGG8ZHiNOJ0ozRnBGZEpgTjdCdEFgBjQWaQfVAIYFSAJ0A+gACHaALBQAFzEdb +aE3SoPuMeAWhCwUAWAGD+4x0BaALFQBYAYH7iMYFoAs1APx9Ah3gDDUA/gAiHaAPBQBaMuhmo/D7 +jGIFoCsFAFgBdh/GDsDgKvIeK+oH+0AEBXH7BQALqgIq9h6I8Snq2wmIAZjxnvIu9gMaxiX/4IYV +oAt1AFgBaRvESRnGIiuyyYKSjZSPk+iSASDwQQAAmOGf4+3mBCDgQQAA88BGFaK7MQAMuwqJkOnm +ACsXwoAA67IAKVAEgABaL7bqxhMdWASAAFgBVRvEjQd6QwuqCSqhMAeLUSsWJApqKOG7Cg2ngoAA +67IALVeCgABaL6noXxR9GASAAAoJQPgAIh2gAwUACYM4qjPqxgEZ2ASAAFgBQ383AbEz6sX+GdgE +gABYAT/qxfwZ2ASAAFgBPCMSJPuL9AWgCwUAWAE4HMX4/4vwBaALdQD6AKIdoAVlAAbMLOzrB3ro +BIAACq0CLnpS/cDyDaXftQAN2wLA2P3g0g2k6BUA3bD9ANINoAuVANvQGsXpWAEmHMXojcGOwu/C +AyDYwQAAn7Oesp2xjMCcsKtL67IAKVAEgABaL3rvpwZ9WASAALGrGsXdWAEY/Yu6BeC3cQDrOwkA +4QEAAAy7Co7Wj9eK1YnUiNOYw5nEmsWfx57Gj9KO0S7GAS/GAo3QLcYA67IAKVAEgABaL2YWw+kr +YjwsYjcaxcusu1gBBBzFyo3BjsLvwgMg2YEAAC+2Ay62Ai22ASzCACy2AAtLCOuyAClQBIAAWi9X +wDQDqTfvlwZ80ASAALGawH4HqzQaxbtYAPLrxbsZUASAAFovTQOpN++XBnzQBIAAsZoFqzQaxbVY +AOrrxbQZUASAAFovRdOgJmI7/sEgD9CWAQB/pwGxo8iTfz8BsTP7i1gFoBsFAAO7NFgA3cAz68Wp +GVAEgABaLzgGqwMLC0CrqxrFplgA1/uLSgWiCwUAWADUHMWjjcGOwu/CAyDZwQAAn7MutgIttgEs +wgAstgCrS+uyAClQBIAAWi8nA6k375cGfNAEgACxmgWrNBrFllgAxOvFlRlQBIAAWi8fKQoKCak3 +75cHfNAEgAAqnAHDvgurNBrFjlgAuvuLHAWgSwUAWAC3+4sYBaALJQBYALUmCgXrxYkZUASAAFov +DwapN++XB3zQBIAAKpwBB6M06sWDGdgEgABYAKrqxYIZ2ASAAFgApxzFgI3B7sICINn9AADvwgMl +2AUAAC+2Ay62Ai22ASzCACy2AAtLCOuyAClQBIAAWi75wJMJqTfvlwd80ASAACqcAQWrNBrFcFgA +levFXxlQBIAAWi7wwJwJqTfvlwZ80ASAALGaB6s0GsVoWACM68VnGVAEgABaLufvpwZ9WASAALGr +GsVjWACF+4rGBaILBQBYAIIaxWEbxWFYAIDAINEPaKIIaKMPY/vS0Q8AwKD/7ygNoAsVAMCh/+8A +DaALFQBsEAQVw+OlJShSgCn6/wk5AwmIAQhIAviwBhWgAgUAwKFbZz2xImkp9fiwCBWgAgUAwKFb +ZzmxImkp9dEPAAAAbBAEKyIEGsVGWi7CFMT880BoHeDWBQD2g0YVoAIFAMChW2ctIiwBaSn0+INI +FaACBQDAoVtnKLEiaSn1wKFbZyYaxQf6AIId4AxFAFv/3Ckq0fiDRhXgAgUAwKFbZx4iLAFpKfT6 +g0gVoAIFAMChW2casSJpKfXyAAIdoFUFAMChW2cVIiwBdSn0KwrX+oNGFeACBQDAoVtnECIsAWkp +9PyDSBWgAgUAwKFbZwuxImkp9cAgwKFbZwgiLAFpJfQtCtX8g0YV4AIFAMChW2cCIiwBaSn0/oNI +FaACBQDAoVtm/rEiaSn1wKFbZvv2g0YVoAIFAMChW2b4sSJpKfX+g0gV4AIFAMChW2bzsSJpKfXA +oVtm8SIK0fKDRhWgAgUAwKFbZu2xImkp9fiDSBWgAgUAwKFbZuixImkp9fQMgh3gAgUAwKFbZuSx +InUp9RrE9foYQh3gjCUAW/+ZZDAMIgoAwKFbZtyxInMp9fOF3AXgAgUA8AA4DaA1JQDAqltm1rEi +dSERiUZ/l/B8l+0pMhJ+l+fAINEPxyXRD2wQBBTDbAQkCPKQBhXgAgUAwKFbZsqxImkp9fiQCBWg +AgUAwKFbZsWxImkp9dEPAGwQBhPDsRTC0+MyVSHj2wAALMJWHcMn/ECmFaIzHQDyQIYV5MwBAA3M +CozAnCYaxMsZxMv4mSgVoAuVACskB4mQ+CAGFeKICQAoJAMBiAgogAD4QMYdoAs1AFv/3cChW2ar ++4mABaAbBQBb/9nqxLkZ2ASAAFouNBvEvAs7LOrEuxXYCQAAW//S9YTABeACBQDAoVtmnrEidSn1 +LAoB/JAGFaACBQDAoVtmmCIsAWkp9PyQCBXgAgUAwKFbZpSxImkp9fQMgh3gAgUAwKFbZo+xInUp +9cBg0mAmRoDAoVtmi7EiaSn1/pAIFaACBQDAoVtmhrEiaSn19Yk8BeACBQDAoVtmgrEidSn1+4k0 +BaELBQD8IAIdo+2FAP4AIh2gDwUAWjEZ8U74DeACBQAlOujAoVtmdrEidSn1wPL+mAYV4AIFAMCh +W2ZxsSJpKfX4mAgVoAIFAMChW2ZsIiwBaSn0wCDAoVtmaSIsAWkl9CkKA/iYBhXgAgUAwKFbZmQi +LAFpKfT6mAgVoAIFAMChW2ZfsSJpKfXAIMChW2ZcsSJpKfUdxCOP0SLq2wL/AZ/RltIm1gOW1IzV ++n0CHe7OlQD/gAQGMSMdAOzWBSlQBIAAWi3df6cBsar7iNAFr7oBAFv/e+vEVxlQBIAAWi3Xf6cB +sar7iMQFr7oBAFv/dfuIwAWgy4UAW/9y+4i8BaH7RQBb/2/AINEPxyXRDwAAAABsEAQdwlwbwlwN +LQj/oGgd4AIVACqydn+nFSyycPeAABYwCQUA7ctbfvgEgAAM3wz/RMAHkA4lACyycQzMEH/LTOz/ +DAV0YoAAwKH9hJgFoAsFAFtxxcYq0Q99p+sosnIICF8MiBB/g9+eMJ9A/mAIFaAKVQD9hIQFoAsF +AFtxusAg0Q+ZMPyABhXv/44AkjD+gAYV7/9mAGwQBBzCNPxD4BXv7gUADt0BKsKC6MJ8Idh9AAAO +uwH9TwANcLtNAOqyAQQAgYAAL8KBLsJ9D/45fisQwCDAoP2ETAWgC2UAW3Gi0Q8ixoJ6uPdj/+cA +AABsEAQZxB3mTBEJ2UKAAOy7AgrWwoAACnoCCSkLC6oCKpZAKBwgiIAAahEKiAIolkHRDwAAbBAG +W2wV84WcBaAJBQD1g1oF4AMVAOTDYh1wBIAA9gGiHeAGtQD6sAYdoAiFAG2KEgCQBA4KG++nB3TY +BQAAKyZ/sZnzwAgH0gCdAMAw88ALd5IAnQDzwA7/UgCdAPPAEn8SAJ0A88AV7tIAnQDzwBg+kgCd +APPAGqZSAJ0AeOdVKhow/YNWBaALtQBbbBTqRdAtYASAAPpgAAS3ugEA92FGDeAO5QB+sQJpvg4v +QAEoCggPAgAI/wIvRAH3IOYNo6wBAGmqDCtAAcHA0w8MuwIrRAEuUIAcw9wtIn8jJoApUIErUIIq +UIMoUITguxEMygKAAOuZAg1WAoAACogCCYgCmBAqUIUvUIYrUIcpUIjg/xENUgKAAO+qAg3eAoAA +C5kCCpkC6RYBKfgEgAD4sjAVoAsFAPggRhWgCkUAW3FB0Q8AAAAAACoKcP2C8gWgC8UAW2vjHMF2 +/UBoHeAbJQD8mSQd4IpFAFtr3SpUgStBySNUkfNAaB3gCAUA+LEmHaerAQD3QYYN5zMBAMCeeaEC +aa4OKkABLAoIDwIADKoCKkQB/rAQFaOrAQD3QBMMIgCdAPVAEs0SAJ0AY/6OACoKkP2CtgWgGwUA +W2vFHMFY/UBoHeAbZQD8mUQd4KpFAFtrvypUgitByvKxRh3gDyUA/rImHefqAQD+YABBt6sBAHeh +B8COeKECaa4KKUABwKgKmQIpRAELCkP3QBAUIgCdAPVAD9USAJ0A/rAQFa/4ngAAAAAAAAAqCrD9 +gnoFoBtFAFtrphzBOv1AaB3gG6UA/JlkHeDKRQBba6EqVIMrQcvysWYd5/oBAP5gAEHwDjUA/rIm +HaerAQB3oQfAjnihAmmuCilAAcCoCpkCKUQBCwpD90AMzCIAnQD1QAyNEgCdAC5QgNMP8d/tzxIA +nQAqCtD9gj4FoBuFAFtriBzBHP1AaB3gG+UA/JmEHeDqRQBba4MqVIQrQczysYYd4A9FAP6yJh3n +6gEA/mAAQberAQB3oQfAjnihAmmuCilAAcCoCpkCKUQBCwpD90AJjCIAnQD1QAlNEgCdAC5QgPHf +6l7SAJ0AKgrw/YICBaAbxQBba2v6maQdp7oBAOexDH1gBIAAwN59sQJpvgouQAHA+A/uAi5EAQoI +Q/cAB5QiAJ0ADAlD9SAHPRIAnQAuUIDx3+gOkgCdACoaCP2B2gWgCxUAW2tW+pnEHae6AQDnsQx9 +YASAAMDefbECab4NLkABwPgPAgAP7gIuRAEKCEP3AAVsIgCdAAwJQ/UgBRUSAJ0ALlCA8d/lplIA +nQAqGhz9ga4FoAtlAFtrQfqZ5B2nugEA57EMfWAEgADA3n2xAmm+Ci5AAcD4D+4CLkQBCghDdoFr +DAlDaJplLlCAY/xsKkABwbALqgL6gCYdr/Z+ACxAAcHQDcwC/IAmHa/3+gAuQAHB8A/uAv6AJh2v ++Z4AKEABwZAJiAL4gCYdr/s+ACpAAcGwC6oC+oAmHa/8RgAsQAHB0A3MAvyAJh2v/VoALkABwfAP +7gL+gCYdr/46AAAAAGwQChnAj8Ag5xwBKJgEgADqkIAgsAkAAPWCPAXgBAUAACAECggbf4dQ+kBo +HaALBQBbakqaGOs0AAvgBIAA/MBoHeAOBQBbajErMACKGC0wASwxAQWqC+jdEA3ZAoAA7bsCDk0C +gAAJSQILmQIppsAZwHSxzKxEKpCAtDPmbAQhEAUAAOkomGO4EQAA80AGb9/yNQDzQAgXkgCdAPNA +Ca9SAJ0A80ALVxIAnQDzQAzu0gCdAPNADpaSAJ0A80AQLlIAnQDzQBHWEgCdABrBfCqif/FIQA3g +BwUAFsF58CEmFeADBQAsYH3xhUAN4AIVAOp0AAlYBIAAW2oY2KCOGSngACrgAS7hAQWIC+iqEAzJ +AoAA6pkCD30CgAAPTwIJ/wIvhsAtYH0u7AHuRAgBmAUAAO0zunEQBQAAGsFgKqJ/ixnmbAEl2BEA +AOsWCSO4BQAA+vH2DaADBQDRDwAqCnz8H+IdoBtFAFtqvO3ClxVj/QAA7q8RDmeCgAAK/Dgu0pAZ +wC/TDwLuASqQgA7MAizWkPFf+DeSAJ0AKgqc/B/iHaAbhQBbaq3uwUwVa/0AAO6oEQ7vgoAACo04 +L+KQGcAgAv8BKpCAD90CLeaQ8V/2n1IAnQAqCrz8H+IdoBvFAFtqnu/CehVz/QAA7qkRD3eCgAAK +njgo8pAZwBHTDwKIASqQgAjuAi72kPFf9PcSAJ0AKgrg/B/iHaALBQBbao/owmwVe/0AAO6sEQ// +goAACs84K4KQGcACArsBKpCAC/8CL4aQ8V/zXtIAnQAqGgD8H+IdoAtFAFtqgOvCXhVD/QAA7q0R +DEeCgAAK2DgsspAZv/PTDwLMASqQgAyIAii2kPFf8baSAJ0AKhoU/B/iHaALlQBbanHswlAVW/0A +AO6uEQ3fgoAACus4LcKQGb/kAt0BKpCADbsCK8aQ8V/wHlIAnQAqGij8H+IdoAvlAFtqYu3CQhVj +/QAA7qsRDmeCgAAKvDgu0pAav9XTDwLuASqggA7MAizWkPFf7nYSAJ0AKho8/B/iHaAbNQBbalPu +wjQVa/0AAO6oEQ7vgoAACo04L+KQAv8BD90C/dIGFe/2cgBsEAQYwD8Vv+YTwCj5D7AVoAYVAPmE +TgXv9/UA+gGiHeASFQDzAAsv0AQFAPUj5hWgGnUA9SPGFaAcNQD1MAYdoAEaAAAAAAAAa9YCa9QU +aNgRaNkOaNo+fSICe9oFfaIafNIXLlKEAEEEAG0aAN0RB98DD+4BDt0CLVaEsUToSyphmAkAAC0x +kNMPDT1EaNHSa9S0atKx2kBbae76AuIdoAvVAP//UA2gHDUA84AABaADBQD2AoId4CZ1APAAvA2g +FAUAAAo6RGiiUGiqeXehAmmhCvpgaB2gCxUAW2mt4zwBIiP9AADkQIZhEAkAACohkHapzxjAAiiC +WhnAMwjoUQGIEQmIAilSlhzB6gyZAQmIAviyxhWv/yIAANowW2nGZa+6LyGQHcHi/bAQFaL/AQD7 +4AQA0A4VAADuGg7MAv2wBh2v/m4A2jBbabtlr44qIZAbwdkKCkKrqyuwgAsLRFtpmB3B08DP/bAG +Ha/9ygBbapFbaocfwc4q9h4r9h8uUof+sOYVoAIFANEPwCDRDwAAAGwQBhrAexvAJxy/xRTAWRXA +V/OAIgXgCAUA9JhEFaAZBQBtmhULiQIpxvnpwvklUAkAALGICQlNKaW958G7GiXCgAClRPQgBhWg +BQUAi0KKQSs2O4lAKjY8KTY9GsG0+gAiHeAMFQD+uAATMA2lAPbGAAxwDgUA+GdGFaAPBQBaLh7m +oEptEASAABzBqfuDTgWgCxUA/AFCHeAOBQD8xgAOMA8FAPxnRhWgDBUAWi4S5qAcbRAEgACxVele +j2IgMQAAjRAPAgAPAgAt3QYtFgDtwZkZFqIAABfBmPQgCBWgBQUAi0KKQSs2O4lAKjY8KTY9GsGO ++gAiHeAMFQD+uAATMA2lAPbGAAxwDgUA+GdGFaAPBQBaLfjmoixtEASAABzBh/uDBAWgCxUA/AFC +HeAOBQD8xgAOMA8FAPxnRhWgDBUAWi3t5qH+bRAEgACxVelej2IgMQAAjRD3fsAFoAUFAOfBdxbo +GwAA7RYALQ7SAAAN1AKNRCxCAy02OytCAiw2PCpCASs2PSlCACo2Pik2PxrBZvoAIh3gDBUA/rgA +FDANpQD3BgAMMA4FAPhnRhWgDwUAWi3Q5qGkbRAEgACxVeRMFCr2vAAAhBD3f5YFoAUFAC1NCo3U +LE0KjMMtNjsrTQqLsiw2PCpNCoqhKzY9KU0KiZAqNj4pNj8awUz6ACId4AwVAP64ABQwDaUA9wYA +DDAOBQD4Z0YVoA8FAFottuahUm0QBIAAJVwB5EwUKuaMAAAkEgD3fyoFoAUFAC1NDC3SJCxNDCzC +Iy02OytNDCuyIiw2PCpNDCqiISs2PSlNDCmSICo2Pik2PxrBL/oAIh3gDBUA/rgAFDANpQD3BgAM +MA4FAPhnRhWgDwUAWi2Z5qD5bRAEgACxVeRMFCrmfAAAHr8xLuB9f+dDGL8cKYLgL4Lg+4JGBeOp +IQD7QCAVo8nhAP2AIBWjmUEA6ZwBLmECgADr/wEMzgKAAOyZAg1XAoAACv8CCf8CL4bg0Q8bvwsq +suAtsuD6cAAHM8pBAOzMAidwCQAA4O4RDmYCgAD/hgAOM/ohAO7BCxf4CQAADP8R/4YADnOqAQDu +3QEFUAkAAA2qAgyqAiq24NEPAAAAAAAAAPoAAh2gC4UA7MD5GWgEgABbbmfRDwAAAPoAAh2gC4UA +7HQACWgEgABbbmHRDwAAAPoAAh2gC4UA7HQACWgEgABbblvRDwAAAPoAAh2gC4UA7HQACWgEgABb +blXRDwAAAPoAAh2gC4UA7NQACWgEgABbbk/RDwAAAGwQBBTA4hO/dvWBwgXgCBUA+JAGFaACBQDa +IFtoyAoJQWmRI+opEQVwgoAAAwCHpZkJAmEJAmEJAmEJAmH6QGgdoAsFAFtoubEiaSjLKkKAG8DR +C6oC+pAGFaACBQDRD2wQBFv8pBq+6CyihR3Ay/2ABAZwLQUADcwCLKaFKaKXG79WC5kCKaaXGL8O +H77lKICA///iHaAJBQD5V2YV7/rVAP0DABFQCYUAGMClbZoNKYKQCpkB6YaQJEATAAAu9iAu9iEu +9iIu9iMu9iQu9iUu9ib/5OYVoAIFANEPAAAAbBAIAioCW0pLlBAcv5X8QGgd4AuFAO80AA0wBIAA +/sBoHaAKVQBbbgzAgBW/CqVlI1aBJFaCKFaDCOQWmBQBAgAjUoMD6jD6wGgdoQslAFtKNQrqMCdS +iMh82mAD6jArGgJbSjAK6jCIFAjkFgOqDFtKJNig7SQAC/AEgAD9fvQFoApVAO9SiCpPQoAACJks ++CAGFeALhQBbbe/AINEPAGwQBBPAhv18KgWgDRUA+mBoHaAYFQBtigoroAV7IAJ/t2K8qhrAcC7A +gCmggC2keP9QMBXgG+UAebMFDe4CLsSALaCC/2G2DeAJJQAowIAJiAIoxIAooIP9YbYN4A9FAC7A +gA/uAi7EgPlilg2gCoUAKcCACpkC+ZAGHeACBQDRD8Ag0Q+OoBzAZv3AEBXgC4UA/8AwFaAKJQBb +bcfZMP4CIh3g+uUA0w9t+g0okAUKiAHolAUkyDEAAMcr0Q8AAGwQBiggAPpAaB2giSUA6YEIcRAT +AADHLtEPJqwW8sAHuqIAnQAUwFATwE+TEfOAngXghQUA+iAGFaABsgC8M3QxVSgwBQWIAekwBCx3 +xAAAd5np2mD6YAgV4AwlAFtoMvwAAh2v66UACss4Zr/OjREsMQOIMtpw7cwIA1gNAAALgADxSqgN +4A8VAC4wBdMPD+4CLjQFJ2AC48A1E8ANAACoZnJrctsw+sBoHaAMJQBbaB7jwCoVAGmAAPbAUBXv +/hIAAIkQtGp6m10JaQy0mf8iAAfQCgUAixDqsAAl2AUAAJsQCRsUyriIEC2AAOyAASXL/QAA6t0I +BEAJAABtmQ4pgACtyuyAASRACQAAqp0NyggewBcq5H0qCoBb/4nSoNEPAAAAAAAA//+YDaAKBQCO +MBzAEP3AEBXgCiUA/8AwFaALhQBbbWxj/0kAbBAEGcAKKpJ/KZKACasRq5n7IAYVoBi1ACiUBFtn +DMCgW2cFW2cAW2bGwCDRDwAAbBAE837CBeCppQD0AMIdoAUFAAUJPwUGPwUHPwUKPwQIPwkEPwUF +P/hrKBWgigUACAA/0w9baz4Yv/Qbv/EKAT/tv/Aa8ASAAP4D4h3gChUA+2+mHaAMhQDltH4m0aEA +AOy0fCbJ0QAA/AGiHaALBQBtyj7uhmEl4FkAAOmGYCRAgQAA6YZXJuiBAADuhlYl2AUAAOqGVSTI +gQAA6oZUJVCBAAAu1GAu1GEu1hkPzDYs1GL0b4YdoA0lAC00fVtm3Bi9th+/0h6+2ggAhw8CYQ8C +YQ4CYQ4CYdEPAGwQBBi+UiKCHcD4+3rABaANBQDwU5AN7/71ANbQ9gACHeAEBQD6ACId4AnVAG0I +QyyC1yWC4axsCcIRolUuVHgqVSmXXCRVEyZUIitUICtUIS1UDSZUDC9UBZxQKVQELFEShVsjgh2s +ROV3CAMwBQAAc2sDY/+1ACKCHmQgjRW/rfX/4h2gCQUA8ADkDaAGBQAAAILLJMQMK8QNJsQil8wq +xSn/jwYdr4MFACPEICPEISOCHrCZ4ncIAzAFAADja0p12AUAACOC2CyC4aNjCTIRoszzgAYV4ALl +ACLEBO/EBSz9jgAAKVB+9IAgFaALFQDln55iqAUAAG0IDClQfrFE5Z+OYqgFAABj/+wpgh/Ll/V6 +uAXgBgUA+ADiHeAH9QBtCCIsgtkrguGsbAnOEa67nLAlthYttA0ptAwntAQqgh+xZnprAmP/1sAg +0Q8AAPegaB3v/JIAbBAEEr31HL95E791G793H791Lzbx+n4GFeAKFQAqNvgrNvIrNvYsNvnyfmYV +oA4VAPJ+5hWgAgUA4jb1KWgEgABbSMkiNsiKPYs8jDuNOo45iDeJNo84KTbJqYgpMhEoNsqo/y82 +y6/uiD4uNsyu3S8yEC02za3Mjj8sNs6suy0yGis2z6uqLDIbKjbQqpkrMhwpNtGpiCoyHSg20qj/ +KTIeLzbTr+4oMh8uNtSu3S8yIC021a3MLjIhLDbWrLstMiIrNterqiwyJCo22KqZKzIlKTbZqYgq +MigoNtqo/y822ykyKa/uLjbcKDIqrt0tNt2tzCw23qy7Kzbfq6oqNuAKmQgpNuEJiAgoNuJbZl3s +MvEtaASAAOoy9y7uQoAA/aPgFe/uBQAO3QH9TwANf4sFAOuiAQYAkYAALzL2LjLy0w8P/jl+KxrA +IMCg/XoABaALZQBbbHzJIfJ8ZhWgAgUA0Q8iNvd7qO9j/90iNuP9fkAFoAoFAPoAAh3v/UUAW2xx +xyTRDwBsEAQavxoWvO4SvIwdvhsYvxjyT+gVoAuVAPV96AXgDOUA6YxUJDqxAABtKRokUIDTD9MP +BAREa0IVZkASJ2ac5VwBIzBRAADAINEPAAAAAOJQuCIVEQAA71C4Ihy9AADuULgiJHEAAGtHAmtF +CHtBBXTCy2pKyPzThhXv/xYAAGnjvPrThhWv/uYAafWx+NOGFe/+ugAAAGkopPjThhWv/oYAAGwQ +BBW9XRa8yRq8ifN94gXgBAUAJKaBJKaDJKaAJKaCKDBxwZDppookfM6AAC1STf95iAWg31UAD90o +H75NDt0sKGLAD4gBCNgCKGbALmLgD+4BDt0CLWbgLGLgG77Z/X26BaAKBQBb/hfAof16nAWgCwUA +W/4TW/3z5qG2bRAEgAAZvPEqCggrko/6bgYd47sBACs0cCiSihu+zxy+oAqIAiiWivgRAh3gCAUA +bZoNDIkLK5ZA5JZBJEAFAAAESgJb/cjmoWxtEASAAFv81eahYW0QBIAALDBxf8cR9K9EHaQKBQD6 +rwQdoAA+AAAAJFV6GrxgKlV4JFV6JFV79LDkHaLqHQD+ryQdoC0FAC1VfVv8X+ahHG0QBIAAL1JN +F7z0+AyCHeAINQAJ/ywI/yz+94YV4AoFAFtmG+ag9W0QBIAAKjBxf6cKG7xnwMEstsArssDyAAId +oAoFAFtmEMmhHb6eDwIALdKfyNYqCgBbZfTSoOq8DBkF4gAALAr//m4wFeAORQDTD23qDCuggLGq +/WAFPSIAnQDAkPHgBS/SAJ0AGL6PKHbAKHbAErv8Fbwl930YBeADBQApIHwAMAQJCRt/n02xM+Vd +ICmnuAAAJGZyK2J2+lAQFa/8tQAMuwH6zsYV4AMFAAAwBAoNG3/XGPpgaB2gCwUAW2Wv/GBoHaAL +9QBbYJ4qIICxM2k42GAAFQAuUoAH7gLuVoAp0ASAAFtlrmP/nQBbZaBb+0vAINEPANEPAAAAAAAA +//1sDaebHQAYvmkvUk0I/ywv/P4PHxTqMIwv/sKAAP8mAA/wCIUACP8C73bAJSQxAAD5X/nR0gCd +AClywMai+yAEBLAKVQAKmQL4+AYV7/yKAAAAbBAIE7w/KDB9Er5U+XyqBePqhQDmMjEkfHiAACYm +fiYmfykmgApqLCo2IfpkBhWgAgUA0Q8AKhps/D/iHaAbJQBbZjn1QGgd4Bu1APotgh2gHPUAW2Y0 +wLT1QGgdoAz1APQghhXhegUAW2YvwbL1QGgd4AwVAPQgZhWhegUAW2Yq9UBoHaAbpQD6L4IdoAw1 +AFtmJRe8SBm+Mw8CAAdbCSuxsOZsCg1oBIAA5EFKblfCgAALrCwmMjH7oAgA0WqFAPyfAA6wGwUA +/E/GFeH89QBbZhX1QGgd4BuVAPotAh2gHPUAW2YQwb71QGgdoAz1APQgRhXhaoUAW2YLwbD1QGgd +4AwVAPQgJhWhasUAW2YG9UBoHaAbxQD6L4IdoAw1AFtmAQdZCSmRsOZrCg1oBIAA5EDfbd/CgAAJ +vCz7oAgA0XrFAPyfAA6wG+UA/E/mFeAMFQBbZfT1QGgd4BtFAPw/4h2hegUAW2Xv9UBoHaAb1QD6 +LgIdoBz1AFtl6ysKAvdAaB2gDPUA9CAGFaF6RQBbZeX1QGgdoBtFAPough2gDBUAW2XgLDIxG73v +9oAAhPANFQAF1TkFyznrugoNcASAAOmRsC1XwoAA6yJ/JwMpgAAJrSzsIn4u74KAAPxQBhXj7YUA +DbssDcwsLDYh+mQGFeACBQDRD44UjBMH7gkJzAkswX4u4cAMrCwOzCgLzCxj/qAfvdOOEowRB+4J +D8wJLMF+LuHADLwsDswoCcwsY/8IiBAfvcssIn4HiAkPbwkv8X75GAQVo+uFAAvMLA+vLAj/KCgi +fwn/LOw2IS//goAAC4gsLyaA+GQGFaACBQDRD2wQBsCg+gECHeAM9QBbZakYvboBogqLgYyAnBAr +FgHoggItaASAAOgWAigECoAA9UAEkdIAnQAiIgATu3/wRhgN5AgFAPMABIsiAJ0A8miIFaQKBQBb +ZZT9QGgdoQkFAOokAA5ABIAADwIA0w9tmhLpggAkQBEAAAkJjummACVQEQAA+4BoHaQLBQBbbIHi +NkQhAOGAANogW/0h0qDHnnkhfWYgCtEPAAAAAAAAAMcr+gACHaALBQDsvZEZaASAAFtq1dEPwKL9 +exwFoAuFAFtq0v//MA2v8rUAIjJEGrtxW2Vx2CD7QGgd4QwFAG3KEY2w7Q0WBdgRAADthgAkQBEA +ACtKAFtsYuI2RCF9EYAA2iBb/QPnr4RtEASAAGP/LMCi/XrwBaALBQBbartj/3FsEAQTu0HyaGgV +oAoFAFtlWv1AaB2giQUA6iQADkAEgADTD9MPbZoP6YIAJEARAADppgAlUBEAAPuAaB2iCwUAW2xH +4jZDIQBJgADAINEPAP16xAWgCgUA+gACHe/9tQBbaqHHK9EPAGwQBhi7Uxm9Wx660B29Wy2WF/8j +BhWgCwUA+yZEHeAKRQAqlGYcvVXH8A/MASyGthy9VCiCrimS55kQ+CAmFaCPBQBbao/AINEPAABs +EAQZvU0XvFkqkoEqdtf5MEgV4ApFACp2NCp2Myp2Nyp2QSp2Qip2Pfj7BhXgCAUA+OqGFaADhQDy +5MYV4AgVACh2Pyh2Ohe7GBK7GBu6zyNwfSIigf134AWkBAUA8gAAB3QNBQDu2zkBPCqAACvFftEP +ABK76R+6xQg1AvTvph3gVQEABU85LyV+0Q8AAABsEAQbvSoavSoYvSrAwOq2fyVRAwAA+w/mFaCL +hQBbY60duugcvSXs1kMmYAsAACzWRNEPbBAEGruJ66yoIQ1ZAABoIm7kkIRkkAUAAPxCYBFQBBUA +YAA4aWQFBagIJ4YAckss6jQAClgEgABbZ53oYRRiIAUAAOWpCAsXdAAA9yAEHe//egClq/dgBh3v +/1IA0Q8AK6J6KaKEo7sJuxHrmQgDDd0AAGhidmlk46Wcl8DRDwAZu3ypOSmQfWhANuSQZWIQBQAA +ckOZY//DLLKQKaKEo8zpzBECIAUAAOyZCAMMbQAAaGIdaGQk8p/7q6IAnQBj/5pln0tj/5SlmPcA +Bh3v/6YApZr3QAQd7/9+AKWb92AGFe//VgClnCfEANEPpZ0n1QDRD9EPAAAgAw6QDMAABiAGsTwg +Aw6UCMAADCAGsTwgAw6YIMAAECAGsMQgAw6cBsAAOCAGsqAgAw6gCMAAPCAGsTwgAw6kAsAAQCAG +sqAgAw6oCMAARCAGsTwgAw6sCIAASCAGscwgAw6wGIAAXCAGscwgAw60GIAAbCAGscwgAw64GIAA +fCAGscwgAw68GIAAjCAGscwgAw7AGIAA3CAGscwgAw7EGIAA6CAGscwgAw7IGIAA9CAGscwgAw7M +GIABACAGscwgAw7QCIABPCAGscxod19iY204NDM0X2NoZWNrcmFtOiBTdGFydAoAAAAAAFBIWSBw +cm9jZXNzb3Igbm90IHJ1bm5pbmcsIHN0aWxsIGluIHJlc2V0IGZvciA1bXMsIHBvcnRfYml0X21h +cD0ldSAKAAAAAAAAAAAAAAAAUEhZIEZXIGhhcyBiYWQgQ1JDLCBva19jcmM9JXUKAABQSFkgZmly +bXdhcmUgbG9hZCBzdWNjZXNzZnVsIQoAAGh3X2JjbTg0ODM0X2xvYWRzZXF1ZW5jZTogU3RhcnRl +ZAoAAAAAAAAAAAAAAAAAAGh3X2JjbTg0ODM0X2xvYWRzZXF1ZW5jZTogVXBsb2FkIGltYWdlIHRv +IFBIWSBvbi1jaGlwIG1lbW9yCgAAAABod19iY204NDgzNF9sb2Fkc2VxdWVuY2U6IGRvbmUgbG9h +ZGluZyBpbWFnZSAoaSA9ICV1KQoAAAAAAAAAAAAAaHdfYmNtODQzNF9sb3dwb3dlclsldV06IGVu +YWJsZT0lZAoAAAAAAAAAAAAAAAAAaHdfYmNtODQzNF9sb3dwb3dlclsldV0sIGZhaWxlZCB0byBz +ZXQgMzAuMHg0MDFBYml0IDcgc2luY2UgMzAuMHg0MDBFIGJpdD0xIGFmdGVyIDVtcywJcmVnPSV4 +CgAAaHdfY2w0NV9pbml0WyV1XSBhY2FwcyAlI3gKAAAAAABod19jbDQ1X3VwZF9zcGRfYWR2ICUj +eAoAAAAAAAAAAGh3X2FxMTIwMl9saW5rX3VwWyV1XSB1cAoAAAAAAAAAcFsldV0gUEhZIE9WRVJI +RUFURUQgLSBmb3JjZWQgcG93ZXIgZG93biAodGVtcD0lZCkKAAAAAAAAAAAAAAAAAEZMQVNIIG5v +dCByZWFkeTogaSAldSBudnJSZWcgJSN4CgAAAAAAAAAAAAAAAAAAAEFRX0ZMQVNIX1JlYWR5IC0g +VGltZW91dCAoMSkKAAAAQVFfRkxBU0hfUmVhZHkgLSBUaW1lb3V0ICgyKQoAAAAJQVFfUmV0dXJu +Q29udHJvbE9mRkxBU0gKAAAAAAAAAGdhdGhlcl90YXNrc190b190eF9saXN0OiB0YXNrIGluIHVz +ZSBbJXVdCgAAAAAAAGdhdGhlcl90YXNrc190b190eF9saXN0OiBpZHggWyV1XSwgdGFzayBmaWQg +WzB4JXhdLCB0YXNrIHN0YXRlIFsweCV4XSwgdGFzayBjb25uIFsweCV4XSwgdGFzayBmZmxhZ3Mg +WzB4JXhdLCBjb25uIGZpZCBbMHgleF0sIGRkcCBbJWRdCgAAAAAAAAAAAGdhdGhlcl90YXNrc190 +b190eF9saXN0OiB0YXNrIFsweCV4XSwgc3RhdGUgWzB4JXhdIG9uIGNvbm4gWzB4JXhdIG5vdCB2 +YWxpZCB0byBnYXRoZXIsIHNraXBwaW5nCgAAAAAAAAAAAAAAAAAAAABnYXRoZXJfdGFza3NfdG9f +dHhfbGlzdDogdGFzayBbMHgleF0sIHN0aWxsIHF1ZXVlZCBvbiB0eCBwZW5kaW5nIGxpc3QuIFJl +bW92aW5nIGl0LgoAAAAAAAAAAAAAAABnYXRoZXJfdGFza3NfdG9fdHhfbGlzdDogY29ubl9mYy0+ +Zmxvd2NfZmxhZ3MgWzB4JXhdLCBsaXN0X2VtcHR5IFsweCV4XSwgYWRkX3Rhc2tfY291bnQgWzB4 +JXhdCgB0b190eF9saXN0OiBubyB0YXNrIHRvIGNsb3NlIGZvciBjb25uIFsweCV4XSwgYmFpbGlu +ZyB0byByZWNvdmVyeSBzdGF0ZSBbMHgleF0KAHNldF9kaWdlc3Q6IHVwbHN1Ym1vZGU6JXgKAAAA +AAAAYXV0aGVudGljYXRlX3RhcmdldDogS0VZX0NIQVBfUkVTUCAtIFsweCV4JXgleCV4JXgleCV4 +JXhdCgAAAAAAAGF1dGhlbnRpY2F0ZV90YXJnZXQ6IEtFWV9DSEFQX1JFU1AgLSBbMHgleCV4JXgl +eCV4JXgleCV4XQoAAAAAAABhdXRoZW50aWNhdGVfdGFyZ2V0OiBJbmNvcnJlY3QgcGFzc3dvcmQK +AAAAAAAAAABDSEFQX0M6IGRpZ2VzdCBleHBhbnNpb24gZXJyb3IKAENIQVBfTjogVGFyZ2V0IHVz +ZXJpZCBtaXNtYXRjaAoAQ0hBUF9SOiBkaWdlc3QgZXhwYW5zaW9uIGVycm9yCgBpU0NTSSBTZWMt +cGFyYW1zIHJlY2VpdmVkIGhhdmUgZXJyb3JzISEKAAAAAAAAAABUYXJnZXQgbW92ZWQgdGVtcC4g +Y29ubiAleCwgc2VzcyAleAoAAAAAAAAAAAAAAABMb2dpbiBGYWlsZWQhIS4gY29ubl9mYyBbMHgl +eF0sIHNlc3NfZmMgWzB4JXhdLCBzdGF0dXNfY2xhc3MgWzB4JXhdCgAAAAAAAAAAAAAAAFByb3Rv +Y29sIEVycm9yIGNiaXQgJWQgdGJpdCAlZCBjc2cgJWQgbnNnICVkCgAAAHJlY3Zfbm9waW46IGN0 +cmwgdGFzayBhbHJlYWR5IHBlbmRpbmcKAAAAAAAAAAAAAG9mbGRfcnhfZGF0YTogYWllZSwgaXNj +c2kgY29ubiBbMHgleF0gZm9yIHNlc3MgWzB4JXhdLCB0eXBlIFsweCV4XSB0cmFuc2l0ZWQgaW4g +dG9lIG1vZGUuIEtpY2tpbmcgcmVjb3ZlcnkgCgAAAABvZmxkX3J4X2RhdGE6IGNvbm4gdGlkIFsw +eCV4XSwgcnhfZGF0YS0+c2VxIFsweCV4XSwgcnhfZGF0YS0+bGVuIFsweCV4XSwgcnhfZGF0YS0+ +c3RhdHVzIFsweCV4XQoAAAAAAAAAAAAAAAAAAAAAb2ZsZF9yeF9kYXRhOiBjc2sgeyBpZCBbMHgl +eF0sIGNzb2NrX29mZnNldCBbMHgleF0sIGRsZW4gWzB4JXhdIH0KAAAAAAAAAAAAAAAAAABhY3Rf +ZXN0OiB0Y2JfZmMgWzB4JXhdLCBmbG93Y19pY29ubl9mbGFncyBbMHgleF0KAAAAAAAAAAAAAAAA +AAAAYWN0X2VzdGFiOiB0Y2JfZmMtPmZsb3djX2J1ZiBbMHgleF0sIHRjYl9mYy0+Zmxvd2NfdHlw +ZSBbMHgleF0gdGNiX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIG5wYWdlcyBbMHgleF0sIGZsb3dj +X3RwX3NuZF9tYXggWzB4JXhdCgAAAAAAAAAAAAAAAAAAYWN0X2VzdGFiOiBhdGlkIFsweCV4XSwg +dGlkIFsweCV4XSwgb3AgWzB4JXhdLCByY3ZfaXNuIFsweCV4XSwgc25kX2lzbiBbMHgleF0sIGNz +b2NrLT5mbG93Y19zdGF0ZSBbMHgleF0sIHRjcF9vcHQgWzB4JXhdLCB0Y2JfZmMtPmZsb3djX2lk +IFsweCV4XSAKAAAAAAAAAAAAAAAAAGNza19mYy0+Zmxvd2NfY3NvY2tfY29va2llIFsweCV4XSAK +AAAAAAAAAAAAAAAAAGNobmV0X3F1ZXVlX3htaXQ6IGZjLT5mbG93Y19pZCBbMHgleF0sIGJ1Zl9s +ZW4gWzB4JXhdLCBidWZmZXJlZCBbMHgleF0sIGZpZm8ubnVtX2J5dGVzIFslMHhdCgAAAG5ldGlm +X2RvX2RoY3A6IHdyLT5wYXJhbS52bGFuaWQgWyV1XSwgbDJkZXZfZmMtPmZsb3djX25ldF9sMmRl +dl92bGFuZGV2IFsweCV4XQoAbDNpbjRfZGV2X2NvbmZpZzogd3ItPnBhcmFtLnZsYW5pZCBbJXVd +LCBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X3ZsYW5kZXYgWzB4JXhdCgAAAAAAAAAAAAAAAAAA +bmV0X2wzaW40X2Rldl9jb25maWc6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGFkZHJlc3Mg +YWxyZWFkeSB1c2VkIGJ5IHBvcnQgJWQKAAAAAAAAAAAAAAAAAAAAbmV0X2wzaW40X2Rldl9jb25m +aWc6ICBhZGRyIFsweCV4XSwgbWFzayBbMHgleF0sIGd3IFsweCV4XSwgcmVmX2NudCBbMHgleF0g +aW4gdXNlCgAAAAAAAAAAAAAAAAAAd3JoX2NobmV0X2lmY29uZjogbDJkZXZfZmMtPmZsb3djX2lk +IFsweCV4XSwgbDJkZXZfZmMtPmZsb3djX3R5cGUgWyUweF0sIGlmY29uZl93ci0+c3Vib3AgWzB4 +JXhdCgAAAAAAAAAAAAAAAAAAAHdyaF9jaG5ldF9pZmNvbmY6IGwyZGV2X2ZjLT5mbG93Y19pZCBb +MHgleF0sIHVua25vd24gc3Vib3AgWzB4JXhdCgAAAAAAAAAAAAAAAAAAd3JoX2NobmV0X2lmY29u +ZjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgcmMgJWQKAAAAAAAAAAAAAAAAAG5ldGlmX2lw +X2NvbmZsaWN0X3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBpbmRldmN0eHQt +PnN0YXRlIFslZF0sIGluZGV2Y3R4dC0+cmV0cnlfY250IFslZF0KAAAAAAAAAABuZXRpZl9pcF9j +b25mbGljdF90aW1lcl9jYjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgaW5kZXZjdHh0IFsw +eCV4XSwgaW4gZnJlZSBzdGF0ZQoAAAAAAAAAAABjbWRoX2NobmV0X2lmYWNlOiBmYyBbMHgleF0s +IGZjLT5mbG93Y19pZCBbMHgleF0sIGZjLT5mbG93Y190eXBlIFsweCV4XSwgcCBbMHgleF0sIGxl +bjE2IFsldV0sIGxvYyBbMHgleF0KAAAAAAAAY21kaF9jaG5ldF9pZmFjZTpsMmRldl9mYyBbMHgl +eF0sIGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGwyZGV2LT5mbG93Y190eXBlIFsldV0sIGwy +ZGV2X2ZjLT5mbG93Y19uZXRfbDJkZXZfZmxhZ3MgWyUweF0KAAAAAABjbWRoX2NobmV0X2lmYWNl +OiByMlswXToldSByMlsxXToldSwgc3Vib3A6MHgleAoAAAAAAAAAAAAAAAAAAAAAY21kaF9jaG5l +dF9pZmFjZTogbDJkZXZfZmMtPmZsb3djX25ldF9sMmRldl9mbGFncyBjaGFuZ2VkIGZyb20gWyUw +eF0gdG8gWyUweF0sIHJjIFslZF0KAAAAAAAAAAAAY2huZXRfbDJkZXZfdXBfbWJfY2I6IHJjIFsl +ZF0sIHBvcnQgWyV1XSwgc3RhdGUgWyV1XSwgY29va2llIFsweCV4XQoAAAAAAAAAAAAAAABkaGNw +X3Byb2Nlc3NfY2I6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGRoY3R4dC0+c3RhdGUgWyUw +eF0sIGRoY3R4dC0+cnRyeV9jbnQgWyV1XQoAAAAAAAAAAABkaGNwX3RpbWVyX2NiOiBESENQRElT +Q09WRVIgc2VudCwgYnV0IG5vIHJlcGx5IGZyb20gYW55IHBvc3NpYmxlIHNlcnZlciBvbiB0aGUg +bmV0d29yay4gUmV0cnlpbmcgYWdhaW4KAAAAAAAAAAAAZGhjcF90aW1lcl9jYjogbDJkZXZfZmMt +PmZsb3djX2lkIFsweCV4XSwgc2VuZGluZyBESENQRElTQ09WRVIgZm9yIGRoY3R4dCBbMHgleF0g +b24gcGlkIFslZF0KAAAAZGhjcF90aW1lcl9jYjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwg +REhDUE9GRkVSIHJlY2VpdmVkIGZvciBkaGN0eHQgWyV4XSBwaWQgWyVkXQoAAAAAAAAAAAAAZGhj +cF90aW1lcl9jYjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgIERIQ1BBQ0sgcmVjZWl2ZWQg +Zm9yIGRoY3R4dCBbJXhdLCBwaWQgWyVkXQoAAAAAAAAAAAAAZGhjcF90aW1lcl9jYjogbDJkZXZf +ZmMtPmZsb3djX2lkIFsweCV4XSwgZGhjdHh0LT5pcGFkZHIgWzB4JXhdCgAAAAAAAAAAAAAAAAAA +AABkaGNwX3RpbWVyX2NiOiBzdGFydGluZyB0aW1lciBmb3IgbGVhc2UgWyV1XSBzZWNvbmRzCgAA +AAAAAAAAAAAAZGhjcF90aW1lcl9jYjogbGVhc2UgdGltZSBvZiBbJXVdIHNlY29uZHMgZXhwaXJl +ZCwgc2VuZGluZyByZW5ldyByZXF1ZXN0CgAAAAAAAABkaGNwX3RpbWVyX2NiOiBsMmRldl9mYy0+ +Zmxvd2NfaWQgWzB4JXhdLCBubyByZXBseSBmcm9tIGRoY3Agc2VydmVyLCB0aW1pbmcgb3V0CgAA +AAAAAAAAAAAAAAAAAABhdXRoX25lZ29fc2VjdXJpdHk6IHNlbmRfZmxhZyBbMHgleF0sIGF1dGhf +cG9saWN5IFsweCV4XQoAAAAAAAAAYXV0aF9uZWdvX3NlY3VyaXR5OiBLRVlfQ0hBUF9SRVNQIC0g +aGFzaFsweCV4JXgleCV4JXgleCV4JXhdCgAAAGF1dGhfbmVnb19zZWN1cml0eTogS0VZX0NIQVBf +UkVTUCAtIGhhc2hbMHgleCV4JXgleCV4JXgleCV4XQoAAABhdXRoX25lZ29fc2VjdXJpdHk6IEtF +WV9DSEFQX1JFU1AgLSBlcnJvciBlbmNvZGluZyB0byBoZXgKAAAAAAAAYXV0aF9uZWdvX3NlY3Vy +aXR5OiBLRVlfQ0hBUF9SRVNQIC0gZWxlbiBbMHgleF0KAAAAAAAAAAAAAAAAAAAAAGF1dGhfbmVn +b19zZWN1cml0eTogS0VZX0NIQVBfQ0hBTCAtIGVycm9yIGVuY29kaW5nIHRvIGhleAoAAAAAAABh +dXRoX25lZ29fc2VjdXJpdHk6IEtFWV9DSEFQX0NIQUwgLSBlbGVuIFsweCV4XQoAAAAAAAAAAAAA +AAAAAAAAbG9nb3V0X3RpbWVkb3V0OiBsb2dvdXQgcmVxdWVzdCB0aW1lZG91dCwgcG9zc2libGUg +bmV0d29yayBpc3N1ZXMuIEZvcmNlZnVsbHkgYnJlYWtpbmcgcGF0aCBmb3Igc2VzcyBbMHgleF0K +AAAAAHBpbmdfdGFyZ2V0OiBwaW5nIHRpbWVvdXQsIGtpY2tpbmcgcmVjb3ZlcnkgZm9yIHNlc3Mg +WzB4JXhdCgAAAABjc29ja19mYWlsZWQ6IGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMt +PmZsb3djX3N0YXRlIFsweCV4XSwgc2Vzc19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBzZXNzX2ZjLT5m +bG93Y19zdGF0ZSBbMHgleF0sIGV2dCBbMHgleF0KAAAAAAAAAAAAAAByYyBbJWRdLCBjc2tfZmMg +WzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XQoAAAAAAAAAAAAAAAAAAAAAcmVjb3Zlcnlf +dGltZW91dDogc2VzcyBpZCBbMHgleF0gc3RhdGUgWzB4JXhdLCByY291bnQgWyVkXSwgZmxhZ3Mg +WzB4JXhdCgAAAAAAAAByZWNvdmVyeV90aW1lb3V0OiBzZXNzIGlkIFsweCV4XSBpbiBsb2dvdXQs +IGFib3J0IHRoZSBjb25uZWN0aW9uCgAAAAAAAAAAAAAAAAAAAHJlY292ZXJ5X3RpbWVvdXQ6IHNl +c3NfZmMtPmZsb3djX2ZvaXNjc2lfc2Vzc19mbGFncyBbMHgleF0sIGNvbm5lY3Rpb24gcmVxdWVz +dCBwZW5kaW5nLCBiYWlsaW5nIG91dAoAAAAAAAAAAAAAAABmb2lzY3NpOiBSZWNvdmVyeSB0aW1l +ZCBvdXQgYWZ0ZXIgWyV1XSByZXRyeSwgYmFpbGluZyBvdXQKAAAAAAAAVENQIGNvbm4gZXN0YWJs +aXNobWVudCBmYWlsZWQgJWQKAAAAAAAAAAAAAAAAAAAAZGlzY292ZXJ5X2RhdGE6IHNlc3MgeyBp +ZCBbMHgleF0sIGZsYWdzIFsweCV4XSwgYnVmZmVyZWQgWyV1XS4gfQoAAAAAAAAAAAAAAAAAAABk +aXNjb3ZlcnlfZGF0YTogc2VzcyB7IGlkIFsweCV4XSB9LCB1bHB0eGNoIFsldV0gbm8gY3JlZGl0 +cyBhdmFpbGFibGUsIHJlc2NoZWR1bGluZyByZXF1ZXN0LgoAAABJbnZhbGlkIG9wY29kZSAweCV4 +IGluIGN0cmwgcGF0aAoAAAAAAAAAAAAAAAAAAABERFAgZXJyb3IgWzB4JXhdLCBhYm9ydGluZyBj +b25ubiBbMHgleF0KAAAAAAAAAAByeF9kYXRhX2RkcDogUmVzcG9uY2UgcmVjaWV2ZWQgZm9yIHRh +c2sgWzB4JXhdIHdoaWxlIGludmFsaWQgdGFzayBvciBjb25uZWN0aW9uIHN0YXRlLiB0YXNrIHN0 +YXRlIFsweCV4XSwgY29ubiBzdGF0ZSBbMHgleF0sIGNvbm4gZmxhZ3MgWzB4JXhdCgBpaGRyOiBS +ZXNwb25jZSByZWNpZXZlZCBmb3IgdGFzayBbMHgleF0gd2hpbGUgaW52YWxpZCB0YXNrIG9yIGNv +bm5lY3Rpb24gc3RhdGUuIHRhc2sgc3RhdGUgWzB4JXhdLCBjb25uIHN0YXRlIFsweCV4XSwgY29u +biBmbGFncyBbMHgleF0KAAAAAAAAAABpaGRyOiBJbnZhbGlkIHRhc2sgc3RhdGUgMHgleCBmb3Ig +dGFzayAweCV4LCBpdHQgWzB4JXhdLCBvcGMgWzB4JXhdCgAAAAAAAAAAAAAAAHByb2Nlc3NfdG1m +X3Jlc3BvbnNlOiBidWZmZXJlZCBbMHgleF0sIGlzdGFza19mYy0+Zmxvd2NfYnVmLT5zY2hlZF9u +b2RlLm5leHQgWzB4JXhdLCBpc3Rhc2tfZmMgWzB4JXhdLCBpc3Rhc2tfZmMtPmZsb3djX2lkIFsw +eCV4XQoAAAAAAAAAAAAAAAAAAHByb2Nlc3NfdG1mX3Jlc3BvbnNlOiB3ciBvcCBbMHgleF0sIHRt +ZiBvcCBbMHgleF0KAAAAAAAAAAAAAAAAAAB0bWZfcmVzcDogZmxvd2M6MHgleCwgaWQ6MHgleCwg +c3RhdGU6MHgleCwgeWllbGQ6MHgleAoAAAAAAAAAAAAAdG1mX3Jlc3A6IHRfdGFzazoweCV4IGZy +ZWVkLgoAAABzY3NpX2NtZF9yZXNwOiBmbG93YzoweCV4LCBpZDoweCV4LCBzdGF0ZToweCV4LCB5 +aWVsZDoweCV4CgAAAAAAZGF0YV9pbl9yZXNwOiBmbG93YzoweCV4LCBpZDoweCV4LCBzdGF0ZTow +eCV4LCB5aWVsZDoweCV4CgAAAAAAAHJldHVybl9wZW5kaW5nX3Rhc2s6IGNvb2tpZSBbMHglMDh4 +XSwgWzB4JTA4eF0KAHJldHVybl9wZW5kaW5nX3Rhc2s6IGRlbGF5IHByb2Nlc3NpbmcsIGNvbm4g +ZmxhZ3MgWzB4JXhdCgAAAAAAAAByZXR1cm5fcGVuZGluZ190YXNrOiBEb25lIHNlbmRpbmcgdGFz +ayBlcnJvciB0byBob3N0LCB1bHB0eGxlbjE2IFsldV0KAAAAAAAAAAAAAHJldHVybl9wZW5kaW5n +X3Rhc2s6IGRlcXVldWUgdGFzayBbMHgleF0sIHN0YXRlIFsweCV4XSBmcm9tIHR4X2xpc3QKAAAA +AAAAAAAAAAAAcmV0dXJuX3BlbmRpbmdfdGFzazogYWxsIHRhc2tzIHJldHVybmVkLCByZWNvdmVy +eSBzdGF0ZSB0cmFucyB0byBbMHgleF0KAAAAAAAAAABjbGVhcl9kZHBfbWFwOiBpc3Rhc2tfZmMg +WzB4JXhdLCBpc3Rhc2tfZmMtPmZsb3djX2lkIFsweCV4XSBidWZmZXJlZCAldQoAAAAAAAAAAGNs +ZWFyX2RkcF9tYXA6IGlzdGFza19mYy0+Zmxvd2NfaXRhc2tfbnBwb2QgJXUsIG5wcG9kICV1LCBw +cGRhZGRyIFsweCV4XQoAAAAAAAAAY2xlYXJfZGRwX21hcDogYWxsIHJldHVybmVkIHRhc2tzIGRk +cCBjbGVhcmVkLCByZWNvdmVyeSBzdGF0ZSB0cmFucyB0byBbMHgleF0KAAB3cmhfZm9pc2NzaV9u +b2RlOiBub2RlX3dyLT5mbG93aWRfbGVuMTYgMiBbJXhdCgB3cmhfZm9pc2NzaV9jaGFwOiBpZF9s +ZW4gWyV4XSwgc2VjX2xlbiBbJXhdCgAAAAB3cmhfZm9pc2NzaV9jaGFwOiB0Z3RfaWRfbGVuIFsl +eF0sIHRndF9zZWNfbGVuIFsleF0KAAAAAAAAAAAAAAAAc2Vzc2lvbl9ibG9jazogc2Vzc19mYy0+ +Zmxvd2NfaWQgWzB4JXhdLCBzZXNzX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIGNvbm5fZmMtPmZs +b3djX2lkIFsweCV4XSwgY29ubl9mYy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBjc2tfZmMtPmZsb3dj +X2lkIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0KAAAAAAAAAAAAAAAAAAAAc2Vz +c2lvbl91bmJsb2NrOiBzZXNzX2ZjLT5mbG93Y19pZCBbMHgleF0sIHNlc3NfZmMtPmZsb3djX3N0 +YXRlIFsweCV4XSwgY29ubl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjb25uX2ZjLT5mbG93Y19zdGF0 +ZSBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPmZsb3djX3N0YXRlIFsw +eCV4XQoAAAAAAAAAAAAAAAAAc3RhcnRfbG9nb3V0OiBTZXNzLWlkIFsweCV4XSBhbHJlYWR5IGxv +Z2dpbiBvdXQuCgAAAAAAAAAAAAAAAAAAAHBlZXJfY29uOiBjc2tfZmMgPT4gZmxvd2lkIFsweCV4 +XSwgZmxvd2NfYnVmIFsweCV4XQoAAAAAAAAAAAAAAABhbGxvY19zZXNzOiBsb2dpbl9yZXRyeSBb +JWRdLCByZWNvdl90aW1lb3V0IFslZF0KAAAAAAAAAAAAAAAAAAAAZm9pc2NzaV9jdHJsOiBzdWJv +cCBbMHgleF0sIHNlc3NfdHlwZV90b19lcmwgWzB4JXhdLCBzZXNzX3R5cGUgWzB4JXhdCgAAAAAA +AAAAAABmb2lzY3NpX2N0cmw6IHJlY2VpdmVkIGJsb2NrZWQgZnJvbSBkcml2ZXIsIHRyaWdnZXJp +bmcgcmV0dXJuIHRhc2tzIG5vdy4KAAAAAAAAAFdBVENIRE9HX2Rpc3BhdGNoIGNhc2UgdG8gYXZv +aWQ6IENvdW50ZXJfd2F0Y2hkb2cgJXUgQ291bnRlcl9zY2hlZCAldSAKAAAAAAAAAAAAeGdtYWNb +JXVdIHNldHRpbmcvdW5zZXR0aW5nIGhzcyByZXN5bmMgYml0CgAAAAAAV0FUQ0hET0c6IGRldmlj +ZSBzaHV0ZG93bgoAAAAAAABXQVRDSERPRzogcG9ydFsldV0gcGF1c2Ugd2F0Y2hkb2cgdGltZW91 +dAoAAAAAAABXQVRDSERPRzogYnlwYXNzIHRpbWVvdXQKAAAAAAAAAFdBVENIRE9HOiBGTFIgLSBu +b3QgaW1wbGVtZW50ZWQgeWV0CgAAAAAAAAAAAAAAAFdBVENIRE9HOiB0ZW1wZXJhdHVyZSBvZiAl +ZEMgZXhjZWVkcyB0aHJlc2hvbGQgb2YgJWRDCgAAAAAAAAAAAABmaWx0ZXI6IHBvcmdyYW1taW5n +IHRpZCAldSAobGUgdGNhbSBpbmRleCAldSkuLi4KAAAAAAAAAAAAAAAAAAAAZmlsdGVyOiByZXF1 +ZXN0aW5nIGNvbXBsZXRpb24uLi4KAAAAAAAAAAAAAAAAAAAAbDJkZXZfc2VuZF9wb3J0X2V2ZW50 +OiB3ciBbMHgleF0gcGVuZGluZyBvbiBwb3J0IFslZF0sIGN1cnJlbnQgdHJ5IFslZF0KAAAAAAAA +AABGQ09FIEZyZWU6IHN0aWxsIHlpZWxkZWQgd2hlbiBmcmVlaW5nLi4uZmxvd2NfaWQgJXggZmxv +d2NfZmxhZ3MgJXggCgAAAAAAAAAAAAAAAEZDIHhjaGcgYWxsb2MgZmFpbGVkOiBhdmFpbCAlZAoA +ZGNieF9pZWVlX2NtZGhbJXVdIHdyaXRlIG9ubHkgcGVybWl0dGVkIG9uIGxvY2FsIGNvbmYKAAAA +AAAAAAAAAGRjYnhfaWVlZV9jbWRoWyV1XSBjYW5ub3Qgd3JpdGUgJXUgYXBwcyAoTUFYOiAldSkK +AAAAAAAAAAAAAAAAAABkY2J4X2llZWVfY21kaFsldV0gcmVxdWVzdGluZyBEQ0JfSUVFRV9DTUQK +AAAAAABkY2J4X2llZWVfY21kaFsldV0gdW5rbm93biBmZWF0dXJlIHdyaXRlOiAldQoAAABkY2J4 +X2llZWVfY21kaFsldV0gdW5rbm93biBmZWF0dXJlIHJlYWQ6ICV1CgAAAABGQ29FIEREUCBmYWls +ZWQgOiBveF9pZCAweCV4IHJ4X2lkIDB4JXgKAAAAAAAAAABGQ29FIEREUCBmYWlsZWQgOiBEZHBS +ZXBvcnQgMHgleCBEZHBWYWxpZCAweCV4CgBQUkxJIFJzcCB0aW1lZG91dCA6IGZsb3djX2lkIDB4 +JXggb3hfaWQgMHgleCByeF9pZCAweCV4IAoAAAAAAAAAY2Fubm90IGFsbG9jYXRlIG9mZmxvYWRl +ZCBmaWx0ZXIgY29ubmVjdGlvbgoAAAAAY2Fubm90IGFsbG9jYXRlIG9mZmxvYWRlZCBmaWx0ZXIg +SVB2NiBjb25uZWN0aW9uCgAAAAAAAAAAAAAAAAAAAGRpc3BhdGNoX2RlZmVycmVkX2NsYXNzX2Ns +YXNzX3NoYXBpbmdbJXU6JXVdOiBsaXN0X2VtcHR5CgAAAAAAAABsb29wYmFjayBidWZmZXIgZ3Jv +dXBbJXVdIGlzIGRpc2FibGVkCgAAAAAAAAAAAABpbnZhbGlkIGJ1ZmZlciBncm91cFsldV0gY29u +ZmlndXJhdGlvbjogbXR1ICV1IGx3bSAldSBod20gJXUgZHdtICV1CgAAAAAAAAAAAAAAAGZjICV1 +IHZmICV1IGdvdCBpdmY9MHgleCxyYW5nZTogJSN4LSUjeCAoJXUvJXUgdXNlZCkKAAAAAAAAAAAA +AABWSSAldSBjYW5ub3QgZ2V0IFJTUyBzbGljZTogTm8gbW9yZSBzbGljZXMgYXZhaWxhYmxlICh1 +c2VkICV1LyV1KQoAAAAAAAAAAAAAAAAAAHBmbiAldSB2Zm4gJXUgd2l0aCBwb3J0IG1hc2sgMHgl +eCBjYW5ub3QgYWNjZXNzIHBvcnQgJXUsIHJldCAlZAoAAAAAAAAAAAAAAAAAAAAAcGZuICV1IHZm +biAldSBjb3VsZCBub3QgYWxsb2NhdGUgdmlpZCwgcmV0ICVkCgAAcGZuICV1IHZmbiAldSBjb3Vs +ZCBtYXAgdmlpZCAgMHgleCB0byBmbG93YywgcmV0ICVkCgAAAAAAAAAAAAAAAHBmbiAldSB2Zm4g +JXUgY291bGQgbm90IGFsbG9jYXRlIHV3aXJlIGZ1bmMgJWQgbWFjIGFkZHIsIHJldCAlZAoAAAAA +AAAAAAAAAAAAAAAAbWlpX2ZvcmNlX3NwZWVkWyV1XTogcmNhcHMgMHgleAoAAAAAAAAAAAAAAAAA +AAAAbWlpX3Bkb3duWyV1XTogcG93ZXJkb3duIGVuICV1CgBwb3J0X2NtZF9oYW5kbGVyOiB1bmtu +b3duIHUuZGNiLnR5cGUgMHgleAoAAAAAAABwb3J0WyV1OjB4JTAyeDoweCUwMnhdOiB1bmtub3du +IGFjdGlvbiAweCV4CgAAAABwb3J0WyV1OjB4JTAyeDoweCUwMnhdOiB1bmtub3duIHJlYWQgYWN0 +aW9uIDB4JXgKAAAAAAAAAAAAAAAAAAAAY3BsX2Vycl9ub3RpZnk6IHRpZCAldSBjcGwgMHglMDh4 +JTA4eAoAAAAAAAAAAAAAY3BsX2Vycl9ub3RpZnk6IHRpZCAldSBjcGwgMHglMDh4JTA4eCAweCUw +OHglMDh4CgAAAAAAAAAAAAAAAAAAAGNwbF9lcnJfbm90aWZ5OiB0aWQgJXUgbGVuICV1CgAARkNP +RSBGcmVlOiBzdGlsbCB5aWVsZGVkIHdoZW4gZnJlZWluZy4uLmZsb3djX2lkICV4IGZsb3djX2Zs +YWdzICV4IAoAAAAAAAAAAAAAAABGQ09FIEJQIFdSIEVSUjogV1Igd2l0aCBjb29raWUgJXgleCBl +cnJvcmVkIGJhY2sgCgAAAAAAAAAAAAAAAAAAc2NzaV9hYm9ydDogRW50ZXJpbmcgQWJvcnRfdGFz +aywgYnVmZmVyZWQgWyV1XQoAc2NzaV9hYm9ydDogcmMgWzB4JXhdIHJlZiB0YXNrIG5vdCBvdXRz +dGFuZGluZwoAc2NzaV9hYm9ydDogaWRhdGEtPm9wIFsweCV4XSwgZmxhZ3MgWzB4JXhdLCBmdW5j +IFsweCV4XSwgbHVuX2lkeCBbMHgleF0KAAAAAAAAAABzY3NpX2Fib3J0OiB3ci0+aXFpZCBbMHgl +eF0sIGlzdGFza19mYy0+Zmxvd2Nfc2dlX2lxaWQgWzB4JXhdLCBpc3Rhc2tfZmMgdGFzayBmbGFn +cyBbMHgleF0KAAAAAABzY3NpX2FicnQ6dGFzayBmbG93Y1sweCV4XSwgdG1mX2xpc3RfZW1wdHk6 +CgAAAABzY3NpX2Fib3J0OiBjb25uIFsweCV4XSwgY21kc24gWzB4JXhdLCBzZW50X2NtZHNuIFsw +eCV4XSwgbWF4X2NtZHNuIFsweCV4XSwgaXR0IFsweCV4XQoAAAAAAAAAAABhYm9ydC9jbG9zZSBX +UiB3aXRoIGNvb2tpZSAweCVseCB3YXMgaXNzdWVkIG9uIHNzbiAweCV4IGluIHdyb25nIHN0YXRl +IDB4JXgKAAAAAGFib3J0IFdSIG9uIHNzbiAweCV4IGRpZCBub3QgZmluZCBXUiB3aXRoIGNvb2tp +ZSAweCV4JXgKAAAAAAAAAABjbG9zZSBXUiB3aXRoIGNvb2tpZSAweCVseCBvbiBzc24gMHgleDtk +aWQgbm90IGZpbmQgV1Igd2l0aCBjb29raWUgMHglbHgKAAAAAAAAAGFib3J0IFdSIG9uIHNzbiAw +eCV4IHdhcyBpc3N1ZWQgb24geGNoZyAweCV4IHdpdGggcnhfaWQgMHgleCBpbiB3cm9uZyBzdGF0 +ZSAweCV4CgAAAAAAAAAAAAAAAAAAAHNjc2lfbHVyOiBFbnRlcmluZyBMVVIgaGFuZGxlciwgYnVm +ZmVyZWQgWyV1XQoAAHNjc2lfbHVyOiBpZGF0YS0+b3AgWzB4JXhdLCBmbGFncyBbMHgleF0sIGZ1 +bmMgWzB4JXhdLCBsdW5faWR4IFsweCV4XQoAAAAAAAAAAAAAc2NzaV9sdXI6IHdyLT5pcWlkIFsw +eCV4XSwgaXN0YXNrX2ZjLT5mbG93Y19zZ2VfaXFpZCBbMHgleF0sIGlzdGFza19mYyB0YXNrIGZs +YWdzIFsweCV4XQoAAAAAAAAAc2NzaV9sdXI6IGNvbm4gWzB4JXhdLCBjbWRzbiBbMHgleF0sIHNl +bnRfY21kc24gWzB4JXhdLCBtYXhfY21kc24gWzB4JXhdLCBpdHQgWzB4JXhdCgAAAAAAAAAAAAAA +ZGNieF9hcHBseV9hcHBfY2ZnWyV1XU5FVyBBUFAgVExWIAoAAAAAAAAAAAAAAAAAZGNieF9jZWVf +ZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX0xJTktVUAoAZGNieF9jZWVfZmVhX3NtWyV1 +XSBGZWF0dXJlWyV1XSBTRVRfTE9DQUxfUEFSQU1FVEVSUwoAAAAAAAAAAAAAAGRjYnhfY2VlX2Zl +YV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9OT19BRFZFUlRJU0UKAAAAAAAAAAAAAABkY2J4 +X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfUEVFUl9OT1RfQURWRVJUSVNFX0RD +QlgKAAAAAAAAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVS +RV9QRUVSX05PVF9BRFZFUlRJU0VfRkVBVFVSRQoAAAAAAAAAAAAAAAAAZGNieF9jZWVfZmVhX3Nt +WyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX1VQREFURV9PUEVSX1ZFUlNJT04KAAAAAGRjYnhfY2Vl +X2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9QRUVSX1VQREFURV9PUEVSX1ZFUlNJT04K +AAAAAAAAAAAAAAAAAAAAZGNieF9jZWVfZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX0dF +VF9QRUVSX0NGRwoAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVB +VFVSRV9DRkdfTk9UX0NPTVBBVElCTEUKAAAAAABkY2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVb +JXVdIEZFQVRVUkVfVVNFX0xPQ0FMX0NGRwoAAAAAAAAAAAAAZGNieF9jZWVfZmVhX3NtWyV1XSBG +ZWF0dXJlWyV1XSBGRUFUVVJFX1VTRV9QRUVSX0NGRwoAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9z +bVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9GRUFUVVJFX0RJU0FCTEVECgAAAAAAAABkY2J4X2Nl +ZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfRVJST1JfQ0hBTkdFCgAAAAAAAAAAAAAA +ZGNieF9pZWVlX3Byb2Nlc3NbJXVdIHJlY2VpdmVkIEJXcyBkbyBub3QgYWRkIHVwIHRvIDEwMCEK +AAAAAAAAAFdBUk5JTkc6IHJlY2VpdmVkIEFwcCBUTFYgY29udGFpbnMgbW9yZSB0aGFuRlcgY2Fu +IGhhbmRsZSAobWF4OiAldTsgdGx2IGNvbnRhaW5zOiAldQoAAAAAAAAAAAAAAGRjYnhfaWVlZV9w +cm9jZXNzWyV1XSBzdWJ0eXBlICUjeCByZW1fZnRfY2hhbmdlZCAldSBzbV9jaGFuZ2UgJXUKAAAA +AAAAAAAAAAAAAAAAZGNieF9pZWVlX3Byb2Nlc3NbJXVdIHN1YnR5cGUgdW5rbm93bgoAAAAAAAAA +AAAAZGNieF9wYXJzZV9wa3RbJXVdIGVycm9yICVkCgAAAABjaG5ldF9sMnRfdXBkYXRlOiBsMmRl +dl9mYyBbMHgleF0sIGwyZGV2X2ZjLT5mbG93Y19pZCBbJXVdIGwyZGV2X2ZjLT5mbG93Y19mbGFn +cyBbMHgleF0sIGludGYgWzB4JXhdCgAAAAAAAAAAAAAAY2huZXRfbDJ0X3VwZGF0ZTogbDJkZXZf +ZmMtPmZsb3djX2lkIFsldV0gYWxyZWFkeSBzY2hlZHVsZWQKAAAAAGNobmV0X2wydF91cGRhdGU6 +IGluIGRlbGF5ZWRfcHJvY2Vzc2luZywgbDJ0ZW50IFslMDh4XQoAAAAAAAAAAABjaG5ldF9hcnBf +dXBkYXRlX2NhY2hlOiBhcnAgaXA0IGVudHJ5IGZvdW5kIAoAAABjaG5ldF9hcnBfdXBkYXRlX2Nh +Y2hlOiBhcnAgaXA2IGVudHJ5IGZvdW5kIAoAAABjaG5ldF9hcnBfdXBkYXRlX2NhY2hlOiBib3Ro +IGlwNCBhbmQgaXA2IGFkZHIgY2Fubm90IGJlIG51bGwKAAAAY2huZXRfbDJ0X3VwZGF0ZTogbDJ0 +X3VwZGF0ZSByZXF1ZXN0IHNlbnQgbDJ0ZW50IFslMDh4XSwgbDJ0ZW50LT5pZHggWyVkXSwgbDJ0 +ZW50LT52bGFuIFslZF0KAAAAbmV0aWZfcHJvY2Vzc19kaGNwOiBsMmRldl9mYy0+Zmxvd2NfaWQg +WzB4JXhdLCBwcm9jZXNzaW5nLCBvcHRfbGVuICV1CgAAAAAAAAAAAABjaG5ldF9kaGNwX3JlY3Y6 +IHZsYW5pZCBbJXVdLCBsMmRldl9waWRfZmMtPmZsb3djX25ldF9sMmRldl92bGFuZGV2IFsweCV4 +XSwgbDJkZXZfZmMgWzB4JXhdCgAAAABjaG5ldF9kaGNwX3JlY3Y6IGwyZGV2X2ZjLT5mbG93Y19p +ZCBbMHgleF0sIGRoY3R4dC0+c3RhdGUgWyVkXSwgbWFsYWNpb3VzIGRoY3AgcmVjdiBmb3Igbm8g +cmVxdWVzdAoAAAAAAAAAAAAAAAAAZGhjdHh0LT5zdGF0ZSA6ICVkCgAAAAAAAAAAAAAAAABsMmRl +dl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBCYWQgREhDUCBjb29raWUgcmVjaWV2ZWQsIGFib3J0aW5n +CgAAQ291bGQgbm8gYWxsb2NhdGUgcGNiISEgRnJlZWluZyBmY2YgISEhCgAAAAAAAAAAdm5fcGFy +c2UgdW5rbm93biBzdWJjb2RlICV1CgAAAAB2bl9wYXJzZSB1bmtub3duIGR0eXBlICV1CgAAAAAA +AGlnbm9yaW5nIGZpcCByZWN2IGZvciBwY2IgZmxvdzoleCBpbiBvZmZsaW5lIHN0YXRlCgAAAAAA +AAAAAAAAAABmaXBfdm4ydm5fcmVjdl9lcnIgCgAAAAAAAAAAAAAAAENvdWxkIG5vdCBhbGxvY2F0 +ZSBmbG93YyEhISEKAAAAQ291bGQgbm90IGFsbG9jYXRlIFNDQiBmbG93YyEhISEKAAAAAAAAAAAA +AAAAAAAAQ291bGQgbm90IGZpbmQgcmlnaHQgc2NiIGZvciBsb2dvCgAAAAAAAAAAAAAAAAAAaWdu +b3JpbmcgZmlwIHJlY3YgZm9yIGZjZiBmbG93OiV4IGluIG9mZmxpbmUgc3RhdGUKAAAAAAAAAAAA +AAAAAENvdWxkIG5vdCBmaW5kIHJpZ2h0IHNjYiBmb3IgZmxvZ2kKAAAAAAAAAAAAAAAAAHBvcnQg +MHgleCwgc3RhdGUgMHgleCwgcmV0cnkgbm90IHN1cHBvcnRlZAoAAAAAAEZsb2dpIHJlc3AgcmN2 +IHdpdGggdW5rbm93biB4Y2hnIG94X2lkJXggc2lkICUyeCUyeCUyeCBkaWQgJTJ4JTJ4JTJ4CgAA +AAAAAAAAAAAATl9QT1JUIDB4JXgleCV4IHJlamVjdGVkIFBMT0dJIHdpdGggcmVhc29uIGNvZGUg +JXgKAAAAAAAAAAAAAAAAAEFCVFMgd2hpbGUgYXdhaXRpbmcgUFJMSSBSc3A6IGZsb3djX2lkIDB4 +JXggb3hfaWQgMHgleCByeF9pZCAweCV4IAoAAAAAAAAAAAAAAAAAQUJUUyBmYWtlIFJzcDogbG9j +IDB4JXggb3hfaWQgMHgleCByeF9pZCAweCV4CgAAbGxkcF9yeF9wa3RfaGFuZGxlclsldV0gZHJv +cCBwcmUtaW5pdCAoY291bnQgPSAldSkKAAAAAAAAAAAAAAAAACV4JXgleCBSZWNpZXZlZCBMT0dP +IGZyb20gJXgleCV4IAoAAAAAAAAAAAAAAAAAAGNhbm5vdCBhbGxvY2F0ZSBQT0ZDT0UgZmlsdGVy +IGNvbm5lY3Rpb24gZm9yIHhfaWQgJXggCgAAAAAAAAAAAABGYWlsZWQgdG8gcG9zdCB4Y2hnIGVy +cjogc3NuaSAweCV4IGNvb2tpZSAweCVseCBydmFsICV4IAoAAAAAAAAAdGNwX3JlbGVhc2VfdGlk +OiB0aWQgWzB4JXhdLCBmbG93YyBmbGFncyBbMHgleF0sIGJ1ZmZlcmVkIFsweCV4XQoAAAAAAAAA +AAAAAAAAAAB0Y3BfcmVsZWFzZV90aWQ6IHNpemVvZih0Y2JfZmMtPmZsb3djX2ljb25uKSBbJXVd +LCBieXRlcwoAAAAAAAAAYWN0X29wZW5fcnBsOiBhdGlkIFsweCV4XSwgdGlkIFsweCV4XSwgdGNi +X2ZjLT57IGlkIFsweCV4XSwgc3RhdGUgWzB4JXhdLCB0eXBlIFsweCV4XSB9LCBjcGxfb3AgWzB4 +JXhdLCBzdGF0dXMgWzB4JXhdCgAAAAAAAAAAAABhY3Rfb3Blbl9ycGw6IGNza19mYy0+eyBpZCBb +MHgleF0sIHN0YXRlIFsweCV4XSwgY3NvY2tfZmxhZ3MgWzB4JXhdIH0gCgAAAAAAAAAAAGFjdF9v +cGVuX3JwbDogcmVjdmQgbmVnIGFkdmljZSBbMHgleF0KAAAAAAAAAAAAAHNlbmRfYWJvcnRfcnBs +OiBjc2tfZmMtPmZsb3djX3R5cGUgWzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgdGlk +IFsweCV4XSwgdWxwdHhjaCBbJXVdLCBidWZmZXJlZCBbJXVdCgAAAAB3cmhfb2ZsZF90Y3BfY2xv +c2VfY29uX3JlcGx5OiB0Y2JfZmMtPmZsb3djX2lkIFsweCV4XSwgdGNiX2ZjLT5mbG93Y190eXBl +IFsweCV4XSwgbGVuMTYgWyV1XSwgbG9jIFsldV0KAAAAAAAAAAAAd3JoX29mbGRfdGNwX2Nsb3Nl +X2Nvbl9yZXBseTogcnBsLT5vcF9UaWQgWzB4JXhdLCBycGw+c3RhdHVzIFsweCV4XSwgcnBsLT5z +bmRfbnh0IFsweCV4XSwgcnBsLT5yY3Zfbnh0IFsweCV4XQoAAHRjcF9hYm9ydF9ycGxfcnNzOiB0 +aWQgWzB4JXhdLCBzdGF0dXMgWzB4JXhdCgAAAHRjcF9hYm9ydF9yZXFfcnNzOiB0aWQgWzB4JXhd +LCBzdGF0dXMgWzB4JXhdCgAAAG9mbGRfYWJvcnRfcmVxX25lZ2FkdlsldV06IHdyIDB4JTA4eCBj +cGxfYWJvcnRfcmVxIERFTElWRVJFRAoAAABob3N0X3dyWyV1XTogd3IgMHglMDh4IGNwbF9hYm9y +dF9yZXEgc3RhdHVzIDB4JXgKAAAAAAAAAAAAAAAAAAAAcGt0c2NoZWRfY2xfcmxbJXU6JXVdOiBt +b2RlIHwgdW5pdCB8IHJhdGUgMHglMDZ4IG1pbiAldSBtYXggJXUgcGt0c2l6ZSAldQoAAAAAAABw +YXJhbV9jaG5ldFsweCV4OjB4JXhdOiBjaG5ldCAweCV4IHJlYWQgJXUgcGYgJXUgcmV0ICVkCgAA +AAAAAAAAcGFyYW1fZG1hcVsweCV4OjB4JXhdOiBkbWFxIDB4JXggcmVhZCAldSBwZiAldSByZXQg +JWQKAAAAAAAAAAAAAE1DWyV1XSBpbml0X3N0YXRlX21hY2hpbmUgMHglMDJ4CgAAAAAAAAAAAAAA +AAAAAE1DIGluaXRpYWxpemF0aW9uIG5vdCBjb21wbGV0aW5nLCBNQyBjdXJyZW50IGluaXQgc3Rh +dGUgaXMgMHglMDJ4CgAAAAAAAAAAAAAAAAAATUNbJXVdIF9od19tY19pbml0X21jCgAAAAAAAAAA +AABfaHdfbWNfaW5pdF9tYzogZXJyb3IsIHJldCAlZAoAAHBoeTogZmFpbGVkIHRvIGFsbG9jYXRl +ZCBtZW1vcnkgZm9yIHBoeSBmdyBmaWxlLCByZXQgJWQKAAAAAAAAAABod19sZV9maWx0ZXJfY3R1 +cGxlOiB0dXBsZSAldSBub3Qgc3BlY2lmaWVkIGJ1dCByZXF1aXJlZCBmb3IgbWFzayAweCV4CgAA +AAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiB0aW1lcl9ycyAldXVzIHRpbWVzdGFtcF9yZXMg +JXV1cyBkZWxheWVkYWNrX3JlcyAldXVzCgAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGRhY2tf +dGltZXIgJXV1cyBtc2wgJXV1cyByeHRfbWluLG1heCAldSwldXVzIHBlcnNfbWluLG1heCAldSwl +dXVzCgAAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGtlZXBfaWRsZSxpbnR2bCAldSwldXMg +bWF4cnR0ICV1dXMgaW5pdHNydHQgJXV1cyBmaW53YWl0Ml90aW1lciAldXVzCgAAAAAAaHdfdHBf +dGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgZGFja190aW1lciBmcm9tICV1IHRvICV1AAAAAAAAAAAA +AGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIG1zbCBmcm9tICV1IHRvICV1AGh3X3RwX3Rj +cF9zZXR0aW5nc193OiBjYXBwaW5nIHJ4dF9taW4gZnJvbSAldSB0byAldQAAAAAAAAAAAAAAAABo +d190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyByeHRfbWF4IGZyb20gJXUgdG8gJXUAAAAAAAAA +AAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgcGVyc19taW4gZnJvbSAldSB0byAl +dQAAAAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIHBlcnNfbWF4IGZyb20g +JXUgdG8gJXUAAAAAAAAAAAAAAABod190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyBrZWVwX2lk +bGUgZnJvbSAldSB0byAldQAAAAAAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcg +a2VlcF9pbnR2bCBmcm9tICV1IHRvICV1AAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBj +YXBwaW5nIGluaXRfc3J0dF9tYXhydHQgZnJvbSAldSB0byAldQAAAABod190cF90Y3Bfc2V0dGlu +Z3NfdzogY2FwcGluZyBpbml0X3NydHRfaW5pdHNydHQgZnJvbSAldSB0byAldQAAaHdfdHBfdGNw +X3NldHRpbmdzX3c6IGNhcHBpbmcgZmlud2FpdDJfdGltZXIgZnJvbSAldSB0byAldQAAAAAAAGxl +IGNvbmZpZ3VyYXRpb246IG5lbnRyaWVzICV1IHJvdXRlICV1IGNsaXAgJXUgZmlsdGVyICV1IGFj +dGl2ZSAldSBzZXJ2ZXIgJXUgaGFzaCAldQoAAAAAAAAAAAAAAGxlIGNvbmZpZ3VyYXRpb246IG5l +bnRyaWVzICV1IHJvdXRlICV1IGNsaXAgJXUgZmlsdGVyICV1IHNlcnZlciAldSBhY3RpdmUgJXUg +aGFzaCAldSBuc2VydmVyc3JhbSAldQoAAAAAAAAAAAAAAABod19zZ2VfcXVldWVfYmFzZV9tYXBb +JXVdOiBleGNlZWRlZCBudW1iZXIgb2YgZWdyZXNzIHF1ZXVlcywgJXUKAAAAAAAAAAAAAAAAAAAA +AGh3X3NnZV9xdWV1ZV9iYXNlX21hcFsldV06IGV4Y2VlZGVkIG51bWJlciBvZiBpbmdyZXNzIHF1 +ZXVlcyB3aXRoIGZyZWVsaXN0IGFuZCBpbnRlcnJ1cHQsICV1CgAAAGh3X3NnZV9xdWV1ZV9iYXNl +X21hcFsldV06IGV4Y2VlZGVkIG51bWJlciBvZiBpbmdyZXNzIHF1ZXVlcywgJXUKAAAAAAAAAAAA +AAAAAAAAY2ZfcGFyc2U6IGZpbGUgbWVtdHlwZSAweCV4IG1lbWFkZHIgMHgleCBtYXBwZWQgQCAl +cDoKAAAAAAAAAAAAAGNvbmZpZ3VyZWQgd2l0aCBjYXBzIG5ibXxsaW5rIDB4JTA4eCBzd2l0Y2h8 +bmljIDB4JTA4eCB0b2V8cmRtYSAweCUwOHggaXNjc2l8ZmNvZSAweCUwOHgKAAAAAAAAAG5ldCBW +SSBhbGxvY2F0aW9uIGZhaWxlZCBmb3IgZmNfaWQgJXUgd2l0aCBlcnJvciAlZAoAAAAAAAAAAAAA +AABuZXQgVkkgbWFjIGFkZHJlc3MgcHJvZ3JhbW1pbmcgZmFpbGVkIGZvciBmY19pZCAldSB3aXRo +IGVycm9yICVkCgAAAAAAAAAAAAAAAAAAAG5ldCBWSSByeG1vZGUgcHJvZ3JhbW1pbmcgZmFpbGVk +IGZvciBmY19pZCAldSB3aXRoIGVycm9yICVkCgAAAABuZXQgVkkgcnNzIGluZGlyZWN0aW9uIHRh +YmxlIHByb2dyYW1taW5nIGZvciBmY19pZCAldSBmYWlsZWQgd2l0aCBlcnJvciAlZAoAAAAAAG5l +dCBWSSByc3MgY29uZmlnIGNvbW1hbmQgZmFpbGVkIGZvciBmY19pZCAldSB3aXRoIGVycm9yICVk +CgAAAABuZXQgVkkgY29tbWFuZCBmYWlsZWQgZm9yIGZjX2lkICV1IHdpdGggZXJyb3IgJWQKAAAA +AAAAAAAAAAAAAAAAcHJvZ3JhbW1lZCBIVyB0YWdtIFsweCUwOHhdLCBIVyBwZ3N6IGZhY3RvciBb +MHglMDh4XSwgRk9pU0NTSSB0YWdtIFsweCUwOHhdLCBydGFnbSBbMHglMDh4XSwgbWF4c3pfYml0 +cyBbJXVdLCBzel9iaXRzIFsldV0uCgAAAABiYXNlIFsgMHglMDh4XSwgbGxpbWl0IFsweCUwOHhd +LCB1bGltaXQgWzB4JTA4eF0sIHNpemUgWyV1XSwgbWF4X3R4c3ogWyV1XSwgbWF4X3J4c3ogWyV1 +XSwgaW9zaXplIFsldV0KAAAAAAAAAAAAbnBwb2RzIFsldV0sIGlkeF9tYXNrIFsweCUwOHhdLCBp +ZHhfZmlyc3QgWyV1XSwgaWR4X2xhc3QgWyV1XSwgc2NzaV9wbGRfc2l6ZSBbJXVdLCBBTElHTihz +Y3NpX3BsZF9zaXplLCAxNikgWyV1XSwgcHBkX3pvbmVzIFsldV0uCgAAAAAAAAAAAAAAAAAAZm9p +c2NzaV9pbml0OiBpbml0X2RvbmU6JXUsIGZvaXNjc2lfbnRhc2tzOiV1LCBmb2lzY3NpX25zZXNz +OiV1LCBuY3NvY2s6JXUsIG5zcG9ydHM6JXUsIGZvaXNjc2lfbmluaXQ6JXUsIHJjOiVkCgAAAAAA +AAAAAAAAAAAAAABjaF9jbF9yYXRlWyV1LyV1XTogY2FwcGVkIGNsYXNzIHJhdGUgZnJvbSByZXF1 +ZXN0ZWQgJXUgdG8gY29uZmlndXJlZCAoZWZmZWN0aXZlKSBjaGFubmVsIHJhdGUgJXUKAAAAAAAA +AAAAAAAAAAAAY2hfY2xfcmF0ZVsldS8ldV06IGluY3JlYXNlZCBkZWZpY2l0X2luY3IgZnJvbSBy +ZXF1ZXN0ZWQgJXUgdG8gcmVxdWlyZWQgbWluIG9mICV1OyByYXRlICV1IChlZmYgJXUpIGRlZmlj +aXRfbWF4ICV1CgAAAAAAAAAAAAAAAABwa3RzY2hlZCBjaGFubmVsICV1IHNldHMgc3BlZWQgKGZy +b20gJXUpIHRvICV1IGticHMKAAAAAAAAAAAAAAAAbmV0X2wyZGV2X25vdGlmeTogbDJkZXZfZmMt +PmZsb3djX2lkIFsweCV4XSwgcG9ydCBbJWRdLCBldmVudCBbMHgleF0sIHVscHR4Y2ggWyV1XSwg +Y2xhc3MgWzB4JXhdLCB2cHJpbyBbMHgleF0sIHZpZCBbMHgleF0sIHZpX3JlYWR5IFsldV0KAAAA +AAAAbmV0X2wyZGV2X25vdGlmeTogcGdpZCBbMHgleF0sIHByaW8gWzB4JXhdLCBjaCBbMHgleF0K +AAAAAAAAAAAAAFsldV0gdW5hYmxlIHRvIGV4ZWN1dGUgaW50ZXJuYWwgRENCX0lFRUVfQ01ECgAA +AGRjYnggdXBkYXRlWyV1XSBzZW50IHRvIGRyaXZlciAodHlwZSAlI3ggc3VidHlwZSAlI3ggbG9j +YXRpb24gJXUgZmxvd2NpZCAldSkKAAAAcG9ydFsldV0gbGluayBkb3duICgldSkgKGxzdGF0dXMg +JSN4KQoAAAAAAAAAAAAAaTJjIGVycm9yIGNhdXNlZCBieSBtb2R1bGUgdW5wbHVnCgAAAAAAAAAA +AAAAAAAAc2VuZHRvIHBlbmRpbmc6IHdyX3BlbmQgJXAgZm9yIHBvcnQgJXUsIHdhbnQgdG8gc2Vu +ZCB0byBwb3J0ICV1CgAAAAAAAAAAAAAAAAAAAABwb3J0WyV1XSB1cGRhdGUgKGZsb3djaWQgJXUg +cmMgJXUpCgAAAAAAAAAAAAAAAABwb3J0X3NldF9sb29wYmFjayBwb3J0ICUjeCBjdXJyZW50ICUj +eCBtb2RlICUjeAoAAAAAAAAAAAAAAAAAAAAAcG9ydFsldV0gc3BlZWQgdXBkYXRlOiAlI3gKAAAA +AABwb3J0WyV1XSBiZWdpbm5pbmcgZGVib3VuY2UKAAAAAHBvcnRfbGlua19zdGF0ZV9oYW5kbGVy +WyV1XSBwb3dlcmluZyBkb3duCgAAAAAAAHBvcnRfbGlua19zdGF0ZV9oYW5kbGVyWyV1XSBwb3dl +cmluZyB1cAoAAAAAAAAAAHBvcnRfbGlua19zdGF0ZV9oYW5kbGVyWyV1XSB1bmtub3duIHN0YXRl +IChzdGF0ZSA9ICUjeCkKAAAAAAAAAABwb3J0X2xpbmtfc3RhdGVfaGFuZGxlcjogU29tZXRoaW5n +IHdlbnQgdGVycmlibHkgd3JvbmcuIHJldCA9ICVkCgAAAAAAAAAAAAAAAAAAAGxlIGluaXRpYWxp +emF0aW9uOiBuZW50cmllcyAldSByb3V0ZSAldSBjbGlwICV1IGZpbHRlciAldSBhY3RpdmUgJXUg +c2VydmVyICV1IGhhc2ggJXUKAAAAAAAAAAAAAGxlIGluaXRpYWxpemF0aW9uOiBuZW50cmllcyAl +dSByb3V0ZSAldSBjbGlwICV1IGZpbHRlciAldSBzZXJ2ZXIgJXUgYWN0aXZlICV1IGhhc2ggJXUg +bnNlcnZlcnNyYW0gJXUKAAAAAAAAAAAAAABod190cF9pbml0OiB0Y2IgcmVnaW9uIChzdGFydCAw +eCUwOHMgc2l6ZSAldSkgbXVzdCBiZSBpbiBmaXJzdCAyNTZNQiBvZiBNQSBtZW1vcnkKAAAAAAAA +AAAAAAAAAABod190cF9pbml0OiBwZ21uZ3QgcmVnaW9uIChzdGFydCAweCUwOHMgc2l6ZSAldSkg +bXVzdCBiZSBpbiBmaXJzdCAyNTZNQiBvZiBNQSBtZW1vcnkKAAAAAAAAAAAAAABod190cF9pbml0 +OiBUUCBwZ21uZ3QgaW5pdGlhbGl6YXRpb24gZGlkIG5vdCBjb21wbGV0ZQoAAAAAAAAAAAAAYnVm +bV9pbml0OiBuICV1IGJ1ZmxsNjRpbnRfc2l6ZSAweCV4CgAAAAAAAAAAAAAAYnVmbV9pbml0OiBu +b3QgZW5vdWdoIG1lbW9yeSB0byBhbGxvY2F0ZSBpbnRlcm5hbCBidWZsbDY0IGJ1ZmZlcnMKAAAA +AAAAAAAAAAAAAABidWZtX2luaXQ6IG5vdCBlbm91Z2ggbWVtb3J5IHRvIGFsbG9jYXRlIGJ1Zmxs +NjQgYnVmZmVycwoAAAAAAAAAbWVtX2luaXRfYnVmOiBub3QgZW5vdWdoIG1lbW9yeSB0byBhbGxv +Y2F0ZSBmbG93IGJ1ZmZlcnMKAAAAAAAAAG1lbV9pbml0X2J1Zjogbm90IGVub3VnaCBtZW1vcnkg +dG8gYWxsb2NhdGUgdGNiX2NhY2hlIChvZmZlcmVkICV1IHRyeWluZyB0byB1c2UgJXUgYXZhaWxh +YmxlICV1KQoAAAAAAAAAAAAAAAAAAABtcGFydGl0aW9uX290aGVyczogc3RhcnQgMHglMDh4IHNp +emUgJXUgKHVudXNlZCAldSkKAAAAAAAAAAAAAAAAbXBhcnRpdGlvbl9vdGhlcnM6IHN0YXJ0IDB4 +JTA4eCBzaXplICV1ICh1bnVzZWQgJXUpCgAAAAAAAAAAAAAAAG1lbV9pbml0OiBFREMgb3ZlcmNv +bW1pdHRlZCBieSAlZCBieXRlcwoAAAAAAAAAAG1lbV9pbml0OiBub3QgZW5vdWdoIG1lbW9yeSB0 +byBhbGxvY2F0ZSBmbG93IHRhYmxlCgAAAAAAAAAAAAAAAABjeGNuaWNfZGV2aWNlX2luaXQ6IGN4 +Y25pYyBbMHglMHhdLCBjeGNuaWMtPmZpbHRlciBbJTB4XQoAAAAAAAAAcG9mY29lIGluaXQgZG9u +ZQoAAAAAAAAAAAAAAAAAAABQb3J0WyV1XTogVW5rbm93biBTR01JSSBzdWItdHlwZSAlI3gKAAAA +AAAAAAAAAABQb3J0WyV1XTogVW5rbm93biBCVF9YRkkgc3ViLXR5cGUgJSN4CgAAAAAAAAAAAABQ +b3J0WyV1XTogVW5rbm93biBCVF9YQVVJIHN1Yi10eXBlICUjeAoAAAAAAAAAAABwb3J0X2luaXRb +JXVdOiBwb3J0IHR5cGUgMHgleCBpcyBub3Qgc3VwcG9ydGVkCgBtcGFydGl0aW9uX2luaXQ6IG1v +dmVkIHBtcnhfc3RhcnQgZnJvbSAweCUwOHggdG8gMHglMDh4IHRvIG1ha2Ugcm9vbSBmb3IgTEUg +SEFTSCBhbmQvb3IgVFAgVENCcwoAAAAAAAAAAAAAAAAAAAAAbXBhcnRpdGlvbl9pbml0OiBtb3Zl +ZCBwbXJ4X3N0YXJ0IGZyb20gMHglMDh4IHRvIDB4JTA4eCAoRURSQU0pCgAAAAAAAAAAAAAAAAAA +AABFUSBwZm4gJXUgdmZuICV1OiBkZXN0cm95aW5nIGVxaWQgJXUgd2l0aCBwZW5kaW5nIFdSKHMp +IChudW1fYnl0ZXMgJXUgYW5kIGZsYWdzIDB4JTA4eAoAAAAAAAAAAABsMmRldl9mYy0+Zmxvd2Nf +aWQgWyV1XSwgbDJkYy0+cGZuIFsldV0sIGwyZGMtPnZmbiBbJXVdLCBsMmRjLT5scG9ydCBbJXVd +LCBsMmRldl9mYy0+Zmxvd2lkIFsldV0gbDJkYy0+dHhfY2ggWyV1XSwgZGV2LnZwZC5wb3J0dmVj +IFsleF0KAAAAAAAAAABwb3J0dmVjIFsldV0KAAAAbDJkZXZfdmlfZnNtOiBtYiBbMHgleF0sIGRl +ZmVycmVkLCBzdGF0ZSBbMHgleF0sIHBvcnQgWzB4JXhdCgAAAGwyZGV2X3ZpX2ZzbTogdmlpZCBb +MHgleF0gcG9ydCBbMHgleF0sIG1hYy1pZCBbJTAyeDolMDJ4OiUwMng6JTAyeDolMDJ4OiUwMnhd +LiAKAAAAAAAAAAAAAAAAAAAAAGwyZGV2X3ZpX2ZzbTogc2dlX2VxaWQgWzB4JXhdLCBzZ2VfaXFp +ZCBbMHgleF0sIHNnZV9lcWNyIFsweCV4XSwgcnNzX3N6IFsweCV4XQoAbDJkZXZfdmlfZnNtOiBs +MmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X210dSBbJXVdLCBtYl9zY3JhdGNoIFsweCV4XSwgcG9y +dCBbMHgleF0KAAAAAAAAAAAAAAAAAAAAbDJkZXZfdmlfZnNtOiB2aWlkIFslZF0sIHZpX2ZjLT5m +bG93Y192aV9mbGFncyBbMHgleF0KAAAAAAAAAAAAAGwyZGV2X3ZpX2ZzbTogcGZuIFsweCV4XSwg +dmZuIFsweCV4XSwgbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgbHBvcnQgWzB4JXhdLCB2aWlk +IFsweCV4XSwgZmxhZ3MgWzB4JXhdCgAAAAAAAABsMmRldl92aV9mc206IEVycm9yIGZyZWVpbmcg +VkksIHJjIFsweCV4XQoAAAAAAABsMmRldl92aV9mc206IHBpZCBbMHgleF0sIHZpaWQgWzB4JXhd +LCBtYl9sb2MgWzB4JXhdLCBtYl9vcmlnWzB4JXhdLCBsMmRldl9mbGFncyBbMHgleF0sIHJjIFsw +eCV4XQoAAAAAAAAAAAAAAAAAQWggaGEuLi5kb3VibGUgZnJlZSBveF9pZCAweCV4LCByeF9pZCAw +eCV4CgAAAAAASG9zdCBQUkxJIFJlc3BvbnNlIHRpbWVkb3V0OiBveF9pZCAweCV4IHJ4X2lkIDB4 +JXgKAAAAAAAAAAAAAAAAAHBmbiAldSB2Zm4gJXUgdmlhIGNvbW1hbmQKAAAAAAAARGVwcmVjYXRl +ZCBjb25maWcgb3B0aW9uIGZvdW5kIGluIGNvbmZpZyBmaWxlLiBJZ25vcmluZy4uCgAAAAAAAHNj +aGVkX2lvcXR4X2JwX3ByaW9yaXR5OiBoYXMgJXUgZW50cmllcyBvbmx5LCByZXF1aXJlcyAldSBl +bnRyaWVzCgAAAAAAAAAAAAAAAAAAdHBfYmFja29mZjogcGFyc2VkICVkIGluc3RlYWQgb2YgJXUg +ZW50cmllcwoAAAAAdHBfdGltZXJ2YWxzOiBwYXJzZWQgJWQgaW5zdGVhZCBvZiAldSBlbnRyaWVz +CgAAdHBfdGltZXJyZXM6IHBhcnNlZCAlZCBpbnN0ZWFkIG9mICV1IGVudHJpZXMKAAAAdHBfbXR1 +cyBoYXMgJXUgZW50cmllcyBvbmx5LCByZXF1aXJlcyAldSBlbnRyaWVzCgAAAAAAAAAAAAAAAAAA +AHRwX210dXNbJXVdIGlzICV1IGJ5dGVzIHdoaWNoIGlzIG5vdCBzdXBwb3J0ZWQKAGNvbmZpZ3Vy +YXRpb24gZmlsZSBwYXJzZXI6IHNnZSB0aW1lciB2YWx1ZVslZF0gaXMgdG9vIGxhcmdlLCBjaGFu +Z2luZyBmcm9tICV1IHRvICV1dXNlY3MKAAAAAAAAAGZpbHRlcm1hc2sgMHgleCBpcyBub3QgZXF1 +YWwvc3Vic2V0IHRvL29mIGZpbHRlcm1vZGUKAAAAAAAAAAAAAABod19sZV9jbGlwX2hhbmRsZXI6 +IHJlbW92ZWQgcG9zPSV1ICg9aWR4ICV1KQoAAABod19sZV9jbGlwX2hhbmRsZXI6IGFkZGluZyB0 +byBwb3M9JXUgKD1pZHggJXUpCgBtb2R1bGVbJXVdOiBwb3J0IG1vZHVsZSBpbnNlcnRlZCBhbmQg +cmVhZHkKAAAAAABtb2R1bGVbJXVdOiBwb3J0IG1vZHVsZSByZW1vdmVkCgAAAAAAAAAAAAAAAAAA +AABtb2R1bGVbJXVdOiB1bmtub3duIG1vZHVsZSBpZGVudGlmaWVyIDB4JTAyeAoAAABtb2R1bGVb +JXVdOiBncGlvICV1IHRyYW5zIDEwRyAweCUwMnggMUcgMHglMDJ4IChsZW5ndGggJXUpIGNhYmxl +IDB4JTAyeCAobGVuZ3RoICV1KSBtb2R1bGVfdHlwZSAweCUwMngKAAAAAAAAAAAAbW9kdWxlWyV1 +XTogZ3BpbyAldSB0cmFucyAxMEcgMHglMDJ4IDFHIDB4JTAyeCAobGVuZ3RoICV1KSBjYWJsZSAw +eCUwMnggKGxlbmd0aCAldSkgbW9kdWxlX3R5cGUgMHglMDJ4CgAAAAAAAAAAAGZscl9wZnZmX2Zz +bVsldToldV06IHVua25vd24gc3RhdGUgJXUKAAAAAAAAAAAAAGh3IHBmIGJpdG1hcCAweCUwMngg +dmZpZCBiaXRtYXAgMHglMDh4OjB4JTA4eDoweCUwOHg6MHglMDh4CgAAAABhZnRlciB2ZmlkIGZp +eHVwLCB2ZmlkIGJpdG1hcCAweCUwOHg6MHglMDh4OjB4JTA4eDoweCUwOHgKAAAAAAAAdGltZXIg +cXVldWUgJXUgbG9zdCBhIHRpY2shIG5leHQgJXAgbGFzdCAlcCBudW1lICV1CgAAAAAAAAAAAAAA +AGZscl90aW1lcl9zdGFydDogZmxvd2NfaWQgJXUgJXAgYnVmICVwCgAAAAAAAAAAAHBjaWU6IG5w +ZiAldSAocGZiaXRtYXAgMHglMDJ4KSBudmYgJXUgKHBmIDAuLjcgMHglMDh4JTA4eCkgdmZzdHJp +ZGUgJXUKAAAAAAAAAAAAaHdfZ3Bpb19wcmVwOiBlcnJvciwgcmV0ICVkCgAAAABmYWlsZWQgdG8g +ZmluZCB0aGUgJWMlYyBWUEQgcGFyYW1ldGVyCgAAAAAAAAAAAABmYWlsZWQgdG8gcGFyc2UgdGhl +ICVjJWMgVlBEIHBhcmFtZXRlcgoAAAAAAAAAAABtZW1fcHJlcDogZXJyb3IsIHJldCAlZAoAAAAA +AAAAAGZhaWxlZCB0byBzdWNjZXNzZnVsbHkgZmluZCBDaGVsc2lvIFZQRAoAAAAAAAAAAHZwZF9w +cmVwOiBlcnJvciwgcmV0ICVkCgAAAAAAAAAAc2VyY2ZnX3ByZXA6IGVycm9yLCByZXQgJWQKAAAA +AABsb2cgaW5pdGlhbGl6ZWQgQCAweCUwOHggc2l6ZSAldSAoJXUgZW50cmllcykgZndyZXYgMHgl +MDh4IHBjaWVfZncgMHglMDh4CgAAAAAAAGdhdGhlcl90YXNrc19mb3JfdG1mOiBpZHggWzB4JXhd +LCB0YXNrLWlkIFsweCV4XSwgY21kLWlkIFsweCV4XSwgYWN0aXZlIHRhc2tzIFsweCV4XS4gY29u +bi1pZCBbMHgleF0sIGNtZCBjb25uLWlkIFsweCV4XSwgdGFzayBjb25uLWlkIFsweCV4XQoAAGdh +dGhlcl90YXNrc19mb3JfdG1mOiBJbnZhbGlkIHR5cGUgWzB4JXhdLCBiYWlsaW5nIG91dC4KAAAA +AAAAAABnYXRoZXJfdGFza3NfZm9yX3RtZjogdGFzayBpZCBbMHgleF0sIHN0YXRlIFsweCV4XSwg +bGlkeCBbMHgleF0sIGNvb2tpZSBoaSBbMHglMDh4XSA6IGxvIFsweCUwOHhdCgAAAAAAAAAAAAAA +AAAAZ2F0aGVyX3Rhc2tzX2Zvcl90bWY6IHJjIFsweCV4XSwgWzB4JXhdIHRhc2sgZ2F0aGVyZWQg +Zm9yIHRtZiB0eXBlIFsweCV4XSBwcm9jZXNzaW5nLgoAAAAAAAAAAAAAc2NzaV9kYXRhX291dDog +Y29ubl9mYyBbMHgleF0sIHN0YXRlIFsweCV4XSwgc2Vzc19mYyBbMHgleF0gaW4gcmVjb3Zlcnku +IFNraXBwaW5nIGlzdGFza19mYyBbMHgleF0gZnJvbSBUWC4KAAAAAHNlbmRfbXNnX3BsZDogZmMg +eyBpZCBbMHgleF0sIGZsYWdzIFsweCV4XSwgYnVmZmVyZWQgWyV1XS4gfQoAAABzZW5kX21zZ19w +bGQ6IHNlc3MgeyBpZCBbMHgleF0gfSwgdWxwdHhjaCBbJXVdIG5vIGNyZWRpdHMgYXZhaWxhYmxl +LCByZXNjaGVkdWxpbmcgcmVxdWVzdC4KAAAAAABzZW5kX2Fib3J0X3JlcTogY3NrX2ZjLT5mbG93 +Y190eXBlIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIHRpZCBbMHgleF0sIHVscHR4 +Y2ggWyV1XSwgYnVmZmVyZWQgWyV1XQoAAAAAaHcgcmVnaXN0ZXIgb3BlcmF0aW9uIG5vdCBjb21w +bGV0aW5nLCByZWcgMHglMDh4IG1hc2sgMHglMDh4IHZhbHVlIDB4JTA4eCAocmVnIDB4JTA4eCkK +AAAAAAAAAAAATURJTyBDTDQ1OiBmYWlsZWQgdG8gc2V0IHVwIE1NRCBhZGRyCgAAAAAAAAAAAAAA +TURJTzogZmFpbGVkIHRvIHdyaXRlCgAAAAAAAAAAAABNRElPIENMNDU6IGZhaWxlZCB0byBzZXQg +dXAgTU1EIGFkZHIKAAAAAAAAAAAAAABNRElPOiBmYWlsZWQgdG8gcmVhZAoAAAAAAAAAAAAAAAlB +UV9UYWtlQ29udHJvbE9mRkxBU0g6IDFlLmMwMDE9JSN4IDFlLmM0NTA9JSN4IDFlLmM0NTE9JSN4 +IDFlLjEwMD0lI3gKAAAAAAAAAAAAQVFfQVBJX1dyaXRlQW5kVmVyaWZ5Rmxhc2hJbWFnZSAtIElt +YWdlIGludGVncml0eSBjaGVjayBmYWlsZWQgKGNhbGMgJSN4IHZhbCAlI3gpCgAAAAAAAAAAAAAA +AAAAQVFfQVBJX1dyaXRlQW5kVmVyaWZ5Rmxhc2hJbWFnZSAtIEltYWdlIGludGVncml0eSBjaGVj +ayBwYXNzZWQKAEFRX0FQSV9Xcml0ZUFuZFZlcmlmeUZsYXNoSW1hZ2UgLSBUaW1lb3V0IHdhaXRp +bmcgZm9yIGZsYXNoIGludGVyZmFjZSAoJXUpCgAAAAAAQVFfQVBJX1dyaXRlQW5kVmVyaWZ5Rmxh +c2hJbWFnZSAtIFRpbWVvdXQgd2FpdGluZyBmb3IgZmxhc2ggaW50ZXJmYWNlICgldSkKAAAAAABB +UV9BUElfV3JpdGVBbmRWZXJpZnlGbGFzaEltYWdlIC0gVGltZW91dCB3YWl0aW5nIGZvciBmbGFz +aCBpbnRlcmZhY2UgKCV1KQoAAAAAAEFRX0FQSV9Xcml0ZUFuZFZlcmlmeUZsYXNoSW1hZ2UgLSBU +aW1lb3V0IHdhaXRpbmcgZm9yIGZsYXNoIGludGVyZmFjZSAoJXUpIChwcCAlI3ggYXAgJSN4KQoA +AAAAAEFRX0FQSV9Xcml0ZUFuZFZlcmlmeUZsYXNoSW1hZ2UgLSBUaW1lb3V0IHdhaXRpbmcgZm9y +IGZsYXNoIGludGVyZmFjZSAoJXUpCgAAAAAAQVFfQVBJX1dyaXRlQW5kVmVyaWZ5Rmxhc2hJbWFn +ZSAtIFRpbWVvdXQgd2FpdGluZyBmb3IgZmxhc2ggaW50ZXJmYWNlICgldSkKAAAAAABBUV9BUElf +V3JpdGVBbmRWZXJpZnlGbGFzaEltYWdlIC0gRXJyb3Igb24gYnVybmluZyBGTEFTSCAoY3JjMTYg +bWlzbWF0Y2gpCgAAAAAAAHNlbmRfY2xvc2VfcmVxOiBjc2tfZmMtPmZsb3djX3R5cGUgWzB4JXhd +LCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT50Y2Jfc3RhdGUgWzB4JXhdCgAAAHNl +bmRfY2xvc2VfcmVxOiBjc2tfZmMtPmZsb3djX3R5cGUgWzB4JXhdLCBjc2tfZmMtPmZsb3djX2lk +IFsweCV4XSwgdGlkIFsweCV4XSwgdWxwdHhjaCBbJXVdLGJ1ZmZlcmVkIFsldV0KAAAAAABvZmxk +X3RjcF9kb19hY3RpdmVfY2xvc2U6IGNza19mYyBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4 +JXhdLCBjc2tfZmMtPnRjYl9zdGF0ZSBbMHgleF0KAAAAAABvZmxkX3RjcF9kb19hY3RpdmVfY2xv +c2U6IGNza19mYyBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPnRjYl9z +dGF0ZSBbMHgleF0KAAAAAABvZmxkX3RjcF9kaXNjb25uZWN0OiB0Y2JfZmMtPmZsb3djX2lkIFsw +eCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNzay0+dGNiX3N0YXRlIFsweCV4XQoAAABk +ZWNvZGVfYmFzZTY0X3N0cmluZzogZGxlbiBbJWRdCgAAAAAAAAAAAAAAAAAAAABkZWNvZGVfaGV4 +X3N0cmluZzogZGxlbiBbJWRdCgAAAGZvaXNjc2lfdmFsaWRhdGVfbG9naW5fc3RhZ2U6IC0gMQoA +AAAAAAAAAAAAAAAAAGFzeW5jX3BkdTogbG9nb3V0IHJlcXVlc3RlZCBibG9ja2luZyBzZXNzaW9u +CgAAAGFzeW5jX3BkdTogc2Vzcy9jb25uIGRyb3AgcmVxdWVzdGVkIGJsb2NraW5nIHNlc3Npb24K +AAAAAAAAAAAAAABjcGxfdHhfcGt0OiB2bGFuaWQgWzB4JXhdCgAAAAAAAG5ldF9sMmRldl9maW5k +X2J5X2FkZHI6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGwyZGMtPmxwb3J0IFsldV0sIGwy +ZF9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBsMmRjLT5pbjRfZGV2LmluX2FkZHIuYWRkciBbMHgleF0s +IGFkZHIgWzB4JXhdCgAAAG5ldF9sMmRldl9tdHVfY29uZmlnOiBsMmRldl9mYy0+Zmxvd2NfaWQg +WzB4JXhdLCBtdHUgJXUKAAAAAAAAAABjcGxfdHhfcGt0OiB2bGFuaWQgWzB4JXhdCgAAAAAAAGVu +Y29kZSBoZXggc3RyaW5nOiBkbGVuIFslZF0KAAAAY2huZXRfZmluZF9sMnRfZW50cnk6IGRhZGRy +IFslMDh4XSwgWzB4JTA4eF0sIGxvY2FsIG5ldHdvcmsgWyVkXQoAAAAAAAAAAAAAAAAAAABsMnRl +bnQgWyUweF0sIGwydGVudC0+aWR4IFslZF0KAHRjcF9zZW5kX2FvcGVuX3JlcTogY3NrX2ZjLT5m +bG93Y19pZCBbMHgleF0sIGNza19mYy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBidWZmZXJlZCBbJXVd +LCByZXNfY250IFsweCV4XSwgaXFfaWR4IFsweCV4XQoAAAAAAAAAAAAAdGNwX3NlbmRfYW9wZW5f +cmVxOiBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0s +IG5vIHZhbGlkIGwydF9lbnR5LiBEZWxheWluZyBhbm90aGVyIHJldHJ5IGZvciAxIHNlY29uZHMu +CgAAAAAAAAAAAAAAAAAAYW9wZW5fcmVxOiBod19sZV9maWx0ZXJfY3R1cGxlIGZhaWxlZAoAAAAA +AAAAAAAAb2ZsZF90Y3Bfc2VuZF9hb3Blbl9yZXE6IGNwbF9yZXEtPkZpbHRlciBbMHglMHhdLCBj +dHVwbGVzWzBdIFsweCV4XSwgY3R1cGxlc1sxXSBbMHgleF0KAAAAAAAAAAAAbGFkZHJfcmV0OiBs +MmRjLmFkZHIgOjB4JXgsIHNyY19hZGRyOjB4JXgKAAAAAAAAY3NvY2tfYWxsb2M6IHNyY19hZGRy +OjB4JXgsIGRzdF9hZGRyOjB4JXgKAAAAAAAAY3NrX2FsazogSW52YWxpZCBsMmRldjoweCV4CgAA +AABjc2tfYWxrOiBuZXh0OiV1LCBpZHg6JXUsIHVzZWQ6JXUsIHNwb3J0OiV1CgAAAABjc29ja19h +bGxvYzogY3NvY2tfc3JjX2FkZHI6MHgleCwgY3NvY2tfZHN0X2FkZHI6MHgleAoAAAAAAAAAAAAA +Y3NvY2tfYWxsb2M6IHR4X2NoIFsweCV4XSwgbHBvcnQgWzB4JXhdLCBjb29raWUgWyUwOHhdCgAA +AAAAAAAAAGNzb2NrX2FsbG9jOiBhdmFpbGFibGUgWyV1XSwgbmNzb2NrIFsldV0sIHBvczphdGlk +IFsweCV4XSwgY3NrX2ZjIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIHNwb3J0IFsl +dV0KAABpdHRfdG9fdGFza19pZHg6IHRhZyBbMHglMDh4XSwgaGkgWyV1XSwgbG8gWyV1XSwgbm1h +c2tiaXRzIFsldV0sIHRhc2tfaWR4IFsldV0KAFdBVENIRE9HOiBObyB0ZW1wZXJhdHVyZSBzZW5z +b3IgYXZhaWxhYmxlLgoAAAAAAFdBVENIRE9HOiBBY3RpdmF0aW5nCgAAAAAAAAAAAAAAV0FUQ0hE +T0cgLSBFbmFibGUgYWN0aW9uICV1IHRpbWUgJXUKAAAAAAAAAAAAAAAAV0FUQ0hET0cgLSBEaXNh +YmxlIGFjdGlvbiAldQoAAABXQVRDSERPRzogRGUtYWN0aXZhdGluZwoAAAAAAAAAAHBvcnRbJXVd +IHNldCBQQVVTRSBQQVJBTVM6IHBwcGVuICV1IHR4cGUgJSN4IHJ4cGUgJSN4CgAAAAAAAAAAAABt +cHNfbGlua191cFsldV0gYWNhcHMgJSN4ICg4MDIuMyAlI3gpICsgbHBhY2FwcyAlI3ggPT4gJSN4 +CgAAAAAAZm9pc2NzaSBjb25uX2ZjIFsweCV4XSwgZmxvd2Nfc2NoZWRjbCBbMHgleF0sIGluZ19j +aCBbMHgleF0sIGVncl9jaCBbMHgleF0KAAAAAABsMmRldl9ub3RpZnkgd2l0aCB1bmtub3duIGZs +YWcgWzB4JXhdCgAAAAAAAAAAAABGQ29FIEZDQiBsaW5rZG93bjogaW9fcmVxIDB4JXgleCBpcWlk +IDB4JXggZmxvd2lkIDB4JXggb3AgMHgleAoAZmNfc2VuZF9hbGxvY19jcGw6IGZhaWxlZCB0byBz +ZXR1cCBmaWx0ZXIgY3R1cGxlCgAAAAAAAAAAAAAAAAAAAGZjb2VfY29tcHV0ZV9jdHVwbGUgMHgl +eDoleAoAAAAAY29tcHV0ZV9jdHVwbGUoKTogZmFpbGVkIHRvIHNldHVwIGZpbHRlciBjdHVwbGUK +AAAAAAAAAAAAAAAAAAAAAGZjb2Ugbm90aWZ5IDogVXBkYXRlIG5ldyBEQ0JYIHZhbHVlcyBWSSBz +dGF0ZSAweCV4IHByaSAweCV4IHNjaGVkY2wgMHgleCBkY2J4X2RvbmUgMHgleAoAAAAAAAAAAGZj +b2Ugbm90aWZ5IDogRkNGIGZsb3dpZCAweCV4LCB1bHBjaCAweCV4IAoAAAAAAGZjb2Ugbm90aWZ5 +IDogRkNvRSBMSU5LVVA6IHBvcnQgMHgleCwgZXZlbnQgMHgleAoAAAAAAAAAAAAAAAAAAABmY29l +IG5vdGlmeSA6IEZDb0UgTElOS0RPV046IHBvcnQgMHgleCwgZXZlbnQgMHgleAoAAAAAAAAAAAAA +AAAAZmNvZSBub3RpZnkgOiBEQ0JYIDogcG9ydCAweCV4LCBwcmlvcml0eSAweCV4IHVscHR4Y2gg +MHgleCBjbGFzcyAweCV4CgAAAAAAAAAAAABjaF9jbF9yYXRlWyV1LyV1XTogY2FwcGVkIGRlZmlj +aXRfaW5jciBmcm9tIHJlcXVpcmVkICV1IHRvICV1OyByYXRlICV1IChlZmYgJXUpIGRlZmljaXRf +bWF4ICV1CgBEQ0JYOiBzdW0gb2YgcmF0ZXMgb24gYWxsIGNsYXNzZXMgbXVzdCBiZSAxMDAgKGFj +dHVhbDogJXUpCgAAAAAAUkRFViBtc2cgZmxvd2M6JXggc3RhdGUgMHgleCBldmVudCAweCV4CgAA +AAAAAAAAY2FuY2VsIGZjYjoleCBzY2I6JXggc3RhdGU6JXgKAABGQ29FIEZDRiB0aW1lcjogZmxv +d2Mgc3RhdGUgMHgleCwgcG9ydCAweCV4ICxmY2YgMHgleCwgZmxvd2NfaWQgMHgleAoAAAAAAAAA +AAAAAHdvcmthcm91bmQxMzcyMzogZGV0ZWN0ZWQgV1IgQCAweCUwOHggb2Ygc2l6ZSAldSBieXRl +cywgZHJpYmJsaW5nIGl0IGluICV1IGJ5dGVzIGF0IGEgdGltZQoAAAAAAHJpX3dyX2luaXRbJXVd +OiBtc3MgJXUgaXMgbm90IDgtYnl0ZSBhbGlnbmVkCgAAAGNvcmVfcHJvZ3JhbV90Y2I6IHRpZCAl +I3ggdF9zdGF0ZSAlI3ggcmN2X2FkdiAweCUwOHggcmN2X3NjYWxlICUjeCB0eF9tYXggJSN4IHJj +dl9ueHQgJSN4IGF0aWQgJSN4CgAAAAAAAAAAAAAAAAAJb3B0MCAlI3gleCBvcHQyICUjeCBpcHY2 +ICUjeCBmbGFnc190aW1lciAweCUwOHgKAAAAAAAAAAAAAAAAAAAAb2ZsZF9jb25uZWN0aW9uX3dy +OiBjb25uZWN0aW9uIHdpdGggNS10dXBsZSBscCAweCUwNHggZnAgMHglMDR4IGxpcCAweCUwOHgl +MDh4IHBpcCAweCUwOHglMDh4IGZpbHRlciAweCUwOHggZXhpc3RzIEAgTEUgaW5kZXggJXUKAAAA +AAAAAAAAAAAAAAAAb2ZsZF9jb25uZWN0aW9uX3dyOiBjb25uZWN0aW9uIHdpdGggNS10dXBsZSBs +cCAweCUwNHggZnAgMHglMDR4IGxpcCAweCUwOHggcGlwIDB4JTA4eCBmaWx0ZXIgMHglMDh4IGV4 +aXN0cyBAIExFIGluZGV4ICV1CgAAAAAAAABvZmxkX2Nvbm5lY3Rpb25fd3I6IGNvbm5lY3Rpb24g +d2l0aCA1LXR1cGxlIGxwIDB4JTA0eCBmcCAweCUwNHggbGlwIDB4JTA4eCUwOHggcGlwIDB4JTA4 +eCUwOHggZmlsdGVyIDB4JTA4eAoAAAAAb2ZsZF9jb25uZWN0aW9uX3dyOiBjb25uZWN0aW9uIHdp +dGggNS10dXBsZSBscCAweCUwNHggZnAgMHglMDR4IGxpcCAweCUwOHggcGlwIDB4JTA4eCBmaWx0 +ZXIgMHglMDh4CgAAAAAAAAAAAAAAAElRRkxJTlQgcGZuICV1IHZmbiAldTogaXFpZCAldSB0b28g +bGFyZ2UgKG1heCAldSkKAAAAAAAAAAAAAAAAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGlxaWQg +JXUgbm90IGFsbG9jYXRlZAoAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGZsMGlkICV1IHRvbyBs +YXJnZSAobWF4ICV1KQoAAAAAAAAAAAAAAAAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBmbDBpZCAl +dSBub3QgYWxsb2NhdGVkCgAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBmbDFpZCAldSB0b28gbGFy +Z2UgKG1heCAldSkKAAAAAAAAAAAAAAAAAElRRkxJTlQgcGZuICV1IHZmbiAldTogZmwxaWQgJXUg +bm90IGFsbG9jYXRlZAoAAElRRkxJTlQgcGZuICV1IHZmbiAldTogZmwxaWQgJXUgaXMgdmFsaWQg +YnV0IG5vdCBmbDBpZCAldQoAAAAAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGZsMWlkICV1IGlz +IHZhbGlkIGJ1dCBoZWFkZXIgc3BsaXQgZmVhdHVyZSBpcyBub3QgZW5hYmxlZAoAAAAAAAAAAAAA +AAAAAABod191bHB0eF93b3JrYXJvdW5kX3ByMTY5NDlfZW5hYmxlZF9wZjogcGYgJXUgZW5hYmxl +ZCAldQoAAAAAAAAAaHdfdWxwdHhfd29ya2Fyb3VuZF9wcjE2OTQ5X2VuYWJsZWRfdmZpZDogdmZp +ZCAldSBlbmFibGVkICV1CgAAAEVRIHBmbiAldSB2Zm4gJXU6IGNyZWF0aW5nIEVUSCBlcWlkICV1 +IHdpdGggcGVuZGluZyBXUihzKSAobnVtX2J5dGVzICV1IGFuZCBmbGFncyAweCUwOHgKAAAAAAAA +AEVRIHBmbiAldSB2Zm4gJXU6IGNyZWF0aW5nIENUUkwgZXFpZCAldSB3aXRoIHBlbmRpbmcgV1Io +cykgKG51bV9ieXRlcyAldSBhbmQgZmxhZ3MgMHglMDh4CgAAAAAAAEVRIHBmbiAldSB2Zm4gJXU6 +IGVxaWQgJXUgdG9vIGxhcmdlIChtYXggJXUpCgAAAEVRIHBmbiAldSB2Zm4gJXU6IGVxaWQgJXUg +bm90IGFsbG9jYXRlZAoAAAAAAAAAAGh3X2NpbV90cF93b3JrYXJvdW5kMTM3MjNfZW5hYmxlOiBw +b3J0ICV1IHByb3RvY29sIDB4JXggZW4gJXUgY3VycmVudCAweCV4IHdvcmthcm91bmRfcHIxMzcy +MyAweCV4IG5leHQgMHgleAoAAABkY2J4X3BvcHVsYXRlX2N0cmwgY29kZSBpbmNvbXBsZXRlCgAA +AAAAAAAAAAAAAAB2aV90Y2FtX3JhdyBpZHggJXUgbWFjIDB4JTA0eCAlMDh4CgAAAAAAAAAAAAAA +AABwb3J0X2JsaW5rX2xlZF9yZXN0b3JlCgAAAAAAAAAAAHBvcnRfYmxpbms6IGJsaW5rZHVyPTB4 +JXggYmxpbmtfcmVmY250CgAAAAAAAAAAAHBvcnRfYmxpbms6IAlibGlua19yZWZjbnQ9MHgleAoA +cG9ydF9ibGluazogCWJsaW5rX3JlZmNudD0weCV4CgBtaWlfYWR2X2ZjWyV1XTogcmNhcHMgMHgl +eAoAAAAAAG1paV9hZHZfc3BlZWRbJXVdOiByY2FwcyAweCV4CgAAbWlpX2luaXRbJXVdOiBhY2Fw +cyAweCV4CgAAAAAAAABwb3J0WyV1XTogZ2F2ZSB1cCBmaXhpbmcgZXJyb3JzISEhCgAAAAAAAAAA +AAAAAABtaWlfYW5yZXN0YXJ0WyV1XTogYWNhcHMgMHgleAoAAGh3X3hnbV9wb3J0X2xwYmsgcG9y +dCAldSBwdHlwZSAlI3ggYWN0aW9uICUjeAoAAHBvcnRfY21kX2hhbmRsZXI6IHVua25vd24gdS5k +Y2IudHlwZSAweCV4CgAAAAAAAHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IGwxY2ZnLCBpbnZhbGlk +IHJlcXVlc3QsIHBjYXBzIDB4JXggYWNhcHMgMHgleCByY2FwcyAweCV4CgAAAAAAAAAAAAAAAAAA +AHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IGwxY2ZnLCBwY2FwcyAlI3ggYWNhcHMgJSN4IHJjYXBz +ICUjeCBtY2FwcyAlI3gKAAAAAAAAAAAAcG9ydFsldToweCUwMng6MHglMDJ4XTogbDFjZmcsIG1k +aSBpc3N1ZSBwY2FwcyAweCV4IGFjYXBzIDB4JXggcmNhcHMgMHgleAoAAAAAAABwb3J0WyV1OjB4 +JTAyeDoweCUwMnhdOiBsMWNmZywgY2Fubm90IGZvcmNlIG5vL211bHRpcGxlIHNwZWVkKHMpLCBw +Y2FwcyAweCV4IGFjYXBzIDB4JXggcmNhcHMgMHgleAoAAAAAAAAAAAAAAAAAZXRoX2Zsb3djX2hh +bmRsZXJbMHgleF06IGZsYWdzIDB4JTA4eCBudW1fYnl0ZXMgJXUgc2NoZWRjbCAweCV4IC0+IDB4 +JXgKAAAAAAAAAAB0YXNrX2lkeF90b19pdHQ6IHRhc2tfaWR4IFsldV0sIGhpIFsldV0sIGxvIFsl +dV0sIG5tYXNrYml0cyBbJXVdLCBzd190YWcgWzB4JTA4eF0sIHBwb2RfaWR4IFslZF0KAAAAAAAA +AAAAAAAAAAAAc2NzaV9jbWQ6IHJlY2VpdmVkIFRNRiBvcCBbMHgleF0gZnVuYyBbMHgleF0gb24g +Y29ubiBbMHgleF0gdGhyb3VnaCBjb21tYW5kIHBhdGguCgAAAAAAAAAAAAAAAAAAc2NzaV9jbWQ6 +IGNvbm5fZmMgWzB4JXhdLCBzdGF0ZSBbMHgleF0sIHNlc3NfZmMgWzB4JXhdIGluIHJlY292ZXJ5 +LiBTa2lwcGluZyBpc3Rhc2tfZmMgWzB4JXhdIGZyb20gVFguCgAAAAAAAAAAAHNjc2lfcmVhZDog +Y29ubl9mYyBbMHgleF0sIHN0YXRlIFsweCV4XSwgc2Vzc19mYyBbMHgleF0gaW4gcmVjb3Zlcnku +IFNraXBwaW5nIGlzdGFza19mYyBbMHgleF0gZnJvbSBUWC4KAAAAAAAAAABzY3NpX3dyaXRlOiBj +b25uX2ZjIFsweCV4XSwgc3RhdGUgWzB4JXhdLCBzZXNzX2ZjIFsweCV4XSBpbiByZWNvdmVyeS4g +U2tpcHBpbmcgaXN0YXNrX2ZjIFsweCV4XSBmcm9tIFRYLgoAAAAAAAAAZGNieF9jb250cm9sX3Nt +WyV1XSBDT05UUk9MX0xJTktVUAoAAAAAAAAAAAAAAAAAZGNieF9jb250cm9sX3NtWyV1XSBDT05U +Uk9MX1VQREFURV9EQ0JYX1RMVgoAAAAAZGNieF9jb250cm9sX3NtWyV1XSBDT05UUk9MX1BFRVJf +Tk9UX0FEVkVSVElTRV9EQ0JYCgAAAAAAAAAAAAAAAGRjYnhfY29udHJvbF9zbVsldV0gQ09OVFJP +TF9VUERBVEVfT1BFUl9WRVJTSU9OCgAAAAAAAAAAAAAAAAAAAABkY2J4X2NvbnRyb2xfc21bJXVd +IENPTlRST0xfUFJPQ0VTU19QRUVSX1RMVgoAAABkY2J4X2NvbnRyb2xfc21bJXVdIENPTlRST0xf +QUNLX1BFRVIKAAAAAAAAAAAAAABkY2J4X2llZWVfdmFsaWRhdGVbJXVdIGVycm9yIChvdWkgJSN4 +IHN1YnR5cGUgJSN4IGxlbiAlI3gpCgAAAAAAZGNieF9jZWVfdmFsaWRhdGVbJXVdIGVycm9yCgAA +AABwcm9jZXNzX2RoY3Bfb3B0czogcm9vdCBwYXRoIGxlbiBbJWRdIGJ5dGVzCgAAAABuZXRpZl9w +cm9jZXNzX2RoY3Bfb3B0czogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgTVNHX1RZUEUgWyVk +XSwgZGhjdHh0LT5zdGF0ZSBbJWRdCgAAAAAAAAAAAABpY21wX3JlY3Y6IGwyZGV2X2ZjLT5mbG93 +Y19pZCBbMHgleF0sIHBpZCBbMHgleF0sIGljbXAgdHlwZSBbMHgleF0KAAAAAAAAAAAAAAAAAHZu +MnZuOiBwb3J0IDB4JXggZGlkOjB4JXgleCV4IFVQCgAAAAAAAAAAAAAAAAAAAHZuMnZuOiBwb3J0 +IDB4JXggZGlkOjB4JXgleCV4IERPV04KAAAAAAAAAAAAAAAAAGZjX3NlbmRfYWxsb2NfY3BsOiBm +YWlsZWQgdG8gc2V0dXAgZmlsdGVyIGN0dXBsZQoAAAAAAAAAAAAAAAAAAABmY29lX2NvbXB1dGVf +Y3R1cGxlIDB4JXg6JXgKAAAAAGNvbXB1dGVfY3R1cGxlKCk6IGZhaWxlZCB0byBzZXR1cCBmaWx0 +ZXIgY3R1cGxlCgAAAAAAAAAAAAAAAAAAAABmY29lX2NvbXB1dGVfY3R1cGxlIHZsYW4gJXggdmlp +ZCAleCBwb3J0ICV4IG1wc19pZHggJXgKAAAAAAAAAAAAQUJUUyBBQ0MgYXdhaXRpbmcgUFJMSSBS +c3A6IGZsb3djX2lkIDB4JXggb3hfaWQgMHgleCByeF9pZCAweCV4IGlxaWQgMHgleAoAAAAAAABw +b3J0IDB4JXgsIHN0YXRlIDB4JXgsIGNvbW1hbmQgZmFpbGVkIHJldHJpZXMgMHgleAoAAAAAAAAA +AAAAAAAAYXJwX3JlY3Y6IGlwaWQgWzB4JXhdLCBpbl9hZGRyLmFkZHIgWzB4JXhdLCBzaXAgWzB4 +JXhdLCByaXAgWzB4JXhdLCBhcnBfb3AgWzB4JXhdCgAAAAAAAAAAAAAAAAAAY2huZXRfYXJwX3Jl +Y3Y6IGlwIGNvbmZsaWN0IGRldGVjdGVkCgAAAAAAAAAAAAAAY2huZXRfYXJwX3JlY3Y6IHBpZCBb +JXVdLCB2bGFuIFsweCV4XSwgYXJwIG9wIFsweCV4XSwgc2lwIFsweCV4XSwgcmlwIFsweCV4XQoA +AABjc29ja19mcmVlOiBzaXplb2YoY3NrX2ZjLT51LmNzb2NrKSBbJXVdLCBieXRlcwoAAAAAAAAA +AAAAAAAAAAAAR290IENPTk5fRVhJU1QgZm9yIHhpZDoweCV4LCB0YWc6MHgleCwgcmV0cnlpbmcu +CgAAAAAAAAAAAAAAAAAAAGh3X3VscHR4X3dvcmthcm91bmRfcHIxNjk0OV9lbmFibGVkX3BmX2lx +OiBpcSAldSBlbmFibGVkICV1IChwZiAldSkKAAAAAAAAAAAAAAAAY3NvY2tfcGVlcl9jbG9zZTog +Y3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIHRjYl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMt +PmZsb3djX3N0YXRlIFsweCV4XSwgdGNiX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0KAAAAAABjc29j +a19wZWVyX2Nsb3NlOiBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0 +ZSAgWzB4JXhdCgAAAAAAAAAAAHRjcF9jbHNfYWJydF9ycGw6IHRjYiB0aWQgWzB4JTA2eF0sIGZs +b3djX3R5cGUgWzB4JXhdLCBjcGxvcCBbMHgleF0gCgAAAAAAAAAAAAAAY2hfcmF0ZVsldV06IGNh +cHBlZCB0aWNrIGZyb20gcmVxdWlyZWQgJXUgdG8gc3VwcG9ydGVkICV1OyByYXRlICV1IChlZmYg +JXUpIGRlZmljaXRfaW5jciAldSB0aWNrICV1CgAAAAAAAAAAAAAAAHBrdHNjaGVkX2NoX3JsWyV1 +XTogY2hhbm5lbCBybCBub3QgYXZhaWxhYmxlIGluIGNvbmp1bmN0aW9uIHdpdGggZmxvdyBzaGFw +aW5nCgAAcGt0c2NoZWRfY2hfcmxbJXVdOiByYXRlICV1IG1heCAldQoAAAAAAAAAAAAAAAAAcGt0 +c2NoZWRfY2xfd3JyWyV1OiV1XTogd2VpZ2h0ICV1CgAAAAAAAAAAAAAAAAAAZXFfcGFyYW1zWzB4 +JXg6MHgleF06IGRtYXEgMHgleCByZWFkICV1IHBmICV1IGVxaWRfYXBpICV1IHJldCAlZAoAAAAA +AAAAAAAAAAAAAABod19tYV9hZGRyX3RvX21lbV90eXBlX29mZjogTUEgYWRkcmVzcyAweCUwOHgg +aXMgbm90IG1hcHBlZAoAAAAAaHdfbWFfYWRkcl90b19tZW1fdHlwZV9vZmY6IE1BIGFkZHJlc3Mg +MHglMDh4IG1hcHMgdG8gdHlwZSAldSBvZmZzZXQgMHgleAoAAAAAAABtZW1fbWFsbG9jX3RlbXA6 +IGZhaWxlZCB0byBhbGxvY2F0ZSAldSBieXRlcywgcmV0dXJuaW5nIE5VTEwKAAAAbWVtX21hbGxv +YzogZmFpbGVkIHRvIGFsbG9jYXRlICV1IGJ5dGVzLCByZXR1cm5pbmcgTlVMTAoAAAAAAAAAAGxl +IGNvbmZpZ3VyYXRpb246IGhhc2ggbW9kZSByZXF1aXJlcyBhdCBsZWFzdCAxNiBlbnRyaWVzLCBu +aGFzaCAldQoAAAAAAAAAAAAAAAAAbGUgY29uZmlndXJhdGlvbjogaGFzaCBtb2RlIHJlcXVpcmVz +IGF0IGVudHJpZXMgdG8gYmUgYSBwb3dlciBvZiAyLCBuaGFzaCAldQoAAABsZSBjb25maWd1cmF0 +aW9uOiByZXF1ZXN0ZWQgJXUgdGNhbSBlbnRyaWVzIGJ1dCBvbmx5ICV1IGF2YWlsYWJsZSAobnJv +dXRlICV1IG5jbGlwICV1IG5maWx0ZXIgJXUgbnNlcnZlciAldQoAAAAAbGUgY29uZmlndXJhdGlv +bjogdGNhbSByZWdpb25zIG11c3QgaGF2ZSBtdWx0aXBsZSBvZiAzMiBlbnRyaWVzLCBucm91dGUg +JXUgbmNsaXAgJXUgbmZpbHRlciAldSBuc2VydmVyICV1CgAAAAAAAGh3X3RwX3RjcF90dW5pbmdz +OiB0dW5pbmcgZm9yIGNsdXN0ZXIgZW52aXJvbm1lbnQKAAAAAAAAAAAAAAAAAABod190cF90Y3Bf +dHVuaW5nczogdHVuaW5nIGZvciBMQU4gZW52aXJvbm1lbnQKAABod190cF90Y3BfdHVuaW5nczog +dHVuaW5nIGZvciBXQU4gZW52aXJvbm1lbnQKAABod190cF90Y3BfdHVuaW5nczogbWFudWFsIHR1 +bmluZwoAAAAAAAAAAAAAAAAAAABfaHdfY2ltX2ZsYXNoX21lbWNweTogbWVtY3B5WCBzdGFydAoA +AAAAAAAAAAAAAABfaHdfY2ltX2ZsYXNoX21lbWNweTogZHN0IDB4JTA4IG9mZnNldCAweCUwOHgg +c2l6ZSAldSwgd2lkdGggb2YgJXUgaXMgbm90IHN1cHBvcnRlZAoAAAAAAAAAAAAAAABfaHdfY2lt +X2ZsYXNoX21lbWNweTogbWVtY3B5WCBlbmQKAAAAAAAAAAAAAAAAAABjb25maWd1cmF0aW9uIGZp +bGUgcGFyc2VyIGVuY291bnRlcmVkIGVycm9yIEAgbGluZSAldToKAAAAAAAAAAAAaHdfaTJjX3Ry +YW5zYWN0aW9uOiBuZGF0YSAldSBhZGRyX29wIDB4JXggZGF0YVswXSAweCV4IGRpZmYgJXUKAGh3 +X2kyY190cmFuc2FjdGlvbjogbmRhdGEgJXUgYWRkcl9vcCAweCV4IGRhdGFbMF0gMHgleCBkaWZm +ICV1IGRwb3MgJXUgY29udCAldSBmYWlsZWQgd2l0aCBlcnIgJWQKAAAAAAAAAAAAAAAAAABpMmMg +dHJhbnNhY3Rpb24gZmFpbGVkIHRvIGNvbXBsZXRlCgAAAAAAAAAAAAAAAABIT1NUIFBBR0VfU0la +RSBbMHglMGx4XSB0b28gc21hbGwsIG1pbiBbMHglMGx4XSByZXF1aXJlZAoAAAAAAAAAcGFnZSBz +aXplIFslbHVdIG1pc21hdGNoCgAAAAAAAABQQUdFIHNpemUgJWx1IHVuc3VwcG9ydGVkLCBkZHAg +ZGlzYWJsZWQKAAAAAAAAAABIb3N0IHBhZ2Vfc2l6ZSAlbHUsIGRkcF9pZHggJXUKAEZDb0UgRERQ +IGluaXQ6IGZjb2UgbGxpbWl0IDB4JXgsIGZjb2UgdWxpbWl0IDB4JXggZ2JsIGxsaW1pdCAweCV4 +IGdibCB1bGltaXQgMHgleCBwY2JzeiAleAoAAAAAAEZDb0UgRERQIGluaXQ6IGZjb2UgcHBvZCBv +ZmYgMHgleCwgZmNvZSBzdCBwcG9kIGFkZHIgMHgleCBmY29lIG51bSBwcG9kcyAweCV4CgAAZmNv +ZSB4Y2hnIG1nciBpbml0OiBOdW1iZXIgb2YgZXhjaGFuZ2VzIGZvciBGQ29FIGlzICV4CgAAAAAA +AAAAAGZjb2VfbDJ0X2luaXQ6IE5vIHVscHR4IGNyZWRpdCBjaDpbJXVdCgAAAAAAAAAAAGZjb2Vf +bDJ0X2luaXQ6IGNoOlsldV0gbDJ0X2lkeCBbJXVdCgAAAAAAAAAAAAAAAG5vIGwydCBlbnRyaWVz +IGNvbmZpZ3VyZWQ7IGZvcmNpbmcgJXUgZW50cmllcywgc3RhcnRpbmcgYXQgJXUKAABkY2J4X2ll +ZWVfY29uc3RydWN0WyV1XSBldHMgJXUgcGZjICV1IGFwcCAldQoAAABkY2J4X3RpbWVvdXRbJXVd +CgAAAAAAAAAAAAAAAAAAAGRjYnhfcnVuX3ZlcnNpb25fc21bJXVdIERDQlhfVkVSX1NUQVRFX1JV +Tl9JRUVFCgAAAAAAAAAAAAAAAAAAAABkY2J4X3J1bl92ZXJzaW9uX3NtWyV1XSBEQ0JYX1ZFUl9T +VEFURV9SVU5fQ0VFCgBkY2J4X3J1bl92ZXJzaW9uX3NtWyV1XSBEQ0JYX1ZFUl9TVEFURV9SVU5f +Tk9ORQoAAAAAAAAAAAAAAAAAAAAAcG9ydFsldV0gbGluayB1cCAoJXUpIChzcGVlZCAlI3ggYWNh +cHMgJSN4IGxwY2FwcyAlI3gpCgAAAAAAAAAAAHBvcnRfaHNzX3NpZ2RldFsldV06IGhzc19zaWdk +ZXQgY2hhbmdlZCB0byAweCV4CgAAAAAAAAAAAAAAAAAAAABwb3J0WyV1XSByZXNldHRpbmcgS1IK +AAAAAAAAAAAAAFFTRlAgbW9kdWxlIHVucGx1ZyAtIHJlaW5pdGlhbGl6aW5nIHJ4X2xvcyAgdG8g +MHhmZgoAAAAAAAAAAAAAAABncGlvX3FzZnBfbW9kdWxlX3VwZGF0ZTogY2hhbmdlZCByeF9sb3Mg +ZnJvbSAweCV4IHRvIDB4JXgKAAAAAAAAZ3Bpb19xc2ZwX21vZHVsZV91cGRhdGU6IGNoYW5nZWQg +dHhfZGlzIGZyb20gMHgleCB0byAweCV4CgAAAAAAAENhbGN1bGF0aW9uIG91dCBvZiBib3VuZHMg +ZnVyaW5nIGluaXQ6ICUjeCAlI3ggJSN4CgAAAAAAAAAAAAAAAABod19zZ2VfbWFtZW1faW5pdDog +ZW5jb3VudGVyZWQgZXJyb3IgJWQKAAAAAAAAAABfaHdfdHBfcGdtbmd0OiB0eF9wYWdlX21heCAl +dSByeF9wYWdlX21heCAldSBwc3RydWN0cyAldSBzaXplICV1CgAAAAAAAAAAAAAAAAAAAG1wYXJ0 +aXRpb25fb3RoZXJzX3RvdGFsOiBkZHAgJXUgZGRwX2lzY3NpICV1IHN0YWcgJXUgcGJsICV1IHJx +ICV1IHJxdWRwICV1IC0+ICV1CgAAAAAAAAAAAAAAAAAAAF9tcGFydGl0aW9uX2JhbmtzX21jWDog +bmJhbmtzX3BtdHggJXUgKCV1TUIpIG5iYW5rc19wbXJ4ICV1ICgldU1CKSBuYmFua3Nfb3RoZXJz +ICV1ICgldU1CKSBuYmFua3NfZncgJXUgKCV1TUIpCgBfbXBhcnRpdGlvbl9iYW5rc19tYzE6IG5i +YW5rc19wbXR4ICV1ICgldU1CKSBuYmFua3Nfb3RoZXJzICV1ICgldU1CKSBuYmFua3NfZncgJXUg +KCV1TUIpCgAAAAAAAABfbXBhcnRpdGlvbl9iYW5rc19tYzA6IG5iYW5rc19wbXJ4ICV1ICgldU1C +KSBuYmFua3Nfb3RoZXJzICV1ICgldU1CKQoAAAAAAAAAAAAAAG1lbV9tYWxsb2NfaW50ZXJuYWw6 +IGZhaWxlZCB0byBhbGxvY2F0ZSAldSBieXRlcywgcmV0dXJuaW5nIE5VTEwKAAAAAAAAAAAAAAAA +AAAAaHdfZWRjX2Jpc3RbJXVdOiBiaXN0X2NtZFsweCUwOHhdIGFkZHIgMHgleCBsZW4gMHgleAoA +AAAAAAAAAAAAAGh3X2VkY19iaXN0WyV1XTogZG9uZSwgZW5jb3VudGVyZWQgJXUgZXJyb3JzIG9u +IGZpcnN0IGFuZCAldSBlcnJvcnMgb24gc2Vjb25kIGF0dGVtcHQgKCV1Z2JwcykKAG1lbV9pbml0 +X2NhY2hlczogY2FjaGVfc2l6ZSAldSBmbG93Y19idWZfdGNiX2NhY2hlX3NpemUgJXUgYnVmbGw2 +NF9jYWNoZV9zaXplICV1CgAAAAAAAAAAAAAAAAAAAHF1ZXVlc19wZXJfcGFnZTogcGYgJXUgaGFz +IGEgYmFyc2l6ZSBvZiAldS1ieXRlcywgb2NxX3NpemUgJXUKAABzZ2UgcmVxdWlyZSBuZXEgJXUg +bmlxICV1IHJvdW5kaW5nIHRvICV1ICV1CgAAAABtcGFydGl0aW9uX3BtdHg6IG0gMHglMDh4IHNp +emUgJXUKAAAAAAAAAAAAAAAAAABtcGFydGl0aW9uX3Btcng6IG0gMHglMDh4IHNpemUgJXUKAAAA +AAAAAAAAAAAAAABtcGFydGl0aW9uX2VkYyAobm8gZXh0bWVtKTogbSAweCUwOHggc2l6ZSAldQoA +AABtcGFydGl0aW9uX2VkY19lc3RpbWF0ZTogaHcgbW9kdWxlcyByZXF1aXJlICVkIGJ5dGVzIGlu +IEVEQwoAAAAAY2huZXRfYnllOmwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGwyZGV2X2ZjLT5m +bG93Y19wY2llX3BmbiBbMHgleF0sIGwyZGV2X2ZjLT5mbG93Y19wY2llX3ZmbiBbMHgleF0sIHBv +cnQgWzB4JXhdCgAAAAAAAAAAAAAAAABjaG5ldF9ieWU6dmxhbmRldl9mYy0+Zmxvd2NfaWQgWzB4 +JXhdLCB2bGFuZGV2X2ZjLT5mbG93Y19wY2llX3BmbiBbMHgleF0sIHZsYW5kZXZfZmMtPmZsb3dj +X3BjaWVfdmZuIFsweCV4XSwgcG9ydCBbMHgleF0KAAAAAAAAAGNyX21vZHVsZV9yeF9sb3NbJXVd +OiByeF9sb3MgY2hhbmdlZCB0byAldQoAAAAAAHBmbiAldSB2Zm4gJXUgaGFzIHBuZHR4bnMgJXUg +YWZ0ZXIgMTAwbXMKAAAAAAAAAGJhZCBtYWlsYm94IGNtZDogcGZuIDB4JXggdmZuIDB4JXg7IG9w +Y29kZSAweCV4ID4gTEFTVEMyRSAweCV4CgBtYWlsYm94IGNtZCBub3QgeWV0IHN1cHBvcnRlZDog +cGZuIDB4JXggdmZuIDB4JXg7IG9wY29kZSAweCV4CgAAYmFkIG1haWxib3ggY21kOiBwZm4gMHgl +eCB2Zm4gMHgleDsgb3Bjb2RlIDB4JXggaXMgdmFsaWQgcG9zdCBkZXZpY2UgaW5pdCBvbmx5CgBi +YWQgbWFpbGJveCBjbWQ6IHBmbiAweCV4IHZmbiAweCV4OyBvcGNvZGUgMHglMDJ4IHJhbWFzayAw +eCV4IGNtZCByYW1hc2sgMHgleAoAAGJhZCBtYWlsYm94IGNtZDogcGZuIDB4JXggdmZuIDB4JXg7 +IG9wY29kZSAweCUwMnggbGVuMTYgMHgleCB2ZXJzdXMgZXhwZWN0ZWQgbGVuMTYgMHgleAoAAAAA +AAAAAGluc3VmZmljaWVudCBjYXBzIHRvIHByb2Nlc3MgbWFpbGJveCBjbWQ6IHBmbiAweCV4IHZm +biAweCV4OyByX2NhcHMgMHgleCB3eF9jYXBzIDB4JXggcmVxdWlyZWQgcl9jYXBzIDB4JXggd19j +YXBzIDB4JXgKAAAAAAAAAAAAaW5zdWZmaWNpZW50IGNhcHMgdG8gcHJvY2VzcyBtYWlsYm94IGNt +ZDogcGZuIDB4JXggdmZuIDB4JXg7IHJfY2FwcyAweCV4IHd4X2NhcHMgMHgleCByZXF1aXJlZCBy +X2NhcHMgMHgleCB3X2NhcHMgMHgleAoAAAAAAAAAAABkb3dubG9hZF9zcmFtOiBlcnJvciwgcmV0 +ICVkCgAAAGRvd25sb2FkX3RjYW06IGVycm9yLCByZXQgJWQKAAAAVlBEIHJlZ2lvbiBpcyB0b28g +c21hbGwgKFNFUkNGR19TUl9QRk5WUERTSVpFIDB4JXgpCgAAAAAAAAAAAAAAAGNmX3ByZXA6IGVy +cm9yLCByZXQgJWQKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AIIAAAEgAAAAAAAAAIIAAAEAAAAAAAAAAIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAaAgAAAAAAAAAAAAAAAAIAAAAAAAAAAAAKAAAAAAAAAAAAAAgADAAAAAAFgCAAAAAADAAAAAAAA +AAAAAAADAAAAAAAAAAAAAAACAAAAAAAAAAAAIAAAAAAAAAAAAAAAAQADgAAAAAAAAAAAAAACAAAA +AAAAAAAAIAOAAAAAAAAAAAAAEAKAAIAAAAAAAAAAAAAAAAAAAAAAAAAAIAAAAAAAAAAAAAAAAAAC +gAAAAAAAAAAAAAACAAAAAAAAAAAAAAACgAAAAAAAAAAAAQADAAAAAAAAAAAAAAKDAAAAAAAAAAAA +EAKAAAAAAAAAAAAAMAADAAAAAAAACAAAMAADAAAAAAAAAAAAMAWDAAAAAAAACAAAMAWDAAAAAAAA +AAAAMASDAAAAAAAACAAAMASDAAAAAAAAAAAAMAMDAAAAAAAACAAAMAMDAAAAAAAAAAAAOAMDAAAA +AAAAAAAAOAWDAAAAAAAAAAAAOASDAAAAAAAAAAAAOAADAAAAAAAAAAAANAaCAAAAAAAAAAAAPAOC +AAAAAAAAAAAAPAADAAAAAAAACAAAPAADAAAAAAAAAAAAPASDAAAAAAAAAAAAPAUDAAAAAAAAAAAA +PQQDAAAAAAAAAAAAPAODAAAAAAAAAAAALAACAAAAAAAAAAAALAWCAAAAAAAAAAAALAUCAAAAAAAA +AAAAEAaAAAAAAAAAAAAAEAaCwAAAAAAAAAAAEAaCgAAAAAAAAAAAAA6CAAAAAAAAAAAAEAeCgAAA +ACAAAAAAAAeCAAAAACAAAAAAEAcCgAAAAAAAAAAAEAcCgAAAAAAAAAAAEAcCgAAAAAAAAAAAAAcC +AAAAACAAAAAAEBeDAAAAAAAACAAAEBeDAAAAAAAACAAAEAAAAAAAAAAAAAAAEAYDgAAAAAAAAAAA +AA4DAAAAAAAAAAAAEAYDQAAAAAAAAAAAEAYDAAAAAAAAAAAAEAYAAAAAAAAAAAAAAAYDgAAAAAAA +AAAAAAYDAAAAAAAAAAAAAA4CAAAAAAAAAAAAAA4CAAAAAAAAAAAAEAYCAAAAAAAAAAAAEAYCAAAA +AAAAAAAAEAYCgAAAAAAAAAAAEAYCgAAAAAAAAAAAAAACAAAAAAAAAAAAAAAAAACAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAgAAAAAAAAAAABADAAAAAAAACAAAAAAAAAAAAAAAAAAA//////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +////////////////////////////////////////////////////////////////////AAAAIAAA +AADAAAAAAAAAIAAAAADgAAAAAAAAAAIAAAAAAAAAQAAAAAAAAAAAAAAAAAABIQAAAAAAAAAAAAEB +IAAAAAAAAAAAAAACAAAABAAEAAAAAAUAAAAEAAAAAAAAAAAAoAAAAACAAAAAAIAAQAAAAAAAAgAA +AIAAIAAAAAAAAgAAAQBAAAAAAAAAAAAAAQBCAAAAAAAAAAAAAAAgAAAAAAAAAAAAAhAgAAAAAAAA +AAAAAgwCAAAAAAAAAAAAAIUCAAAABAAAAAAAAIBCAAAAAAAAAAAAAgAiAAAAAAAAAAAAAIBBAAAA +AAAAAAAAAIBBgAAAAAAAAAAAAgAhAAAAAAAAAAAAABAggAAAAAAAAAAAAiUAgAAAAAAAAAAAAAUA +AAAAAAAAAAAACIgEgAAAAAAAAAAACIgEgAAAAAAAAAAACKIAgAAAAAAAAAAACKIAgAAAAAAAAAAA +CKMAgAAAAAAAAAAACKMAgAAAAAAAAAAACKSAgAAAAAAAAAAACKSAgAAAAAAAAAAABKSAwAAAAAAA +AAAABKIAwAAAAAAAAAAABKMAwAAAAAAAAAAABIgEwAAAAAAAAAAAAAkBgAAAAAAAAAAAAgwAgAAA +AAAAAAAAAIgEwAAAAAAAAAAAAIgEgAAAAAAAAAAAAgsAgAAAAAAAAAAAAIqAgAAAAAAAAAAAAAuA +gAAAAAAAAAAAAIwAgAAAAAAAAAAAAiAQgAAAAAAAAAAAAgoAgAAAAAAAAAAAAgqAgAAAAAAAAAAA +AAkCgAAAAAAAAAAAAAEBAAAAAAAAAAAAAAEBQAAAAAAAAAAAAAEAgAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAgAAAAAAAAAAAAACBEAAAAAAAAAAAAACBCAAAAAAAAAAAAACBBAAAAAAAAAAAAACBgAAA +AAAAAAAAAIAAwAAAAAAAAAAAAIAAoAAAAAAAAAAAAAAIAAAAAAAAAAAAAIGAAAAAAAAAAAAAAIGA +gAAAAAAAAAAAAImAgAAAAAAAAAAAAImAwAAAAAAAAAAAAAGCAAAAAAAAAAAAAgGAAAAAAAAAAAAA +AgGAgAAAAAAAAAAAAEGBgAAAAAAAAAAAAgGBgAAAAAAAAAAAAEmBgAAAAAAAAAAAAgmBgAAAAAAA +AAAAAgGBAAAAAAAAAAAAAEGBAAAAAAAAAAAAIAAAAAAAAAAAAAAAEAAAAgEAAAAAAAAAEAAAAgAA +AAAAAAAAEAAAAAAAAAAAAAAAAIAAwAAAAAAAAAAAAAAAAAAAAAAAAAAA//////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +////////////////////////////////////////////////////////////////AAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAIAJIAAAAAAAAAA2YAIABAAAAAAAgAkgAAAAAAAAADcgElBEAAAAAAAAAA +AAAAAAAAAAOWACAAQAAAAAAIAAAAAgCIA4cAAFYAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAA +AAAAAAAAAAAAAAOWACAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAA +AAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAADlgAg +AEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAAD +lgAgAEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAA +AgADlgAmBEAAAAAACEcgAQQAAAKyAALSBSAkQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAABHIAEG +DKGCsEABkgQgJEAAAAAAAEcgAQQMoEKzgAISBSAkQAAAAAAIAAAAAgCIA4UAAJYBIABAAAAAAAgA +AAACAIgDhQAAlgEgAEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAA +AA3FEroCsIDTFFSL4kpGJEAAAAAABcUSugK3gNMUVItiSaYkQAAAAAAFxRKiBrCA0xRUi+JKRiRA +AAAAAA3FEqIGt4DTFFSLYkmmJEAAAAAABcUSogawgNMUVIviSkYkQAAAAAANxRKiBreA0xRUi2JJ +piRAAAAAAAXFEqIGsIDTFFSL4kpGJEAAAAAADcUSoga3gNMUVItiSaYkQAAAAAAJxBCgAgCAkAAA +i2JpxiRAAAAAAAHEEKAGsICTBlSLYmnGJEAAAAAAAcQQoAawgJMGVItiacYkQAAAAAABxRC4BrCA +kxZUi2JIxiRAAAAAAAihEIgCAIFYEgALUgCmJEAAAAAACcAQkAKwgAMWVIqSAcYkQAAAAAAJwBC4 +BrCAAxCUi+ICRiRAAAAAAAnAELgCtIADEJSLYgGmJEAAAAAACcAQuAK0gAMQlItiAaYkQAAAAAAJ +wBC4ArSAAxCUi2IBpiRAAAAAAAnAELgCtIADEJSLYgGmJEAAAAAAAaAQkAa0gAMQlItiAaYkQAAA +AAABwBCAArCAAxRUilIAxiRAAAAAAAHAEIACsIADFFSKUgDGJEAAAAAAAcAQgAKwgAMUVIpSAMYk +QAAAAAAIRyABBAAAArIAAtIFICRAAAAAAACBAAACAIVYB0ALUgCmJEAAAAAAAIEAAAIAhVgHQAtS +AKYkQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAAAAAAGAKGAAEABVgAgAEAAAAAAAAAAAAQAoEAB +gAHWACAAQAAAAAAIgQAAAgCFWYAEC1IApiRAAAAAAAlhQAAAAAAYAAADQgEmpEAAAAAAAAAAAAAA +AAAAAAOWACAAQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAgAAAACAIgDhwAD1gAmBEAAAAAACAAA +AAIAiAOHAAPWACYEQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAA +AAAAAAQAoEABgAHWACAAQAAAAAAJwBC4ArSAAxCUi2IBpiRAAAAAAAAAAAAAAAAAAgADlgAmBEAA +AAAAAAAAAAAAAAAAAAOWACAAQAAAAAAIAAAAAgCIA4UAAJYBIABAAAAAAAAAAAAEAKBAAYAB1gAg +AEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAihEIgCAIFYEAAJ +EgGmJEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAAAAAABgChgABAAVYAIABAAAAAAAgAAAACAIgD +hUAI0gJGJEAAAAAACcUSogKUiNIQgIsiSKYkQAAAAAABwBCABqSIAQVUi1IAxiRAAAAAAAnAEIAC +pIgAhVSLUgDGJEAAAAAACcAQgAa0iAMBFItSAaYkQAAAAAANwAAAArCAwxZUi+IDRiRAAAAAAAAA +AAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAACAAwAAQAAAACAAACACYkQAAAAAAARzAABgMgArcACAIBwCRAAAAAAAgDAAACBqAK +tQAIAgDGJEAAAAAAAAAAAAAAAAACAAACACYkQAAAAAAAIFAABAAAAAIAAAIAJiRAAAAAAAhgIACE +AAAAAAAABgAgAEAAAAAACGAgAIQAAAACATACACYlQAAAAAAIYAAABACEAYAEBAIBxiTAAAAAAAHA +AAACQ4ADAgyIAgGmJEAAAAAACABgAAQAAAACAAACACYkQAAAAAAIAGAABAAAAAAAAAQBIABAAAAA +AAAAAAAAAAAAAAAABAEgAEAAAAAABAgUgAYKAAAHAUwCIKYmQAAAAAAIgAAABgCEAYAECAIBpiZA +AAAAAABAAAACAKAAAkAIAgGmJEAAAAAAAAAAAAAAAAACAAACACYkQAAAAAAEAAAAAoQAAwKKCAIE +piRAAAAAAAAAAAAAAAAAAgAABgEgSEAAAAAAACBQAAQAAAACAAACACYkQAAAAAAIYCAAhAAAAAIA +AAYAJgRAAAAAAAhgIACEAAAAAgAAAgEmJUAAAAAACGAAAAQAhAGABAQCAcYkwAAAAAAIAGAABAAA +AAAAAAQBIABAAAAAAAAAAAAAAAAAAAAAAgAmTHAAAAAAAAAAAAAAAAAAAAAGASAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHAAAAItJAECRYEAgTGJEAAAAAAAcAAAAi0kAQJFgQCBMYk +QAAAAAAECHSATAkAAFAAXAJh5iRAAAAAAAwIdIBEAAAAUgBAAmEmJEAAAAAACAIQoAQAAAAAAQAC +ACYkQAAAAAAIAhCgBAAAAAABAAIAJiRAAAAAAAQIdABCAQAABwCIAmDGJEAAAAAADcgUAAIJAAAE +QJwCYOYkQAAAAAAJyBCABrSQBAKUiAJlxiRAAAAAAA3IdABItJADAJSIAmCmJMAAAAAADch0AEi0 +kAMAlIgCYKYkwAAAAAAIRwAABAAAAAAAAAIBICRAAAAAAAhHAAAEAAAAAgAAAgUgJEAAAAAAAEcg +AQwHIcK3AAgCAcAkQAAAAAAARyABDAchwrcACAIBwCRAAAAAAABHIAEMByHCtwAIAgHAJEAAAAAA +AAAgAQgAhAAFQIgCAcYkwAAAAAAAACABCACEAAVAiAIBxiTAAAAAAAAAIAEIAIQABUCIAgHGJMAA +AAAAAAAgAYaCAAECwIgCA8YkwAAAAAAAACABgoIAAALAiAIDxiTAAAAAAAnAIAGCpIABBUCIAgHG +JMAAAAAACAAAAAwAhAAFQIgCAcYkwAAAAAAAACABhoIAAQLAiAIDxiTAAAAAAAAAIAGCggAAAMCI +AgKmJMAAAAAACcAgAYKkgAEFQIgCAcYkwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAgAAAAEAAQkEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAW2dsb2JhbF0KcnNzX2dsYl9j +b25maWdfbW9kZT1iYXNpY3ZpcnR1YWwKcnNzX2dsYl9jb25maWdfb3B0aW9ucz10bmxtYXBlbixo +YXNodG9lcGxpdHosdG5sYWxsbGtwCnJlZ1sweDEwMDhdPTB4NDA4MTAvMHgyMWM3MApyZWdbMHgx +MDBjXT0weDIyMjIyMjIyCnJlZ1sweDEwYTBdPTB4MDEwNDA4MTAKcmVnWzB4MTA0NF09NDA5Ngpy +ZWdbMHgxMDQ4XT02NTUzNgpyZWdbMHgxMDRjXT0xNTM2CnJlZ1sweDEwNTBdPTkwMjQKcmVnWzB4 +MTA1NF09OTIxNgpyZWdbMHgxMDU4XT0yMDQ4CnJlZ1sweDEwNWNdPTEyOApyZWdbMHgxMDYwXT04 +MTkyCnJlZ1sweDEwNjRdPTE2Mzg0CnJlZ1sweDEwYTRdPTB4YTAwMGEwMDAvMHhmMDAwZjAwMApy +ZWdbMHgxMGE4XT0weDIwMDAvMHgyMDAwCnNnZV90aW1lcl92YWx1ZT01LDEwLDIwLDUwLDEwMCwy +MDAKcmVnWzB4N2QwNF09MHgwMDAxMDAwMC8weDAwMDEwMDAwCnJlZ1sweDdkNmNdPTB4MDAwMDAw +MDAvMHgwMDAwNzAwMApyZWdbMHg3ZGMwXT0weDBlMmY4ODQ5CmZpbHRlck1vZGU9ZnJhZ21lbnRh +dGlvbixtcHNoaXR0eXBlLHByb3RvY29sLHZsYW4scG9ydCxmY29lCmZpbHRlck1hc2s9cHJvdG9j +b2wsZmNvZQp0cF9wbXJ4PTM0CnRwX3BtcnhfcGFnZXNpemU9NjRLCnRwX25yeGNoPTAKdHBfcG10 +eD0zMgp0cF9wbXR4X3BhZ2VzaXplPTY0Swp0cF9udHhjaD0wCnRwX210dXM9ODgsMjU2LDUxMiw1 +NzYsODA4LDEwMjQsMTI4MCwxNDg4LDE1MDAsMjAwMiwyMDQ4LDQwOTYsNDM1Miw4MTkyLDkwMDAs +OTYwMApyZWdbMHgxOTE2OF09MHgwNDAyMDEwMApbZnVuY3Rpb24iMCJdCm52Zj0xNgp3eF9jYXBz +PWFsbApyX2NhcHM9YWxsCm52aT0xCm5pcWZsaW50PTgKbmV0aGN0cmw9OApuZXE9MTYKbmV4YWN0 +Zj04CmNtYXNrPWFsbApwbWFzaz0weDEKW2Z1bmN0aW9uIjEiXQpudmY9MTYKd3hfY2Fwcz1hbGwK +cl9jYXBzPWFsbApudmk9MQpuaXFmbGludD04Cm5ldGhjdHJsPTgKbmVxPTE2Cm5leGFjdGY9OApj +bWFzaz1hbGwKcG1hc2s9MHgyCltmdW5jdGlvbiIyIl0KbnZmPTE2Cnd4X2NhcHM9YWxsCnJfY2Fw +cz1hbGwKbnZpPTEKbmlxZmxpbnQ9OApuZXRoY3RybD04Cm5lcT0xNgpuZXhhY3RmPTgKY21hc2s9 +YWxsCnBtYXNrPTB4NApbZnVuY3Rpb24iMyJdCm52Zj0xNgp3eF9jYXBzPWFsbApyX2NhcHM9YWxs +Cm52aT0xCm5pcWZsaW50PTgKbmV0aGN0cmw9OApuZXE9MTYKbmV4YWN0Zj04CmNtYXNrPWFsbApw +bWFzaz0weDgKW2Z1bmN0aW9uIjQiXQp3eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT0yOApuaXFm +bGludD0xNzAKbmV0aGN0cmw9MTAwCm5lcT0yNTYKbmV4YWN0Zj00MApjbWFzaz1hbGwKcG1hc2s9 +YWxsCm5ldGhvZmxkPTEwMjQKbnJvdXRlPTMyCm5jbGlwPTMyCm5maWx0ZXI9NDk2Cm5zZXJ2ZXI9 +NDk2Cm5oYXNoPTEyMjg4CnByb3RvY29sPW5pY192bSxvZmxkLHJkZHAscmRtYWMsaXNjc2lfaW5p +dGlhdG9yX3BkdSxpc2NzaV90YXJnZXRfcGR1CnRwX2wydD0zMDcyCnRwX2RkcD0zCnRwX2RkcF9p +c2NzaT0yCnRwX3N0YWc9Mwp0cF9wYmw9MTAKdHBfcnE9MTMKW2Z1bmN0aW9uIjUiXQp3eF9jYXBz +PWFsbApyX2NhcHM9YWxsCm52aT00Cm5pcWZsaW50PTM0Cm5ldGhjdHJsPTMyCm5lcT02NApuZXhh +Y3RmPTQKY21hc2s9YWxsCnBtYXNrPWFsbApuc2VydmVyPTE2Cm5oYXNoPTIwNDgKdHBfbDJ0PTEw +MjAKcHJvdG9jb2w9aXNjc2lfaW5pdGlhdG9yX2ZvZmxkCnRwX2RkcF9pc2NzaT0yCmlzY3NpX250 +YXNrPTIwNDgKaXNjc2lfbnNlc3M9MjA0OAppc2NzaV9uY29ubl9wZXJfc2Vzc2lvbj0xCmlzY3Np +X25pbml0aWF0b3JfaW5zdGFuY2U9NjQKW2Z1bmN0aW9uIjYiXQp3eF9jYXBzPWFsbApyX2NhcHM9 +YWxsCm52aT00Cm5pcWZsaW50PTM0Cm5ldGhjdHJsPTMyCm5lcT02NgpuZXhhY3RmPTMyCmNtYXNr +PWFsbApwbWFzaz1hbGwKbmhhc2g9MjA0OAp0cF9sMnQ9NApwcm90b2NvbD1mY29lX2luaXRpYXRv +cgp0cF9kZHA9MQpmY29lX25mY2Y9MTYKZmNvZV9udm5wPTMyCmZjb2VfbnNzbj0xMDI0CltmdW5j +dGlvbiIxMDIzIl0Kd3hfY2Fwcz1hbGwKcl9jYXBzPWFsbApudmk9NApjbWFzaz1hbGwKcG1hc2s9 +YWxsCm5leGFjdGY9OApuZmlsdGVyPTE2CltmdW5jdGlvbiIwLyoiXQp3eF9jYXBzPTB4ODIKcl9j +YXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFz +az1hbGwKcG1hc2s9MHgxCltmdW5jdGlvbiIxLyoiXQp3eF9jYXBzPTB4ODIKcl9jYXBzPTB4ODYK +bnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1h +c2s9MHgyCltmdW5jdGlvbiIyLyoiXQp3eF9jYXBzPTB4ODIKcl9jYXBzPTB4ODYKbnZpPTEKbmlx +ZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1hc2s9MHg0Cltm +dW5jdGlvbiIzLyoiXQp3eF9jYXBzPTB4ODIKcl9jYXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApu +ZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1hc2s9MHg4Cltwb3J0IjAiXQpk +Y2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209MTUKZHdtPTMwCmRj +Yl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsxXT0weDg5MTQsZXRo +ZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbcG9ydCIxIl0KZGNiPXBw +cCxkY2J4CmJnX21lbT0yNQpscGJrX21lbT0yNQpod209MzAKbHdtPTE1CmR3bT0zMApkY2JfYXBw +X3RsdlswXT0weDg5MDYsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMV09MHg4OTE0LGV0aGVydHlw +ZSwzCmRjYl9hcHBfdGx2WzJdPTMyNjAsc29ja2V0bnVtLDUKW3BvcnQiMiJdCmRjYj1wcHAsZGNi +eApiZ19tZW09MjUKbHBia19tZW09MjUKaHdtPTMwCmx3bT0xNQpkd209MzAKZGNiX2FwcF90bHZb +MF09MHg4OTA2LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzFdPTB4ODkxNCxldGhlcnR5cGUsMwpk +Y2JfYXBwX3RsdlsyXT0zMjYwLHNvY2tldG51bSw1Cltwb3J0IjMiXQpkY2I9cHBwLGRjYngKYmdf +bWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4 +ODkwNixldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2Fw +cF90bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbZmluaV0KdmVyc2lvbj0weDE0MjUwMDFjCmNoZWNr +c3VtPTB4NWNlYWI0MWUKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFtnbG9iYWxdCnJzc19nbGJfY29uZmlnX21v +ZGU9YmFzaWN2aXJ0dWFsCnJzc19nbGJfY29uZmlnX29wdGlvbnM9dG5sbWFwZW4saGFzaHRvZXBs +aXR6LHRubGFsbGxrcApyZWdbMHgxMDA4XT0weDQwODEwLzB4MjFjNzAKcmVnWzB4MTAwY109MHgy +MjIyMjIyMgpyZWdbMHgxMGEwXT0weDAxMDQwODEwCnJlZ1sweDEwNDRdPTQwOTYKcmVnWzB4MTA0 +OF09NjU1MzYKcmVnWzB4MTA0Y109MTUzNgpyZWdbMHgxMDUwXT05MDI0CnJlZ1sweDEwNTRdPTky +MTYKcmVnWzB4MTA1OF09MjA0OApyZWdbMHgxMDVjXT0xMjgKcmVnWzB4MTA2MF09ODE5MgpyZWdb +MHgxMDY0XT0xNjM4NApyZWdbMHgxMGE0XT0weGEwMDBhMDAwLzB4ZjAwMGYwMDAKcmVnWzB4MTBh +OF09MHgyMDAwLzB4MjAwMApzZ2VfdGltZXJfdmFsdWU9NSwxMCwyMCw1MCwxMDAsMjAwCnJlZ1sw +eDdkMDRdPTB4MDAwMTAwMDAvMHgwMDAxMDAwMApyZWdbMHg3ZDZjXT0weDAwMDAwMDAwLzB4MDAw +MDcwMDAKcmVnWzB4N2RjMF09MHgwZTJmODg0OQpmaWx0ZXJNb2RlPWZyYWdtZW50YXRpb24sbXBz +aGl0dHlwZSxwcm90b2NvbCx2bGFuLHBvcnQsZmNvZQpmaWx0ZXJNYXNrPXByb3RvY29sLGZjb2UK +dHBfcG1yeD0zMAp0cF9wbXJ4X3BhZ2VzaXplPTY0Swp0cF9ucnhjaD0wCnRwX3BtdHg9NTAKdHBf +cG10eF9wYWdlc2l6ZT02NEsKdHBfbnR4Y2g9MAp0cF9tdHVzPTg4LDI1Niw1MTIsNTc2LDgwOCwx +MDI0LDEyODAsMTQ4OCwxNTAwLDIwMDIsMjA0OCw0MDk2LDQzNTIsODE5Miw5MDAwLDk2MDAKcmVn +WzB4MTkxNjhdPTB4MDQwMjAxMDAKW2Z1bmN0aW9uIjAiXQp3eF9jYXBzPWFsbApyX2NhcHM9YWxs +Cm52aT0yOApuaXFmbGludD0xNzAKbmV0aGN0cmw9OTYKbmVxPTI1MgpuZXhhY3RmPTQwCmNtYXNr +PWFsbApwbWFzaz1hbGwKbmV0aG9mbGQ9MTAyNApucm91dGU9MzIKbmNsaXA9MzIKbmZpbHRlcj00 +OApuc2VydmVyPTMyCm5oYXNoPTAKcHJvdG9jb2w9bmljX3ZtLG9mbGQscmRkcCxyZG1hYyxpc2Nz +aV9pbml0aWF0b3JfcGR1LGlzY3NpX3RhcmdldF9wZHUKdHBfbDJ0PTMwNzIKdHBfZGRwPTIKdHBf +ZGRwX2lzY3NpPTIKdHBfc3RhZz0yCnRwX3BibD01CnRwX3JxPTcKW2Z1bmN0aW9uIjEiXQp3eF9j +YXBzPWFsbApyX2NhcHM9YWxsCm52aT00Cm5pcWZsaW50PTM0Cm5ldGhjdHJsPTMyCm5lcT02Ngpu +ZXhhY3RmPTMyCmNtYXNrPWFsbApwbWFzaz1hbGwKbmhhc2g9MApwcm90b2NvbD1mY29lX2luaXRp +YXRvcgp0cF9kZHA9MgpmY29lX25mY2Y9MTYKZmNvZV9udm5wPTMyCmZjb2VfbnNzbj0xMDI0Cltm +dW5jdGlvbiIxMDIzIl0Kd3hfY2Fwcz1hbGwKcl9jYXBzPWFsbApudmk9NApjbWFzaz1hbGwKcG1h +c2s9YWxsCm5leGFjdGY9OApuZmlsdGVyPTE2CltmdW5jdGlvbiIwLyoiXQp3eF9jYXBzPTB4ODIK +cl9jYXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApj +bWFzaz1hbGwKcG1hc2s9MHgxCltmdW5jdGlvbiIxLyoiXQp3eF9jYXBzPTB4ODIKcl9jYXBzPTB4 +ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFzaz1hbGwK +cG1hc2s9MHgyCltwb3J0IjAiXQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVtPTI1Cmh3 +bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUsMwpkY2Jf +YXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxzb2NrZXRu +dW0sNQpbcG9ydCIxIl0KZGNiPXBwcCxkY2J4CmJnX21lbT0yNQpscGJrX21lbT0yNQpod209MzAK +bHdtPTE1CmR3bT0zMApkY2JfYXBwX3RsdlswXT0weDg5MDYsZXRoZXJ0eXBlLDMKZGNiX2FwcF90 +bHZbMV09MHg4OTE0LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzJdPTMyNjAsc29ja2V0bnVtLDUK +W3BvcnQiMiJdCmRjYj1wcHAsZGNieApiZ19tZW09MjUKbHBia19tZW09MjUKaHdtPTMwCmx3bT0x +NQpkd209MzAKZGNiX2FwcF90bHZbMF09MHg4OTA2LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzFd +PTB4ODkxNCxldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsyXT0zMjYwLHNvY2tldG51bSw1Cltwb3J0 +IjMiXQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209MTUKZHdt +PTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsxXT0weDg5 +MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbZmluaV0KdmVy +c2lvbj0weDE0MjUwMDFjCmNoZWNrc3VtPTB4YWQ0YzE3NGYKAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA= +==== diff --git a/sys/dev/cxgbe/firmware/t4fw_cfg.txt b/sys/dev/cxgbe/firmware/t4fw_cfg.txt index 78caa2874..0e131226c 100644 --- a/sys/dev/cxgbe/firmware/t4fw_cfg.txt +++ b/sys/dev/cxgbe/firmware/t4fw_cfg.txt @@ -17,6 +17,9 @@ # enable TP_OUT_CONFIG.IPIDSPLITMODE reg[0x7d04] = 0x00010000/0x00010000 + # disable TP_PARA_REG3.RxFragEn + reg[0x7d6c] = 0x00000000/0x00007000 + # TP_SHIFT_CNT reg[0x7dc0] = 0x62f8849 @@ -163,7 +166,7 @@ [fini] version = 0x1 - checksum = 0xc5e9ef34 + checksum = 0x98210e18 # # $FreeBSD$ # diff --git a/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt b/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt index d79975989..cc8ad8bfb 100644 --- a/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt +++ b/sys/dev/cxgbe/firmware/t4fw_cfg_uwire.txt @@ -112,6 +112,9 @@ # enable TP_OUT_CONFIG.IPIDSPLITMODE reg[0x7d04] = 0x00010000/0x00010000 + # disable TP_PARA_REG3.RxFragEn + reg[0x7d6c] = 0x00000000/0x00007000 + reg[0x7dc0] = 0x0e2f8849 # TP_SHIFT_CNT # TP_VLAN_PRI_MAP to select filter tuples @@ -548,7 +551,7 @@ [fini] version = 0x1425001c - checksum = 0x63a652b3 + checksum = 0x5ceab41e # Total resources used by above allocations: # Virtual Interfaces: 104 diff --git a/sys/dev/cxgbe/firmware/t4fw_interface.h b/sys/dev/cxgbe/firmware/t4fw_interface.h index 2ee58bab2..4f32c5995 100644 --- a/sys/dev/cxgbe/firmware/t4fw_interface.h +++ b/sys/dev/cxgbe/firmware/t4fw_interface.h @@ -1,5 +1,5 @@ /*- - * Copyright (c) 2012-2014 Chelsio Communications, Inc. + * Copyright (c) 2012-2016 Chelsio Communications, Inc. * All rights reserved. * * Redistribution and use in source and binary forms, with or without @@ -135,7 +135,13 @@ enum fw_wr_opcodes { FW_POFCOE_ULPTX_WR = 0x43, FW_ISCSI_TX_DATA_WR = 0x45, FW_PTP_TX_PKT_WR = 0x46, - FW_LASTC2E_WR = 0x70 + FW_SEC_LOOKASIDE_LPBK_WR= 0x6d, + FW_COiSCSI_TGT_WR = 0x70, + FW_COiSCSI_TGT_CONN_WR = 0x71, + FW_COiSCSI_TGT_XMIT_WR = 0x72, + FW_ISNS_WR = 0x75, + FW_ISNS_XMIT_WR = 0x76, + FW_LASTC2E_WR = 0x80 }; /* @@ -907,6 +913,7 @@ enum fw_flowc_mnem { FW_FLOWC_MNEM_DCBPRIO = 12, FW_FLOWC_MNEM_SND_SCALE = 13, FW_FLOWC_MNEM_RCV_SCALE = 14, + FW_FLOWC_MNEM_MAX = 15, }; struct fw_flowc_mnemval { @@ -1376,6 +1383,7 @@ enum fw_ri_res_type { FW_RI_RES_TYPE_SQ, FW_RI_RES_TYPE_RQ, FW_RI_RES_TYPE_CQ, + FW_RI_RES_TYPE_SRQ, }; enum fw_ri_res_op { @@ -1409,6 +1417,20 @@ struct fw_ri_res { __be32 r6_lo; __be64 r7; } cq; + struct fw_ri_res_srq { + __u8 restype; + __u8 op; + __be16 r3; + __be32 eqid; + __be32 r4[2]; + __be32 fetchszm_to_iqid; + __be32 dcaen_to_eqsize; + __be64 eqaddr; + __be32 srqid; + __be32 pdid; + __be32 hwsrqsize; + __be32 hwsrqaddr; + } srq; } u; }; @@ -1874,6 +1896,10 @@ enum fw_ri_init_p2ptype { FW_RI_INIT_P2PTYPE_DISABLED = 0xf, }; +enum fw_ri_init_rqeqid_srq { + FW_RI_INIT_RQEQID_SRQ = 1 << 31, +}; + struct fw_ri_wr { __be32 op_compl; __be32 flowid_len16; @@ -2512,6 +2538,197 @@ struct fw_foiscsi_chap_wr { __u8 chap_sec[FW_FOISCSI_CHAP_SEC_MAX_LEN]; }; +/****************************************************************************** + * C O i S C S I W O R K R E Q U E S T S + ********************************************/ + +enum fw_chnet_addr_type { + FW_CHNET_ADDD_TYPE_NONE = 0, + FW_CHNET_ADDR_TYPE_IPV4, + FW_CHNET_ADDR_TYPE_IPV6, +}; + +enum fw_msg_wr_type { + FW_MSG_WR_TYPE_RPL = 0, + FW_MSG_WR_TYPE_ERR, + FW_MSG_WR_TYPE_PLD, +}; + +struct fw_coiscsi_tgt_wr { + __be32 op_compl; + __be32 flowid_len16; + __u64 cookie; + __u8 subop; + __u8 status; + __be16 r4; + __be32 flags; + struct fw_coiscsi_tgt_conn_attr { + __be32 in_tid; + __be16 in_port; + __u8 in_type; + __u8 r6; + union fw_coiscsi_tgt_conn_attr_addr { + struct fw_coiscsi_tgt_conn_attr_in_addr { + __be32 addr; + __be32 r7; + __be32 r8[2]; + } in_addr; + struct fw_coiscsi_tgt_conn_attr_in_addr6 { + __be64 addr[2]; + } in_addr6; + } u; + } conn_attr; +}; + +struct fw_coiscsi_tgt_conn_wr { + __be32 op_compl; + __be32 flowid_len16; + __u64 cookie; + __u8 subop; + __u8 status; + __be16 iq_id; + __be32 in_stid; + __be32 io_id; + __be32 flags; + struct fw_coiscsi_tgt_conn_tcp { + __be16 in_sport; + __be16 in_dport; + __be32 r4; + union fw_coiscsi_tgt_conn_tcp_addr { + struct fw_coiscsi_tgt_conn_tcp_in_addr { + __be32 saddr; + __be32 daddr; + } in_addr; + struct fw_coiscsi_tgt_conn_tcp_in_addr6 { + __be64 saddr[2]; + __be64 daddr[2]; + } in_addr6; + } u; + } conn_tcp; + struct fw_coiscsi_tgt_conn_iscsi { + __be32 hdigest_to_ddp_pgsz; + __be32 tgt_id; + __be16 max_r2t; + __be16 r5; + __be32 max_burst; + __be32 max_rdsl; + __be32 max_tdsl; + __be32 nxt_sn; + __be32 r6; + } conn_iscsi; +}; + +struct fw_coiscsi_tgt_xmit_wr { + __be32 op_to_immdlen; + __be32 flowid_len16; + __be64 cookie; + __be16 iq_id; + __be16 r4; + __be32 datasn; + __be32 t_xfer_len; + __be32 flags; + __be32 tag; + __be32 tidx; + __be32 r5[2]; +}; + +#define S_FW_COiSCSI_TGT_XMIT_WR_DDGST 23 +#define M_FW_COiSCSI_TGT_XMIT_WR_DDGST 0x1 +#define V_FW_COiSCSI_TGT_XMIT_WR_DDGST(x) \ + ((x) << S_FW_COiSCSI_TGT_XMIT_WR_DDGST) +#define G_FW_COiSCSI_TGT_XMIT_WR_DDGST(x) \ + (((x) >> S_FW_COiSCSI_TGT_XMIT_WR_DDGST) & M_FW_COiSCSI_TGT_XMIT_WR_DDGST) +#define F_FW_COiSCSI_TGT_XMIT_WR_DDGST V_FW_COiSCSI_TGT_XMIT_WR_DDGST(1U) + +#define S_FW_COiSCSI_TGT_XMIT_WR_HDGST 22 +#define M_FW_COiSCSI_TGT_XMIT_WR_HDGST 0x1 +#define V_FW_COiSCSI_TGT_XMIT_WR_HDGST(x) \ + ((x) << S_FW_COiSCSI_TGT_XMIT_WR_HDGST) +#define G_FW_COiSCSI_TGT_XMIT_WR_HDGST(x) \ + (((x) >> S_FW_COiSCSI_TGT_XMIT_WR_HDGST) & M_FW_COiSCSI_TGT_XMIT_WR_HDGST) +#define F_FW_COiSCSI_TGT_XMIT_WR_HDGST V_FW_COiSCSI_TGT_XMIT_WR_HDGST(1U) + +#define S_FW_COiSCSI_TGT_XMIT_WR_DDP 20 +#define M_FW_COiSCSI_TGT_XMIT_WR_DDP 0x1 +#define V_FW_COiSCSI_TGT_XMIT_WR_DDP(x) ((x) << S_FW_COiSCSI_TGT_XMIT_WR_DDP) +#define G_FW_COiSCSI_TGT_XMIT_WR_DDP(x) \ + (((x) >> S_FW_COiSCSI_TGT_XMIT_WR_DDP) & M_FW_COiSCSI_TGT_XMIT_WR_DDP) +#define F_FW_COiSCSI_TGT_XMIT_WR_DDP V_FW_COiSCSI_TGT_XMIT_WR_DDP(1U) + +#define S_FW_COiSCSI_TGT_XMIT_WR_ABORT 19 +#define M_FW_COiSCSI_TGT_XMIT_WR_ABORT 0x1 +#define V_FW_COiSCSI_TGT_XMIT_WR_ABORT(x) \ + ((x) << S_FW_COiSCSI_TGT_XMIT_WR_ABORT) +#define G_FW_COiSCSI_TGT_XMIT_WR_ABORT(x) \ + (((x) >> S_FW_COiSCSI_TGT_XMIT_WR_ABORT) & M_FW_COiSCSI_TGT_XMIT_WR_ABORT) +#define F_FW_COiSCSI_TGT_XMIT_WR_ABORT V_FW_COiSCSI_TGT_XMIT_WR_ABORT(1U) + +#define S_FW_COiSCSI_TGT_XMIT_WR_FINAL 18 +#define M_FW_COiSCSI_TGT_XMIT_WR_FINAL 0x1 +#define V_FW_COiSCSI_TGT_XMIT_WR_FINAL(x) \ + ((x) << S_FW_COiSCSI_TGT_XMIT_WR_FINAL) +#define G_FW_COiSCSI_TGT_XMIT_WR_FINAL(x) \ + (((x) >> S_FW_COiSCSI_TGT_XMIT_WR_FINAL) & M_FW_COiSCSI_TGT_XMIT_WR_FINAL) +#define F_FW_COiSCSI_TGT_XMIT_WR_FINAL V_FW_COiSCSI_TGT_XMIT_WR_FINAL(1U) + +#define S_FW_COiSCSI_TGT_XMIT_WR_PADLEN 16 +#define M_FW_COiSCSI_TGT_XMIT_WR_PADLEN 0x3 +#define V_FW_COiSCSI_TGT_XMIT_WR_PADLEN(x) \ + ((x) << S_FW_COiSCSI_TGT_XMIT_WR_PADLEN) +#define G_FW_COiSCSI_TGT_XMIT_WR_PADLEN(x) \ + (((x) >> S_FW_COiSCSI_TGT_XMIT_WR_PADLEN) & \ + M_FW_COiSCSI_TGT_XMIT_WR_PADLEN) + +#define S_FW_COiSCSI_TGT_XMIT_WR_IMMDLEN 0 +#define M_FW_COiSCSI_TGT_XMIT_WR_IMMDLEN 0xff +#define V_FW_COiSCSI_TGT_XMIT_WR_IMMDLEN(x) \ + ((x) << S_FW_COiSCSI_TGT_XMIT_WR_IMMDLEN) +#define G_FW_COiSCSI_TGT_XMIT_WR_IMMDLEN(x) \ + (((x) >> S_FW_COiSCSI_TGT_XMIT_WR_IMMDLEN) & \ + M_FW_COiSCSI_TGT_XMIT_WR_IMMDLEN) + +struct fw_isns_wr { + __be32 op_compl; + __be32 flowid_len16; + __u64 cookie; + __u8 subop; + __u8 status; + __be16 iq_id; + __be32 r4; + struct fw_tcp_conn_attr { + __be32 in_tid; + __be16 in_port; + __u8 in_type; + __u8 r6; + union fw_tcp_conn_attr_addr { + struct fw_tcp_conn_attr_in_addr { + __be32 addr; + __be32 r7; + __be32 r8[2]; + } in_addr; + struct fw_tcp_conn_attr_in_addr6 { + __be64 addr[2]; + } in_addr6; + } u; + } conn_attr; +}; + +struct fw_isns_xmit_wr { + __be32 op_to_immdlen; + __be32 flowid_len16; + __be64 cookie; + __be16 iq_id; + __be16 r4; + __be32 xfer_len; + __be64 r5; +}; + +#define S_FW_ISNS_XMIT_WR_IMMDLEN 0 +#define M_FW_ISNS_XMIT_WR_IMMDLEN 0xff +#define V_FW_ISNS_XMIT_WR_IMMDLEN(x) ((x) << S_FW_ISNS_XMIT_WR_IMMDLEN) +#define G_FW_ISNS_XMIT_WR_IMMDLEN(x) \ + (((x) >> S_FW_ISNS_XMIT_WR_IMMDLEN) & M_FW_ISNS_XMIT_WR_IMMDLEN) + /****************************************************************************** * F O F C O E W O R K R E Q U E S T s *******************************************/ @@ -3168,6 +3385,127 @@ struct fw_pi_error { (((x) >> S_FW_PI_ERROR_ERR_TYPE) & M_FW_PI_ERROR_ERR_TYPE) +struct fw_sec_lookaside_lpbk_wr { + __be32 op_to_cctx_size; + __be32 len16_pkd; + __be32 session_id; + __be32 rx_chid_to_rx_q_id; + __be32 key_addr; + __be32 pld_size_hash_size; + __be64 cookie; +}; + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_OPCODE 24 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_OPCODE 0xff +#define V_FW_SEC_LOOKASIDE_LPBK_WR_OPCODE(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_OPCODE) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_OPCODE(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_OPCODE) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_OPCODE) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_COMPL 23 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_COMPL 0x1 +#define V_FW_SEC_LOOKASIDE_LPBK_WR_COMPL(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_COMPL) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_COMPL(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_COMPL) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_COMPL) +#define F_FW_SEC_LOOKASIDE_LPBK_WR_COMPL V_FW_SEC_LOOKASIDE_LPBK_WR_COMPL(1U) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_IMM_LEN 15 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_IMM_LEN 0xff +#define V_FW_SEC_LOOKASIDE_LPBK_WR_IMM_LEN(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_IMM_LEN) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_IMM_LEN(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_IMM_LEN) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_IMM_LEN) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_LOC 5 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_LOC 0x3 +#define V_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_LOC(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_LOC) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_LOC(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_LOC) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_LOC) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_SIZE 0 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_SIZE 0x1f +#define V_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_SIZE(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_SIZE) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_SIZE(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_SIZE) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_CCTX_SIZE) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_LEN16 0 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_LEN16 0xff +#define V_FW_SEC_LOOKASIDE_LPBK_WR_LEN16(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_LEN16) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_LEN16(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_LEN16) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_LEN16) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_RX_CHID 29 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_RX_CHID 0x3 +#define V_FW_SEC_LOOKASIDE_LPBK_WR_RX_CHID(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_RX_CHID) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_RX_CHID(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_RX_CHID) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_RX_CHID) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_LCB 27 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_LCB 0x3 +#define V_FW_SEC_LOOKASIDE_LPBK_WR_LCB(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_LCB) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_LCB(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_LCB) & M_FW_SEC_LOOKASIDE_LPBK_WR_LCB) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_PHASH 25 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_PHASH 0x3 +#define V_FW_SEC_LOOKASIDE_LPBK_WR_PHASH(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_PHASH) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_PHASH(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_PHASH) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_PHASH) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_IV 23 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_IV 0x3 +#define V_FW_SEC_LOOKASIDE_LPBK_WR_IV(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_IV) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_IV(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_IV) & M_FW_SEC_LOOKASIDE_LPBK_WR_IV) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_TX_CH 10 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_TX_CH 0x3 +#define V_FW_SEC_LOOKASIDE_LPBK_WR_TX_CH(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_TX_CH) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_TX_CH(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_TX_CH) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_TX_CH) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_RX_Q_ID 0 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_RX_Q_ID 0x3ff +#define V_FW_SEC_LOOKASIDE_LPBK_WR_RX_Q_ID(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_RX_Q_ID) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_RX_Q_ID(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_RX_Q_ID) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_RX_Q_ID) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_PLD_SIZE 24 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_PLD_SIZE 0xff +#define V_FW_SEC_LOOKASIDE_LPBK_WR_PLD_SIZE(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_PLD_SIZE) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_PLD_SIZE(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_PLD_SIZE) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_PLD_SIZE) + +#define S_FW_SEC_LOOKASIDE_LPBK_WR_HASH_SIZE 17 +#define M_FW_SEC_LOOKASIDE_LPBK_WR_HASH_SIZE 0x7f +#define V_FW_SEC_LOOKASIDE_LPBK_WR_HASH_SIZE(x) \ + ((x) << S_FW_SEC_LOOKASIDE_LPBK_WR_HASH_SIZE) +#define G_FW_SEC_LOOKASIDE_LPBK_WR_HASH_SIZE(x) \ + (((x) >> S_FW_SEC_LOOKASIDE_LPBK_WR_HASH_SIZE) & \ + M_FW_SEC_LOOKASIDE_LPBK_WR_HASH_SIZE) + /****************************************************************************** * C O M M A N D s *********************/ @@ -3232,7 +3570,8 @@ enum fw_cmd_opcodes { FW_FCOE_SPARAMS_CMD = 0x35, FW_FCOE_STATS_CMD = 0x37, FW_FCOE_FCF_CMD = 0x38, - FW_PTP_CMD = 0x39, + FW_DCB_IEEE_CMD = 0x3a, + FW_PTP_CMD = 0x3e, FW_LASTC2E_CMD = 0x40, FW_ERROR_CMD = 0x80, FW_DEBUG_CMD = 0x81, @@ -3703,6 +4042,7 @@ enum fw_caps_config_hm { #define FW_T4VF_MPS_BASE_ADDR 0x0100 #define FW_T4VF_PL_BASE_ADDR 0x0200 #define FW_T4VF_MBDATA_BASE_ADDR 0x0240 +#define FW_T6VF_MBDATA_BASE_ADDR 0x0280 /* aligned to mbox size 128B */ #define FW_T4VF_CIM_BASE_ADDR 0x0300 #define FW_T4VF_REGMAP_START 0x0000 @@ -3751,6 +4091,12 @@ enum fw_caps_config_iscsi { FW_CAPS_CONFIG_ISCSI_INITIATOR_SSNOFLD = 0x00000010, FW_CAPS_CONFIG_ISCSI_TARGET_SSNOFLD = 0x00000020, FW_CAPS_CONFIG_ISCSI_T10DIF = 0x00000040, + FW_CAPS_CONFIG_ISCSI_INITIATOR_CMDOFLD = 0x00000080, + FW_CAPS_CONFIG_ISCSI_TARGET_CMDOFLD = 0x00000100, +}; + +enum fw_caps_config_tls { + FW_CAPS_CONFIG_TLSKEYS = 0x00000001, }; enum fw_caps_config_fcoe { @@ -3782,7 +4128,7 @@ struct fw_caps_config_cmd { __be16 niccaps; __be16 toecaps; __be16 rdmacaps; - __be16 r4; + __be16 tlscaps; __be16 iscsicaps; __be16 fcoecaps; __be32 cfcsum; @@ -3923,6 +4269,8 @@ enum fw_params_param_pfvf { FW_PARAMS_PARAM_PFVF_SQRQ_END = 0x16, FW_PARAMS_PARAM_PFVF_CQ_START = 0x17, FW_PARAMS_PARAM_PFVF_CQ_END = 0x18, + FW_PARAMS_PARAM_PFVF_SRQ_START = 0x19, + FW_PARAMS_PARAM_PFVF_SRQ_END = 0x1A, FW_PARAMS_PARAM_PFVF_SCHEDCLASS_ETH = 0x20, FW_PARAMS_PARAM_PFVF_VIID = 0x24, FW_PARAMS_PARAM_PFVF_CPMASK = 0x25, @@ -3937,7 +4285,13 @@ enum fw_params_param_pfvf { FW_PARAMS_PARAM_PFVF_ACTIVE_FILTER_END = 0x2E, FW_PARAMS_PARAM_PFVF_ETHOFLD_START = 0x2F, FW_PARAMS_PARAM_PFVF_ETHOFLD_END = 0x30, - FW_PARAMS_PARAM_PFVF_CPLFW4MSG_ENCAP = 0x31 + FW_PARAMS_PARAM_PFVF_CPLFW4MSG_ENCAP = 0x31, + FW_PARAMS_PARAM_PFVF_HPFILTER_START = 0x32, + FW_PARAMS_PARAM_PFVF_HPFILTER_END = 0x33, + FW_PARAMS_PARAM_PFVF_TLS_START = 0x34, + FW_PARAMS_PARAM_PFVF_TLS_END = 0x35, + FW_PARAMS_PARAM_PFVF_RAWF_START = 0x36, + FW_PARAMS_PARAM_PFVF_RAWF_END = 0x37, }; /* @@ -3947,11 +4301,14 @@ enum fw_params_param_dmaq { FW_PARAMS_PARAM_DMAQ_IQ_DCAEN_DCACPU = 0x00, FW_PARAMS_PARAM_DMAQ_IQ_INTCNTTHRESH = 0x01, FW_PARAMS_PARAM_DMAQ_IQ_INTIDX = 0x02, + FW_PARAMS_PARAM_DMAQ_IQ_DCA = 0x03, FW_PARAMS_PARAM_DMAQ_EQ_CMPLIQID_MNGT = 0x10, FW_PARAMS_PARAM_DMAQ_EQ_CMPLIQID_CTRL = 0x11, FW_PARAMS_PARAM_DMAQ_EQ_SCHEDCLASS_ETH = 0x12, FW_PARAMS_PARAM_DMAQ_EQ_DCBPRIO_ETH = 0x13, - FW_PARAMS_PARAM_DMAQ_CONM_CTXT = 0x20, + FW_PARAMS_PARAM_DMAQ_EQ_DCA = 0x14, + FW_PARAMS_PARAM_DMAQ_CONM_CTXT = 0x20, + FW_PARAMS_PARAM_DMAQ_FLM_DCA = 0x30 }; /* @@ -4003,6 +4360,29 @@ enum fw_params_param_chnet_flags { #define G_FW_PARAMS_PARAM_YZ(x) \ (((x) >> S_FW_PARAMS_PARAM_YZ) & M_FW_PARAMS_PARAM_YZ) +#define S_FW_PARAMS_PARAM_DMAQ_DCA_TPHINTEN 31 +#define M_FW_PARAMS_PARAM_DMAQ_DCA_TPHINTEN 0x1 +#define V_FW_PARAMS_PARAM_DMAQ_DCA_TPHINTEN(x) \ + ((x) << S_FW_PARAMS_PARAM_DMAQ_DCA_TPHINTEN) +#define G_FW_PARAMS_PARAM_DMAQ_DCA_TPHINTEN(x) \ + (((x) >> S_FW_PARAMS_PARAM_DMAQ_DCA_TPHINTEN) & \ + M_FW_PARAMS_PARAM_DMAQ_DCA_TPHINTEN) + +#define S_FW_PARAMS_PARAM_DMAQ_DCA_TPHINT 24 +#define M_FW_PARAMS_PARAM_DMAQ_DCA_TPHINT 0x3 +#define V_FW_PARAMS_PARAM_DMAQ_DCA_TPHINT(x) \ + ((x) << S_FW_PARAMS_PARAM_DMAQ_DCA_TPHINT) +#define G_FW_PARAMS_PARAM_DMAQ_DCA_TPHINT(x) \ + (((x) >> S_FW_PARAMS_PARAM_DMAQ_DCA_TPHINT) & \ + M_FW_PARAMS_PARAM_DMAQ_DCA_TPHINT) + +#define S_FW_PARAMS_PARAM_DMAQ_DCA_ST 0 +#define M_FW_PARAMS_PARAM_DMAQ_DCA_ST 0x7ff +#define V_FW_PARAMS_PARAM_DMAQ_DCA_ST(x) \ + ((x) << S_FW_PARAMS_PARAM_DMAQ_DCA_ST) +#define G_FW_PARAMS_PARAM_DMAQ_DCA_ST(x) \ + (((x) >> S_FW_PARAMS_PARAM_DMAQ_DCA_ST) & M_FW_PARAMS_PARAM_DMAQ_DCA_ST) + struct fw_params_cmd { __be32 op_to_vfn; __be32 retval_len16; @@ -5546,6 +5926,12 @@ enum fw_vi_mac_result { FW_VI_MAC_R_F_ACL_CHECK }; +enum fw_vi_mac_entry_types { + FW_VI_MAC_TYPE_EXACTMAC, + FW_VI_MAC_TYPE_HASHVEC, + FW_VI_MAC_TYPE_RAW, +}; + struct fw_vi_mac_cmd { __be32 op_to_viid; __be32 freemacs_to_len16; @@ -5557,6 +5943,13 @@ struct fw_vi_mac_cmd { struct fw_vi_mac_hash { __be64 hashvec; } hash; + struct fw_vi_mac_raw { + __be32 raw_idx_pkd; + __be32 data0_pkd; + __be32 data1[2]; + __be64 data0m_pkd; + __be32 data1m[2]; + } raw; } u; }; @@ -5573,12 +5966,11 @@ struct fw_vi_mac_cmd { (((x) >> S_FW_VI_MAC_CMD_FREEMACS) & M_FW_VI_MAC_CMD_FREEMACS) #define F_FW_VI_MAC_CMD_FREEMACS V_FW_VI_MAC_CMD_FREEMACS(1U) -#define S_FW_VI_MAC_CMD_HASHVECEN 23 -#define M_FW_VI_MAC_CMD_HASHVECEN 0x1 -#define V_FW_VI_MAC_CMD_HASHVECEN(x) ((x) << S_FW_VI_MAC_CMD_HASHVECEN) -#define G_FW_VI_MAC_CMD_HASHVECEN(x) \ - (((x) >> S_FW_VI_MAC_CMD_HASHVECEN) & M_FW_VI_MAC_CMD_HASHVECEN) -#define F_FW_VI_MAC_CMD_HASHVECEN V_FW_VI_MAC_CMD_HASHVECEN(1U) +#define S_FW_VI_MAC_CMD_ENTRY_TYPE 23 +#define M_FW_VI_MAC_CMD_ENTRY_TYPE 0x7 +#define V_FW_VI_MAC_CMD_ENTRY_TYPE(x) ((x) << S_FW_VI_MAC_CMD_ENTRY_TYPE) +#define G_FW_VI_MAC_CMD_ENTRY_TYPE(x) \ + (((x) >> S_FW_VI_MAC_CMD_ENTRY_TYPE) & M_FW_VI_MAC_CMD_ENTRY_TYPE) #define S_FW_VI_MAC_CMD_HASHUNIEN 22 #define M_FW_VI_MAC_CMD_HASHUNIEN 0x1 @@ -5612,6 +6004,18 @@ struct fw_vi_mac_cmd { #define G_FW_VI_MAC_CMD_IDX(x) \ (((x) >> S_FW_VI_MAC_CMD_IDX) & M_FW_VI_MAC_CMD_IDX) +#define S_FW_VI_MAC_CMD_RAW_IDX 16 +#define M_FW_VI_MAC_CMD_RAW_IDX 0xffff +#define V_FW_VI_MAC_CMD_RAW_IDX(x) ((x) << S_FW_VI_MAC_CMD_RAW_IDX) +#define G_FW_VI_MAC_CMD_RAW_IDX(x) \ + (((x) >> S_FW_VI_MAC_CMD_RAW_IDX) & M_FW_VI_MAC_CMD_RAW_IDX) + +#define S_FW_VI_MAC_CMD_DATA0 0 +#define M_FW_VI_MAC_CMD_DATA0 0xffff +#define V_FW_VI_MAC_CMD_DATA0(x) ((x) << S_FW_VI_MAC_CMD_DATA0) +#define G_FW_VI_MAC_CMD_DATA0(x) \ + (((x) >> S_FW_VI_MAC_CMD_DATA0) & M_FW_VI_MAC_CMD_DATA0) + /* T4 max MTU supported */ #define T4_MAX_MTU_SUPPORTED 9600 #define FW_RXMODE_MTU_NO_CHG 65535 @@ -6827,9 +7231,9 @@ struct fw_ptp_cmd { } init; struct fw_ptp_ts { __u8 sc; - __u8 r3; - __be16 ppb; - __be32 r4; + __u8 sign; + __be16 r3; + __be32 ppb; __be64 tm; } ts; } u; @@ -7369,7 +7773,8 @@ enum fw_devlog_facility { FW_DEVLOG_FACILITY_FOISCSI = 0x30, FW_DEVLOG_FACILITY_FOFCOE = 0x32, FW_DEVLOG_FACILITY_CHNET = 0x34, - FW_DEVLOG_FACILITY_MAX = 0x34 + FW_DEVLOG_FACILITY_COiSCSI = 0x36, + FW_DEVLOG_FACILITY_MAX = 0x38, }; /* @@ -7882,6 +8287,180 @@ enum fw_error_type { FW_ERROR_TYPE_ACL = 0x3, }; +enum fw_dcb_ieee_locations { + FW_IEEE_LOC_LOCAL, + FW_IEEE_LOC_PEER, + FW_IEEE_LOC_OPERATIONAL, +}; + +struct fw_dcb_ieee_cmd { + __be32 op_to_location; + __be32 changed_to_len16; + union fw_dcbx_stats { + struct fw_dcbx_pfc_stats_ieee { + __be32 pfc_mbc_pkd; + __be32 pfc_willing_to_pfc_en; + } dcbx_pfc_stats; + struct fw_dcbx_ets_stats_ieee { + __be32 cbs_to_ets_max_tc; + __be32 pg_table; + __u8 pg_percent[8]; + __u8 tsa[8]; + } dcbx_ets_stats; + struct fw_dcbx_app_stats_ieee { + __be32 num_apps_pkd; + __be32 r6; + __be32 app[4]; + } dcbx_app_stats; + struct fw_dcbx_control { + __be32 multi_peer_invalidated; + __be32 r5_lo; + } dcbx_control; + } u; +}; + +#define S_FW_DCB_IEEE_CMD_PORT 8 +#define M_FW_DCB_IEEE_CMD_PORT 0x7 +#define V_FW_DCB_IEEE_CMD_PORT(x) ((x) << S_FW_DCB_IEEE_CMD_PORT) +#define G_FW_DCB_IEEE_CMD_PORT(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_PORT) & M_FW_DCB_IEEE_CMD_PORT) + +#define S_FW_DCB_IEEE_CMD_FEATURE 2 +#define M_FW_DCB_IEEE_CMD_FEATURE 0x7 +#define V_FW_DCB_IEEE_CMD_FEATURE(x) ((x) << S_FW_DCB_IEEE_CMD_FEATURE) +#define G_FW_DCB_IEEE_CMD_FEATURE(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_FEATURE) & M_FW_DCB_IEEE_CMD_FEATURE) + +#define S_FW_DCB_IEEE_CMD_LOCATION 0 +#define M_FW_DCB_IEEE_CMD_LOCATION 0x3 +#define V_FW_DCB_IEEE_CMD_LOCATION(x) ((x) << S_FW_DCB_IEEE_CMD_LOCATION) +#define G_FW_DCB_IEEE_CMD_LOCATION(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_LOCATION) & M_FW_DCB_IEEE_CMD_LOCATION) + +#define S_FW_DCB_IEEE_CMD_CHANGED 20 +#define M_FW_DCB_IEEE_CMD_CHANGED 0x1 +#define V_FW_DCB_IEEE_CMD_CHANGED(x) ((x) << S_FW_DCB_IEEE_CMD_CHANGED) +#define G_FW_DCB_IEEE_CMD_CHANGED(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_CHANGED) & M_FW_DCB_IEEE_CMD_CHANGED) +#define F_FW_DCB_IEEE_CMD_CHANGED V_FW_DCB_IEEE_CMD_CHANGED(1U) + +#define S_FW_DCB_IEEE_CMD_RECEIVED 19 +#define M_FW_DCB_IEEE_CMD_RECEIVED 0x1 +#define V_FW_DCB_IEEE_CMD_RECEIVED(x) ((x) << S_FW_DCB_IEEE_CMD_RECEIVED) +#define G_FW_DCB_IEEE_CMD_RECEIVED(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_RECEIVED) & M_FW_DCB_IEEE_CMD_RECEIVED) +#define F_FW_DCB_IEEE_CMD_RECEIVED V_FW_DCB_IEEE_CMD_RECEIVED(1U) + +#define S_FW_DCB_IEEE_CMD_APPLY 18 +#define M_FW_DCB_IEEE_CMD_APPLY 0x1 +#define V_FW_DCB_IEEE_CMD_APPLY(x) ((x) << S_FW_DCB_IEEE_CMD_APPLY) +#define G_FW_DCB_IEEE_CMD_APPLY(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_APPLY) & M_FW_DCB_IEEE_CMD_APPLY) +#define F_FW_DCB_IEEE_CMD_APPLY V_FW_DCB_IEEE_CMD_APPLY(1U) + +#define S_FW_DCB_IEEE_CMD_DISABLED 17 +#define M_FW_DCB_IEEE_CMD_DISABLED 0x1 +#define V_FW_DCB_IEEE_CMD_DISABLED(x) ((x) << S_FW_DCB_IEEE_CMD_DISABLED) +#define G_FW_DCB_IEEE_CMD_DISABLED(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_DISABLED) & M_FW_DCB_IEEE_CMD_DISABLED) +#define F_FW_DCB_IEEE_CMD_DISABLED V_FW_DCB_IEEE_CMD_DISABLED(1U) + +#define S_FW_DCB_IEEE_CMD_MORE 16 +#define M_FW_DCB_IEEE_CMD_MORE 0x1 +#define V_FW_DCB_IEEE_CMD_MORE(x) ((x) << S_FW_DCB_IEEE_CMD_MORE) +#define G_FW_DCB_IEEE_CMD_MORE(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_MORE) & M_FW_DCB_IEEE_CMD_MORE) +#define F_FW_DCB_IEEE_CMD_MORE V_FW_DCB_IEEE_CMD_MORE(1U) + +#define S_FW_DCB_IEEE_CMD_PFC_MBC 0 +#define M_FW_DCB_IEEE_CMD_PFC_MBC 0x1 +#define V_FW_DCB_IEEE_CMD_PFC_MBC(x) ((x) << S_FW_DCB_IEEE_CMD_PFC_MBC) +#define G_FW_DCB_IEEE_CMD_PFC_MBC(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_PFC_MBC) & M_FW_DCB_IEEE_CMD_PFC_MBC) +#define F_FW_DCB_IEEE_CMD_PFC_MBC V_FW_DCB_IEEE_CMD_PFC_MBC(1U) + +#define S_FW_DCB_IEEE_CMD_PFC_WILLING 16 +#define M_FW_DCB_IEEE_CMD_PFC_WILLING 0x1 +#define V_FW_DCB_IEEE_CMD_PFC_WILLING(x) \ + ((x) << S_FW_DCB_IEEE_CMD_PFC_WILLING) +#define G_FW_DCB_IEEE_CMD_PFC_WILLING(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_PFC_WILLING) & M_FW_DCB_IEEE_CMD_PFC_WILLING) +#define F_FW_DCB_IEEE_CMD_PFC_WILLING V_FW_DCB_IEEE_CMD_PFC_WILLING(1U) + +#define S_FW_DCB_IEEE_CMD_PFC_MAX_TC 8 +#define M_FW_DCB_IEEE_CMD_PFC_MAX_TC 0xff +#define V_FW_DCB_IEEE_CMD_PFC_MAX_TC(x) ((x) << S_FW_DCB_IEEE_CMD_PFC_MAX_TC) +#define G_FW_DCB_IEEE_CMD_PFC_MAX_TC(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_PFC_MAX_TC) & M_FW_DCB_IEEE_CMD_PFC_MAX_TC) + +#define S_FW_DCB_IEEE_CMD_PFC_EN 0 +#define M_FW_DCB_IEEE_CMD_PFC_EN 0xff +#define V_FW_DCB_IEEE_CMD_PFC_EN(x) ((x) << S_FW_DCB_IEEE_CMD_PFC_EN) +#define G_FW_DCB_IEEE_CMD_PFC_EN(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_PFC_EN) & M_FW_DCB_IEEE_CMD_PFC_EN) + +#define S_FW_DCB_IEEE_CMD_CBS 16 +#define M_FW_DCB_IEEE_CMD_CBS 0x1 +#define V_FW_DCB_IEEE_CMD_CBS(x) ((x) << S_FW_DCB_IEEE_CMD_CBS) +#define G_FW_DCB_IEEE_CMD_CBS(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_CBS) & M_FW_DCB_IEEE_CMD_CBS) +#define F_FW_DCB_IEEE_CMD_CBS V_FW_DCB_IEEE_CMD_CBS(1U) + +#define S_FW_DCB_IEEE_CMD_ETS_WILLING 8 +#define M_FW_DCB_IEEE_CMD_ETS_WILLING 0x1 +#define V_FW_DCB_IEEE_CMD_ETS_WILLING(x) \ + ((x) << S_FW_DCB_IEEE_CMD_ETS_WILLING) +#define G_FW_DCB_IEEE_CMD_ETS_WILLING(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_ETS_WILLING) & M_FW_DCB_IEEE_CMD_ETS_WILLING) +#define F_FW_DCB_IEEE_CMD_ETS_WILLING V_FW_DCB_IEEE_CMD_ETS_WILLING(1U) + +#define S_FW_DCB_IEEE_CMD_ETS_MAX_TC 0 +#define M_FW_DCB_IEEE_CMD_ETS_MAX_TC 0xff +#define V_FW_DCB_IEEE_CMD_ETS_MAX_TC(x) ((x) << S_FW_DCB_IEEE_CMD_ETS_MAX_TC) +#define G_FW_DCB_IEEE_CMD_ETS_MAX_TC(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_ETS_MAX_TC) & M_FW_DCB_IEEE_CMD_ETS_MAX_TC) + +#define S_FW_DCB_IEEE_CMD_NUM_APPS 0 +#define M_FW_DCB_IEEE_CMD_NUM_APPS 0x7 +#define V_FW_DCB_IEEE_CMD_NUM_APPS(x) ((x) << S_FW_DCB_IEEE_CMD_NUM_APPS) +#define G_FW_DCB_IEEE_CMD_NUM_APPS(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_NUM_APPS) & M_FW_DCB_IEEE_CMD_NUM_APPS) + +#define S_FW_DCB_IEEE_CMD_MULTI_PEER 31 +#define M_FW_DCB_IEEE_CMD_MULTI_PEER 0x1 +#define V_FW_DCB_IEEE_CMD_MULTI_PEER(x) ((x) << S_FW_DCB_IEEE_CMD_MULTI_PEER) +#define G_FW_DCB_IEEE_CMD_MULTI_PEER(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_MULTI_PEER) & M_FW_DCB_IEEE_CMD_MULTI_PEER) +#define F_FW_DCB_IEEE_CMD_MULTI_PEER V_FW_DCB_IEEE_CMD_MULTI_PEER(1U) + +#define S_FW_DCB_IEEE_CMD_INVALIDATED 30 +#define M_FW_DCB_IEEE_CMD_INVALIDATED 0x1 +#define V_FW_DCB_IEEE_CMD_INVALIDATED(x) \ + ((x) << S_FW_DCB_IEEE_CMD_INVALIDATED) +#define G_FW_DCB_IEEE_CMD_INVALIDATED(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_INVALIDATED) & M_FW_DCB_IEEE_CMD_INVALIDATED) +#define F_FW_DCB_IEEE_CMD_INVALIDATED V_FW_DCB_IEEE_CMD_INVALIDATED(1U) + +/* Hand-written */ +#define S_FW_DCB_IEEE_CMD_APP_PROTOCOL 16 +#define M_FW_DCB_IEEE_CMD_APP_PROTOCOL 0xffff +#define V_FW_DCB_IEEE_CMD_APP_PROTOCOL(x) ((x) << S_FW_DCB_IEEE_CMD_APP_PROTOCOL) +#define G_FW_DCB_IEEE_CMD_APP_PROTOCOL(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_APP_PROTOCOL) & M_FW_DCB_IEEE_CMD_APP_PROTOCOL) + +#define S_FW_DCB_IEEE_CMD_APP_SELECT 3 +#define M_FW_DCB_IEEE_CMD_APP_SELECT 0x7 +#define V_FW_DCB_IEEE_CMD_APP_SELECT(x) ((x) << S_FW_DCB_IEEE_CMD_APP_SELECT) +#define G_FW_DCB_IEEE_CMD_APP_SELECT(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_APP_SELECT) & M_FW_DCB_IEEE_CMD_APP_SELECT) + +#define S_FW_DCB_IEEE_CMD_APP_PRIORITY 0 +#define M_FW_DCB_IEEE_CMD_APP_PRIORITY 0x7 +#define V_FW_DCB_IEEE_CMD_APP_PRIORITY(x) ((x) << S_FW_DCB_IEEE_CMD_APP_PRIORITY) +#define G_FW_DCB_IEEE_CMD_APP_PRIORITY(x) \ + (((x) >> S_FW_DCB_IEEE_CMD_APP_PRIORITY) & M_FW_DCB_IEEE_CMD_APP_PRIORITY) + + struct fw_error_cmd { __be32 op_to_type; __be32 len16_pkd; @@ -8197,13 +8776,13 @@ enum fw_hdr_chip { enum { T4FW_VERSION_MAJOR = 0x01, - T4FW_VERSION_MINOR = 0x0e, - T4FW_VERSION_MICRO = 0x04, + T4FW_VERSION_MINOR = 0x05, + T4FW_VERSION_MICRO = 0x25, T4FW_VERSION_BUILD = 0x00, T5FW_VERSION_MAJOR = 0x01, - T5FW_VERSION_MINOR = 0x0e, - T5FW_VERSION_MICRO = 0x04, + T5FW_VERSION_MINOR = 0x05, + T5FW_VERSION_MICRO = 0x25, T5FW_VERSION_BUILD = 0x00, }; @@ -8251,4 +8830,24 @@ enum fw_hdr_flags { FW_HDR_FLAGS_RESET_HALT = 0x00000001, }; +/* + * External PHY firmware binary header format + */ +struct fw_ephy_hdr { + __u8 ver; + __u8 reserved; + __be16 len512; /* bin length in units of 512-bytes */ + __be32 magic; + + __be16 vendor_id; + __be16 device_id; + __be32 version; + + __be32 reserved1[4]; +}; + +enum { + FW_EPHY_HDR_MAGIC = 0x65706879, +}; + #endif /* _T4FW_INTERFACE_H_ */ diff --git a/sys/dev/cxgbe/firmware/t5fw-1.14.4.0.bin.uu b/sys/dev/cxgbe/firmware/t5fw-1.14.4.0.bin.uu deleted file mode 100644 index dc35d5aa8..000000000 --- a/sys/dev/cxgbe/firmware/t5fw-1.14.4.0.bin.uu +++ /dev/null @@ -1,10447 +0,0 @@ -/*- - * Copyright (c) 2015 Chelsio Communications, Inc. - * All rights reserved. - * - * Redistribution and use in source and binary forms, with or without - * modification, are permitted provided that the following conditions - * are met: - * 1. Redistributions of source code must retain the above copyright - * notice, this list of conditions and the following disclaimer. - * 2. Redistributions in binary form must reproduce the above copyright - * notice, this list of conditions and the following disclaimer in the - * documentation and/or other materials provided with the distribution. - * - * THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND - * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE - * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE - * ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE - * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL - * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS - * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) - * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT - * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY - * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF - * SUCH DAMAGE. - */ -begin-base64 644 t5fw -AAEEiAEOBAAAAQQIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAABGoEeQSBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAENoZWxzaW8gRlcgUlVOTUVNIERFQlVHPTAgKEJ1aWx0IFRodSBKdWwgMjMgMDA6 -NDM6NDUgUERUIDIwMTUgb24gY2xlb3BhdHJhLmFzaWNkZXNpZ25lcnMuY29tOi9ob21lL2Zpcm13 -YXJlL2N2cy9mdy1yZWxlYXNlKSwgVmVyc2lvbiBUNXh4IDAxLjBlLjA0LjAwAAAAAAAAAEXtwlZg -AMgAH/zhSOEAe/AAEAAA4QAwuHj///8f/OFAgAAAAeEAe3AAABAAH//87CAAAADhAZwE4QUAAAAC -AEDhBQgAAAYAQAACAAwABgAM4QUABAAMAACAAAEC4QB7POEAe0ThAHvk4gAAAAABAADhAHuQIAAA -AAAAgADhAHsAAABAAeEAe5wAAEAAREREQuAAAADjAARzREREQOMACAAgAAJcAAAAAB//k2AAAAAA -H/+TZAAAAAAf/5NoAAAAAB//k2wf/8AAAAAAAAAAAADAABH/zSIKh5IQghAS/8wT/8yTIBL/zBP/ -zIQgBDMBkyAR/8oS/8uSEBH/yhL/y5IQEf/KAfQxAOQxAAUxAQIAEv/IAucxAhYAEf/GgRABAV/A -IQIRAckTEf/EEv/EkhAR/8QS/8SSEGAAEQAAEf++Ev/CkhAR/74S/8GSEIEQEf/AwCCSERL/v5IS -wCCSExL/vpIQghAC8lBlL/cR/7zHL5IQEf+7khAS/7sT/7uTIMAykyET/7qTIoIiEv+5E/+5kyAj -IiEU/7gEMwHJOBP/t4MwA4MUCDMRFP+1pDOTIRP/qZMiYAAIwjCTIRP/ppMiEv+wkCCQIZAikCOQ -JJAlkCaQJ5AokCmQKpArkCyQLZAukC8gJhAgJhGCIhL/o8AwLTcwLTc0LTc4LTc8Iz0BcjPtAAIA -Ev+gIwoALzcALzcQLzcgLzcwIz0BcjPtAAIAEv+VwDAoNzAoNzQoNzgoNzwjPQFyM+0S/5TAMCc3 -ACc3ECc3ICc3MCM9AXIz7RL/jxX/jxb/j8Aw1yAFZgFgABQAAAQ2BQACANMP0w8FMwxuOxQHRxQH -BEN2MeYENgUFMwxvO+0AAgAS/4MV/4EjCgACJwIHBEMEPgUFMwwHRxRvO/ADAgAS/33JLoMghCGF -IrwidDsOhlC0VZYwtDN0M/Rj/+YAZT/iZV/fEv9xwDIDLgUDAgAS/2jAMCg3QCg3RCg3SCg3TCM9 -AXIz7QACABL/ay0nAMARAUkxAEgxAQIAwAAU/2gE0jEV/2eUUBT/ZwTTMRX/ZpRQFP9mBNQxFf9m -lFAU/2UE1TEV/2WUUBD/ZQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAf/AAA -H/wAAOMACfgf/AAAH/wAAOMACfgf/AAAH/wAAOMACfgf/4AAH/+JoOMACfgf/4mgH/+JoOMAE5gf -/4mgH/+JoOMAE5gf/4mgH/+LeOMAE5gf/4t4H/+TXOMAFXAf/5NgH/+0rOMAHVgf/7SsH/+0rOMA -PqQf/8AAH//92eMAPqQgAAAAIAABauMAfIAgAAF4IAABfOMAfewgAAF8IAABheMAffAgAAGYIAAB -nOMAffwgAAGcIAABpeMAfgAgAAG4IAABvOMAfgwgAAG8IAABxeMAfhAgAAHYIAAB2OMAfhwgAAHc -IAAB4uMAfhwgAAH4IAAB+OMAfiQgAAH8IAAB/OMAfiQgAAIYIAACGOMAfiQgAAIcIAACHOMAfiQg -AAI4IAACOOMAfiQgAAI8IAACPOMAfiQgAAJYIAACWOMAfiQgAAJcIAACYuMAfiQgAAJ4IAACeOMA -fiwgAAJ8IAACguMAfiwgAAKYIAIB8uMAfjQgAwAAIAMXCOMCfZAgAxcIIAMXCOMClJggAxcIIAdS -fOMClJggB1KAIAdYMOMG0BAgCAAAIAgWEOMG1cAgCBYQIAk/cuMG69AgCT+AIAlBAOMIFUAgCwAA -IAsAAOMIFsAgCwAAIAsAAOMIFsAgCwAAIAu9L+MIFsAAAAAAAAAAAAAAAAAgADeuIAA3oCAAO5Ig -ADegIAA7DSAAN6AgADhVIAA6pSAAOiogADegIAA51SAAOYwgADkhIAA3jSAAOMwgADegIAA3oCAA -N6AgADh0AAAAAAEQGAEABAAAAAAAAAAAAAD///////8P/P//8P///wD8IADH2yAAyRcgAMlIIADJ -DyAAyNUgAMjOIADIlyAAyI8gAMiHIADIOiAAyUYgAMgyIADIDiAAyUggAMgHAAAAAAAAAAoAAAAK -AAAAFAAAAAoAAAAKAAAACgAAAAoAAAAKAAAACgAAAAAAAAAAAAAAAAABAAEAAQABAAEAAQABAAEA -AQACAAMABAAFAAYABwAIAAkACgAOABEAFQAZAB4AIwAtADwAUABkAMgBLAGQAfQAAAAAAAAAAAAA -AAAAAAAAAAAAAQABAAIAAgADAAMAAwADAAQABAAEAAQABAAFAAUABQAFAAUABQAGAAYABwAHAAAA -AgAAAAYAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKA -AAADgAAABQEAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAA -AcAAAAKAAAADgAD/AAECAgAAAAAAAAAAAAAAIAijUyAIo6AgCKLzIAiiviAIo6AgCKHfIAih3yAI -o6AgCKOgIAih3yAIo6AgCKOgIAih3CAIod8gCKGNIAijoCAIo6AgCKOgIAijoCAIo6AgCKOgIAij -oCAIo6AgCKOgIAijoCAIo6AgCKOgIAijoCAIo6AgCKOgIAijoCAIobcgAwtYAAAAASADD9gAAAD/ -IAMJEAAAAP8AAAAAAAAAACADC0QAAAACIAMLSAAAAAMgAwtQAAAABwAAAAAAAAAAIAMLKAAAAAEg -AwssAAAAAiADCzQAAAAEIAMP2AAAAP8gAwkQAAAA/wAAAAAAAAAAIAMJEAAAAAAgAw/YAAAAACAD -CkAAAAABIAMKSAAAAAQgAwpQAAAACCADClwAAAAgIAMKbAAAAEAgAwp0AAAAgCADCnwAAAEAIAMK -hAAAAgAgAwqYAAAEACADCqwAAAgAIAMKxAAAEAAgAwrYAAAgACADCugAAEAAIAMK9AAAgAAgAwsI -AAEAACADCxgAAgAACAQCAAAAAAAAAAAAAAAAACADCiwAAAAQIAMKNAAAABEgAwoUAAAAACADChgA -AAABIAMKHAAAAAIgAwokAAAAAwAAAAAAAP//AAAAAAAA//8gAwmUAAABACADCaAAAACAIAMJsAAA -AEAgAwnAAAAAICADCdAAAAAQIAMJ4AAAAAggAwnsAAAABCADCfgAAAACIAMKBAAAAAEAAAAAAAAA -ACAJJxAgCSbKIAknBiAJJwYgCSbKIAkmyiAJJxAgCScQIAkmyiAJJxAgCSbKIAknECAJJwYgCSbK -IAkmyiAJJsogCSbKIAkmyiAJJxAgCSbKIAkmyiAJJsogCSbKIAkmyiAJJxAgCScQIAknECAJJxAg -CScQIAknECAJJxAgCScQIAkmyiAJJsogCSbKIAkmyiAJJsogCSbKIAkmyiAJJsogCSbKIAkmyiAJ -JsogCSbKIAkmyiAJJsogCSbKIAkmygACAgUFCAgLCw4OEREUFBcXGhodHSAgIyMmJikpLCwvLzIy -NTU4ODs7AAAAAAAAAAEDEREICBAJAwEAAAAAAAAgBO9oIAG/JCAAXUAgAZ2UIAG77CABt4AgAX8c -IAQBRB//6aAf/+YgIADKAB//2PwgAIiEIAB7IAAAAAAAAAAAIAGfMCAApyAAAAAAAAAAAB//0rQf -/8SIH//CHB//wDAgAHcoIABv4CAAbmQgAL+4H//g5CAHHQgAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAIAHF/CABr8ggANI8IADRYB//73gf/82IH//KMCAApPAgBWDQIAFA -6CABIhwgAQswIAD/ACAA8MAgAObwIADUWCAE8MAgBDOAIAE2KCAEV0wgAe9cIACIQAAAAAAgANKc -IAXVaCAAx0AgAaZMIAASICAAudggAA1YIANalB//8qggANJYIAQ2GAAAAAAAAAAAIAN99CAATgAg -AEMwIABM8AAAAAAgAFigIABWbCAAU3AAAAAAIABdACABOdgAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAgAFqUIATvCCAATgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIABc -QCADlFQgAFtIAAAAAAAAAAAAAAAAAAAAAAAAAAQAAAAEAAAACAsAAAAgAxRICAAAACADFFQIAAAA -IAMUYAoAAAAgAxRsDAAAACADFHgSAAAAIAMUiA0AAAAgAxScDgAAACADFKwTAAAAIAMUvAoAAAAg -AxTQDgAAACADFNwYAAAAIAMU7A0AAAAgAxUIDgAAACADFRgQAAAAIAMVKBIAAAAgAxU8DgAAACAD -FVAQAAAAIAMVYBEAAAAgAxV0CgAAACADFYgLAAAAIAMVlA0AAAAgAxWgFAAAACADFbAKAAAAIAMV -yA8AAAAgAxXUBgAAACADFeQGAAAAIAMV7AYAAAAgAxX0BgAAACADFfwGAAAAIAMWBAkAAAAgAxYM -BgAAACADFhgEAAAAIAMWIAYAAAAgAxYoCwAAACADFjALAAAAIAMWPAQAAAAgAxYgBAAAACADFkgJ -AAAAIAMWUAkAAAAgAxZcAAAAAAAAAAANAAAAIAMWaAoAAAAgAxZ4BgAAACADFoQCAAAAIAMWjAMA -AAAgAw+sAQAAACADFpAAAAAAAAAAANdqpHjox7dWJCBw28G9zu71fA+vR4fGKqgwRhP9RpUBaYCY -2ItE96///1uxiVzXvmuQESL9mHGTpnlDjkm0CCH2HiViwECzQCZeWlHptseq1i8QXQJEFFPYoeaB -59P7yCHhzebDNwfW9NUNh0VaFO2p4+kF/O+j+GdvAtmNKkyK//o5Qodx9oFtnWEi/eU4DKS+6kRL -3s+p9rtLYL6/vHAom37G6qEn+tTvMIUEiB0F2dTQOebbmeUfonz4xKxWZfQpIkRDKv+Xq5Qjp/yT -oDllW1nDjwzMkv/v9H2FhF3Rb6h+T/4s5uCjAUMUTggRofdTfoK9OvI1KtfSu+uG05EHDBEWBwwR -FgcMERYHDBEWBQkOFAUJDhQFCQ4UBQkOFAQLEBcECxAXBAsQFwQLEBcGCg8VBgoPFQYKDxUGCg8V -H//AAAAEACAgB1gwIAdb8B/84gAf/6yEH/+s9B//sEADgAAAgQAAAB//sDAA//gAAQAAAAAQAACB -BAEAgQQAAAEEAAABBAEAAAf//4AAAAAqAAAAH/+E0AYAAAAf/80QIARv7AIAAACAEAAAgAAABUFA -AABBQAEAgwAAAR//mPwEAAAIIAMNvAwAAACBgAAA//+//7////8f/5Ow//8AAP//AP/wAAAA/3// -/x/84uQAQAAAH/+o5AABAAAAAP//H/+xMB//lGAP///////QFB//Zswf/ODoIAdWDB//ZyQf/N4A -H/9mgP//wNAf/62kH/+fFAAACGjg//4A4QGSAB//mZAA////H/+dbB//rbQEQQAIBAEACMAAAADA -BAAApQAAADAAAAAf/5vw4QP+AOEEbgAAAIWEAACFgCALc1AgC3QQIAtzkCALc9Af/64wAAAcYAAA -/4AgB1iAIAdT+CALdFDhAC4AH/+uJB//qUQf/68AH/+qcAAAFsAf/63w4AAAoOEAMLgAAIAA4QBg -EAAAQADhAwgA4QNIAOEDiADhA8gA4QAQCB/84UDhAHtwH/+0bB//tGQf/OAIH/+0aB//tIQf/7R8 -H/+0gB//tJwf/7SUH/+0mB/84gAf/6yEH/+qWB//nWwgAdd8H/+u/AAA/4AAAB1AH/+TsB//sECB -gAAABAAACIKAAACBAAAAIAMNsAwAAAAf/5mMH/+ZfB//nwz//7//v////wQBAAjDAAAAH/+xMB// -rPQf/7AwIAsDYAAPQkAgAwuQIAt9QCADC3AgAB8YIAsC0CAAFHAgCwOQIAsCoCALAwAgCwMwIANM -wCADC6AQAAAAAoAAAO4AAAAgC31wAAALAAAAYAAAYAAAYAAAAAAAht0gCwPAIAAmTCoAAAAgAESI -IAsEMCADVtggCwRgIAsEoCALBNAgABkkIAMLsCALBVADYAAAIAt9kCALBdAf/68IH/+vBB//r2gF -AAAAg/8AAAAQAAAgAC4UIAMNCB//iOAf/4TQZ0UjAe/Nq4mYutz+EDJUdh//gAAAAD8oIAMPrM// -//8gCwlAP////wIAAABAAAAA//9//yALCmAgAEcAIAsLAAgAAAAA////IAsLcCALCpD3////IAsN -4P/+//8gCxsAgAAAAAAAQAAgAw20AAD//wAAgAAgCxvQIAscUCALHJAgCxzgIAscIA0AAAAgAEuQ -//v//w/2gAAAA///AAAn/yALH6AgCx/QAAEAAAAEAAAf/62wIABYoCAAWlAgAFZsIAsgYCALIQAg -AFNwIAshUCALIeDgAAAAH/+tnFMAAABSAAAAUQAAACAB+iQf/6p8IAskgCALJOAgCySwIAsnYB// -rbQgCyewH/+ddB//rWQgCylQFAAAAIAAAAJ4AAAAgAAABoAAAAUAAAoAgACwAADjMJL///AAgACx -AOEBmgAAAgAAIAspEB//mwQAAH5AAP/AAAEAAAAoAAAAJgAAACALKcAf/6/kH/+qECALK4Af/7EI -OwAACA4AAADAAAAA0AAAAB//gFAAAB9aAACJFB/84uQAAAhQBYAAAAYAAAAf/6nUKwAAACAAcnAf -/N4AH/+rZDUAAAADgAAAA0AAAAf///8AP///gEAAAAgP//8f////IAAAAAAAwAAf/62APQAAAB// -rCQHAAAAgQQBAIEEAAAf/6vEAAA6mAAAD/8AQwAAAAAIAAQAAAAgC4twH/+xUB//s+Af/5j84QB6 -ACBgAAAf/6wEH/+dfB//nXAgC4ugAAMHgCALjBAf/5tUACAAAABAAAAAAAkAAAAwAP/8+H+j/7sA -o/+6AOADAACD/7YAD////w//+AD/AAAAD//+ASALjFAgCyxwIAssoCALjOAADwAAAAoAAP//AA8f -/62IA//AAIP/wAAgC41gIAuN0B//rmAf/7HAH/+xoP9g8AAf/4BgH/+TcASAAAgARAAA/x///wDA -AAABgMIAAACBAP+//////wAAAIAAAAAACWwf/OIMDwAAACALLOAf/638AAAIbB//rvQf/59oH/+Z -eB//gHAgB1RQAAAnEB//2DAgC5RQH/+uVB//nWTerb7vIAMIwDQAAAA/AAAAH/+uyACZAAAAAIkG -EAAHAgHAgACZAAAAH/+xxACIAAiCgAABH/+xWB//r1AADwP/AxEAAAMVAAAgCzEAIAsxYCALMbAg -CzIQIAsxMCAA+aAgCzOwIAsz4CALNDAgCzSQIAD/SCkAAAAgAQXoIAuUoCALlQAgC5VwH/+wZPDw -8PD/AP8AqqqqqszMzMwf/7PQAAAgIB//sdggARacIAuWACALlnAgBFyIH/+t4B//rkAACQAAAAAg -AAAASACCAAAAIAE54CALlvAgC5dgIAAo7CALnDAgC5xgIAs6ECALOeAgCzogIAs6gCALOwAgCzpQ -IAs6oCALOtAgCzygIAs88CALmnAgC5qQIAs9ICALPXAgC5swIAubUCALPNAgC5uAIAuawCALmvAg -Cz2gIAueACALnpAgC50wIAudQCALnMAgC52gIAudACALnNAgC52AIAueECALndAgC55QAAAfQCAL -QCAgC0BAIAtAYAAJAAgf/7DMMAAAAB//scwf/66gIAtCkCALQnD///f/IAtC8CAEYkQAAIP/IAda -OCAHWzAVoAAAH/+sEAAACAYAAA/+AACIzH8AAADwAAAAIAuh0AAMAAAf/7EYIAuh8CALoTAgC6GQ -IAuiMCALoFAgC6DgAADgACALnsAgC59w//wAACALoLAf/5sIAAQD/woAAAAf/6/0MwAAAOEAAAAf -/7IUA//gAH///wAAAP/+AD/2kB//sSAAAB9oA//wACALisAgC4qAIAuK4B//syAgC0NgH/+qtBoA -AAAgC0OwIAGTbB//sRwAD///H/+w0B//q9wf/66UIAuisB//rVQf/6ooH/+sHCAHU/Qf/6iwIAtG -sMAEAAAf/6woH/+xkB//sPggC6PAIAtG8B//q7DgAQAAH/+fECALpQAgC0cwIADEIB//nwggAMEo -IAukgCALpNAf/5soIAtJEB//nxQgC1Ww4P/+ACALepAf/62YH/+VbCALYqAgC2MwH/+sDB//sNQg -B1iAIAtmUCALZqAgC2XgIAtmEEgAAAAgAdAwH/+rdCAB0jAf/6lEH/+Z7B//rDQf/6mcAAAXoAAA -FewgB1hsH/+qBOEAXgAf/6sUAE01oAAASLkf/5mQ4QAuAB//rEDhAwYA4QAOAOAFAAAD/wAAH/+p -pCADDbwf/L//PAAAAAAH//+DAAAAH/+pTCAB9Bgf/65kIAt5sOAGAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAgYAAAAAAAAD/////////////////////H//8DB///Awf//vQH//70B//+9Af//vQH//0GB// -+Igf//awH//2sB//9rAgBx7wAAAAAAAAAAAAAAAAAAAAACAHIWAgByFgAAAAAAAAAAAAAAAAAAAA -ACAHHvAgBx7wH//5hB//+YQf//mEH//5hB//+YQf//mEAAAAACAB2JwAAAAAAAAAAAAAAAAAAAAA -AgEAAAAAAAAAAAAAAAAAAAQAAAAAAAAAgYAAAAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAACAKABHyZxPyZ9MPA+YxAQIAFvJlF/Jmd2sGkGC0Zndj+FQPCVXk -aA8UAGP/+QAAAGwQCCggBSogBysxBfsWBCAUEEgw+gpBDgC8ShCLIhjyWPcKCSE7ALbgDKYRqGYs -Yjr/AgAKALW/EBzyUitiOQysCizClwy7AfsWBSFcADbgLoJKGfJNZOEeKZJ/L4JJ+fsBDgCKz9Aq -IBQKpIf6JBQqALmWoBvyRS4iFogVLCEpH/JEn4D5Ih4sACBzMP/MEQAGEFAw/IYDIAIQaDD9hgIp -gAQ+YP3yOxgJAFZwmYGJFQALjfsSBCAgAkIwAAiKKDwQ/ZYGIEAQYDD8lgcgBBBgMPmcICoDAGbw -bbkFAAiGAElh+BIFJEgAuuD+8isZwAQ+4KmI+8kMAEACQjDwDgcEFAA64G2ZAgBIYSghKSohKLGI -CAhP+CUpJgBSRpD/8h8QARBgMPsSBSAeEHAw+iIeLVAEPiD9FgEgABBIMPkWACAAEGgw+RYCIMAC -WvBYiKYFDEf3Zjki5gI7IMAg0Q/6LAACAABY8PxMAAIAAGlwWIqZ0qDRDwD6LAAAHBBYMPwKACAB -EGgwWI3OY//RAAD6LAACAABZcFiMA9Kg0Q8A8/6kYAAQWDD7rBggABBgMP0KCSIAAFCwWI3CY/+h -wNAtJSnz/1dgABBAMIon+0wAAgAAaTD6rCAgABBgMFiFatKg0Q/aIFgLOmP+iGwQBiYiEJQRJRYA -JyEaLSAH/yIJIBQQUDD/eBEAHBBwMP0NQQoACXIQDwlC9JAHaEABODBkgazX4CkgBYwiwbj3tjAO -AOhSUPjx0xF7ALcgDNUR+vHRFAAgRXAoUjoK2gr6opcmAMvCIClSOQ0GPvqUAQ4AxNZQiyoPuwz/ -AgAKAJo20CwgTCogTv2sASYAy1cQLSROKjAB+SIYIAEQYDD6CEICAMhqkCsgBx3xwi8xAfCIEQoC -AVgw+yEkKqAEOqAKiAL6IQcuCQBH8PghCSoJAG7w/SEiLgkAb/D/RgArQAFQMP/xtRrABDqg+iIA -KAkAUjCYQ5tE/90CAAYQWDD9RgIrgAQ6oP3xrRoJAFqwmkEoIhCYRS8gOBrxqfvMAABBEEAw/EYJ -LgMBfDD3RggqBQB7cP3xmhoFAH4w+/GaGgkAWrD6RgYgABB4MP9GByACAkJwKCYYn0uZSvANFwBg -AkkwAAmK/kYPICACUPAuTED7Rg4gBhBIMAIKhgBOYwAKhgBOYflWOSATADWgjSksIDim3f0mCSIA -ZHsQjhAODkf/AgACAFMboMAg0Q8AAAAAAAD6LAACAABZsFiNCf4KHC7BADag/BIBIgAAULD9EgAi -AABY8FiKBdKg0Q8AAAAAAAD/AgAD/yeFkAl3DPP+RmHQAjnwAAAAAAD73BgiAABQsPwKASAGEGgw -WI0yY/+0AAD7EgAiAABQsFiLZ9Kg0Q8AjyLAhAj/Ap8iY/+WAAAAAPsiHS6AAUwwAPEEAM0aDbsC -KyYdY/5cAIonjRHAwPqsICIAAFtwWITQ0qDRD9ogWImpY/8zAAAAbBAOlR4A5Y4sITUoIRqPKfMW -ECIAAEjwipL7MgQgFBBoMPMgByZAAXww/4YRCmABUDD8IhAqAwBm8PMDQQoAB9mQ9HAHZkABQDBk -Y1InIAXBiAuMcPy8AA4Bx+nQhyImEhD4CggjUgC14CZgAf8CAAIBj/GQkxKaFJwTnheZFv3xKxAG -AkEw+BYNJcAEPOD48SYQAgJZMPsWDyvgBDzg/RYLKgAgbvD7FgwkACBFcI0dLFI6ihyOH/4WCioA -1m8QKqKXKVI5CpkB/pwAAysANmArgkr9Egsi+AA24CyCSS3Smw0DPv3JAQ4Bc+8QhiqZEQ9mDP4W -BSoAvB2QJxoA+Qr/KgAHmdArIBb/AgAOAL1K0IoTiRaLFS0gOI6T/rYHIAAQeDCfuZq4LCIXnLoc -8QsuIhueu/vxCBBAEDAw+ZIELAMBbDANbzn/FggqBQBvMPsWCSoAo06QiRSIGI4ZFvEB//D5GAkA -SjD88PgeCQBDsPYSBy4JADOwG/D5LSIQ+BIGIo8AtaAmIAcqIQeHgPkSBSYCATAw+gpKBqAEOaD3 -IQkqAEBd8PyqEAoJADbw9iEkKgkAfvD6ISImCQBV8JuQiyCdlfeWAyoJAGKw+pYCIEACQjD6Eg8m -YAF0MP6WBiaABD3g+LsRBgkAObD2lgQqCQBasPqWASBgAkpw+kz+IhoAOSBtqQUACIYASWEoIBTT -DwiEh/gkFCoBFpYg+hIKIQAQSDD6VjkqAAkaUCsgFiwK//8CAA4BH+LQLSIXsd39JhcgEwA04I8p -LiA4o///JgkiAQ37kIYXZWH3wCDRDwAAAAAAAPP+XWAAEEgw+iwAAgAAWPBYjD9krn1gATYAAAAA -AAAA+iAHIAAQYDBYjBhlrntgArsAAIoXZKCkJhIELxIILBID+xIGL4AQUDAqJDv+EgksACBnsAyY -DCi2BP0mGyIAAFCw/SIQLgkAN/D/EgUuCQB7sFiKnxjwkisaAPpWOSoABprQKyAWLAr/fLlrjykt -IDij//8mCSDoAPtwjBYtITUuIRqMxP/mEQwDAGsw+SIQKgAH4ZAPB0LIdA4NQmTQV/wWAyAYEFgw -/LkwAAEQMDD2FgcgAxBwMJ4eY/1piicrEhD6rCAiAABhMFiHZJoWLiIbiaRj/0AA+iAHIgAAYPBY -i7UY8G9j/4IAANogWIjJGPBsjylj/4JxnqQH7Azz/55h0AJjMAAALSBOZNzeCHkCmSJgABkAAP8C -AAP+VIcQB4sM8/yeYdACWvAAAAAAAPsSECIAAFCw/RIOIgAAYTBYiO3SoNEPAPosAAAcEFgw/AoB -IAEQaDBYjCJj/9EAAPsSDiIAAFCwWIpX0qDRDwCLEvosAAABEGAw/RINIDACWvBYjBhj/6cAAAAm -IDtkYG6LFowT/xIFL4AQQDD4JDsiAABQsFiKSvoWCiAAEEgwKSYbKSQ7Y/3NAAAAAAAAAPsSASIA -AFCwWAmJY/3Iiif7TAACAABpMPqsICAAEGAwWIOx0qDRDwDaIFiIimP94PogByIAAGDwWItvY/22 -AAAoIQkqIQeGFiMWESkgB4MVh2D5KUAAQAIxsPYWACtAAVAw/KoQCKAEPmD7IgcmAEBd8PkhIiYJ -AE3w/yEkJgkAffD6sRUoCQBSMJcw9yIAKAkAZnD5NgIoYAF0MPu8ICiABD5g+DYDLgkAT/D/NgQv -wBB4MP8SDyoAQH7w/TYFIgAAQbD+NgYngAQ94Pf/AggAIF6w/zYBIIACSnDzEhEqACLJkPwSBSH8 -AmkwDNcRp4f8zDAqABs+UP8CAAP+ZQEgbdkFAAiGAExhY/y5ixL6LAAAARBgMPu8EiAAEGgwWIvB -Y/5KCmgMY/+4CJ0MDUkUbZkFAgiGAExjihUovED5TAwKACBTcPmsMCP+PgMgK8z+bbkFBAiGAEll -Y/xoAABsEAYoIAX6794QFBBYMP8gFC4Ak1oQLCBOKaJKHu/bsMz8JE4hAwA2YC7ify2iSf7WAQ4A -e/dQs/8PD0f/JBQqAOQX4C8gcxfv3vkiHSABEGgw8PEEAAICc/Dw2BoOgAFwMP4kcy//EGAw/IwD -CABASjD42DkIAEBmcPkmHSDKALYgiiJ8pwQoIE7KgH2nCCsgTCkgTnuTFcxsLCAU/SICKg4BPyBk -0XXAINEPAACOJ8fzD68B/yYCIGACU7BYeq3z78EQJwA2oCigANMP0w8DiAooghD8oAciAABasP0K -BCIAAFCwC4AAZa/ciSfTD2SfpSqZFMqniplkr5sooAADiAooghD8oAciAABasP0KAyIAAFCwC4AA -Za/gY/95AADz/9VgABBQMMBgKSAUs5kpJBRj/w4AAAD6LAACAABZcFiJmdKg0Q8ALiAFLSAH/QxB -DgCvW5AFC0f/AgACALCa4JMQjjYMyBGqii+iOv8CAAIAesPgGO+DKaI5CMgKKIKX+J8BDgBwxlAj -IST4748YAgFsMPzvgBigBD5g/SEJIgkATPD5ISIiCQBE8JPwE+93iCCe9f32AygJAGZw+IgRAAIQ -aDD59gIoCQBqMPj2ASAwAmPw8AMXAAAQSDCxmfIcHgH0Aj5g/aY5I/9LguCKJ/tMAAIAAGkw+qwg -IAAQYDBYguFj/noA+iwAAgAAWbBYCK8a71vz/ipgFBBYMIonwLD6rCAgARBgMFiKKZeg/iIAIBwQ -WDD8CgEgARBoMP+sAAABEEAw+e9hH4AEO6D5pgIuCQBDsP72ASIAAFCwWIsZwCDRD48i+xYBIFwA -N+D/AgAD/wca4IonKwoA+qwgIAEQYDBYhi2XoIwg+xIAIAEQaDD+708dgAQ7IP6mAiwJAGswnKGL -tpujY/3UAAAA+1wAAgAAULBYiTtj/cOOM2P+oQAAAAAA+8wYIgAAULD9CgIgARBgMFiK+osRY/+P -bBAEKiAU/6seYgAAGTCKJ/s8AAAAEGAw+qwgIgAAaPBYgqHSoNEPAIwic84qG+8cLbJKyd8f7xwu -skkv8n//6wEOAAp/kPokFCuIAT6g2iBYCGdj/7fIxsAg0Q8AAAAA+iwAABwQWDD8CgEgARBoMFiK -3MAg0Q8AbBAOJBYNLiAHAPWO/xYPLOABLDD+DkECcgI7YPo8ECIAAEhw9AoWAgAAQHAASWUCCoYA -SWMACoYASWHxAxYAAxBIMCkWDQAIivgWDCIAABhwjy8oITSHNyohGosp8xYQIgAAMPD/rBEGAwBF -8PsJQgoAB7sQ9JAHakABVDBksjfzCgEgFBBQMPevMAIuAjtgLSBPLCBNsd0NDUf9JE8qATDvEC0g -Bf8CAA4BGlNQjyLHo/gSECgA6vqQKSIZiIL/AgAOATrKECkSEI0dKZII+O7ZH+AEP6D0ki1gBgJr -cBnu0igWDhju0QzjEfkzCA4AIEfwKTI6+/KXKgDo7lAqMjkNBT77pAEOAOHekCgiCSwiCgjMDP8C -AAoAqC8QKRoA+gr/KgAIqlArIBbTD/8CAA4BidLQGe7RKCEkKiEH+SEJKAkASjAKCkoMqhD6IAco -CQBWcBvuvQoqQPzuyRqgBDqg+yEiKgkAWrCaQPoiACoJAGbwm0Ib7sOYRPlGAyuABDqg++7BGgkA -WrCaQSkiDylGBSggOBnuvSwKQvdGCCgDAUAw+QoAKgUARnD5RgcgAhBQMPhiBCoFAEMwKEYJLGIF -LEYKKWIG+UYLIAAQSDD8IDkg1AA14PnurhigBDpgCYgCmEyMaJdPnE6MHolpKUYQiGooRhHwDBcA -kAJJMAAJioxn/wIACgCc5dAY7pYKvwII/wKfRv4KBSEAEGgw/jY5KgAJK1ArIBYpCv//AgAOASfK -0C0iGSwgT4sp+iA4IAICa3D9Jhkh/gJjMPwkTyoAIC7w+yYJIgDpepCOH2Xh0MAg0Q8AAAAvFhEt -FhIuFhP6LAACAABZcFiJ/y4SEy0SEv8SES6WADagjx9l/9GKJysSEPwSDSBgAlKwWIUZwCDRDwAA -AAD/AgAB/5ZjEPP/JGABEEgw/wIAA/7iB9AJpwzz/blh2AI58CvsGPosAAABEGAwWIolY/+uAADB -Q3TZDC0gOsD//hYTLgD+e1D6LAACAABZcFiIVdKg0Q8A8AAGYB0QUDDAoSshCS4SEPosAA+wBD6g -/OIHK0AEPuD94ggqCQB+8P7iAioJAB7wWIg1wCDRDwDz/85gGBBQMAAKuQKZRvgKBSEAEGAw+DY5 -KgAJKxArIBYqCv//AgAOANTS0IsfZLE2jGeLaoppB8wM/GYHKgAgXfD3uwZwABBgMLGqiWaIZZpp -+2YKKgAgTfD3uwZ6ACBDMLGqiCmbZpplLCA4pYj4JgkiAI/7EIknKpkUy6GLmf0WEiAtADbgGe4r -KLAALxYRLhYTCYgKKIIQLLAH+iwAAAMQaDALgAAuEhMtEhIvEhGLIsej/wIACf842pAoITSHZysh -Goov/7wRBgMARfD1IgkqAAe7EAUJQsiUCwxCZMB1wZT3mjAAARBAMJgfY/zJAADaIFiGW2P+KYon -+qwwIgAAWbBYdK7SoNEPLxYRLRYSLhYT+iAHIAAQYDBYiWcuEhMtEhL/EhEs0wC2oPosAAAkAluw -/AoBIAAQaDBYib1j/g4AAAAA+iAHIgAAYXBYiS1j/aYAAHGuhgm3DPP/gGHYAjnwAAAvFhEtFhKK -Jy4WEysSEPwSDSBgAlKwWISTLhITLRISLxIR8/6jYgAAMrAvFhEtFhL+FhMiAABQsFiGMC4SEy0S -Ei8SEWP+xwD6LAAAABBYMPwKACADEGgwWH/rKyAF/hITL/3WItBj/YEvFhEtFhIuFhP6IAciAABh -cFiJCS4SEy0SEi8SEWP+OgAAAGwQDJUbAJWOjC8oIRomITQvIAeTHfMyBCIAAHDwiin9IAUuIAF8 -MPYzNgfwBDog+gdCCgAHmZD0cAdqQAFEMGSzQcGEA4xw/DwADgHAw1CHIoYd0w/4CggjQwC14CZg -Af8CAAIBiHGQnxOcEpkU/e2kEAYCQTD4FgolwAQ/4PjtnxACAlkw+xYMK+AEP+D9FggqACBu8PsW -CSQAIEVwjRosUjqLGY8c/xYHKgC47xArspcpUjkLmQH/nAADIAA2YCyCSvYSCCLtADcgLYJJJmKb -DQM+9tkBDgFuN1CHKgp3DPkWASoAoB3QKRoA+gr/KgAHmlArIBb/AgAOAKNS0IsSGu2XJyA4+e2V -EAAQaDCd9/ziBCYDATww96k5AEAQMDD5FgUsBQA9sP0WBioAmGbQGu16HO17/e2AGAkAbnCGFBvt -fPjsECgJAG5w9WKlYEACa/CH4PsgByYAQF3wCytA9iEiKqAEPuD7IQcmCQBd8PchCSoJAFHw/GwC -C0ABXDD2Ig8qwAQ+4PshJCYJAF3wmvCKIJb1l/P89gIsYAFIMPcSDCyABDsg+fYGKgkAZvD79gQr -gAQ6oPpM/yYJAFXw9/YBIBoAPSBtqQUACIYATWEoIBQIhIf4JBQqASwWIPoSByEAEEgw+lY5KgAJ -GlArIBYsCv//AgAOATVi0Mg9jiktIDij7v4mCSIBKPtQjxRl8i3AINEPAAAAAPP+mGAAEEgwAJ8e -nhD6LAACAABY8FiIxo4Q/xIOLq8ANqBgAVqfHp4Q+iAHIAAQYDBYiJ6OEP8SDi6pALagYALLhhRk -YMb3EgIvgBBoMP0kOyAgAmOw8AwWAEACW/AAS2GN55f4iuSeEInm96oMDAAgbfD65gQqAAY7UP4W -ACACAkpwjBKLEIYWjhWZtv22ByIAAFCw/SIPLgkAM7BYhxwY7RCOECcaAPpWOSoABpnQKyAWKQr/ -ebluiikrIDgPAgCjqvomCSDoAPrwLSE0LOIELyEa//sRDAMAazD5Ig8qAAhi0AoHQmRwBQ8GQmRg -WfwWAiAUEDAw/GkwAAEQaDD9FgQgAxBYMJsbY/10iiefHosd+qwgIgAAYTBYg+CPHvP/ImIAAHKw -+iAHIgAAYPBYiDGOEBjs6mP/fdogWIVFjhAY7OeKKWP/gHGeogf8DPP/nGHYAmMwLSBOZNztCHkC -mSJgABkAAP8CAAP+XQcQB4MM8/yvYdgCGPAAAAAAAPsSDSIAAFCw/RILIgAAYTBYhWnSoNEPAPos -AAAcEFgw/AoBIAEQaDBYiJ5j/9EAAPsSCyIAAFCwWIbT0qDRDwCLE/osAAABEGAw/RIKIDACWvBY -iJRj/6cAJiA7ZGB6/BICL4AQUDAqJDsCCIbwDaICAABbsPz2CCIAAHJw/SIPIgAAULBYhsL6Fgcg -ABBYMCskO2P9nwAA+xIBIgAAULBYBgNj/Z2KJ/tMAAIAAGkw+qwgIAAQYDBYgCvSoNEPANogWIUE -Y/2q+iAHIgAAYPBYh+lj/YsAAAAAJiAHkx8nISKD4C4hB/whCSYJAGXw9iZAAgBAXPD7IgcmoAQ5 -oPYhJCIJADTw/g5KAgkAVPD6Ig8uwAQ7oP6xFSwJAHMwk/CDIJfynPP8EgwgQAJa8Pr2BSZgAUww -+fYGI4AEPODzzAICAABKMPz2AS/AEGAw+HcQCgBAZvD77AgGCQA5sPb2BCCAAmMw8xIPKgAdYhCw -SAyGEaaWdsMx/wIAAf5chSBtiQUECYYATWVj/KiLE/osAAABEGAw+7wSIAAQaDBYiEFj/loOiQxj -/8MJzAwMSBRtiQUGCYYATWcpvED4TAwMACB/MPjcICH+NocgsM7TD23pBQgJhgBIaWP8WAAAAGwQ -BiggBSMgByQKA/MDQQj0ATogKCAiZIBvAioCWH3o+aFmYAEQaDAqICEY7FUPAgD8MxEAqgD+sKgz -KTI6DwIAbpNFKzI5ZLA/Lgr+/q4BAAAQYDD6IgAsCQBvsP0kISAAEEgw+RYAIAAQeDD5FgEgCRBw -MPkWAiAAEGgwWILa9DY5IAAQEDDRD8Ag0Q8AAGwQBBTsVgQiCiIilwPkQA9EEAQiAQIyAtEPAABs -EASKJ9sw+qwgIgAAYTBYgyDAsvukAiIAABKw0Q8AAGwQCiogBfS1GgATEGAw+CAHIgAASPD5FgAi -AAAZMPsWBCIAACJw+AhBCBgAOqD/AgAOAa1ikI0iH+wg+uweF8AEOiD10tdv4AQ6IK/u/hYDJgAg -UbD2FgYgCAJI8I4WJhIDLuI6jxYPAgD2YpcqAV9PkC/yOQb3AfcWBS4BWDfQJSEbKkIC9iIKJAMA -LrD1qwwBABA4MPgWCioAA93QCqUChynTDwdmDP8CAAoAmq2QKhoA/Ar/KgAHqpArIBb4FgouAJ1i -0IpC/wIACgClVVCPKRjsE/kSBS4AIC/wnymMQ4tAjRT3zAAAQAJKcPzqQA7gAVgw/hYBIkkAt2An -IAcHB0EIdwoncpf/qhAAIAJBMPo8/yYAQFXw98cCAB4APOBtqQUACIYASWGLQMCAmBIc7AAmIRqI -FRTr/I0RKSEH+uv5HiYBPDD93A8gIAJzcPT/CAlAAUww//CAKcAEPmD9TRQICQBWcPrr8RACAmtw -DT0MmYAPXwyJIPeGByaABDmgloaegxbr0PqaAgmABD5g+oYEIAICUPD/hgUoCQBOsPmGASYFAGsw -loIsIBTzzAgCAAAisPwkFCIA2qrQjhaIEv8K/yEAEGgw9OY5KgAHq1ArIBb/AgAOAOn60NKA0Q+Z -GfosAAIAAFlwWIdGiBr5EgkuvAA2oGABIgCZGfogByAAEGAwWIceiBr5EgkutwC2oGAB+oYUG+vH -h0OYGvRgaWYAQF3w/wIAAABGBdAtIRqMKZcX+RYJLkABaDD4FgogfQC3oJcX+RYJLkABZDD4Fgog -awA34PkWCSfwBDtg+BYKKgAtqZAe67T7QgMoHQE8MAKZEf/VDAgJAEnw+BYHKgBAdvCbQ2AAMwCK -J5kZixD6rCAiAABg8FiCdYga+RIJIAIQWDD7pAIiAAAisPqiAiP/vgXQjCmXF5kZmBqOF48V9a0M -AgAAWTD6LAAMACArMP1GAiIAAGlw/CYJIgAAYPBYgpOJGYgajxYuGgD69jkqAAarkCsgFiYK/3a5 -C8ChmhRj/VUAAAAAAPogByIAAGFwWIatiBqJGWP/4YsUZLCZwCDRDwAAAAAA/ZwAAgAAULD7jBgg -ARBgMFiHLmP/24on/BYILOgBXDD6rCAiAEYXYIwVKwoB/MwgIgAAaPBYftaLQJoSY/3EAAAAAIsV -/E0RAgAAULD9uwgAARBgMFh8qvP+NmAGAiEwZbym/wIAAf5RnqAvICD/AgAB/kx70GP/fQAAAAAA -AAD6IAciAABhcFiGhYgS0oDRD4on3DD7EgAgQAJSsFiCKcCy+6QCIAAQEDDRDwAAAAD7PAACAAA7 -MP08AAAAEGAwWH6z20D8PAACAABpcPoWAiIAAHHw/xIFIgAAULBYgkmLQGP9mfosAAABEGAw+4wS -IAAQaDBYhvVj/vYAbBAIkhSTFRnrMvhCACAQAnEw/hYAL8AQWDD4FgIqAEBYsPoWAyjoAUAw9IG2 -YAgCUTCPE/0hBSwAIEowLMAAr9/0zAsAgAJ78PvMAAoA0/sQ+hYBJgABQDD/CgEgABBoMAb9OAvf -C/vdCgAQAlvwghX8CgIqACBKMPMsCCAAEHgw8AB/YAgCELCNFA5VDP78ASAAEBgw/+wAAAICQjD0 -gOJmAAF0MIYTLdEFIqAAptb0IgsAgAIxsPssAAoAaDCQ/QoAJgABQDDyFgYgARAQMAYtOAvSC/vd -CgAQAliw9pAAIAEQEDAHIziHFQdmCxfrCac3J3CgBjIKBjML8zwILAAgYfCO0PALFw4DACuwniAA -A4+C0LGq+ZwBK/+3LJAFKgwOJgz21gAgARBIMPeyASAAEDAwCpY4grD4aAgEACA/sPW2ASoAA3FQ -sSLytgAghAD/MB3q8YcSG+rwDX0B+xIFJgBAXfD8EhQAAgJT8PiJEQoJAGqw+rYAJgkATfCXQNEP -AIsQjRFj/1IADSsMY/8thRUe6sEFxQvwDhcAABAQMLEi8hUeAfQCPKAa6tuFEhnq2viGEQoAQFFw -+RIFJABATXD3/AEgAgITMPISFAYJAFXw95YAJAkANXCVQNEPAAAAAPoWASIAAGqw8/5/YgAAW7AN -ywxj/lYAAGwQBh7qyCwhKCghBy0hKfrqvBIAAElw+RYAKUABQDD13CApwAQ6IPoiBygJAFIwlWOY -YPLqthIAACCwkmL7MgssACBh8P8yByACEEAw8jxAIEACUrD8NRsqAEB28Pf/DA/AEHAw/zYHKgkA -ZvD+rgEAIAJhsPs2CyBAAljwbYoFAAuGAExh9dCOYGACWbAsTEACDIYAS2MvoQXAUf75CAIAAGCw -+2xAKABpTNDAJN1wW/9Uj0Clrvj/EQAGAnOw+OqaHgkAe7CeYY03jDr6MgkiACASsPkyCCCXADdg -p8z6C18IAEBCcPw2CiACAlrw+gpPCwAEPuD4NggqCQBasJo5jxAY6ooPD0cM/xGo//L2ACAAEBAw -0Q8voQWu+Pg6X3IAAGCw+9wPIgAAevD7RRQCAABLMG1ZBQQJhgBPZSlBLGWQQS+hBcfQ/bsBAAYC -EXD8vAgIACB38PmcQCoAIDbw+7wwK/+kzxAPzAxj/z4AHupwDp4CnmTRDw8sDGP/Kw8sDGP/nqaY -KIwwDeowL0IXD90MLzAVEupnD29BAv8KL/KXD90sL0EwIkExAP8RDyICrSLyhQEj8AEQMCKFAGP/ -gQAAbBAM+CICIgAAWPD5IAcgABBgMPSlGgAAEGgw+hYIIgAAKPD1gzFoIAFMMJkSGOpSnRWcFJsT -LiAWGuolF+pO9xYHIP8QeDD36iEX4AQ6YPoWCyYAIFGw9hYKI8AEPmD/4RRyACA88NogWDYAGOpD -+hYHJKQANqApckr8EgsglgA2YCtySSzCmwy7AfsWCSL1ADbgHeo6LdCALjI6/BIKIG4Ca3ANTRSt -Tbfd/MKXKgA275ArMjkMuwH7FgAi3QA24CxRDC9QEIlX+RYBJgDORxBk8Oto8XT/AgAEAJSP4P8C -AAIAkKPgjVPL1/osAAIAAFlw/RICIgAAYTD/HAQiAABwcFgzChjqHPeg+mIAADKwYAKX8/9zYAAQ -WDDz/5lgABBYMPtcAAIAAFCw/RIIIgAAYTBYM9nA8f+mAyABEHAwnhjz/6RiAAAqsACKU8qvixVl -sRGGEY8X2iD9EgIiAABZcP4SAC4DADfw/xYBIgAAYTBb/zIY6gDwAIdiAAAysIoXwLH7FgUr/+PK -kMDQ/RYFK//eypD7XAACAABQsP0SCCIAAGEwWDQpwPH/pgMgARBwMJ4Y8/+WYgAAKrAAAIpTZKBX -jRT8CgEgABBYMA3LOGSwkYgRhhf6LAACAABZcP0SAiIAAGEw+GY2AgAAcHD2FgEgCAJ4cFg0uPjp -3xIAADKwZmGk+gr/IADcjaApIBb/AgAH/zDWUGABHosX+bMJcAAQMDB5wwHAYf4KASAAEGAwBuw4 -9hYEL4oANyD7XAACAABQsP0SCCIAAGEwWDU1wJH5pgMgARB4MJ8Y8/9jYgAAKrAAixCKGB7ptyYh -B4lQHembF+mx+QlIB0ABMDD/nA8nwAQ5oP9PFAYJADmwlrD8IgAgBAJ78P9PDAAgAjrw+bYDIf4C -MTD/7TkNgAQ7IP22AiwJAGGw/LYBIaEAtqCIE/iMICIqADkg+kz+IgAASfBtqQUACIYASWErTP4M -uxGre5sQLCAEKCAU9IgIAgBvAyAICUf5JBQsAIuSYIhSKCYciVHXsPgWBiIAiwZQ9pEvYAAQKDCl -ZiY2OSogFisK/3uhCvsSASIAAFCwWDaajBhlwLvAINEP+xIBIgAAULBYNpUtIBYuCv/46YoX/pN3 -UGP9Do8YZf/aKVAQ2iD7XAAAARBAMPmc/yAAEGgw+Y04AgAAYTBYMg/AINEPAAAA+iwAABwQWDD8 -CgEgARBoMFiFHmP/vYsS2iD7vBggARBgMFiFGmP/qywgFi0K/33BgvsSASIAAFCwWDZ3wCDRD4sQ -DGwRrLubEGP/FygkFC1SAA8CAP8CAAH/n6tQ+iwAAAAQYDBYepbz/yxgBgIxsIon+0wAAgAAaTD6 -rCAgABBgMFh8s9Kg0Q8AAAAAAAAA+xIJIgAAULBYAn+LEGP+3PosAAIAAGIwWAPpiBaJUZoc9awA -C8AEPqD3ntlmACBd8Pt8AAIAAFCw/AoAIgAAajBYA7nz/r5kACAusIon+woCIgAAYfD6rCAiAABp -MFgyCitQEP8CAAH/MR7gKVAVCQhFZI5UL1EJLlARG+k6jCcqUQz9wRUgQAJjMPuqDA4AIHuw/ogJ -D8AQcDD/jCIgJAJCMP7MAQgFAFPw/NwICgAgRXD8zEAgQAJa8Py7XHIAAFLwDOowHuklK6EB/6EA -LCYBTDAO3QouIhct0pcA/xH+zAwKCQB+8A3MLPy7CAwAIEHw+8UBK/ABXDArxQBj/cgAAIsS+iwA -AAEQYDD7vBIgABBoMFiEuWP+KA26DGP/oQAAAAAAAABsEAqLIikgB/UwDiIAAEFwmBP1FgcgAxBQ -MPVVCQH+Amkw9bFCaCABTDAc6QUW6Nj5FgErwAQ+YPzAgCIAAEJw+ejVGgAgNvCbGPuyOiBuAmMw -/EwUDgEAaXCuzvmICgAGAnOw+IKXKgCYdtCOGC7iOQjoAduA94wAAScANiAvYkr56MUQ+wA34Chi -SSmSf/4K/ywAQEow/BYGLgByzhAsIBaJEysWAvkJRw4AivMQKzAPGOjTHujY/OjjEUEANuAvIQcP -D0oM/xEI/wIv5iwtIgAI3RH6EgcsCQBXcP3mLSAgAkDw9AwHAgCummBtqQ4ACIYCCIYAR2UAR2EA -R2PAgJgVKSAEKCAULCEJ/iAVIAEQUDD0iAgCAIwCYI0W+MwRDkAEO6AOzAL4JBQsCQBTMJzR+NQD -IAAQSDD51AAiAABZ8CpmSSkkFIQyJCYciDH2CgAiAKAGEGaBV6ZfghgvJjmCFdEPAAD6LAACAABY -8P0SAyIAAGEwWIEb0qDRDwD6LAAAHBBYMPwKASABEGgwWIRQY//RAADz/thgABBAMACLEdog/AoB -LAAgLzD7vBggBgJrcFiER2P/rAAAAAAA+RYEIgAAULD8MQYh/AJacP0WCSABEGgw/BYAKgUAX3BY -NcxkoOb7EgAiAABQsFg1nIkUjRnz/rZgAxBQMJ0Z9dwAAgBxGmApEgL4PBAgIAA9ICxM/23JBQAI -hgBJYcDgnhWHEgzYEfP+2GYAIEXwACgkFIkw/wIAAgBkKlCKGIIVJaY50Q+KJyuhFfn6wCBAAlKw -/BIHKABATrAJuQgpnEBtyRUGCIYCCIYAR2UAR2fwB6IKAAzKENMPBEsC/AoAIgAAaTBYe8SaFWP+ -dQuIDGP/5ADaIPt8AAIAAGEwWAL+iDH8qxECAAAysPeOrGoAIF3w2iD8CgAiAABpMFgC0Kampl+C -GC8mOYIV0Q+LEfosAAABEGAw+7wSIAAQaDBYg/1j/oKKJ/wSAiABEFgw+qwgIgAAaTBYe6iNGZoV -Y/8g2iD7fAAAABBgMFh5f7NbjBiCFSvGOdEPAAAAbBAEIyAAJArtdDEHIiEDvCLRDwCEIYMghiPy -6EQQAhBAMPMFUwgOASQw+YgMB2QBHDDwgQQCYAEcMPBVGgIAIDzw9TMKBaABIDD1PA4iAEARsPUi -DAA0AhjwBCQsBDMooyLRD2wQCIoiKCAHiTD7Cv8oIAFAMPWhYmkAAUwwKiAWmRP4FgQgMgRasPS1 -GgIAAFCwWDVZiBT0omhg/xBYMBrn9Rnn9iyiSvmSfyFXADcgJqJJCWYB+WwAAVEANaAd6Bkt0IAM -hxH/5+wWACBV8PxyOiBuAmtwDU0UpN3/jwoABgJrcP/ylyoAhe8QLnI5/+YBDgCAf5AoIBaZEvoK -7SAuBFowKTAQ+zELJgDOVlC8uwIqAlg1CyggFCwgBKSI+AlHAgCAAyD5JBQsAJgSYB7n8CghB40T -Gefr/OfTGUABQDD8iBEAHgJ7cP9PFAgJAEow+GYAKuABKDD7IgAgAgJ78P9PDAAgAkmw+DwQLAUA -e7D9ZgMrgAQ+4PxmAioJAF0w+2YBIgBRmqD4QQ9gABAoMLBKbakFAAiGAElh+SAEIf4CWTAMuxGr -a/u8ECIATAJgiDIoJhyJMfsWASAAEDAw+BYAIgBTBlBmkL+mTPx2OSIAABFw0Q8AAAD6LAACAABY -8PxMAAIAAGlwWIA30qDRDwDaIPuMGCABEGAwWINuY//X8/6vYAAQMDD6LAAAHBBYMPwKASABEGgw -WINmY/+5AAAoJBRj/wWKJ/ycAAABEFgw+qwgIgAAaTBYew/z/1tiAAAqsIsw/wIAAgBmqtD0djki -AAARcNEPAPsSAiIAAFCwWADXY/7FAAD6LAACAABiMFgCQYkxixGIEPysEQIAADKw959EagAgZvDa -IPwKACIAAGowWAITpqamTPx2OSIAABFw0Q+NNYw0Hueg+zIHIAIQSDD8D1MKDgFoMPqZDAlkAWAw -8JEEDGABYDDw/xoMACBDMP/MCg2gAWww/swOKgBAdvD+uwwANAJjMA29LA3MKPP+GWoAIGbwAAAA -AAAAAPosAAABEGAw+4wSIAAQaDBYgyhj/sGwSwy7EftrCAIAAFCw+7wQIAAQYDBYeK/yXAAABgJh -MCx2OdEPAGwQBBfneyswFvjnbBMgEFAwCiooC7YJ/GYRCAAgQrDwIQQGACBBsPkyByYAID2w9HKv -IAEQYDD2bdMv/xB4MPZs0CAQAkJw/nKwIDYEMTAAzRqLMpjgnpOWkvh2sCoJAG7wmzLRDx7nY66u -KeKtLeKxALEEAMQa/90DCAkAJnD55q0oAEBucB7nXAAhBPVysC3ABDyg8MQaDAAgd3CZ0JhQjzKV -gZaA+HawLgkAJ/CfMtEPAGwQChvnUQsrCiayf5IYmxTwYQQAARAwMJYaAGUaBQUGHOdNFOdKGOdK -/ec3EdwCULD6FgUjIBBIMAkpKPuyfyfABDyg8udFHAAgbnD9FgYmACBF8PhyuSQAICJwJEKX+7z/ -KAAgZnD5FgcqYAFcMPsWCSBgEBgw8AAdZABAQTAAAIwaIwpg/QoAL/8QcDD0wGFkEQB1cJ0aL3K4 -BFgBCP8BnxAAAYsGM2BoPtSLF40ViBYDOQn+PKApwAQ+YPDhBAgAIEow+I2/LAAgEjDwaRoBCAJC -MPiCly//EFAw/hYJKBEAVnD6EggkAEBJMAuAAGP/pIoZixSxqgoKQyq2f9EPAAAAbBAEG+cViiAP -AgArsn8e5xP7qgwDIBB4MA+vKK7+I+KuKOKv++bWEAAQaDD5+v8twAQ6oPnnCxgRAEow+DsBDAAg -WzD8wgAuAD5E0Bjm7vT6/yABEBgw+YwIA+ABYDD/t1F8ACBj8MspCOowKcKvL+KwCYgM8NEECgAJ -w9Av4q4AOBoEiAMI/wEv5q77GxQAAgJrcPSwLGBgAmMwf7cUY//EAAAJ6jApxq9j/94AAAAAAAAA -+xsUAAICa3D1v9xgYAJjMFg1zcAg0Q8AbBAEJiEJ+SAVIAEQIDD4IBQgABA4MPckFCeABDmgBJkQ -+DQDJgkASbD3NAAmCQAhsJYxFeafJFZJ0Q8AAAAAAGwQBBXmqhbm1PU1AgIAIDCwJSaAJCKAZ0AL -bQgFKCKAZ4ACY//z0Q9sEAQT5swiNopj//wAAAAAbBAEKCAFJSAHijX0+v0gAhAYMPUFQQIUAL4g -wCDRDwAAiCkZ5sEPAgD6JgsmAH3WEAlZCSqR4CmR3P8CAAoATVZQiiIPAgD/AgACAEaCkAIqAlh3 -+isiAtMPA7oBZK+6iicEuwH7JgIgYAJSsFhxb/PmgxAnADagKKAA0w/TDwOICiiCEPygByIAAFqw -/QoEIgAAULALgABlr9yJJ9MPZJ94KpkUyqeKmWSvbiigAAOICiiCEPygByIAAFqw/QoDIgAAULAL -gABlr+Bj/0wAAPP/1WAAEFAw2iBYd+crICL6uwwCAABQsFh5GNpQ+woBIAAQYDBYeuiLIvO6AQ// -r57QLyAH2iD8CgEgABBoMPS+AQogAXww/iYCID4CWvBYghfAINEPAAD7ICIiAABQsFh5BiogBcGD -eKEMaKgpiyLz/xlqAEAa8CkgOsC/e5nq+iwAAAAQWDD8CgAgAhBoMFh4VWP/1wAA+iwAAAAQWDD8 -CgAgAhBoMFh3z2P/vwAAbBAKizcd5lqMNikgISgiC/oK/iwAQGsw/LsMCABAVnD5JCEgGAhaMMAg -0Q8AAAAA8AMWAgAAQHAASGGbFS4gBSUgB8dN+BYIIAIQGDD1BUEDrgE/oIkpGuZU+yYLJgB9XlAK -WgkroeAqodz/AgAKAEzekIsi/wIAAgBHgtACKgJYd46LIgO6AWSvnIonBLsB+yYCIGACUrBYcQTb -oPPmFxAnADagKLAA0w/TDwOICiiCECywB/osAAAEEGgwC4AA+6wAD+EAtqCJJ9MPZJ9YKpkUi5nK -qGS/TiiwAAOICiiCECywB/osAAADEGgwC4AA+6wAD+UAtqBj/ywAAAAAAPP/0mAAEFgw2iBYd3sr -ICL6uwwCAABQsFh4rNpQ+woBIAAQYDBYenyLIvO6AQ//rR7QLyAH2iD8CgEgABBoMPS+AQogAXww -/iYCID4CWvBYgavAINEPAAD7ICIiAABQsFh4miogBcGDeKEMaKgpiyLz/xRqAEAa8CkgOsC/e5nq -+iwAAAAQWDD8CgAgAhBoMFh36WP/1wAA+iwAAAAQWDD8CgAgAhBoMFh3Y2P/vwAAbBAEHOX+KTAW -izQJWRT8uwEAAH8GYPrl+hEOADZg/uX5H/4QaDD05ckUVgA6YCyhfmmVHHyzCbTK/wIACgCJ1tAr -IAawuwsLR/skBiBZADbgwCDRDyyhfvyzDHAIAnsw/wIACgB+ftAoIAawiAgIR/gkBi/dALYgiyKJ -J/qZFCoAQG7wmyKLmWSgtCiwAASICiiCENog/LAHIAMQaDALgADAINEPAIsiiicPAgANuwH7JgIg -YAJSsFhwkMmtKKAABIgKKIIQ/KAHIgAAWrD9CgQiAABQsAuAAGWv4IknZJ9vKpkUZKBgiplkr2Qo -oAAEiAooghD8oAciAABasP0KAyIAAFCwC4AAZa/gY/9CAAD6LAACAABY8PxMAAIAAGlwWHhUwCDR -DwD6LAACAABY8PxMAAIAAGlwW/9FwCDRDwDz/0dgABBYMPP/nWAAEFAwiDci4n8JiBHz/uViACBA -sIg3IuJ/CYgR8/78YgAgQLBsEATRDwAAAGwQBBbloy4gBx/lohzlpPnlZRYCAXQwKCEI9yEJLgUA -PbCfMP/lnRADEFAw/SIAIAAQMDD2NgUgIBBYMPk2Ai4gAXAw+zYDLwAEO6D8NgYuCQByMPjdEQ4J -AHuw/jYELAkAV3CdMSIgB5Y5FuWN9HcCAiABEDD1NgsjAAQ4oPKCAgYJADXw9zYKIgkAMLDyNggi -AAASsNEPbBAEFeWCHuV8LCAHHeV8+OVmEgAAMPD6IQguAgFkMPwMQQwFAH+w/TYALQAEOyDzgIAg -ABBoMPsiACoJAGKw/WYFKgkAKrCaZBrlMPpmAiBuAjjwB0cU+Xz/K4AEPuD7ewIJwAQ+YPtmASHw -AkpwmWMAQo2VZiNmBwIGjwNDFCkhCSlmCvRmCyBgAimw8QgHAgAAEfBtOQIARWHRDwAAAGwQBPjl -WxDMEEgwCSkoqYgogOD4hwpiAAAgsMAg0Q8AAMDA1cAF5BYBAgDA0RrlUQErEaq6/aaBIMgQSDBt -CBAuooIODkL44Qph/gJKcGSQhmP/6MAg9iCWYgAAGLD8poEgyBBIMG0IEC+igg8PQvTwCmH+Akpw -ZJBlY//owCD2IKViAAAYsBnlPNMP/OU8GAAgTvAslosY5Toolov9poEgyBBIMG0IDyuiggsLQvix -CWH+Akpwyp5j/+nAIPYgf2IAABiwwNItpoEsooEF5BZmMDLSMNEPAPP/em/7EBAw8/+bb/sQEDDz -/9Fv+xAQMPoKAiAIEFgw/OUjEgAAaTBZ1Jdj/8bdQPzlIBACEFAw8w4GAAgQWDBZ1JHApFh61tIw -0Q8AAAAA+goCIAgQWDD85RcSAABpMFnUiWP/jgAA+goCIAgQWDD85RISAABpMFnUg2P/dgAAbBAG -HeUOCysRrbMqMn8Z5QwX5N2IoMBA+XkIAAEQKDD0gDRoACBO8CwyeP8yeyYAWM8QZfEzLDZ8KzJ5 -KzZ73UAN5BYBAgAkpgAN5BYsCgn/AgAGAFxkkC8ye8HA/eT5EG8AN+AiMnwqIQSOIPoLRgAeCBPw -JDZ8JDZ7YAAEAAAuNnz9rwEB/gJC8Aj/Av8lBCAsAD7gIjJ8sMz/MnsgHgA0oMnGY/+/2iBYekhl -oN8qIQT6CUYAEgDCsMiZ0Q8A2iBYejvRDwDaIFh5/tEPAPosAAAAEFgwWHq90Q8AAAD60ogh8AJw -sADhBPBbGg//EGAwDLsDC6oBKtaIWdaxJDZ8JDZ7KjJ/Y/8zABbkzy9gXGTwilnJzFh5vChwwfXk -yxBOAP4wKVB9/wIAAABIhmD/AgACAEiGYP8CAAQASYZgKVB9sZkpVH0rYFxkvwhYeajIqy1SILDd -/VYgIFgAN2BYeSNj/vAAAAAc5Ln+MnwgBRBQMPgyeiAEEFgw+BYAIfICaLBZ1CAqMn9j/qoAACky -e/I2fC8mALZgIjZ70Q8b5K0rsq7/AgAB/7WG0CVkXGP/YABYeS0qViBj/6LAoFv/OWP/esChW/83 -Y/9yAAAkVH1j/2oAAGwQBBTkn/nkmBuwBDyg+ORoFAAgIvAjQn+piPQwSWgAIELwijB4qQIqQnsc -5JArMQT6Rn8qAEBi8Po1BCIAAFDwWHnszq0pMQT5DUYAEADCcMjX0Q/aMFh54NEP2jBYeaPRDwAA -AAAA+iwAAAAQWDBYemHRDyNGf9EPAABsEAT5+v8gDgA04IgiCTkDCYgBmCKKJyqsMFhvIfPkNBAj -ADagKKAAA4gKKIIQ/KAHIgAAWrD9CgQiAABQsAuAAGWv4Ikny5IqmRTKpYqZya0ooAADiAooghD8 -oAciAABasP0KAyIAAFCwC4AAZa/g0Q8AAPP/12AAEFAw0Q8AAGwQCPjkChHQAiiw9ORfFOABLDD6 -5F4VwAQ9YPhVCA2wBDig+FI6JAAgIzApTQEpkEctCoD7rOAqAQJOEChSOWSB+RPkCxfkUhnkG5oR -ACEE9gsWAgAAQvCYEPQLFgABEDAwAGYalhPwCqYOACBLMP4WBSYAID8wlxTwCqQP/xA4MPIJBwYR -ADmwlhIASGMASGP25EAeACBtMPAAOGAEEDgwipnJrSigAAOICiiCEPygByIAAFqw/QoDIgAAULAL -gABlr+ApQlItCoAPAgD0kQluACBtMC7wxIwVGOQvDusJDLsK/PDFKgAgNvD6sgIoACBDsCiA4GSh -EvyJR3ABEFAwwJAOqTgqEgUJmQkKmQopnRYpnJQpkk0sCgEM7AP0kBJs4AFgMAzLCQq7Cvz0xCoA -IDbw/goALgAgbTD+9MUgABBgMC6yAChSOi/t/y/w//8CAAoATH4QKFI593z/IP8QSDD0gIVm4AE8 -MHlxfSoSBP1JCAACAkMw+JTFIfACY7BYeWf6EgMiAAASsA8CAPwSAiALADagiyIMuwGbIoonKqww -WG6fya0ooAADiAooghD8oAciAABasP0KBCIAAFCwC4AAZa/giSdknvcqmRRlrs7z/s1gABBQMIwR -ixAKDIYIDIYAS2sAS2nRD44RKE0B/RIAIQACQjAvhMcODoYMDoYATW8ATW3RDwAA+BIFIAEQWDD7 -6wMAABBgMPz0xSrgAVwwK/TEC7sJ+LsKAAAQYDDz/w5qACA28AAAAgqGAAqGAEtjAEth0Q8AAGwQ -BBjjdwIDRwwzEagzKzIgGeOEirEosAD5iAoKAAg4IAIKPiiCEAMCPv0KAiIAAGCwC4AAIjYg0Q8A -AGwQBBjjaAIDRwwzEagzKzIgGeN1irEosAD5iAoKAAg4IAIKPiiCEAMCPv0KAiIAAGCwC4AAIjYg -0Q8AAGwQBFnOvRLjjBPjeQwCACkiggipjgOoCoiEC4AAY//rEuOuA+gwBO4wBbEwkyCUIZUiEuOq -E+NbhCAEMwKTIBLjqMAwKDdAKDdEKDdIKDdMIz0BcjPtEuOjwDCTIMcvE+OiAyMDEuOhhCAENAGU -IBLjoIQgBDQBlCAS456EIAQ0AZQgEuOdhCAENAGUIMcvwDEDIwMS45qEIAQ0AZQgY//8AAAAEuOX -gyADExQPMxGTIBLjlMAwIyYAV//ZEOOTkQCSAZMClAMR45GCEAHqMKIRAfAxwEAE5BYAAgAR442C -ECMaAAMiApIQEeOKwCGSEATkMYQDgwKCAYEAANIwASMAAAAAEOOFkQCSAZMClAMR44OCEAHqMKIR -AfExwEAE5BYAAgAR43uCECMqAAMiApIQEeN7wCGSEATkMYQDgwKCAYEAANMwATMAAAAAEON2kQCS -AZMClAMR43SCEAHqMKIRAfIxwEAE5BYAAgAR42mCECNKAAMiApIQEeNswCGSEATkMYQDgwKCAYEA -ANQwAUMAAAAAAFyUAV2UAl6UA1+UAEMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAABckAFdkAJekANfkABTAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnJQAHZABnZQCnpQDn5QECJQFCZQGCpQHC5QAQwAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJyQAZ2QAp6QBx2QA5+QBHiQBXmQBnqQB3uQ -AFMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADclAAdkAHdlALelAPflAQElAUF -lAYGlAcHlAgIlAkJlAoKlAsLlABDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA3JAB3ZAC3pALHZAD -35AEtJAFtZAGtpAHt5AIuJAJuZAKupALu5AAUwAAAB///QQA0jEQ//4KAAAAAAAf//1MANMxEP/+ -CgAAAAAAH//9lADUMRD//goAAAAAAAD0MAoAAAAAAPQwCgAAAAAA9DAKAAAAAGwQBokihTMPAgAP -AgD1kURk+AEsMPjiMhQAi5FgDFQR+uIxFAAgQTAoQjoKWgr6opcmAJdCIClCORziK/qbAQ4AkFZQ -LMJ/KiIA/wIACgBJZpAd4ib+CgAgABB4MP3Rfi//EGAw/BYAIAEQYDD6FgIgABBQMPoWASQAIC9w -/QoEIgAAUXBYeD78CgAgBBBoMP4KACAAEEAw+BYAIAAQeDD4FgEiAABasPgWAiIAAFFwWHgzwJYa -4g4tIAaLIvlGOSABEGAw+jYCIAICa3D9JAYqCQBm8PsmAiAAEBAw0Q8A/AoAIAAQSDD5FgAgBBBo -MPkWASAAEHAw+RYCIAAQeDBYeB/Akxrh+i0gBosi+UY5IAEQYDD6NgIgAgJrcP0kBioJAGbw+yYC -IAAQEDDRDwAqIAb+4e8QARBAMP42Ai4JAEZw/yYCIAICUrD6JAYgABAQMNEPwCDRDwAAAAAAAAD7 -XBgiAABQsPwKASAGEGgwWH06wCDRDwBsEASFI4MgFOHd+CEEJCABLDD64dsVsAQ9YPQwF2QAICFw -+HlGCABAUjAJiAIoJQQiQn/RDxbh0x/h1CshBCNCfh3hz/5CfyzHAVgw8jYALgAgN/DzJgEuACB9 -cP8mACACAiuw9UZ/KgBAbvDyRn4qCQBm8PslBCIAABFw0Q8AAGwQBIIjAgJB0Q8AAGwQFiYWGP8K -AiABEGAw+TIDIgAAaXD5FgogABBQMPkWFiIAAHKw+YVACgkBTDD7Fg4iAABC8PUWHCQFAC8w9RYP -KAUAWzD7ChAiAABisP+sAA4FAEPw/hYQLAUAKvD+TgwKACAfMPXuDAAgECgw+xYXLgUARXD4MgAg -IAIp8PUWHyIAADHw/xYZIf4Cc7D+FiIqACBf8P4iByAgAlrw+xYVKOABQDD/iwwOACA/8Py7DABA -AnOw/hYgIBACOfD8IAcoACAaMPsWFCAeAlrw+0sUACACQjD7FiEsIAFgMPwWCSAgAivw/DwQIAGO -XlD5zAAAQAJxsPMJFgBAAivwAEeKAAmIAAeKKmQRKTAZK2wY/hYfIgAAOvD0k/Bh/gJycJsQJTAT -CZcJ+xYIIfoCOfD3FxQOAAFwMPUPQA4AIDuw/xYeLgAgfXD/ZAsgBAJzsP4XFA4AAXQw+xIeLgAg -P/AvFhIG7wv3/BggLgB/sBnhZNMPAAmNAAeK+TAZIEACO/Bks9+dEvcWDSAgAjnwKhIhLYAD9RIZ -L9AEOWAoEheeHC4WHfndDAAQAknwKRYfLRYb/d4JBAAgPXD+7P0h/gJbcP4eFAoAAVww/goBKgAg -dvD97TkAEAIpcP4yAyAEAlrw+x8UCgABXDD9FhEqACB+8P0SGCoAIFqw/IwQIgAAWzD6FiIiAABQ -sFjIXI8dmhv7EgIgHgA34BnhOpnwGeE4wKia8QAJjSkSHrj4bZkCAAiK/RIhICACSXD8nAADZwA2 -4GXUBf4SDCTfADUgZOHqlBYqEhApEg8sFgUoEiz4FhooACBWcCkWEysSBS4SHY0bKhIgLBIVDt0M -W/WDKhIgixgsEhUtEh1b9X+NFioSIPwKACIAAFtwWHQgLxIamvAoEhpkgjUpEhtkkkEoEiIpEh4q -EhstEhIsEhP6qwkB/gJSsPoKQAH6Alrw+xsUDAAgazD8mQgKACBasPmICAAEAlKw/6ckcAQCQjAd -4QUMjBH8bAgAABBIMPANFwHwAmMwsZnyHB4B9AI+YCshBykSHC4SHfrg/BtAAVww/JkQC8AEPuD7 -4PoYCQBecPoSHCgJAFZwmWApEiEvIgD6SgwCAABrsP2qDAACAkpw+pkMD4AEP+D6EhEuCQB+MC9m -Af/g6xAQADegLDAZLhIAD8wCLOYA/hIUIAEQeDD8EhkqBQBL8P0SGCqQBDqg+RIOKgkAWrD6dgAs -ACBzMPoSHyAgAmMwnHH84NwQIgA2YCkSFymcEAoJiAFKiggJiAEKigYJiADKigQJiACKiioSFhng -0S8hGvsiAC4mAVAw+lYDLgAgS7D+4IAugAQ/4P9WAioJAGbwm1AO3Qz9VgEiAAASMNEPAAAs/CD5 -zAABZAA3YGWyCmRBDcCQ+RYdIAAQQDD4FhEgABB4MP8WEiAAEHAw/hYeIAAQaDAtFhsvEiIuEiAq -EhCJH4jhLeEFnRP66QQoACBWcPkWEyACAkpw/5kIC8AEPmD7iAgPwBB4MPjmAS4AQH+w/xYEKcAE -PmD5qgwMACB/cPiqMgCAAmtw+uUEKgFW6hALmwxksqyrjv8CAAoBWXdQLxIsLxYaLhIiyO9t6QUA -CIYATGEoEiAqiQSIgauI/wIABgFtbhApEiCYkWShLyoSGpigY/3EAIoZixpb89ArEhv6FhYtwgC2 -4CsSEioSEykSHigSIquqqpmpiPP+B2AEAkIwAAAA+mQLIGACS/D8nAAAWQA3YC0SIWXQ/GVO8SwS -EIsf/xIsIAAQUDD6Fh0gABBIMCkWEf8WGiAAEHAw/hYbIAAQQDD4FhIgABBAMPgWHioAIGbwKxYT -Y/09AP0WAiAAEHAwnh1j/ByZF/wWBSAAEHAw/hYLIAAQaDD9Fh0gABBYMPsWESAAEFAw+hYSIAAQ -QDD4Fh4gABB4MC8WG5kXnBWKGYsaW/OdLxIhKRIs+hYWIAAQQDDTD/iWACJHALfgKxIiKhIhe6Ej -LBIdZcJd+hIiIgAAWrCJFQuqDPgSFSvwBDqgbakFAAiIAAmKLRIQjB+tzCwWE2P8owCOFC8SIMCA -+PUEIIACc7Ce8Z7w8/65YAAQQDDA8P8WCyAAEHAw/hYdIAAQaDD9FhEgABBgMPwWEiAAEFgw+xYe -IAAQUDAqFhsuEiAsEhCLHyrpBPjiAS/AEHgw/eEFLgBAf7D8EiEqACBm8J8UKxYT/LwIAAICWvD9 -FgErwAQ+4PzMASgAIFow+OYBLcAEOyD8qgwMACB/cPiqMgCAAmtw+uUEKgDX6hALzAzKzqyO/wIA -CgDW91AvEiFt+QUACIYASWEoEiCIgayI/wIABgDpbhApEiCYkSoSICqpBGSgyt+ALhIhKRIVLBIU -LRIsKxITLRYan9D7SwwIACBPMP67DAwAIGFw+RYVIf4CWvD7FgYriwA24C0SHWTQr5wVjhCeGGP7 -QCgSEI8fKRIs+RYaLgAgR/AvFhNj+2GPEw+IDJjhY/1MKRIsKRYaY/1+AAAqEiwqFhoI3Qz9TxQC -AABLMG35BQIIhgBJYy4SIg/uDI8U//xAKAAgbzBt6QUED4YASWUpEiCIFA26DC+ZBKqIKIxA+JYB -LTkAt+Bj/mGIFCsSICiMQJixY/0hiBQpEiDAoPqVBCCAAkIwmJH4lgAgABB4MPP/GmAAEFAwLhIg -jRQrEiIp4QX45gErwAQ+4PuqDAwAIG5w+KoyAIACa3D65QQqAF1qEGS80quO/wIAC/5Uc1Bj/04A -KBIhLxIVbYkJiRcGD4YASWeZFysSFSoSFKur+xYVKgAgUXCaFWP9lAAAjRuLFSoSIAzdDCwSFVv0 -ESoSIIsQLBIVLRIdW/QNY/2ejhEvEiAOiAyY8WP+RwjdDA1PFG35BQgIhgBJaSgSIQ+IDI8UrVn5 -nBAggAJ78G2JBQoPhgBJa4gUDckM+RIgKAAgSjAojECYkWP+NwCIFCoSICiMQJihY/4pCYgMmOFj -/0EAAABsEAwqIAcAtY6TFSUgBfMyAyIAADDw+CICIBMQaDD7FgsqIAFQMPODQAgYADlg/wIADgHd -6VAb33tlgwH6FgYp4AQ+oPjfdxgAIF5w+RYIKAAgHTD5FgknwAQ+oPgKACYAIEXwmBr9EgsgDgA0 -4IwVLMATDAxAnBpk0MyPGo0ZLnI6iRiv3bTd+ZKXKgFe75AocjkrGgD5jwEOAVfOECUhG4piBaU2 -BawM+CIKKgADZtDVoIwpKyAiDIgM+SAjKgAyqhALmQz932YTTgA2YCsgBwsLQQ27CSix3gmNNg2I -DCi13i4gIhjfX4srDu2H/iQiIH4AN6Aogn/wgQQB/gJLsPCZGgABEEAwAIga+Iz/KgAgTvAIuwKb -Kgy5DP8CAAoBiC5QKxoA/Qr/KgAGqtAsIBYPAgB9yUH/FgwqANFRUGAAygAAAAAA/hIFLy8ANOAu -4Blk7yTaIPsSBSIAAGEwW/KIwPGfG/P/EGIAADKwAJwq8/+jYgAAWzArIAf63zoTIBBoMA29Hara -KKKu8MEEAAEQcDAA7hp+iCQd3yEMvBGtzIzAKKKv/OwBAAEQSDD8nDkIABzDkPAARWIAAFMwGd8g -DMgJ+dkICcAEOiCpiCiNCSiMeCmB3i6B3SiB4QnuAfjrG3ABEFAwYAK9LaKxKwoB/e0BAAAQUDAN -ujgKygFkoqaKYv8WDCoAalFQG98XiWOOGwuZAfkWByBMADeg+RYHINQABnAtIRoNDkL8IgkgXgC3 -oAwLQmSwUw/YEXWLTRnfC4hj+RIHKABASjAJ3lD71QwPIAQ7oPhmAygJAHZwmRdgACgA/xYMIgAA -ULD7EgUiAABhMFvyQisSB/8SDCIAADKw+qICITwAhvCMKY4X9a0MAgAAWbD6LAAMACArMP1mAiIA -AGlw/CYJIgAAYTBYdYguGgD6djkqAAarkCsgFi8K/3+5BsCBmBtj/ZX6IAciAABhcFh5pWP/6o0b -jyn6LAACAABZsPMSDCIAAGEw9f8IAgAAcXD/JgkgIAJIcPkWACIAAHjwW/0OKSAUpJkpJBSIYPqO -QHIAAFqw+3Y5IQAQUDD8Cv8qAAeqkCsgFv8CAA4AaWLQghTRD40bZNFUwCDRDwAAixbaIPu8GCAB -EGAwWHoRY//iJCAHHd69GN7E/K8RBgIBIDD6ZhAOACB88PghCCYJAEGw9vYAIAMQYDD7IgAgIBBw -MP32AiQgASAw/vYDJQAEOSD0iAIHgAQ64PzetRYJAGGw9vYBJIAEOmD+IgsgARAwMP0iCSQJADEw -9PYHKAkAYjD49gQqCQBm8Jv2nvn99gggABBwMJ71LiQU8/82YAYCWrBlvEX/AgAB/iEdYCkgIP8C -AAH+G/pQY/88AAD6IAciAABhcFh5V4IU0Q8AKiAF/wIAAf+PhqCLIsDCDLsCmyJj/w4AKiAHH96L -DesM+yQiKiABUDAPrwku8d6t7i713i4gIszljCmcKmAAJxnegymSf40r8JEEAf4CQ7DwiBoAARB4 -MAD/Gv/8/ywAIEdwD90CnSr7rB8gARBgMP0KACIAAFCwWHnCihtlrqraIPsSBSIAAGEwW/G4wCDR -DwAAixb6LAAAARBgMPu8EiAAEGgwWHm3Y/56AAAAAABsEAYqIAeIIv3eaxogAVAw9YC8Z8AEOqAY -3lAt0ID83k8WACBBsPtiOiBuAmtwDU0UpN38rAoABAJrcPzClyoAYO7QK2I5DLsB+xYBIL0ANuAv -gkoa3llk8I0qon8pgkn6mwEOAEJWUCwgFAzEh/wkFCwAeJMg+RIBLOABLDD4PBAiAHUbYPhBEGAA -ECgwKkz/bakFAAiGAElhixGHMicmHPgyASH+AmEwDMwRrLv7FgAg3ACGMPaAiWAAEBgwo02w3f1m -OSIAABFw0Q8AAAAA+iwAAgAAWPD8TAACAABpcFh2QdKg0Q8A+iwAABwQWDD8CgEgARBoMFh5dmP/ -0QAA8/9FYAAQWDAAACusGPwKASIAAFCwWHlvY/+0AAAAAAD6LAACAABh8Fv4W4sQKDIBKhYC86wA -DcAEOqD3j39qACBm8Nog/XwAAAAQYDBb+CzyXAAMACAesK1NsN0tZjnRDwAA2iBb9t5j/woAiSeI -mf2ZFC/AECgw95EVIEACWnD8ShEEAEAu8PrdDAAgAkIw+JYJLAAgKfD43TIAgAJjMP2VFCoATOIQ -aKsxqKcnfPB3wzX/EgEgNgA9ILBJbZkFAAiGAE9hh7Gnp/25BCHgAjnwfHFv97YBIgAAQfBk0Enz -/rZiAAAqMAAIzQyMEQ1PFG35BQIIhgBMY4wR/08MAIACQXD48Q9sACBrMLD+bekFBAiGAExlL7kE -DagMqFgojDD4tgEvugC34ClcQJmx+bYAIAAQQDAotQRj/6IHiAyYmWP/YihcQJixY/+PAAAAbBAU -gyeDPlnJT1nJRSgyGvWsAAIAADLw9AoAI24ANiApMhr3CgMiAdKCYCoyGv8CAAQBhIKgKzIa/wIA -AgF/BuAsMhr/AgAGAdwHIC0yGv8CAAgB9YNgLjIa/wIABABFB6AvMhr7CmQm/gA74MFUKDIbsYj4 -NhsqAX2uECkyGvU6ICYB/YZgKjIa/wIACAGEAqAkNhosMskrMCX0Nhsv9xBoMP0KDSwAQGsw/DbJ -IBIEavDAINEPANog8jwQKgAgLPD8LAAAbhBwMFlEIh7dpwAOiwBCYQBCYQBCYQBCYQBCYWP/zQAA -APzdohAFEFAw/TIaIDAQWDBZzNMoMhonMmb5MmcgARB4MPkWHSH0AkIwCE84/xYcIDgAOmD93ZYS -AABZsPpcAAAAEGAwWc1QKRIdq5kqMmYPAgAPAgD5Fh0gPgA6oPtsAAIAAFFw/d2JEAAQYDBZzUb5 -Eh0mACBd8Ck20isSHCc20yQ2G/Q2GiMgADbgKD0DGd2ALDJkLTJlLjJiLzJj+yIHIAEQUDAqNs4v -NssuNsotNs0sNsyFk4ySg76LkYmQLTLKLjLLLzLMJzLN+oUiIEAQMDD2hSMgIAJQcJmglaMrpgEs -pgL83WsQAxBAMPg2ziAwEFgw9xYAIAUQUDBZzJgkFCIkFCMkFCQkFCUkFCYkFCckFCgkFCkkFCob -3V8uPQMo4Dcv4DYvFC4oFC+KsI2yibEu4DX+FC0ggAJgcJnBncL6xgAgAhBoMP0UISABEFAw+hQr -IP8QSDApFCwpFCCLs/vGAyBAAkBw+oICIGACSHCakouBm5GMgJyQiIP4lgMiAABQsFjP8yQ9AysW -FSoWFC0WF/wWFiCgAmhwjNGK0vnSAyDAAlhwmbOaspyxjdCdsChJKsDg/woBIgAAULD4jAEgABBo -MPj9OACAAmBwWAF1Lkkq/wIAAADjA6AoSSv7HGAiAABQsP8KASBgAmBw+IwBIAAQaDD4/TgAABBw -MFgBaSlJK/8CAAAAwoJg+xwQIgAAULD9PQMgYAJgcP3cKCABEHAwWOy2LjLP/N0bEgAAULD+7AEg -ZBBYMP42zyABEGgwWUid+jbQIAAQEDDRDwDApfzdEhAwEFgwWcxALzIbKApk+P8oAgAAULD/Nh4g -AxBYMFhNJPc2GiAUECgw8/0CYGQQWDAAANog/N0FEAAQaDBZSIn6NhwgABAQMNEPx5/5NhwgABAQ -MNEPwKX83P4QMBBYMFnMKfosAAAAEGAw9TYIABEQaDD7bAAAbhBwMFlDZvosAAIAAFmwWUVPY/zF -wKX83PEQMBBYMFnMHCwyGy0KZA3MKPzMZCIAAFCw/DYeIAEQWDBYTP/BVPP8dGBkEFgwAMCl/Nzl -EDAQWDBZzA8oMmApMhslMmHaIPmZCgAFEFgw+FUMCfAEPmD5Nh4gChBAMAhVLFhM7/P8NWPoEFgw -wKX83NcQMBBYMFnL/ygyYSkyGyUyZ9og+ZkKAAYQWDD4VQwJ8AQ+YPk2HiAKEEAwCFUsWEzfY/++ -ACpFK2P+eNogWAMDwCDRDwAqRSpj/jcAACsyYNog/NzCEGQQaDD9uygAARBoMFlIP/o21CAAEBAw -0Q8AAGwQChvcu4YnibKFs4Zu+rIBICACQHCagZWDmYKLsJuAiyslQQD5IDkgBBBQMPxBASAYABLw -8AAGagkAUnDakP0hGyAqAAbwGNyrBNQR8AAJZAkAQTAAAADAQBvcqBjcppgzLyAM/iANKuABUDDw -qhEAABBIMPk1Ai+ABD/g/DUDLgkAe7D83J4aCQBysPsKMCoJAFqw+jYAIAUQUDBZy70Y3JkISAL4 -NgIgMxB4MC8UIC8UISkQHywQHi0QHS4QHC4UIv0UIyAgAlDw/BQkIEACWHD5FCUgBhBgMFnCsio8 -FvwKBiB0AliwWcKuK20DGNyHGdyHHNyHH9yE/zQfIGAQcDD+NB4gOhBoMP00JCCGEFAwKjQc/DQd -IEwCUPD5NCEgEBBgMPg0IC//EEgw+TQlIdACWvBZwpsqPDb8ChAgIAJYcFnCl/zcdBBAEFAw+jQl -IBEQSDApNCT/Yh0iIhBIMPk0RyIjEHAw/jRJIAIQaDD9NEgogAQ5YPgKAi4JAEfwKDRGD4oULzRR -KjRQCooUKjRP+ooUADAQWDD6NE4iAABpcP5iHSAFEFAwWct52lBYy8r3rzZyAAAisP6vRHBCECgw -fU9X/wIAAgBS8RB5T2pxT3crXMorNEsrNCMLixQrNEr7NCIgABAQMNEPK2Ie+jxSK+ABXDBYy6v1 -rEIhiAB5MPU6CAD4Almw/GD8ICACUrBYy5f9R6x0ACAusCxtAfvM/SoAICjw/MB9ICACUrBYy4Pz -/45kACAusKU6KqwQWMs58UeMdAAgLrClOiqsEFjLLKWrK7zKKzRLKzQjC4sUKzRK+zQiIAAQEDDR -Dy9iZi1iYSxiYPliZyIAAFCw/m0CKgAgLPD+7IggIBBAMPkWACgAQEEw+BYBICACWvBYyzDz/ydk -ACAusGwQBIgwLQoI+TIBINQANiCKJxnb/i6pFIuoLKEV/u0BL8AQQDD0ogshQAJzsP+sICoAVPcQ -+OwADgBAR/D+vQEsACB7MPzMQCYAX90QLuyg+KUUKgBgdxD/AgAGAGTnkJ6oHtwD8AkHAgAASvAA -SWEASWEASWEASWEASWEASWEASWEASWEASWEASWEZ2/qZsIog+Nv5EJwCe3D/tgUgChBgMPi2AiuA -BDqg/rYEKgkAYrD6tgEgJAA24C8Khf+1ECB8AkNwmLnwAxYAUAJy8ABOYYoiyqDAINEPwCzRDwAA -ZZ8sijJlryeLM2W/IvP/H2AAEGgwAAAA+iwAAAMQaDBYCe3AINEPAMDAnKvz/1JiAABZMAvODA7+ -DC7tAS7s4J6oY/88KPxAmKhj/zQAAABsEBQjFhyJJyYWG4griZ76LAAP/xBwMP4VCCIAAGCw8YYR -cgAAEnAqwhEPAgAPAgCCp4IuKhYaKRYZ9i0DIDMQODAnFBknFBgjQAwjFBovQA0vFBv9QA4iAABY -sP0UHC//EDgw+EAPIFgCMbD4FB0gABAYMCmy6v8CAAAAWAHgZJCtLhwY/WwAAAYQQDBtig8q4AAv -0ICx3f7sAS4AtnqQAzcC/BYYIEkANWAc26grEBsrFgAqEBz6FgEgMxBoMPkQHSAzEHAw+RYCIDAQ -WDD/EBogBRBQMFnKuCoSGfwcECIAAFkwWMpM/BIYIK4APqAa24CPo4ii+aIBIEACaHCZ0ZjSL9YD -KqIA+tYAIBAQcDBt6g8v0AAuQACxRP75cHACAmtwIhkI0Q8AAGWfU9cw+7wMIAICGPD2bAwl/5wc -4GP/X2hwqQd1CQJVCilS6sidsZgoVupj/5YAAAAAAAAA+l0EIDACWHD6rKwgBhBgMFnBlSoSGvwK -ACAwAlhwWMnILBIYKVLqY//GAAAAAAAA/vMGf/8QaDDA0WTfhisSGdMPK7L7/BYYICIAOuDawFlG -+C0SGcfPLNb7KhIZ+woEIAAQYDD+CgIgYAJocFjNwvsSHCIAAGqw+hIYIGACYHBYzZ8uEhtk7zoq -Ehgc21n9CgAh9BBYMFlGxy8SGSIZCCr2+9EPAAAA+vMGf/8QaDDA0WXfGmP+h2wQHhbbNYUniGOK -YothhV78YgAgIAJIcJyQm5EqlgIolgNZxp5ZxpQc20X+TAACAAA68P08AAIAADKw+kMRAAUQUDDz -FjIiACAdcP8yviAwEFgwWcpTLzK+0w//AgACAbID4P8CAAIBJB/gLDK//wIAAgEhxyAc2zItMrou -MrsvMrz4Mr0gABBIMPk2vyAEEFAw+BYAIDAQWDBZykHAxPs9Ay//EFAw+jbAIgAAULD8Nr4h0AJa -8PsWMSAAEGAwWMsfKxIx+lwAD/8QaDD8PQMv/xBwMPzBAyABEHgwWUQF+QoAJNQANSAuMsIPAgD5 -FjAgsgA7oP3a9RIAAFnw+mwAAAAQYDBZyrAvMrkmMsIPAgAPAgD7ZgwADgBz8CZSYPoKBSAwEFgw -/NsHEgAAabBZyhvaIPza8BBkEFgw+2soAgAAaTBZRm0qNsTaIFjNkSoWFCsWFfwWFiAAECAw/RYX -IKACYHD9wgEgAhAwMP/CAyABEDgw+cICIMACQHD5hgIgARBwMP+GAyIAAFCw/YYBIgAAWjD8wgAg -ABBoMPyGACAgAmBwW/8QJhRxJBRyJBRzJBR0JBR1JBR2JBR3JBR4JBR5JBR6JxR7+z0DIP8QYDAs -FHD8FHwhAAJa8CmwdyqwdioUfikUfyuwdfsUfSDgAmhw/tIBIP4CQHD/0gMgAgJCMJ+D/oYBIgAA -YjD50gIiAABQsPmGAiDAAlhw/dIAIAEQcDD9hgAgABBoMFv+7itdAi2wBRTao9MP+irgJAGoB2Au -MrkPAgD85wpwDRBAMC9QJXjxJ/oSMCoAIFTw/AoAIAAQcDD5Cg8gEBBoMPosAAwFAFZwWUEMwCDR -DwDA0P4KACIAAFCw+z0DICACEXD8LAABwAJa8FlBBAAEiwBCYQBCYQBCYQBCYQBCYcAg0Q8AAB3a -hiMSMognidOM0YiO+9ICIEACUHCbopyhmaP82oAQAxBIMP3SACIAIETwKTa+LzK8LjK7KDK9/aYA -IAAQMDD9MrogMBBYMPgWACAFEFAwWcmmFdp1LT0DJhQ6JhQ5JhQ4JhQ3JhQ2JhQ1JhQ0JhQzJhQy -+D0DIAIQUDD6FDEgARBIMPkUOyD/EFgwKxQw+xQ8IQACQjAvgHYugHcuFD8vFD4ogHX4FD0gYAJY -cPmyAiCAAkBwmYKOsf+yAyHQAmtw/4YDIgAAULD+hgEiAABiMPuyACABEHAw+4YAIEACWHBY6eos -Mr/7CmQiAABQsPzMASIAAGkw/Da/IgAAYXBZRdH6NsAgABAQMNEPAB3aQyMSMognidOM0YiO+9IC -IEACUHCbopyhmaP82j0QAxBIMP3SACIAIETwKTa+LzK8LjK7KDK9naD9MrogMBBYMPgWACAFEFAw -WclkKz0D+toxEQACWvAtsHcssHYsFD4tFD+JoIihj6IrsHX7FD0g/gJwcPqiAyAiAnOwmOGf4vnm -ACACEHgw/xQxIAEQSDD5FDsgABBAMCgUOigUOSgUOCgUNygUNigUNSgUNCgUM5rj+BQyIP8QcDAu -FDz+FDAgYAJwcIji+eIBIIACeHAp9gEo9gIq4gAq9gAu4gP+9gMiAABQsFjMuioWKCwWKv0WKyD+ -AmBw+xYpIEICazCP0YXQ/tICIGICWzCespWwn7ElPQP/WQoiAABQsP3SAyAiAmMw/bYDIAEQcDD/ -/AEgABBoMP/tOAAAEHAwW/45KFkK/wIAAABnAiD4WQsiAABQsP4KACCAAmBw/woBIAAQaDD4jAEg -/gJYcPj9OABiAlrwW/4sKVkL/wIAAABQAmAV2eb7HCAiAABQsP09AyCAAmBw/dzoIAEQcDBY6Xgu -Mr/6LAACAABhcP7sASIAAGkw/ja/IGQQWDBZRV/6NsAgABAQMNEPAAAAAAAA8rzwIgAAULD8CgAi -AABYsFlAiwAEiwBCYQBCYQBCYQBCYQBCYWP89i9Ss8eM+P8BAgAAULD/VrMiAABZMFjLGvP7FGAB -EEgwKlUKY/8vAAAqVQtj/10AAGwQBIMngz4oMhpkgPooMhpohwTAINEPAMCY+TYaIAYQIDD7PBAi -AABRMFjH84wnLskUi8j9wRUgABAoMP7tAS/AEEAw9sILIUACc7D/zCAqAEx3UPjsAA4AQEfw/r0B -LAAgf3D93EAmAF9dkC7soPjFFCoAXvdQ/wIABgBjb5CeyBnZlh7ZtxjZsPAJBwIAAErwAElhAElh -AElhAElhAElhAElhAElhAElhAElhAElhGdmlmbCNICwKCvi2AiAgAnqw/rYELYAEP2D/tgUsCQBn -cP22ASASADbgLSICJLUQ+rURIDQAN2D82YUSAABQsP0KACPoEFgwWUUGKjYc9TYbIAAQEDDRD8Dn -LjYa8/8RYAUQIDAAAAD6LAAAAxBoMFgHmWP/wZXL8/9VYgAAWbAL2AwI+AwojQEojOCYyGP/Pyn8 -QJnIY/83AAAAbBAIGNmFHNmFioGLgImCmRKbEPoWASIAAGiw+IIDIAUQUDD4FgMgMBBYMFnIkIsr -KSA5jEH9IRsgHAAS8MCk8AAGagkAUnDakPjZYBAeAAbwBNUR8AAGZAkARXDAUB/ZcJ8z/iAMIAAQ -MDD7IA0o4AFUMPrZWBkABD5g9jUCL4AEO6D8NQMqCQB28PzZZhgJAF5w+pkCADAQWDD5NgAgBRBQ -MFnIci4aDg5eAv42AiAzEGgwLRQQLRQRKBAPLBAMKxANKRAOKRQU+xQTICACUPD8FBIgIAJYcPgU -FSAGEGAwWb9m+jwWIHQCELD8CgYiAABYsFm/YRvZPBjZORnZOR3ZOy00Hfk0IC//EHgw/zQlIEwC -UPD4NB8ghhBgMPs0ISBgECgw9TQeIBACWTD8NBwgOhAoMPU0JCAQEGAwWb9PKjw2+xwAABAQYDBZ -v0smNEcmNE0GiRT5NEwvhRBAMCg0RgmJFCk0SwmJFCk0So5C+kIDIAAQeDD/NCIgCBBIMPk0IyAX -ALegzK8rQgQPAgD8QgUgBwC24GTAHPssAAABEGgw/TROIKACUPD9NE8gBhBgMFm/McGQ9o8UADgC -EHD2JAMgABBwMC40Iv8kAiAQEGgwLTQjD48UKkEFKEEHLUEJLkEILyQBK0EKD48ULyQA/0ELLeAB -SDD1FB8j8AFIMPxBBiIAIGCw/0EEKgAgfvD9EQcuACBrsPgRAywAIEMw+hEBLgAgV/D8EQAuACBn -8P8RBS4AIHuw/hEEKgAgdvD6EQIsACBTMPsRBiwAIFsw/xEOLgAge7D4EQ8qACBCsPyqCAoAIG7w -+yIIDgAgU7D4/wgOACATsK/u/g9PA/ABcDCvL/8PXw4AIBOwr+4uNEkOjhT+NEggABAQMNEPbBAG -+goFIDAQWDD82N8SAABosFnH7osrKSA5jEH9IRsgHAAS8MCk8AAGagkAUnDakPjYvhAqAAbwBNUR -8AAMZAkARXAAAAAAAADAUB/YzZ8z/iAMIAAQMDD7IA0o4AFUMPrYtBkABD5g9jUCL4AEO6D8NQMq -CQB28PzYwhgJAF5w+pkCADAQWDD5NgAgBRBQMFnHzvo8ECEOEEAw+0xAKAkAQXD4NgIgBhBgMFm+ -y/o8FiB0AhCw/AoGIgAAWLBZvsca2KIY2KAe2J4f2J4vNCD+NB8gYBBoMP00HiAgAlkw+DQhL/8Q -YDD6NB0ghhBIMPk0HCBMAlDw/DQlIDoQSDD5NCQgEBBgMFm+tCo8NvwKECBAAlkwWb6x9jRHL4gQ -cDAuNEaNQi00TQ2NFC00TA2NFP00SyCcAlDw/Y0UAGACWTD9NEogEBBgMFm+pIpDwPD/NCIgGBBI -MPk0IyApADag+ywAAAYQYDD6NF4gARBAMPg0XyDAAlDwWb6YwKjwAAZgIBBIMMCg9o4UAAgCeHD2 -9AMgMAJqsC00Iy70Ag2NFA6OFC70AS00IiVBDSdBCStBEyxBFS1BFChBCw6OFCJBCi70AC5BEi9B -D/hBDiIAIECw/EEILAAgZ3D7QQwuACBbsP9BFigAIHow90EQLAAgOzDyzAgKACAu8PxBESoAIGbw -9UEXIDoQEDAiFAf7iAgGACBl8PIRAyYAIEXw+QdPDgAgO7D+EQIsACB3cPkPXwwAIH9w9/8IDAAg -L3Dy7ggMACB/cK7d/Q5PD/ABbDCu/v4OXwwAIH9wrt0tNEkNjRT9NEggABAQMNEPAAAAbBAELEAB -LUAALTQALDQBKkADK0ACKzQCKjQDKEAF+UAEIAYQYDD5NAQgdAJYsPg0BSAMAlDwWb5NH9goHNgm -GdgkHdglLTQR+TQPIGAQQDD4NA4iAABZcPw0ECAsAlDw/zQNIIYQcDD+NAwgOhB4MP80FC//EHAw -/jQVIBAQYDBZvjrbYPwKECBMAlDwWb43vjLRD2wQBCkgBy8hBxjX6v8PSggCAUww+pkQD8AEP+AJ -/wL+MgAuCQBH8J9AjSD/1+QfAAFwMP5GAy2ABD9g/0YCLAkAbXD9RgEgIAJg8PAMFgAgAlkwAEth -iUQJClP6rAQgQAJA8P5MICsABDqg+pkCAfwCUXD5RgQiHgA5YNngbakFAAiGAElhLDAnLTAmLeQA -LOQBKjApKzAoK+QCKuQDKDAr+TAqIAYQYDD55AQgTAJRMPjkBSBMAljwWb4HIkwh0Q8AAAAAAAAA -bBAEiiBloFUd1+4LPhGu3S3Sf/oiAyABEGAwDEw3Dcgs+N0oCiABUDD3JQUqCQAysP3MDAACAlow -+/r8KAUAYvD7qgEJkAQ+IPlZAgoJABqw+iYDKAkASjAoJQTRD48jG9fZDw9BC/4Rq+stsn/8sn4g -OAgTcNnA8pkccAAQYDDAwCy2fyy2foogYAANANjA8oFZcAAQYDBy0R2NIZrQjiApsn2d4Zwg/CYB -If4CSnAptn1j/1EAAAAZ14gY14mpiKjoeKEZ+rZ/IB4At+DNpiqyfWqiES2yey22f2AACAD8tn8v -7AA34IogY/+tHNd7Gdd8iCGsmanp+YkMAAAQYDAJyDgotn5j/40AAGwQBPXXrhABEDAw9CwAAAMQ -EDDwAAdmAQAxMACwIihSf/Vc4Cv/+8WQ0Q8AbBAE9CISIogBGDDyIg8F8AEcMAQiCoQmgiEFRCgK -RBGkItEPbBAEKiISLCAH+uhRAAMQcDD8DEEAAGmGIArNUf8CAAAAagdgHddQDMsR+NdPGgAgbvAv -sjoIyAr4gpciAGJH4C+yOfj9AQ4AXMfQLCEHH9dQDAxKDMwRD8wCnND814UQABBAMPoiACgoAVQw -+NYIKcAEPmCZ2fjWBiAwEEgwmdcY13341gUvgAQ+oPzXQxoJAGKw+tYELgkAd/Cf0fzWAiAYEHgw -L9YDGtd0LrY5KSIS+iIQKAkAVnD5JhIiAABYsFjQ6gUNR2jSGYon+woBIAAQYDD6rCAgARBoMFhq -MdKg0Q8AwCDRDysaAAuqAiomEmP/JC0qAA2qAiomEmP/I44iyO4FD0do8h/AINEPAAAAAAAA+8wY -IgAAULD9CgMgABBgMFhybmP/2Ywn+ckUL8AQWDD6wgkgQAJDMAuIAfiMQCAgAkpw+cUUIeACUrD6 -xgkqABlCkC3BFfjXNRoAIFNwmsmYoI8gHtdF+P8RAAEQEDD+pgIuCQAX8P+mASAAEBAw0Q8Y1yqY -oI8gHtc8+P8RAAEQEDD+pgIuCQAX8P+mASAAEBAw0Q8AAABsEBiSEI4gFdcziSOLIYoiKhYiKxYk -+RYjIAEQIDD0FgcgABBAMPgWBSAEEGAw/BYKIAMQaDCdGfUWBiACEHgwLxYI9dclEAoQeDD/FhAg -CxBoMP0WESAMEGAw/BYSIAgQQDD4Fg4gCRAgMPQWDyAHEEgw+RYNIAUQWDD7FgsgBhBQMPoWDCAN -EFgw+xYTIA4QUDAqFhT01xEQDxBIMPkWFSAEEEAwKBYEhhaJFSdhfiJhfweZKCsSJPwSIigAIEyw -+ELkKGABTDADmQr+kgAiAABTsCZiPi0SI/9QgC4AIEOwC2AAjhcH7igsEiT9EiIuACBwsPhC5S5g -AXAwA+4KjuAvUIH6FhYiAABasPoSIy4AIEOwC2AAjhgH7igsEhb9EiQuACBwsPhC5i5gAXAwA+4K -juAvUIL6FhciAABasPoSIi4AIEOwC2AAjhkH7igsEhf9EhYuACBwsPhC5y5gAXAwA+4KjuAvUIP6 -FhgiAABasPoSJC4AIEOwC2AAjhoH7igsEhj9EhcuACBwsPhC6C5gAXAwA+4KLuIAL1CE+hYZIgAA -WrD6EhYuACBDsAtgAI4bB+4oLBIZ/RIYLgAgcLD4QukuYAFwMAPuCo7gL1CF+hYaIgAAWrD6Ehcu -ACBDsAtgAI4cB+4oLBIa/RIZLgAgcLD4QuouYAFwMAPuCo7gL1CG+hYbIgAAWrD6EhguACBDsAtg -AI4dB+4oLBIb/RIaLgAgcLD4QusuYAFwMAPuCo7gL1CH+hYcIgAAWrD6EhkuACBDsAtgAI4eB+4o -LBIc/RIbLgAgcLD4QuwuYAFwMAPuCo7gL1CI+hYdIgAAWrD6EhouACBDsAtgAI4fB+4oLBId/RIc -LgAgcLD4Qu0uYAFwMAPuCo7gL1CJ+hYeIgAAWrD6EhsuACBDsAtgAC4SEAfuKCwSHv0SHS4AIHCw -+ELuLmABcDAD7gqO4C9QivoWHyIAAFqw+hIcLgAgQ7ALYAAuEhEH7igsEh/9Eh4uACBwsPhC7y5g -AXAwA+4KjuAvUIv6FiAiAABasPoSHS4AIEOwC2AALhISB+4oLBIg/RIfLgAgcLD4QvAuYAFwMAPu -Co7gL1CM+hYhIgAAWrD6Eh4uACBDsAtgAC4SEywSIQfuKC0SIP9QjS4AIHCw+ELxLmABcDAD7gqO -4JoT+hIfIgAAWrD7FgEuACBDsAtgAC4SFIwRB+4oLRIh/1COLgAgcLD4QvIuYAFwMAPuCo7gmhL6 -EiAiAABasPsWIy4AIEOwC2AALhIVLBIjB+4ojRP/UI8uACBwsPhC8y5gAXAwA+4KjuD6EiEiAAA6 -sCcWIvjuCAIAAFnwC2AAjhEqFiQpEhItEhWPFSsSEywSFPgSESAgAnvw/xYFICACWvD7FhMgIAJj -MPwWFCAgAkIw+BYRICACa3D9FhUgIAJKcCkWEo0fiRyIG4weix3/EhAgIAJCMPgWCyAgAmMw/BYO -ICACWvD7Fg0gIAJ78P8WECAgAkpw+RYMICACa3CdH4kWjRmPGvsSByCAAiEw/BIIICACa3D9Fgkg -IAJ78P8WCiAgAilw/xIEICACYzD8FgggIAJa8PsWByAQAkpw+RYGIf4Ce/D/FgQr7wC34IkQixKP -E46QjZGMkviSAy4AIHuw/pYALAAgV3D9lgEsACA7MPyWAigAIFowmJPRDwAAbBAEKSIVK/qA0w/5 -CUUANxBAMPmMDAoAIBJw+6QQICACILD6rBEsAFzKEMCwWbwFLCIVKyIU8dQEDdAEPyD9JhIiAABA -sPy7GAADEEgw+yYTIAIQUDBtqgyOhATujv6GBCAIAkIwDwIA0w/TD22aIfmCBCAgAkIwioGLgoyD -BJmOBKqOBLuOBMyOmYCagZuCnIP7TAACAABQsFv+nYogiCKJIY8jBIiOBJmOBP+OBKqOmiCfIykm -AfgmAiIAAECwGdWLAAKGAENh8AkXAAsQSDBtmgIACIrRDwAAAAAAAAD7CgAgEAJjMFm71vgsAAAE -EEgw0w9tmiH5ggQgIAJCMIqBi4KMgwSZjgSqjgS7jgTMjpmAmoGbgpyD+iwAAgAAWTBb/nvaQPP/ -AGA4EGAwAGwQBioiFfgiFCBAEDAwDwIA+koICKABVDD5ZgwKAAOikCiMASgmFComFQYqDPqsUCoA -e7UQ+zwAAgAAYbBZu6r4LAAABBBIMA8CANMPbZoh+YIEICACQjCKgYuCjIMEmY4Eqo4Eu44EzI6Z -gJqBm4KcgyUsEPosAAIAAFlwW/5YBkcM/wIADABXReD2NAgCAABRcPZ8AAAAEBgw9BYAIgAAQTD2 -CBYABBBIMABKZwQIhgBKZQIIhgBKYwAIhvAKoAIAAECwbZoh+YIEICACQjCKgYuCjIMEmY4Eqo4E -u44EzI6ZgJqBm4Kcg/osAAIAAFlwW/47+lwAAAICGPD2bMAggAIhMP9tmmIAAEEwixD6PBECAABR -cPx8DAoAIF8wWbty0Q8AAAAA+zwAAgAAYTBZu23RD6Y8nBDz/9BgABAYMAAAAGwQBBjVYBnVXhrV -XBPVX5MjmCKZIfomACAAEFgwKyYVKyYU0Q8AAABsEAbeIPTiECIAAGEwG9VQ90IHIgAAUfD/XPog -ExBAMPNCFSIAABDw93IOKgAUQ9AY1U2aEwj4CoiAnBKeEQqAAJMQKrKV/FwAAgAAWLBZv7Zkpc/z -RhUgABAQMNEPAAAAACviEgubUv4WAS/oADbgGtU48xYAIgAAWLD6orciAABhcFm/qWSlghrVMdsg -+qK5IgAAYXBZv6Rkpuka1S3bIPqiuyIAAGFwWb+f9KaWY3wQMDAa1SfbIPqivSIAAGFwWb+ZZKbp -GtUi2yD6or8iAABhcFm/lCN9A/8CAAEAAhjw/wIAAAQ7KqArMNnBWP8CAAQCwKrQabchJTTZixD7 -RhUgABAQMNEPkxAqso38XAACAABYsFm/hGSnJ4sQ+0YVIAAQEDDRD5MQKrKb/FwAAgAAWLBZv3xl -rxj6HAAACxBYMFjM9vsKASAAEBgw+rM4ABwANqD6EgIiAABZsFm7wsipHNUBjREMrDYs1hdlMyuN -EP1GFSAAEBAw0Q8AAAAuQG5k7s2TECqysfxcAAIAAFiwWb9lZa66+hwAABYQWDBYzN77CgEgABAQ -MPqyOAAVADag+hICIgAAWbBZu6osfQMqxShlItSNEP1GFSAAEBAw0Q8AkxAqsqP8XAACAABYsFm/ -UWSiuxrU2tsg+qKPIgAAYXBZv0xlrlj6HAAABRBYMFjMxvsKASAAEBAw+rI4BQYANqD6EgIiAABZ -sFm7kixAb/8CAAACeG8QZKToihP7CgUgDRBgMFjMoNKg0Q+TECqyqfxcAAIAAFiwWb82Za7I+hwA -ABIQWDBYzLBkojsrQG7TD2S3k/oSAiIAAFmwWbt9LEIWCsw2LEYWixD7RhUgABAQMNEPkxAqsqf8 -XAACAABYsFm/JGSiNxrUrQIrAg8CAPqioSIAAGFwWb8eZa5o+hwAAA4QWDBYzJhkodv6EgIiAABZ -sFm7Zyt9Aiq1FIsQ+0YVIAAQEDDRD5MQKrKZ/FwAAgAAWLBZvw9koioa1JfbIPqipSIAAGFwWb8K -ZKOHGtST2yDTD/qikyIAAGFwWb8FZa4C+hwAAAcQWDBYzH5koXUrQG5ktwMa1IiLEvqi3yIAAGGw -Wb77ZaZmK0BvwMgMuwIrRG+LEPtGFSAAEBAw0Q8AAJMQKrKv/FwAAgAAWLBZvvBkoe8a1HnbINMP -+qKRIgAAYXBZvutlrZr6HAAABhBYMFjMZGShDStAbmS2ihrUbosS+qLfIgAAYbBZvuFkppkrQG8s -Cv0MuwErRG+LEPtGFSAAEBAw0Q8AkxAqspf8XAACAABYsFm+1mShtxrUX9sg0w/6oosiAABhcFm+ -0WSi2hrUWdsg+qKrIgAAYXBZvsxkrFca1FXbIPqisyIAAGFwWb7HZaxEGtRQixL6os0iAABhsFm+ -wmWkdYsRK7ISC5lSyJlokgf/AgACAPYeYIwRK8YS80YVIAAQEDDRD5MQKrKH/FwAAgAAWLBZvrVk -oXoa1D3bIPqiiSIAAGFwWb6wZayv+hwAAAIQWDBYzCnKohrUNYsS+qLfIgAAYbBZvqhlrI+KE/sK -AiANEGAwWMwJ0qDRD8Ag0Q8AAAD6HAAADxBYMFjMG2Sv6voSAiIAAFmwWbrrixAsfQIqxRX7RhUg -ABAQMNEPAAAAAAD6HAAAERBYMFjMD2Svui1AbmTVJilAb/8CAAH+FvpQ/wIAAf4S/lD6EgIiAABZ -sFm62S5CFwruNi5GF4sQ+0YVIAAQEDDRDwD6HAAAChBYMFjL/WSvci9AbtMPZPSW+hICIgAAWbBZ -ussoQTT/AgAKAPRSEIoT+woKIA0QYDBYy9rSoNEPAAAA+hwAABUQWDBYy+1krzL6EgEiAABZMPwS -AiIAAGmwWMtyixD7RhUgABAQMNEPAAAA+hwAAAkQWDBYy+FkrwIpQG5klDka0+yLEvqi3yIAAGGw -Wb5eZaJ+K0Bv/RIAIAEQYDD9RhUqCQBm8PtEbyAAEBAw0Q8AAAAA+hwAAAEQWDBYy89krroa09uL -EtMP+qLfIgAAYbBZvk1lqySKE/sKASANEGAwWMuu0qDRDwAAAAD6EgIiAABZsFm6lP8CAAQBcQag -xy/RDwD6HAAACBBYMFjLu/sKASAAEBAw+rI4ACkANqAsQG4PAgBkw6Ma08n7EgIiAABhsFm+NWWi -ki1Ab8DoDt0CLURvZS41jxD/RhUgABAQMNEPAPoSASIAAFkwWMuxZa+cKzDZY/puAAAAAPocAAAQ -EFgwWMuhZK4CKEBu0w9kgxX6EgIiAABZsFm6bylCGIsQ+0YVKAMAVnD5RhggABAQMNEP+hwAAAMQ -WDBYy5Nkrcoa05+LEtMP+qLFIgAAYbBZvhHz06MRBAC2oIsRK7ISC8lRyJlokgf/AgAD/5EeYI4R -/BIALABAHvAt5hL8RhUgABAQMNEPZS2BjxD/RhUgABAQMNEPAAAAAAD6EgIiAABZsFm6TCpFNIIQ -8kYVIAAQEDDRDyN9A/M8fCAAEFgw+jwAAIAQYDBZuZP6EgIiAABY8FjLxyN9AyM8gCsw2cDEDLsC -+jT7KuABXDArNNlj+WkAAAAjfQMjPIAoMNnAkvoSAigJAEow+DTZIgAAWbBZujErMNkqNNhj+T8A -AAAAihJZmwgofQMogPx4qRqKElmbBPt9BCIAAGKw+hICIfoCWvBZvdRkobXAovzTZhAwEFgwWcJh -xy/RDwAa01mLEvqixyIAAGGwWb3LZa4fixErshILyVFokQpokgf/AgAD/wceYB7TLQO9Af4SASwJ -AHdwjBAt5hL8RhUgABAQMNEPihP7CgkgDRBgMFjLH9Kg0Q8A+hwAAAQQWDBYyzNkrEoa0z+LEtMP -+qLFIgAAYbBZvbHz00UQrAC2oIsRK7ISC+lRyJlokgf/AgAD/tEeYI4R/BIALABAHvAt5hL8RhUg -ABAQMNEPGtMuixL6os8iAABhsFm9oGWtc4sRK7ISC5lSaJEKaJIH/wIAA/6xHmAf0y6CEf19Ay4J -AH7w/yYSIQACa3As0NnA4Q7MAizU2fNGFSAAEBAw0Q8AihP7CgggDRBgMFjK8dKg0Q8jfQMjPIAr -MNnAwQy7AgsLRys02WP32BrTD4sS+qLHIgAAYbBZvYFlrPeLESuyEgvpUWiRCmiSB/8CAAP+cx5g -HdMQA7wB/RIBLAkAazAs1hKLEPtGFSAAEBAw0Q8AAPZ1CAAAEFgw+lwAAIAQYDBZuQ7BYPoSAiIA -AFlwWMtCKzDZBrsC+jT7KuABXDArNNlj91wjfQMjPIArMNnAyAy7AgsLRys02WP3RYoT+woHIA0Q -YDBYysLSoNEPihP7ChAgDBBgMFjKvtKg0Q+KE/sKCiAMEGAwWMq60qDRD4oT+woJIAwQYDBYyrXS -oNEPAIoT+woIIAwQYDBYyrHSoNEPihP7ChIgDBBgMFjKrdKg0Q8AihP7ChEgDBBgMFjKqNKg0Q+K -E/sKBiAMEGAwWMqk0qDRD4oT+woHIAwQYDBYyqDSoNEPihP7CgYgDRBgMFjKm9Kg0Q8AbBAEJCIQ -0w9kQG4pMBAqMBH7MBIpgAQ+YAqZAvowEymABD5gC5kC/DAaKYAEPmD7MBkoCQBWcPowGCCKADpg -CKoRC6oC+zAbK4AEOqAMqgIIqhELqgKxqvomFiBIADpgKSIS+9KzEBQAQnALmwErJhIsQAUtCpV9 -wU3AINEPAAAuMBQvMBX4MBYvgAQ7oA/uAv8wFy+ABDugCO4CCO4RD+4C/QqAIXQAO6AvIhJ49yfA -pfzSoBAwEFgwWcGXwCDRDwAAAAAAAAD6TAAABhBYMFjqLMAg0Q8AjCf5yRQvwBBQMPvCCSBAAkMw -CogB+IxAIIACSnD5xRQhgAJa8PvGCSoABcLQLsEVq+ubyRjSTNmw8AgHAAQQeDBt+gIASWEc0mGc -sIog+KoRAAQQYDAMqgKasSkwFCowFf8wFimABD5gCpkC+jAXKYAEPmAPmQL+0noZgAQ+YP62AigJ -AFZw+bYEIBACQPDxCBYAMAJ68AAPiioiEokiDaoC+iYSLvMAtmD6LAAAAxBoMAvgAGP+4GwQBiQi -EC9AbvwKlSAAc4fgHNJmLSIALjIGLzEL+DAfIAUQUDD4FgAgMBBYMFnBViQiGCwxC4gshUeJSvrS -XRgAIGIw+CYMIAAQWDD1Ug4gNACScPtGHiwJAFZwnUrwAAtgABBYMAAAAAArQh77PCAqACBZcFm4 -SC9CHi4xC6/uLkYeKlAELFAF+1AGK4AEOqAMqgL5UAcrgAQ6oPjSRhoJAFqwCKUR/UIAJAkATXD8 -0kIUAEBFcPVcAy/8EEAw+FUBADAQWDD6CgUiAAB5cFnBLioiEykxCytCHvqZCABgAkFw+SYTIIwE -QvDAINEPAAAAAAArQAV8sfAc0jD9IgAgAhBQMP5CACAwEFgwWcEe+kwAAAIQaDD9JGQgARBYMFjp -tMAg0Q8AAAAAAAAf0iSOSg/uAf5GCiIAAFCwWOcA+iwAAAAQWDD8CgAgAhBoMFgBw8Ag0Q8AbBAG -HNIaAPWOhCeNIP4yBSbgASgw9EkUIAUQUDD0FgAgMBBYMFnBAogiZYCeKCAHGdGw+jIFKCABQDAM -hRH70a0UACBNcPlSOiA+AiKwBEQUC4sK+7KXKgA8JlApUjkc0b37nQEOADVeUPAMBwIAAENwbUkC -AEhhG9Gpm9CJIPgyBCBAAmDw/tGnECACW3D+1gIpgAQ+YPrWAygJAE0w+dYBIgAAULALgAD0Vjki -PAA5oCoiB/sKCiAAEGAw+qwgIAoQaDBYZJrSoNEPwCDRD/osAAIAAGkw+4wYIAAQYDBYbOLAINEP -AGwQBMePCFgDCDgCCEgDqGjwcQQCACBAsAIiGKIy0Q8AbBAEBDgDCFgDqGjwcQQCACBAsAIiGKIy -0Q8AAGwQBAQ4AwhYAQhIA6ho8HEEAgAgQLACIhiiMtEPAAAAbBAEBUgDCDgBCFgDqGjwcQQCACBA -sAIiGKIy0Q8AAABsEAQjIhAoMAUkCpL5IhIgmggiMP0KgCCKADJweJ89cJ8Z+tG6EKwAPnAKmgH6 -JhIgABAQMNEPAAAAAAD80bUQBRBQMP0yACAwEFgwWcCfKzBu0w9psQUsMAV0wQbAINEPAAAA+jwA -AAIQaDD9JGQgARBYMFjpMMAg0Q8AjCf/yRQvwBBAMPvCCSBAAnMwCO4B/uxAIIACe/D/xRQhgAJa -8PvGCSoABfLQKcEVq5ubyRzRUNmw8AwHAAQQUDBtqgIASWEa0WWasIkgwMT4mREP/xBQMPq2BCgJ -AGZwmbEoIhKPIh7Rgv62AigJAGow+CYSL2sAt+D6LAAAAxBoMAvgAMAg0Q8AAABsEAQT0YIDIgLR -DwBsEAYmIAeIIhzRHPUiECYgATAw9YJ7a8AEOaD90RgaACBisCiiOg1tCv3SlyQBNcYgK6I5GNFa -/bQBDgEu7tAnIhKPKf4iCiYIATwwlxAIdwoncoD/7gwAYAJZ8P8CAAoA/d+QKyAWKAr/eLES+iAH -IAAQYDBYbAH80QASNAA2oC4hBx/RCA4OSvjRKB/ABDug9tFcHgkAe7CeQIog/dEDEEAQWDD7RgMg -BRB4MP1GAimABD6g/RIAKAkAfnCZQS4iEv2GOA5KAXAw+t0RD2AEO6D70U0cCQB3cAbdAv4hGigm -AWww+9DzGAAgXnApkID9RgcugAQ7oJ5G+XkMCgkAWrD6RgQgYAJKcJlFiCkmIhX70PIf4BBwMPeI -CABAAlEw+IwwJgAgObD4JgkgYAIxsPYmFSBAEEgw9goAJgCq9RDwCwcPgBBoMABKYQBKYQBKYS1E -ISlEICZEJ/ZEJiAAEEAw+EQlIAAQUDAqRCQqUhEqRDsKihQqRDoKihQqRDkKihQqRDgoIhYoRD8I -iBQoRD4IiBQoRD0IiBQoRDyKNB7REf08GCD/EEgw+NEYEABeAqDxDRYAUAJRMAAKiilEMChEMf5E -Mi//EGgwLUQzKjIEJkQjKkQ3Bo4UCo0ULkQiLUQ2DY0ULUQ1DY0ULUQ08AsHAIACSTAASWEoIAcI -CEEMiBEMiAgvhjkrIBYuCv9+sQr6IAcgMBBgMFhra480aPAniif7CgQgABBgMPqsICAEEGgwWGOg -KyISLPp/DLsB+yYSIAAQEDDRDx7Q8S0iEg7dAi0mEmP/yADaIFhrqPzQhh4AADagYAAmiF4Z0OSx -iPhWDigJAEowKEQzCIgUKEQyCIgUKEQxCIgUKEQwY/8/wCDRDwAA+2wYIgAAULD8CgEgBRBoMFhr -0sAg0Q8A+2wSIgAAULD8CgEgABBoMFhrzMAg0Q8AbBAOJBYRJzAPKDAOhSf5MA0gAhBgMPwUISAB -EFgwKxQr+RQtIP8QMDAmFCD2FCwgABBwMC4UIi4UIy4UJC4UJS4UJi4UJy4UKC4UKS4UKoVeKBQu -JxQv91LeIEACaHCI04vS/NIBIGACSHCckZuSKJYD/dIAIgAAUTD9lgAiAAAw8Fm72lm70BzQsY8y -jjGNMPgyAyIAACKw+xYSIAUQUDD4FgAgMBBYMFm/kfpcAAIAAFjw/AoAIAEQaDBZOGJloJJkcOpo -cFP7EhIiAABRMP3QUxAAEGAwWcAPe3s9HNCcLlLaL1LbKlLc+hYAIgAAafD5Ut0gMBBYMPkWASAF -EFAwWb97G9BDKV0D8AsHAMACSnAASWEASWFgADgc0I4mXQMtUtouUtsvUtwrUt2bEIowmhGJMZkS -iDL4FgMg0AIxsPgyAyAwEFgw+BYEIAUQUDBZv2fNaMAgx+/6CgUgMBBYMPzQfRIAAGiwWb9h0Q8A -wKDyFhAiAABZsFjf59Og8qwAAA4ANqAuMRBj/8wAAAAAKhIQ+xIRIgAAabD+CgAgYAJgcFjfumU/ -3GP/qgAA/SIAIAUQUDD80GkQMBBYMFm/S2P/kAAAbBAELCIUKyIT/c/3EuABLDD8uwwCDgA44GSw -fiwgBwwMQQzKEf/P8RoAIGqwLaI6D88K//KXItYBO2AuojkY0BIZz/T/7QEOAC1/kC4hBw4OSv/Q -UR/ABDug/M/wHgkAS7Ce0P4iACgJAELw+NYFIAgQSDCZ0/zWAiACEEgw+OwRDgkAe7D+1gQsCQBL -MJzRKaY5KCIUq4goJhRpMg7AINEPjyLK8GgyNMAg0Q+KJ/sKASAAEGAw+qwgIAEQaDBYYtrSoNEP -AAD7zBgiAABQsP0KAiABEGAwWGsiaTLKjCf9yRQvwBBwMPrCCSBAAlswDrsB+7xAICACa3D9xRQh -4AJSsPrGCSoAGNqQL8EV+c/pGgAgU/CayZmgiCDAkfLQHxmABDog8qYCKAkASjD4pgEgABAQMNEP -Gc/fmaCIIMCR8tAWGYAEOiDypgIoCQBKMPimASAAEBAw0Q8AAAAAAGwQBi8iGC/wdCgKjnjxdigg -BfnQDBBwADYgGNAJJCISCEQBJCYSjTkrMQv+IhEoAEDqUCkiE6uZ+SYTIgBSZRBk4MSK7H2nPYjr -+uwAAAAQWDD8CgAgAhBoMAuAACsiFCoiExnP+QuqDCutQPzP+BoAEF5Q+sMYcAAQSDD5JhEiAAAS -cNEPwCDRDwAAAAAAAPosAAAAEFgw/AoAIAIQaDBb/3/AkPkmESIAABJw0Q8A/M/oEAIQUDD+IgAg -MBBYMFm+wSoiEC2gBS4KlX7RtsDy/yRkIAEQWDBY51bAINEPHM/djeD+4AUgBRBQMPQWACAwEFgw -Wb60KCISKfq/CYgBKCYSY/9VANogWOSdY/9MbBAILiIYLeB0KAqOeNENKSAFGM/N9c/NEAkAtmDA -INEPACQiEvkiESQJAEEw9CYSIH4AtTCKLIk2JjAgLzEK+Pr8IIsQYDD2C0UAlARScCoiECugBSwK -lXyxwcDS/SRkIAEQWDBY5y/AINEPAAAAAPSRvmBAAlDwiZeJnvmcMCAGEFgwwCBtuQUACoYASWHR -DwAAAAAPD07//AMgMRA4MPj/AQYBPmdQ/6gIACUQYDD6IhAgIRBoMPgmDCQJACkw9CYSICoEavB8 -sQ13sQovCiL/AgAOAkL60C8wMCUwMfkwMi+ABD/g9aBwLgkAL/D4MDMvgAQ/4An/AvVcBi+ABD/g -8FAEDgkAR/D/CRkEoAF8MPqYEQwB9RJgCFUCGM+MKYJuKIKApZX54HQlkAQ9YPkWBSQAIC4w9SYR -IIsQQDD+UAUuAYxCUCkKmvgKmyYBhs+Q+QqWJgGCx5D4CpkmAX7PkP8CAA4B0MOQ/wIABgBtbtD/ -AgAGAGlm0CgwQikwPi4wQC8wPCQwQSYwPfj/EQ+ABDug9DBDLgkAI7D2MD8uCQA38PjuEQ+ABD/g -+f8CDgkAQ7D47hEPgAQ/4Pb/Ag4JACOwD+kMapAdJKISf0EIBPgMZoACL6YSL6ITfvEID+kMZpAC -LqYTilzA5A6qAvpWDCDcBGLw/wIABgCyPtD/AgAGARNu0MLC/wIABgGNZtAtEBBk3gSKVyk8IPqi -DiADEHAwbeoFAgmGAEpjwCDRD4nnKzELiZ6/uwtLS/W+QmBgAkpwY/3TKjAjwPEK+jkqVEH6FBAg -FARi8CoiEGP/GCgwIX+Hjy8wQiswQCwwPC0wQS4wPfjMEQuABD7g/TA+KgkAbvD+MD8sCQBzMPi7 -EQ2ABDsg/TBDLAkAazAPuwL4uxENgAQ7IP7MAgoJAG7wDLkM+iIQIAARImAtohJ80QgNzgxm4AIs -phIsohN7wQgMvwxm8AIrphMqMDgrMDn8MDorgAQ6oAuqAvswOyuABDqgDKoC+VIMK4AEOqD4zxUa -CQBasPqsASAEEFgw+iYWKAkAXnCZXJhbY/7zAAD9CgMofAEgMP8CAA/+vkdQLDAkLTAl+DAmLYAE -OyANzAL9MCctgAQ7IAjMAgjMEf3MAgAIAkvw8/1NbgUAZnAALTAh/wIAAAEA41AuMCQvMCX4MCYv -gAQ7oA/uAv8wJy+ABDugCO4CCO4RD+4CZeHXKzBMLDBN/TBOK4AEPuAMuwL8ME8rgAQ+4A27Agi7 -EfoiECoJAGbwZLyXLKIY/wIAC/5I3xArVhIuMEgvMEn4MEovgAQ7oA/uAv8wSy+ABDugCO4CCO4R -D+4CLlYULTA0LjA1wID5MDYtgAQ/YP/O1hwJAHdw/jA3LYAEP2D4VhUsCQBPcPhWEy2ABD9g/1YL -LAkAd3CdXWP95ygwOCkwOfowOimABDogCYgC+TA7KYAEOiAKiAIIiBEJiAL/zsQQAgJCMCgmFp9b -Y/21jxUczsD9UgAgBRBQMPQWACAwEFgwWb2RLSISxOAO3QItJhItMD4sMDwrMEAuMD0vMEH4uxEN -gAQ7IP4wPywJAHMw/zBCKgkAfvD4uxENgAQ7IP0wQywJAGswD7sC+MwRC4AEPuD+zAIKCQBu8Ay5 -DPoiECH9pqJgLaISfNEIDc8MZvACLKYSLKIT/wIAB/2Z3xAMuAxmiyj7phMgABAQMNEPAADz/Blv -/xAoMPzOlxIAAGuw/lIAIAIQUDD2FgAgMBBYMFm9ZWP7KAAAKjA4KzA5/DA6K4AEOqALqgL7MDsr -gAQ6oAyqAgiqEQuqAvnOhxACAlKwKiYWmVtj/L3AsCsmEfP8tWIAACuwACoiEGP64wAAbBAGKiIH -JCAHKKkUBQtH9aIOJCABIDDzogkilQA2IP8CAAIBUprg/M51EgAAeLD4IgAgARBIMP6iAiH8Amrw -+goFIDAQWDD4FgAsBQBucFm9PhzOa/0wACAFEFAw/iBQIDAQWDBZvTgdzegMTBH/zeccACBrMCrC -Og9PCv/ylyQBIEKgLsI5/+oBDgEa/5ApIEAtIAcUzen2zlsQAQ4GYP8CAAIBAAJg+woAJAD8BiAp -IQcoIQgezen9L0AJQAFMMPr/EAnABD5g/Q1BCAkAfnDw3REICQB2cPmmACgJAGow/iIAKAkAMjD4 -pgQgBBBoMPamBiAwEHgw/6YDIEACSrD0pgIgAhB4MPjuEQAAECAw9KYFLgkAa7D+pgEvgAQ64PSm -By4JAHuwAgOGAEljAAOGAElh+/qXIIACSrD+pgkvlhBQMAgFhgBJaQYFhgBJZwQFhgBJZRXOLCgi -Evn6ZCCQAjiw/cY5JgBfvhAmIhKpZi1iKCtkgS9iJ5/QLmInLGIrneEkZickZigjUov6ZIEgVgB/ -MCtiMS4yKguKRPqqDwAAEGAw/qoKAAEQaDD6ogAr8AFcMFhgLiRmMShiJitiHw8CAPn6wCBAAnow -9GYrLgBAT/D0hRQggAJ78J+Jn4guUnP6MhUgABBgMP67DAABEGgwWGAe/yISL5YQUDD9MhYvlxBY -MP4KAS9kEEgw9/8MAAAQYDD/7DgB/gJrcP02Fi9JADcgiCwrJAUjUov6JAUgVgB+MCsiEi4yKguK -RPqqDwAAEGAw/qoKAAEQaDD6ogAr8AFcMFhgBSQmEogniyD5+sAgQAJ6MPQmDC4AQE/w9IUUIIAC -e/CfiZ+ILlJz+jIVIAAQYDD+uwwAARBoMFhf9yoyFrCq+jYWIAAQEDDRDytQAmP+BQAAAAAAAPP9 -a2AAEBgw8/30YIIQWDCLIsi9wCDRDywgQAjMEJwwY/1TjzD7TBgiAABQsP7Nyx74AXww/yRAIAEQ -YDD+NgAgBBBoMFhoo8Ag0Q9sEAaJJy4gByiZFPUKRwwgAXAw9pIJIW0ANiD/AgACALaaoC8gQQzH -EfMKBCAAEGgw+woAIWIAt+AYzTSodyhyOv8CAAoAy54QGM0xKXI5CMgKKIKXCJkB+pwAAYgANmD7 -FgAhqgC34CQhBxnNpx3NPP4lQAVAASAw+lUQBcAEOSD4zS8UCQApMP8hCCQJAGkwlKD9IgAlAAQ/ -IPimAiAAECAw9KYFLgkAL/D0pgcgMBAoMPWmAy4JAE/w/6YEIAIQcDD43REABBB4MPmmBiwJAH9w -/aYBIEACSrACBoYASWMABoYASWEIvRH1zYgcCQB3cJ2pI3Y5+CIML5cQYDAsJAXzUosvlhBIMPkk -BSBWAH4wKyISLjIqC4pE+qoPAAAQYDD+qgoAARBoMPqiACvwAVwwWF+QJCYSiCeLIPn6wCBAAnow -9CYMLgBAT/D0hRQggAJ78J+Jn4guUnP6MhUgABBgMP67DAABEGgwWF+BKjIWsKr6NhYgABAQMNEP -AAAAAAAA8/6TYAAQMDArIEAIuxCbYGP+i4ieLYAEK4AF+oAGLYAEP2AL3QL4gActgAQ/YPPNMRwJ -AFdwCN0RCN0CA90BI9xn80MUAIkQWDDz/mdgCAIY8AAAAAAAAPP+eGAAEEgwj2D9PAACAABQsP7N -SR74AXww/yRAIDACWzD+ZgAgARBgMFhoIMAg0Q8ALNxI+9xnIgAAcLD7SxQCAAB5sFm75C4gB4sQ -8/47bCABcDAAAABsEASJJy0gByMhByiZFA0LQf6SCSNAARww9IFLY8AEPOD/zK0Y4AEsMPy8EQIA -thpg+cyqHAAgezAqwjoJuQr5kpckAJbCoCjCOR/MsPmKAQ4Aj84Q+My1GAIBbDAKmRAJMwIIMwKT -oIggw5D/pgIgBBAYMPmmAymABDog+c0WGAkAGjCYoS8gQfghCCAAECAw9KYFIAAQaDD0pgchCwC3 -4PXNDR8ABD7g+aYGKAkAejD5iAIAAhB4MPimBCBAAkqwAg6GAEljAA6G8AmgD4AEO2AP7gKeqSPG -OfgiDC+XEFgwKyQF81KLL5YQSDD5JAUgVgB+MCsiEi4yKguKRPqqDwAAEGAw/qoKAAEQaDD6ogAr -8AFcMFhfCiQmEogniyD5+sAgQAJ6MPQmDC4AQE/w9IUUIIACe/CfiZ+ILlJz+jIVIAAQYDD+uwwA -ARBoMFhe/CoyFrCq+jYWIAAQEDDRDwAAAAAAAADz/rVgABBwMIjg+7wYIgAAULD/zNoY+AFAMPgk -QCABEGAw/+YAIAQQaDBYZ7DAINEPKSBACJkQmeBj/owAAAAA8/71YIkQaDBsEASKKo6vGMzM+CYL -IEACSLD55gAgcAJ6sP8mCCIAAFiw/iYJIAAQYDD5pg8vmBBAMPgkBSACEGgwWGfmwCDRDwAAAGwQ -BBvMvSoxDNMPK7J/HMya+TAQIBYQIDD7ox9wABAoMHyhF/osAAIAAFlw/DwAAgAAaTBY43PAINEP -AGiRQWiSIWiUCcBA8//ZYAAQKDB8odF7q87aMFjjoNWg8//EYAAQIDD8oQdwFhAgMHujAmAAAcBA -2jBY47fz/6dgABAoMNowWOPJ9awAACIANqD8zJsQBRBQMP0yASAwEFgwWbth8/9/YAAQIDAAAADz -/3RgDBAgMGwQBCkwE/SQa2D4ECgwaJEDwCDRD4Qn0w8PAgCEThzMiy0wEShNBClNAy2U/P4wEiAF -EFAw/oTdIDAQWDBZu0z6TQQgMAJY8PwwESH6AlKwWOPX+k0FKgAgLPD8MBIhvAJSsFjj0/osAAIA -AFkwWOVTwCDRD4QnDwIAhE4czHUtMBEtRAL+MBIgBRBQMP5EAyAwEFgwWbs2+k0CIDACWPD8MBEh -kAJSsFjjwfpNAyoAICzw/DASIVACUrBY473AINEPAABsEATzixQAQBBQMPUtAyAAEEgw81RXIAcQ -QDArVFYqVFIpVFP4VFQgQxAgMCRUVdEPAAAAbBAEjzj8zFUQBRBQMP0wECAwEFgw/+9RAgAAc/BZ -uxYpMBD6zE8QSAA+YGiSWWmUEog2IqJ/CYgRqCIoIAUpCpV5gV7AINEPAAAA2jBY5ff5Cgcv8AA2 -oIuni74sso4MnVb8flgOAA1uUMDTftAQ+70CIAAQYDBY5cLAINEPAABY5RDAINEPAIs2KqJ/CbsR -+woAKgAgWrBY5JTAINEPAAAAAMCl/MwvEDAQWDBZuvL6LAAAAhBYMFjjisAg0Q8AbBAEiC4jLDhz -iQXAINEPAACLLoiz/EwAAgAAaXD7vOAiAABQsAuAAIwi/SAFL90AtyBk39WOLnPp1mP/zQAAAGwQ -FiUWFysgBxrMFyMWGYg09zIFIgAASTD5FgwiAABw8P7hDyABEBgwkx/6oX4sCwFAMPwWGiogAVww -KxYW+MUUCg8BdDArFhMKWgz7Ehkr4AFQMCoWFCoSFy2wHfyxPSP/EBgw/BYSJh8BPDD9FAAkCgFA -MPuyHyAaANYwDghJmB5gAAIAkx77FhAq4AFQMPoWFSIDp4KgLiIC/wIAAgQEq6BkcE7AkPkWESAJ -ADXg2lBY6JHzCgAgABAwMCsSGvoKAyACEGAw0w8LrDn6fAACAABZMFjoePwKDyYAIDKw9RYLLgAo -txCUGgZFFPAASWACAilwKBISZIc8KRIZiZV9lqIrEhIsEhD9HAAAARBQMPoWESIAAFFwWOioZqdw -+woDIAAQGDAKszpkN1r1FgsgMBAwMAZFFJQaJhIWH8s+DGQRr0QsQjr/AgAKA9ovEBzLOytCOQxs -CizClwy7Ad6w9rwAB6UANuAt8kpk13gdy78o8kkp0mOMHPmLAQ4DtU4QKiAUnhcKrIf6JBQsA8qS -oC0SGigSFP8KAyAMADdg/wIACAPSQ9BkMH+JGg8CAA8CAMiRZDBg+2wAAgAAULD8CgMgGBBoMFjo -q44e/xILJgUANOAdy1v7EhMgABBAMJiimKP4pgQtAAQ7oPimBSogBD7g/f0CCgkAZvD9pgAtsAQ4 -4PzLmxoJAGbwm6H6CgUgHBBYMFm6WCkSFf8CAAIDhZpgwCAlRjnRDyoSEWSgc/sSByIAAFCw/AoD -IBgQaDBY6I0rEAAWy4kfy4qOGyZinBnLW/sfFA4JAHuw9rYLDsAEP+D5/wIARAB+8CwSECtt/y0S -EiiyPyuxfZ6gn6Gdopyjm6SYpWAAFiwSEC0SEithBYhjnqCfoZuimKOdpJylJqwYLRITjB4C3RD9 -FggtAAQ7IPwWCSVHALXgGsshiBsvCgD/FgUgAhB4MP8WBigJAFIwKBYN+2wAAgAAULD8CgMgGBBo -MFjoYyYSGYkVjxaGZf0SGSHAADZgBh5Q9itQCBMBMDD90gQsFAEwMPXMEAhABDog87sQDiAEO6D7 -y1YeCQBbsPwSDSgJAGIw/KYALgkAQ7D7pgEj/xBAMJii/qYFLSABbDCdpB7LTJ6j//z/IDACMrD/ -FgYgAgJKcPkWBS90ALfg+2wAAgAAULD8CgMgGBBoMFjoPx7LQfsSCCADEGAw+RIJIBgQaDD2Eg0g -ABBAMPamACIAAHqw+/r/KAkAXnD7pgInsAQ44Pj2BCIAAFCw+PYFJgkASbD79gMuCQBxsP72ASAw -AlvwWOgqHsss/xINIAAQYDCcESwWAiwWAywWBC+mACymAvymBCAQAlhw/KYFL/8QaDD9pgMuCQBx -sP6mASAgAmhw/hIZIDACMrD6HAQgGAJgcFjm2vgKASIAAEqw+ok5AgAAGrD5Fh0kHgA2oCQWHiUW -H/sKASAAEFAwCbo48xYgLV4ANqATyw4pEhqEGxvLC/wKASIAAFJw/BIIKgUASzCIGfVqACgwBD5g -+rU5CAkAZnD4mAIICQBNcPkWGCQJAEVwJRYbFcrL+QoDIAIQQDD+RBEIBQBScPgWHCQJACkw8ADN -YAAQKDAAAAAA/BINLi0BMDD2/UAKFgE0MPYIUAsABD7g+YgRDcAEP2D43QIIFQEwMPTuEQjwBDog -/t0CDhgBMDD67hAICQBaMPabUAwJAEdw+BAAKrAEPuD77gILYAE0MPymACtABD7g/t0CDhcBMDD5 -7hAIgAQ6IPvKphgJAFow+6YBLAkAd3D4pgUgAhBwMP7K1RwJAHdwnaSeoxjK0ZiiY/37AACZoZSg -nKKco5yk/KYFIAAQWDCbppunm6ibqS0SHLFV9qwoJgEabVD7bAACAABQsPwKBCAoEGgwWOe69FBM -abAEPWArEhv/AgAAAKiFYPuZAg//EGAw9X+naAkAHnCNEywSGY4SjxGLzCzCEJmhm6n0pgAgABBA -MJiimKafo56knKWdp4wUnKhj/48tEhosEhgbyqwMmQL00IhoCQBecP76/yAhADXgmaGUoJ6inqOe -pP6mBSAAEGgwnaadp52onalj/1YiFiEmEhklFiMjFiIuYhosYhstYhUrYhkoYTkjYTgvYTolYhby -YhcjAAQ84PMSIi4JAB/wJmE7nqOdppykm6KfqZmhlKCVp5Ko8hIhKQAEOiD1EiMmCQBBsJalY/74 -APv6/yAhADXgmaGUoJuim6ObpPumBSAAEEAwmKaYp5iomKlj/tIiFiEiEhkuIhiMLCgiFC8hOS0h -OyshOPYhOi8ABD/g/xIELAkAf3AiIhCepJ2l/KYHKwAEPuD4pggmCQBZsJapmaGSo5SgghKfppKi -IhIhY/6AACgSGiwSGBvKaGSAY/yZAg//EHAw9HAhaAkAXnCZoZSgnqKeo56k/qYFIAAQaDCdpp2n -naidqWP+Ri4SGSIWIS3iEiziEyviGIjthu4v4hSC7y7iEZ6inaOcpJulmKaWp5+pmaGSqJSgIhIh -Y/4RAAArEhvH3/uZAgAAEGAw9HAbaAkAHnCZoZSgnaKdo52knaWcppynnKicqWP945mhlKCOE/8S -ASAAEEAwmKKYo5ikmKaYp5ion6WeqWP9wioSGRnKO9MPiqUjEiAlEh/0Eh4gDgBOsGRweBzKNosb -DLsCK5b6Y/nUgx/A0vP592IFAD9wAMCl/MovEBwQWDD+XhECAABpcFm44GP4nAAAAAAA+hIUIAAQ -cDCeEZ4SnhOeFFjl0CQWHiUWH/MWICw0ADag+hIUIAAQWDBY5cIkFh4lFh8jFiBj/BgvEhBl+Lxj -+GQayfGIGwqIAiiW+mP5WwAAAFjlxcipG8oU0w8rsIBksF0qEhRY5bv5Eh0rzAC2oPoSFCABEFgw -WOWuKRIdY/u2AAAAAAAAAPP4MWAwEDAw+xISIgAAUXD8EhAiAABocFjmpWP4eAAAKxIZjBz9Ehci -AABQsFhhhNKg0Q8AAAAA+sn7EUEQWDBZjar7GkEhABBgMPrJ9hwJAGKwWY2qY/+AAAAAAAAAAPos -AAAcEFgw/AoAIAEQaDBYZK5j/6kAAPP4W2AAEFgwAAArEhb6LAAAABBgMPu8GCIAAGlwWGSlY/+E -2iBb4iZj+GaKJ40cwMD6rCAiAABbcFhcT9KgJUY50Q8AAAAAAPP4X2AEEBgwbBAEFMm5giAkQn8T -ydUEIgwDIgLRDwAAbBAMGMmpKYKAKoJ3KI3mKIDBCaoR/4cPeAAgVnAknQHwAAphAAIhMCSdAyRM -gBnJjShBKf8CAAYAf04QGsk+8AoHAgAASHAASWEASWEASWEASWEYyZ4fyb6OIBvJvPsWAiAEEFAw -/xYAL4AEO6D4FgYqCQBTsJoRKUAHL0EpCQlBAJkRCf8C/MmzHgkAR/CfFCsgOQPuAv4WCyACEGgw -/RYJKgkAZvD7FggiAEuE4IlHKJkU9ICAYEACYnCNmSvCAP76wCAoECgw9NBDbgBAczBtCDB9sTgv -0AD50AcgZAQr8C/BBf74CAnABD5g+IxALAAgT3D42w9yAABTcP2sAAAPADagY//ID9oMY//uAAD9 -ugwAABBIMAqdOPbcAAA0ALdg+kwAAgAAWHD8CgQgAhBoMFhXiNEP8/+CYAAQaDAAACocOvwKBiB0 -AliwWa80Y/9bwnaOaPoKBSAwEFgw/Ml+EgAAabD/IDkuYAFwMFm4KIpoCo9Xd/FtikcuoRX7+sAg -QAJSsAurAavr/WxAKAA23ZD/ogAgMAA3YH3xKCzQANMPDwIAdcEdKdAHDJkR+d0IAIACQvD42yRy -AABTcP2sAA/YALag/fsMAAAQUDALrTj23AAPTAA3YGP/dgAADtoMY//ZAAAsIDkKDUN9yYiOIAju -EQ4+Ap5r0Q8O3Qxj/5AAAAAAAGwQBBjJVCmCfyowBy2RAi6RBCyRBfuSACEbADdg/9z/If4Cc7D8 -zBEPwAQ7oP+VAioAIHbw/LsMDxAQEDDwACxgABB4MCuRBS2RBLG7+wtPAf4Cc3D7lQUmAGhu0IyQ -DO4R/swIC8AEPuALywwAC4sP32DwC4APnAC7YB7JNymRBSvifwmZEanZ/eJ9ILgANuCM0YvQm8CL -0Jyxn9Cf0Svif7C7K+Z/+QtPCABbA2Ci0vz6wCAwAkNw/NIJKABAYjArJjr/1RAlQBBIMPnVESCA -AiIwJNYH9NYGJcAEPqD7TAAGAEqnEP+NBigAIEFw/IxAIQACe/D11RAqAERn0Mky+UwAABAANqBt -qQUAA4YASWErIkKrWP8CAAYAVv4Q+CZCIfACE3DRDy+VBfP/LWAAEFgwwKX8yQkQMhBYMFm3ssAg -0Q8t4oCM0YvQm8CL0Jyxn9Cf0SvigrC7K+aCY/9FwMD6gn8gARBoMFha8cAg0Q8AAAAAAAD/1gkh -8AITcNEPAMo/+zwAAFwQYDD8rDYCAABxMG3JBQILhgBOY8Xk/qESegAgTPAvrKzTD235BQQLhgBE -ZSmN+/kmQiHwAhNw0Q8AAAAAAPQmQiHwAhNw0Q8AAAAAAABsEAwoIAT0IAciAABRMCwiB/YsAAAc -EEgw+hYKJCABIDD8wg4mAZXOECgiAvrI1xBuALYgKyBTLSAWC7sJ/gr/K8AEPuD8FggqACBasPqi -fyIBc4Tg9wpQJAFvgOD6FgkgJARzcPS1GgIAAFCwWPsPZKNK2iD2FgsgPgJZ8PtLFAIAAGHw+xYN -IgAAaTBY+uj2rAAAVQC2oCwiAmTDCY4gGMi7/RIKIAIQeDDzFgIvgAQ7oPgWAC4JAHuw/hYBIBEA -N2Db0PwKBiAYAlBwWa5c+iwAAgAAWHD8CgIiAABpcFhgJ9Kg0Q8AAIobjRkbyKj6oCYgABBgMP1/ -QAhIAWgw+IgRD1AEP+D9DUYOCQBH8P/dAgsABDqg/GUKKgkAarD9yJ0aCQBasPpmBCIBFITg/wIA -BAEQgODEsCtlCykhGRjIlp1m+QxLD0AEPmD0wA9uCQBH8AneFATuEQ6uAp5k/2YGIgEYAOD/AgAE -ARQA4B7Ii9MP+eECIDACaHD51QIgQAJRsP7iACAGEGAw/tYAIgAAW3BZriocx94eyIH6bCYggAJ4 -sP8WDCRYAjzgLyBBLSBALWQmL2QnKyBDKiBCKmQoK2QpKCBFKSBEKWQqKGQrYAAkAIwbLMAmG8fN -DMwJDMwRrLsrvR/7vFogBhBgMFmuEx7IaxzHxiNkMxrIafpkLSCJEEgw+WQsIBAQQDD4ZC4gABB4 -MP9kMCAFEGgw/WQxIgCSBOD/AgAEAI4A4MCqKmQ1+xILIAIQeDD/ZDggABBoMC1kN/9kOSAAEGgw -LWQ2Co8UL2Q0K7AmC7sJDLsR+8sIAHQCUbD+uwgABhBgMFmt8ypsRPkKAyBwAliw+WRBIAQQQDD4 -ZEAgCBBgMFmt68C1+2RNIAsQUDD6ZEwgBhBgMPsSDCCcAlGwWa3kKmxY/AoIIJACWLBZreEqbFX8 -CgMg0AJYsFmt3foSDSIASATg/wIABABEAOAfyDMMThGv7prgLCAWLQr/fcEK+3zwIgAAULBY+kmK -GIuhiaAFCEf3mQgAAgJa8PumASHoAkpw+aYAIlIAOiCKJ/sKAiAAEGAw+qwgIAIQaDBYWoDSoNEP -AAAAAPP9IWD4EDgwwCDRDwAAAADz/uZgNBBQMIYoY/zTKwroK2ULY/3eAAAeyBP4bQEgKRBYMPtk -YSAPEGAw/GRgIAYQSDD5hAQgARB4MP+EBSAIEGAwLIQGLoQHY/9Hixr8CgYgQAJRsFmtqGP98wAA -AAD6LAAAMAJZMP0SDSABEGAwWGKsY/zfjSJl3NorIFP6LAAAARBgMPu8EiAAEGgwWGKlY/zBbBAE -KCAFLSAHwZT9DEEOAIbKEIkiZZDTLjAB/MYRABQAb7AqIE5loUcaxzr/xzsWACBRsCtiOg/PCv/y -lyIAd0LgK2I5D7sB97wAAOgANuAookocx0hkgKoswn8pokn8mwEOAFDmUC8gFA/0h/8kFCoAbJfg -GMcwH8fYKiEk/gtBDAIBaDD6zBALAAQ+4PnH0RoJAGbw/CEJKgkAWrD7ISIqCQB6sJpwiiD/MQEg -AhBoMP92BCoJAE7w/HYDK4AEOqD7dgIqCQBqsJpxiTOZdfAIFwAwAknwAAmKBQ5H/WY5IuwCO6DA -INEPAAD6LAACAABY8PxMAAIAAGlwWF8p0qDRDwD6LAAAHBBYMPwKASABEGgwWGJeY//RAAD6LAAC -AABZcFhgk9Kg0Q8A8/8YYAAQWDD7zBgiAABQsP0KAiABEGAwWGJSY/+h2iBb39MtIAcuMAFj/xyK -J/tMAAIAAGkw+qwgIAAQYDBYWfrSoNEPwLgLmwKbImP/cABsEAYoIAUmIAf3PAACAABY8PkKFCAD -EBgw9gZBDgDdyhAFCEf1xt0SJAA6IIwi9MB8a8AEOaDAINEPLSIdZdGniieLqP6hFS/AEEAw+aIL -IEACerD8qRQoAEBD8PgWAS4AIEOw/uxAJgDfXlAMSRGpzPylFCoAIFpw+RYCKgDd15DJe/m8AAAZ -ADUgjBJtSQUAB4YASWGK8A8CAA8CAKyq/wIABgDwdpCa8GP/fgClqi2iOm7TGR3GuSyiOQ1tCi3S -lxjHZx/Gvf3OAQgADmsQ+2wYIgAAULD8CgEgAxBoMFhiDMAg0Q8AHMcAnOCJIPiZEQABEGAw/OYC -KAkAHnCZ4YmzKIJ/HMaxCYkU+YgIABAQWDD45gMgIAJLsPAPFwAAEEAwsYjyGR4B9AI+IJzmm+cZ -x0yZ6IggCIgRA4gCmOkex0kjpjkvIAaKJ/kiAi/AEGgw//wBIAEQWDD/JAYgQAJSsP+pBCgJAF5w -+KIBLABAbrD5JgIggAJrcPnGuhBgAnvw/6UEIaACQjD4pgEqABJqEC2hBajYmKGZgI8gCP8R/oYC -LgkAH/D/hgEgABAQMNEPGcasmYCPIP7HKx+ABD/g/oYCLgkAH/D/hgEgABAQMNEPAAAA+iwAAgAA -WXBYYAXSoNEPABjHIfAAKWCKEEgwGMZxKVIgiIQpkAf5FgAgABBQMAuAAIoQiRMKmQz4xxceLAA2 -YCuCgvkWAyGoAP7wY/4ZwMCcq/P9/mIAAFpwAAAAAAAA++oMADcANeAKTRT+3AgiAABB8P5ONgIA -AErw0w9t6QUACIYASWGJEap4/UwMAIACSnBtyQUCCIYASWONEowRCt0MrcwszECc8GP9p44RLuxA -nvBj/Z0AAGwQCogniSIuIAcrgRX9+sAgQAJCMPxMEQgAQGow/DwICAAgQvD4jEAiAABTMP4OQQoC -J8MQL6AH+sY0EgAAKrAM5xH7/AMh/AJj8PSQCWYAIFXwwCDRDwAocjq2/fsWASoCE+4QGMYpK3I5 -COgKKIKXCLsB9rwABBgANuApokr+xtgT5QA2YCiiSSni4/mLAQ4B684QKiAUCq+H+iQUKgIBFqAe -xiaINIsgGcYs/8bNEBgQUDD4uxEAAFemECNQASQgBy1RASwhJP5mAiQCASAw+mYDJKAEOSD6xiQU -CQBJMPRmACADECAw9LgCCgEBHDD4ZgEgAhBAMPAKBwAgAlGwbYoCAEph+iEJKWAEPuD+IAcgABBY -MJtl/WYJIDoQQDD+DkENQAQ+oPndAg8ABDug/swCDAkAR3D9ZgYsCQB7MJxkKyEJ2iD8CgAgABBo -MP5RAStABD7g9HY5KgkARvBYX2/AINEPAIknKvrA+JEVIEACSnD5FgUoAEBWcPgWAiBAAlFw/xIC -KAAgSjD5FgYggAJCMPkKgSoAA8aQD6oMiKD4iFcAAgJ7MP+/AgA0CEown2EYxo34ZgAh/gJTMAoa -FJpiYAAOAJ9hGMaImGCJoQlZFJliihUYxoKPNhnGhCiCHQ8vFPmhBS4AQE/w+qIBKAAgejCYY/gS -BiAEAnkwDP8R+RYDKgAgerD4mQgIACBhMPmcQCAEAkIw+RYHKcAEOiD/iAwKAATOkIkTCaoM9IBM -b8AEPyApbBCZFIkXqvj/AgAKAQXCUAqbDCkSBCsWAAtLFG25BQAKhgBJYYoQiRb7yAwKACAysPmc -QCAgAlKwbYkFAgmGAEpjiyAIuxH4xloaACAz8P/GWxABEGAwLKYG+KYEIAQQSDD4xlEYCQBO8Cmm -BYtTKIIbHMWgC4sU+4gIAAAQSDD4pgcgIAJasPAMFwBAAlKwsZnyGh4B9AI+YP62BiAgEDAwlreG -Now0iFOJNf/GRBwAQHsw+jIKKJAEOiDzxkEcCQBDMPjGQBwJAHswnLgvUAn4UAsmAEBBsPlQCiIA -QB5wLFAI9IgQDsAEP+D2mRAOCQAf8PxjQAgJAEZw/FgUAnAEPODxiBAICQAecPPFyxYJAEGw9rYK -KAkAfnCZuY9Vn7v/UgYsgAFgMP+2DCyABDsg+VIHKgBAGrD5tg0qCQBisJq+iFQotg8jUAH/AgAA -AE/80CogBx/Fc/0WASoCAVAw+SEkKqAEOqD8UQEqCQB6sCq2ECgiAP8KGCwBARww/7YTIAMQUDD/ -xWoZgAQ6IP62EigJAFIw+LYRIKACUvDwDwcAAhBAMG2KAgBKYS4gByghCfoKGiAAEHgw/7YVL2AE -P2D+DkEJQAQ6IP/F9xgJAHow/LYZLwAEO6D+ngIICQBSMPi2Fi4JAHuwLrYUjhEudjktUAeKJ/Td -CAAAEGAw+9wAAEACUrBYWEHSoNEPAAAAAAAAAPkSBC4sADcg0w9tyQUECoYASWVj/hQAAPosAAAc -EFgw/AoBIAEQaDBYYILAINEPAAvKDGP7rgAA8/voYAAQWDDaIPwKASAwAluwWGB6wCDRD50Y/BYJ -IgAAULBb3fmMGY0YY/vtAAAAbBAGKCAFLyAH/goDIBQQSDD/D0EOANnKEAUIR/X6wCIkADogiiL7 -xQoQcQA2oMAg0Q+KJ4uoJqEV+aILIEACOrD8qRQoAEAp8PgWACYAIEGw9mxAJgDB3lAMSRGpzPyl -FCoAIFpw+RYBKgC/VZDJNvm8AAAUADUgjBFtSQUAA4YASWGKcAyqCP8CAAYA1DaQmnDz/45iAAAa -8AAM+hGrqi2iOm7TGR3E6yyiOQ39Ci3SlxvFOxjFmP3EAQgADmsQ+/wYIgAAULD8CgEgAxBoMFhg -PsAg0Q8Am0CJIPiZEQABEFgw+0YCKAkAdnCZQYkzKIJ/H8TgCYkU/MWIGAAgSjCYQ/APFwAgAkkw -/8TeEAAQQDCxiPIZHgH0Aj4gnEj/RgYgEBBoMJ1HiSAImREOmQKZSS6mOSggBoonjyKxiPgkBiBA -AlKw/akELgkAX/D4ogEsAEAqsPmhBSCAAmMw/yYCIGACa3D9pQQhoAJCMPimASoAFuIQ+8TpGAAg -QnCYoZuAiiD4qhEAABBYMPuGAioJAHKw+oYBIAAQEDDRDwAbxN+bgIog+KoRAAAQWDD7hgIqCQBy -sPqGASAAEBAw0Q8AAAAAAAAA+iwAAgAAWXBYXjfSoNEPAMDAnKvz/kRiAAAacAAAAAD7agwANwA0 -4ApNFPzcCCIAAEDw/Ew2AgAASvDTD23JBQAIhgBJYYkQqjj9TAwAgAJKcG3JBQIIhgBJY40RjBAK -3QytzCzMQJxwY/5diBAojECYcGP+UwAAbBAGLCAHFMSCDwIADAxBDMMR/sSAEgAgJPAoMjr+zgoA -AxAgMP7ilyKOAT4gLTI5/tsBDgAed1AfxTX6IgAgABBAMJgQ+BYCIAAQYDD/FgEgBRBoMP/FLRAe -EHAwWFqU9DY5IAAQEDDRDwAAAAAAAPvMGCIAAFCw/QoDIAEQYDBYX8LHJNEPAGwQBiggcMBU9MRg -EO4AdjArIAcLC0H9xF0TwAQ+4KQzKTI6Db0KhCD90pckuAE6YCwyOf3KAQ4AKO8Q20BY/bzAwf0K -ACABEHAw+MUPEAAQSDD5FgEgABB4MPkWAiIAAFqw+BYAIgAAUTBYWm8lNjkqIHArCvsLqgH6JHAg -ABAQMNEPwCDRDwD7vBgiAABQsPwKASAEEGgwWF+axyTRDwBsEAQrIAcYxDgUxPgLC0H9xDcTwAQ+ -4PRCfyIAIETwKDI6Db0KLdKX/oJMZAAgWTAsMjn9ygEOACDvENtA/AoAIAIQaDD+CgIgEBB4MFmx -dsCx+MTnGYAEPSD4pgAoCQBecJmhjyCfosDi/jY5IAAQEDDRDwAAAAD7vBgiAABQsPwKASACEGgw -WF92xyTRDwBsEBT+MBAgBxA4MP/EIBABEGAw+sQREAAQMDD9ChgiPAA3oP8CAAAEMYegaOIDwCDR -DysgBwUJR/kWGCogAVww+xYZIjQCOmAuIAX/AgAIBavDoCggcv8CAAIFpnoQ2iBYXHz/AgACBASq -oIsiZbf/KiEajDUtIhD/Igkp8AQ+oPsWBCoACmJQDwlCyJkKC0L/AgAABU4q4C4SGfnD7xAYEFAw -DK1w+swXKcAEO6D6ShQIACBKMCgWFfiCOiAGAlqwmx77Fg0gDgJJMPkWCSoFbc4QKBIVGcSjKII5 -CekKKZKv+YsBDgViThAuIgoNCD4P7gz4FhcqADzDkC0gIikgIw2ZDP8CAAAFqCpgLSAHH8PiDQ1B -D90JKNHeCY42DogMKNXeLSAiLhYIDd6H/SQiIAWfq2Ao8nuOK/CBBAH+Antw8P8aAAEQQDAAiBr4 -jP8uACB7sP8iCS4JAEOwniooEhcP6Qz/AgAKBYnGUC0iEC8gBxjEWA8vQAr/EPghBy4JAEfwn7Av -IQn+IgApQAFAMPkSDijABDogCP8C+CEkL4AEO6D+ISIoCQB2cJ+zmbEfw+8ZxG2dtf/EbR4JAHuw -/rYCKAkASjCYtCggOJy49rYHIAIQSDCZufnEZRBHEHAw9rYLKAMBQDD+CgEmBQBHsP62CigFAEfw -+DwQKAkAPnD5tgYgYAJK8G2pBQAIhgBJYRnDnpm8iDD/AgACA/2qEC0SFYwdLNY5KyAUKhIXpLv7 -JBQgFgA2oCgSF48pLiA4qP//JgkiBOt7kCkSGP8CAAIE2RpgwCDRDwAoIAcpMBEuIAWNIvl7QAgg -AUAw+BYZKAL3G6D5FgsiBH8rYPsWFi3ABD4g+8Q3HAAgV3D40joiAAByMPvrCgAcEEgw+7KvKgSQ -zhAp0jn9FhUoAEBecN6Q/ZwAAASNqmAookr/AgAKBGdCIBjEKymiSSiCy54a+JsBDgRdxlCbHC0W -GygwFCgkOC4wFS4kOSYkO4k4jjmINiglIiklCYg7iTouJSMpJSSOPCglJS4kTIk9jhspJE0oMhAo -JSgoMhEoJhUsJSkmJE4mJE8mJhssJhcsJhgsJhkmJh38JHMuYAFwMC4kOi4hGiYkcCYkcvYkcSgC -+XHQ+yA4L/wQaDDzFh0sAEBvsP3c7CACEEgw+bcBDgAOTtD+CEgAARBIMP6ZFAgFAEJwqYgOiBEI -3Qwew/vAgQ3jLA3uLg6OOfMKBC4AIBuw94c5AgBAHvD4w44SBQAeMNtg88N+GgUAHjD43PwiAABJ -sP7THAgFADzw/oscCAkAXnAjJTQuEhYjIBT7JTUpAAQ6IPDbEQgJAEJw+CYQKAkAXnD5Jg8gIBBI -MPMSHSYAICTw9yQUJYQAN6CNKSwgB50siD4oFhAYwyX7EgouAgFgMAruEAjuAp6wLiEIiCCfsvm2 -Ay4gAWQw+IkRAAMQYDDw/xEICQBmcPwKAS4JAHuw+bYBKIAEPeD3wxUYCQBmcP8iCyAAEGAwLBYR -mbeWtZ+5/bYIIBQQaDD9FgUuCQA+MP+2Bi4JADuw/rYEIBgQODD2JBQgYAJa8PoyDyABEGAw+hYS -IAAQaDD6IgAgABBIMPkWACAAEHAw+RYCIAEQQDD4FgEgARB4MFhZCywgOP8KECIAAEmw8xYdIAIQ -aDD8LkACAABZsP7bOQ4DAWAw/cMBDAABYDDz+TkOCQBbsPsKICIAABmw+yA5IgUAZvD4bAACAAB5 -sPkKQCIJAEzw/b0BCgABXDD7nzgAgBBgMP3IOAIAAFqw+iIAIAAQaDAtFgH8w44QABBoMPwWAC4J -AEfw8/8CAAEQYDDzEh0uCQB7sPzuEQAEEHgw/woALgkAe7D+FgIgABBwMFhY38DB/8OAEgAAWrD6 -IgAkABBIMPkWACAAEGgw+RYCIAAQQDD4FgEgARBwMFhY0ywSEv0SECIAAFqw+iIAL/8QQDCYEC4h -JP8hJS0AAWww/AxIDXAEP2ANzALw/xEAABBoMPwWAi4JAHuw/AoBL/8QeDD+FgEgGhBwMFhYwNug -+iIAL/8QYDD8FgAgABBIMCkWASghIikhCcDQ8IgRABwQcDD4mQIP/xB4MPkWAiABEGAwWFiyKhYT -KiEoWbDV/cNOEgAAYrD6IgAv/xBwMC4WAC4SES3QLBvDTP7dAgH+AmMw8ukQDBAEP2D5zBEICQBu -cAyZAguZAikWASgiFRnDQ//6/yAeEHAw+GgUAAAQaDD7EhMoCQBKMPgWAiABEGAwWFiW/AoBIgAA -WrD6IgAgABBAMPgWACAAEGgw+BYBIAAQcDD4FgIgARB4MFhYi4kw/wIAAgFLqlAZwtYqEhUuIQcf -wyUnpjknkmiJIIggLSAHKvL7/CAMJgAgRfD7IA0nkAQ94PggFSoAIDqwKhYULqUHLaQHLKQMK6QN -hzknpQkopBUoMhErMhD7pSgn4AE8MCelI4scCGgU//IEIBQQcDD5ph4gARBoMP2lKSIAAGHw/qQF -IAEQaDD4/wgAABBwMP+mFiD/EHgwWPuyLxIULvAV/BIWIAEQWDD29BQtgAQ94PTuEAAgEHgw/6QD -LAkAd3D2pAAsCQBfcP2mASJtADcgKCA6wJ//AgAGATROEC0wV8TADcwMnB8nIQn9CgEgABBwMP8K -/yAgAlqw+iwAAgAAYfBY+5cuIBXAsfYkFC+ABD3g9qQALkAEO6D/Eg8uCQB7sP+kAy4JAFuw/qYB -IAwQYDCKJx3CLoquiRUADYsASmEASmEASmEASmEASmEASmEASmEASmEfwgwuEhYs9kkpJAX7JBcg -KwA3oCggOsCfeYEeGcJOKDBQCYgKKIIQ/DBXIKACWPD6LAAAAhBoMAuAAAUKR/8CAAIBwhqgwCDR -DwD6LAACAABY8PxMAAIAAGlwWFoZ0qDRDwAAAAULR/sWGCI0AjrgKyAFwcT/AgAGANhm0G64ci0g -cn7fbNogWFppZaB0jiJl4G8oIBSkiCgkFI8wevZSKiAHGMHkCgpBDKcRqHcpcjr/AgAEAbNCYB3C -oSxyOQ2tCi3Sr/3LAQ4BqW8QLyBxAzwC/grqIgAAULD/5jkCAABpMP8KASIAAHGwWFomwIQodjkp -Ehj/AgACAYMaYMAg0Q8A+iwAAgAAWPD8TAACAABpcFhZ69Kg0Q8A/MKTEAQQUDD9IgAgKhBYMFmx -ER/Bzi4hGvP59WABEGAwAAAAAAAAAPw8AAIAAGkw/goAIgAAWrD/CgEiAABQsFhaCvP9TmAIAjnw -KxIbHcKBLhIZH8J/LdCAAOEE8McaAAMQcDD+9IAu4AE8MP34M3IAAHNwx5/4wngYEQBP8PkSGSwA -QE9wCJkKGMI9KJaXGcJxDX0CDQ1H/ZSAIWwAN6Bk0W/B8/8WBSABEHAw/hYRIAAQaDAtFhDz+p1g -FRA4MAAAAAAAAADz/fVgBhBgMMSAmB9j/Z4AiR74IHEiAABg8PosAAIAAGkw/grqIAEQeDD45jkJ -wAQ+YP5sAAoAIE7wWFnaih60qpodY/fSjCcuyRQnzCD04RZgYAJLMI/JiJD5FhogABBgMPmODAGQ -AkIw/ow5D8AQcDD08HJuAEBx8C4WBikWGolwf5FiLvAAwYT/AgAGAFbHkMGV/wIABgBRz5DBhv8C -AAYATMeQ/wIABgBI75DBmf8CAAYAQ8+QwYp44X2IFi7wBylxBSkWB/iZCA/ABDug+ZxALgAgd/D5 -+0FyAABz8P/sAA+cALegyc79EhogLRB4MC7AAH/hQojOwMD42QwBkAJCMAmMOWXP5ywgTvkKFiIA -AHGw8AAibgUAYnCOFw7+DGP/ugAAAP8CAAP6sgdQCawM8/VZYdACYzAuJHFj/Vhk3pfwAAZgARBI -MMCQGMIRL4LSx94N/wEPnwIvhtJj/nkAAAAAAADz/uxgABB4MPosAAIAAFjw/EwAAgAAaXBYWVfS -oNEPAPosAAAcEFgw/AoBIAwQaDBYXIxj/9HAINEPKxIZ+iwAAAEQYDD9EgkgMAJa8FhchWP8rC0W -FfP252AAEEgwAAAAACsSGfosAAABEGAw+7wYIBwQaDBYXHtj/4yKJ/tMAAAAEGAw+qwgIgAAaTBY -VCbSoNEPiif7TAAAABBgMPqsICIAAGkwWFQg0qDRD9ogWFj5Y/Yliif7TAACAABpMPqsICAAEGAw -WFQY0qDRDwAA+6wYIAEQYDD9CgQiAABQsFhcYGP80QAAKyAF/wIAAf4IhuCMFMDSDcwCnCJj/AAA -jimeKvP052IAAHuwiBgqIAcfwQUI2wz7JCIqIAFQMA+vCS7x3qjuLvXeLSAizNeMKZwqYAApAAAZ -wP0pkn+OK/CRBAH+AkNw8IgaAAEQeDAA/xr//P8uACBDsA/uAp4q+6wfIAEQYDD9CgAiAABQsFhc -PGP7iQAAbBAEGMFRiSArgmj4goAgEBBgMPwkBSgAIF5w+ZkRAAAQWDD7JBQoACBKMPuEFCIAAFCw -W9wy0Q9sEAQZwVeKMimSfwmqEaqZLJAG+JICIAAQWDD9+v4gCxBwMP6UBSH+AmMw/JQGKABAajD4 -lgIiAABScFvcIsAg0Q8AAGwQBogiLyAHhzCVEvQWAy4gAXww9YHuZuABPDD/FgEhJAA14Pt8ASIA -AFCwWayI9qG2YgAAIrD2PAAPjRAoMPIWACDUADXgB3IJ9zwQI8AEOKDwACFiACAYsAAAAGmBCIoQ -i2VY+k7UoGZA3yZsMPd8MCYAUhWQKGAQyI5ogURpgukoYBFlj9RgAHMAKGARyIxogSBnT9R1QdFg -AK4AAIoQ+2IFIgAAYfBY+ofz/+RiAAAisACKEItlWPp+8//UYgAAIrAoYBHIjGiBIGdPnHVBmWAA -dgAAihD7YgUiAABh8Fj6efP/5GIAACKwAIoQi2VY+nDz/9RiAAAisAAAAIoQ+2IFIgAAYfBY+irz -/1liAAAisAAAAIoQ/Ar9IAAQWDBZrY78EgIiAAAisPb6ACYAd66QiDAGiAGYMGAADQAAAAAAAPIW -ACAAECAwiREYwGkMlxH8wGkWACBF8CpyOoIQDJwK/MKXJACpQqArcjkMuwH1vAABTgA24C2CSv/A -dREjADdgLoJJL/J/iRP/6wEOAIn/kCggFAiJh/gkFCwAnpIg+1wAAgAAYPD6LAAO4AEgMP0SAyAB -EHgwWFijihL6CkcABBBYMPt2OSIyADqgiieNE8DA+qwgIgAAW3BYU1XSoNEPwCDRD8e08hYAL/+u -2pD6LAAAHBBYMPwKACAgEGgwWFub/BIDIgAAULD9EgIiAABY8FhYW9Kg0Q8AAAwMR/nCcmAAEBAw -iBCIh4KI94EVL8AQYDD5ggsgQAJqMAzcAax393xAJgBNllCEEymJFAxEEfJFCAgAICZw+YUUKgBN -rdDJM4gTyI/ZIG2JBQADhgBJYSXSAARVCP8CAAYAaj1QiCD11gAoAEAyMPgmACAAEBAw0Q+JMAaZ -AZkw0Q8AAAD6LAAAHBBYMPwKACABEGgwWFtuY/9LghDz/rJgABBYMIsR+iwAAAAQYDD7vBggBBBo -MFhbZmP/KdogW9jnY/6+wMCci4iQBogB+JYAIAAQEDDRDwAAAAAA8n8MADcANOCOEw9FFPpcCCIA -AEDw+u42AgAASLBt6QUACIYASWGLE6849bsMAIACSzBtuQUCCIYASWOIIA9ODK7OLuxA/tYAKABA -MjD4JgAgABAQMNEPAIggL8xA/9YAKABAMjD4JgAgABAQMNEPAGwQBCggBc2OiicrogwtqRT+ogkg -YAJKsPy8yCAMBErwzMRk0A9k4Az6LAAAABBYMFvbONEPHMB4H8Cm+fr/IBACWrD5pgAgABBAMPgm -ByoAEdfQKMJ9H8Cfm4Gfoy7CfZ6iLcJ/+8Z9IAICa3Atxn/RDy/CgC7NApvxnqMtwoCdoinCgvvG -gCACAkpwKcaC0Q8AAABsEAQbwDIssmYrsoCjzAnMEay7KbEawOL+lAxgABBgMCy1GmAABAAAaJMQ -LbEaDt0CDQ1P/bUaIiIAP2D6LAAAABBYMFvbDtEPHMB+irArwX4LqgxY+d9j/+EAAGwQMi0gBxi/ -pP0NQQIAAFjw+xYmI8AEP2D7v6ASACBE8CgyOtwg+9sKAEoQSDD7spcqB/dOECkyOQuZAfecAAAH -9CpgjkgVwGn6v5wf/xBYMP7yUAAARYOQLhww8AoXAgAAMrAADooABo0qQhMuQhCISS9CEZgeKEIS -LxYQnh+PTY5MKhYSKBYRik+ITi4WEy8WFCgWFSoWFo9L/xYXIMACUHAACoorFhsrFhwrFh0rFh4r -Fh8rFiArFiErFiIrFiMtFi0pFiwsFlMqUkkuUkguFhkqFhpgAFUAAAAAAAAA8foHAGACcHAATmEA -TmEB+ouPSS8WFI5NLhYViEwoFhYvQgv/FhcgwAJwcABOYQBOYSsWISsWIisWIy0WLSkWLCwWUyhS -SSpSSCoWHygWICv6/ysWI/sWIiBgAlBw+xYhIMACWHBY+aMvUXkPAgAPAgD2rAAIByR+kC9RhH+i -DShRhQ+ICP8CAAwHGkKQWPne9vr0IAdnKqDaIFj5tiVRhKWl8xYnIAdyrWAev7kYv50v4mYsEiYu -4oDzQhguACB9cPdCGS+QBD/g/+4IAAEQaDAt5RovQSslxgEvFkEcwAwmQhorQhcpQhYuQhQpFkQr -FkP5FgIoAEBDsCgWRfgWAyIAAGlw+xYBKngBcDD6FkIgABBYMPoWAC58AXAw/hYqIAUQUDBZrnTA -pfy/+xAAEFgw+L/4HuABFDD/FkYiAABo8P8WACIAAHHw+BYBIgAAebBZrmnAkf4KACCAEGAw/HwB -AgAAW7D8nDkKBQBecPwSKioJAGbw/woCIgU1AyApFjUiFiv9Cgoh+gJDMPjcOAAAEEAw+BY0IAAQ -aDAtFjMZv2Dyv94QARBoMPk5AQAAEFAw+to5CAUAT3DwhAQICQBWcPc9GAAFHSpgA3pTKhY2/wIA -AAS5pZAGWlD6FkcoFAEwMPgWSCIElDGQKCIxCIhB+BZJIASS0ZAGyUH5FkoiBJw1kCoiMQoqQfkK -ASAQEEAw+HgBAAAQeDD/nzkIBQBCcAj/Av8CAAAE8avgH7+8/xY3IAAQSDApFjgGyVAJ+BMJiAL/ -AgAABOoqIP+/HxAAEEAwKBY5LxY6Bt9QD/kTD5kC/wIAAATiqmD4v60QABBIMCkWOygWPAboUAj/ -Ewj/Av8CAAAE2yvg+b+mEAAQeDAvFj0pFj4GuVAJ+BMJiAL/AgAABNUqICwWKv+/HBAAEEAwKBY/ -LxZAACQEIhZU/AoBIAAQSDD6FlYgIBBAMPQWVSAAEHAw9AoQIgAAe7D6v08YAEBB8PnJOQgFAEMw -+JgCAgAAE7D6OgEOBQBBMPi/iBoFAFMw9AqAKgkASrD0CggiBQBRMPr6/ygAQEDw+hYAKAUAQzDy -7gIICQBKMPhPOQAGEBAw/QoEJGABaDD7EiwsBQBcsCQWKSISR/c/GAwJAH9w/hJILAkAd3DxxAQJ -ZAEcMPc4GAlABD5g/w9BCIAEOiD3IhEICQBKMPkSPS9gBDug8r9oHgkAE7D4v1YUCQBBMPQWAi9A -BD/g9nRSDAkAd3D+GgAoAEBBsPISSS4FAECw+BJWLAkAd3D+RBAOCQB/cP39EwMABDig8v4TCKAE -OiD0EjciCQAgsP4SOCwJAHdw8hI0LgkAF/DyFkskCQBBMPQSSi4JACfwCPgT+BI5LgkAQ7D+IhEE -MAQ5IPT+EwwJAHdw9BJGLgkAJ/DyEjsuCQATsPgSPy4JAEfw/hI1LAkAd3D5Ej4iCQBIsPISOi4J -ABfw+BI8LgkAR/D/FgEiAABRcP+/NB/QBDug8hIzLgkAE7D+EkAsCQB3cPZEEAgJAEow+N0CApAE -OKD0IgIOCQB7sPQSVS//EHgw8hJULAkAF3D+CgAsCQB3cP0WTCAAEGgwWFRrAWQELRI2/hIqKgoB -NDD8CgAo4AE0MP8STCh8ARgw/xYCKIAEOiDTD/MvXQwFAFpw+6wAAgAAUXD5vxIeQAQ/4PkWAC4J -AEfw8O4RDMAEP2D3PhgMCQB3cP4ORQwJAH9w9u4RD/8QeDD+CgIsCQBzMP0KACwJAGsw/BYBIAEQ -YDBYVEomEikpEkItEkH+IWcv/xB4MP4WTS//EGAw/BYAIgAAWrD+FgEgARBgMPDaEQAEEHAw+pkC -AAAQaDD5FgIiAABRcFhUOfwKASAAEGgw/goGL/8QQDD5EkUgABB4MPkWAiIAAFqw/xYBIgAAUXD4 -FgAv/xB4MFhULPwSQyAAEGgw+xJNIAgQcDD7FgIv/xB4MPwWASIAAFqw/AoBL/8QUDD6FgAiAABR -cFhUIP4SSyABEGAw/fr/IgAAWrD9FgAiAABRcP5PFAiABDug+P8CAAAQaDD/FgEoQAQ7oPjuAg// -EHgw/hYCIAoQcDBYVA/Awf0KACAMEHAw+SIvL/8QeDD7rAAP/xBQMPoWACAAEFAw+hYCKFgBTDD5 -Fk4pAAQ+YPkWASIAAFFwWFQA/iFhL/8QeDD9Ek4v/xBIMPkWAC8AEGAw/ktbD0AEO6D9DV8KCQBm -8P7dAgABEGAw+xYBIA4QcDD9FgIiAABasP0KACIAAFFwWFPtwND4EkQgEBBwMPDEBAP/EGAw9zkY -D/8QeDD/FgApIAFMMPkWTy//EHgw/JkQC2AEPiD4aFkKCQBm8PmIAgABEGAw+xYCIgAAWrD4FgEi -AABRcFhT2CMKAPf6/yABEGAw/xJPL/8QcDD9CgAiAABasP0WASIAAFFw/hYAIAAQaDD/z0kAEhBw -MP8WAi//EHgwWFPIwMH9CgAgFBBwMP/6/yAAEEgw+RYBL/8QQDD5FgIiAABasPgWACIAAFFwWFO9 -/L49EBYQcDD9+v8iAABasP0WACAAEFAw+hYCL/8QeDD8FgEiAABRcPwKASAAEGgwWFOwwMH9CgAg -GBBwMP/6/y//EEgw+RYAIAAQQDD5FgIiAABasPgWASIAAFFwWFOl+awABbcANaD/AgACAteBoP8C -AAADI4Wg/wIABAMfhaAjFhjzFhkgwAJYcPe2AiD+AmBwl7OXtJe1l7aXt5e4l7mXupe7/b12EBAC -cnD7nQEgQAJScPgSKyACAjMw/L1yEQACWvD7FjIgoAI4cPSEMmBgAlpwKRYoGL3ALxIt82wAA+AE -OWD2vWQSCQBAsPhYEQ4gAXww8hZSIAAQEDD/FlAgBBB4MP+cAAgJAHow+BZRIAMQQDBtinad8PgS -USIAAEuw+PYBIIACc7DwBhcAKBBAMAAJipj1KBJQKRJS+fYGKbAEPKD5viIYCQBKMJz0+YgCAAIC -ELDzPPAiAABI8Pj2ByIAAEHw9AkWAeACOfD5rAAAgAJ78PAJpACAAlKw8ggWAgAASvDwCaIAgAJa -8C4SKPe+CRAAEEgwKRYYK+0BI3LQIxYa93LPIAAQeDD3FhkgAhBQMPO8AAGQAjrw+7zgIeACGPAP -AgAPAgAPAgBtqob95jAgKBBQMPgSUSIAAEnw+OYxIIACOfDwBhcABgJD8AAJiizmNPkSUiOwBDog -KuY1+eY2IAICe/D+hAZgARBIMMCQKBJQCJkRApkC+b3tGAkASjAu7EDyHGAoCQBKMPjmJyIAAEjw -8gIWAgAAQvDwCKIAYAIQcPACFgCAAhjw8AmgAIACWvAnEij3fQEgRBAYMPISJiCAAjnwKUEq+xJT -IADTwlAYvWIevcKdcImw/HYCIAgQUDCac/52BSACEFAw+FgCCYAEPmD4dgQoCQBWcJlx8AYXADAC -SfAACYrzPAIgQAI58I9A0w8PAgD/AgAAANOr0CkgAQCZMoUhACQELkIZLUIY/EIaKuABSDAqFi8O -3Rj8BkkMIAFsMP0WLiAYADagL0IUGb0xD8hT+Iz+LgBAT/AI9Tj7sgAiAABR8P0KASwaAWAw/goE -IDAQeDBZqhsdvOQrEi78Ei8gBBBIMPANBwIAAGqwAE1hAE1hAE1hHr1CAL0R+L2jHAkAbbD4pgIs -CQB3cP2mACAQAnkw8Q8WACACcrAADoqVpihCFAwNBv2kHSh8AUAw+KQcIAgCcPAvEicu9jn5JAAg -ABAQMNEPAAAoIi4ImFAoFkjAkPkWRyH7cDGQBgpR+hZJI/tx0ZAoIjH4CkACAABLsPgYQAgFAFfw -CYgC+BZKIftoNZDz9s5qLgEwMAAAAAAAAAD5CgEgABBAMPIWVCpgAWgw+qz/IAAQEDD6mDgAABBQ -MAKaOPISVC//x0aQwIEoFkhj/4oAAAAAAP8CAAH/TUZQHrzgL0IanXCKsPx2AiAQEEAwmHOfdf92 -By4JAHFw/nYEIAAQSDD4qhEAAhBwMPl2BioJAHKw+nYBIAQCGPDz/lRgQAI58AAAKBIn84Y5IAQQ -eDD/JAAgABAQMNEPKRY0IhYr/fwAAAAQUDD6FjUsBQBfsC0WM2P1nikiMgkJUykWNmP1w8CA+BY3 -IAAQeDAvFjhj9h7A8P8WOSAAEEgwKRY6Y/YtwJD5FjsgABBAMCgWPGP2PMCA+BY9IAAQeDAvFj5j -9ksAAAD8FiogABB4MP8WPyAAEEgwKRZAY/ZUAAAYvG0qFjH0CAcA4AIYcABDZSgi0Coiz/oWHyAA -EBgwIxYw+BYgIAQQEDDzvKsZgAQ5YPoSLSgJABIw+BZRI+AEOWD4vEwSCQAYsPIWUiogAVAw+hZQ -IgAAGbDyEiYiAABScPaMAAACEEAwbYqeIhZUnaApElH5pgEgABB4MPAGFwIAAEuwIhIx8hkeAIAC -c7ApEjDyLEAiAABAsPIWMSABEBAwCfI5+ZwBL7AEPmApFjApElAIIhH8pgQuCQAX8P+9BhgJAH5w -IhJSkqb/mQICAAAR8PmmByAoEHgw/6YFIgAASPD6CRYB4AI58PAIqgHgAhjw+AIWAgAAevDwD6gA -gAJa8PISVCCAAlKwJxIy8/xXYDgQGDAA/QoAIBoQcDD8vO8f/xB4MPm87hAAEEAw+RYBIgAAWrD8 -FgAiAABRcPgWAiABEGAwWFIp/hr/IAEQYDD9vOQf/xB4MP8WACIAAFqw/RYCIgAAUXD+FgEgABBo -MP+82hAcEHAwWFIdwMH9CgAgHhBwMP+82BAAEEgw+RYBL/8QQDD5FgIiAABasPgWACIAAFFwWFIR -8/nOYgAASrAAAAAAAPwKASAAEGgw+CIxIBoQcDD/+v8iAABasPkKACIAAFFw+RYCKBgBQDD/FgAo -4AQ6IPgWAS//EHgwWFH/wMH9CgAgHBBwMP/6/yAAEEgw+RYBL/8QQDD5FgIiAABasPgWACIAAFFw -WFH0wMH9CgAgHhBwMP/6/yAAEEgw+RYBL/8QQDD5FgIiAABasPgWACIAAFFwWFHp8/ksYgAASrCL -SS1BFv5BFyDuADSgHLyiKUIRKkIOL0INKEISJhYJKBYDKxYIKhYH+RYBIAUQUDD/FgUgABBIMPkW -ACAAEHgw/xYEIAAQWDD7FgYgABBAMPgWAiAoEFgwWar48xYnIfi4raAiEib7ElMvnhBQMPokAS+e -EEgw8/r8YAAQGDAAAAAAAPPwGGAAEEgw+swAADACW3D8CgAgShBoMFhW9sck0Q8AAAAiEib8vHwQ -BRBQMPMWJyAYEFgwWargKxJT9iQBL/QQSDDz+qxgABAYMCISJvy8cxAFEFAw8xYnIBgQWDBZqtYr -ElP2JAEv9BBIMPP6g2AAEBgwHLxriEyPTZYS+xYBIAUQUDD4FgAgKBBYMFmqyv8CAAH4XK2gIxYn -Y/9BAABsEAosIAcYu3QMDEEMxhH+u3MWACBBsChiOsDT/s4KABUQSDD+4pcqAWhOECtiOQ67Afq8 -AALKADbgKzADF7wy/LvBEtQAtuAlQhQFiFP4FgcufAEsMPxVAQIAxIfgwFAtQSobu2j5u2kQAhBw -MPi7xhAAi0NQjzGboI0gl6X5pgIuCQBH8P+mBC2ABD9g+btbHAkAd3D9pgEgCBBoMJ2j8AkXADAC -SrDyGR4AQAJSsLJVjkD/AgAAAIwrkCkwAQCZMgAkBC1CGidCGf9CGCrgAUww+xYKLyABaDCeGAf/ -GPcyAS4gAXww/xYJIBUANuAoQhQIyVP8iAEB/AJKcAmHOIsg/axQAAQQcDD/CjAgARBoMFmogYkY -jBodu0iLGRi7rfANBwIAAGqwAE1hAE1hAE1hALIR/7wJEgkAEnD/pgIiCQBAsPKmACAQAnEw8Q4W -ACACarAADYqXpiJCFAwIBvikHSJ8ARAw8qQcIAgCeXD/ZjkgBBBwMP40ACAAEBAw0Q8AAAAAAP+7 -aBH/k0dQKEIajTGboIcg+aYCLAkAf3CdpJilmKf4dxEAEBBAMPimAyYJAHXw96YBIAAQODD3pgYg -BAIpcPP+52BAAlKwAPVmOSAEEEAw+DQAIAAQEDDRDwAfu+gZu+goQhb6QSsiAABasJgVmhT6MgEg -ARBgMPoWBiAIEEAw+BYCIAwQcDD+FgAgABBoMPkWASACEHAwWFEVjhePFP272RIAAFqw+hIGIAAQ -YDCcEf0WACABEGAw8P8RAAAQaDD/CgAuCQB7sP4WAiAEEHAwWFEHlRL8CgEgABBoMP4KBiIAAFqw -+hIGL/8QSDD5FgAgABBAMPgWASAAEHgwWFD8ixXA0PUSBiAQEHAw+2xZC2AEPuD7FgIj/xB4MPwW -ASIAAFqw+soAIAEQYDD6FgAiAABRcFhQ7vwKASAAEGgw/goAIAAQQDD4FgAgAhB4MPgWASIAAFqw -+BYCIgAAUXBYUOMcuxbz/W5gDxAoMAAA8/02YAAQWDD7zBgiAABQsP0KFSAAEGAwWFYQxyTRDwBo -syTBlnmxEca6+zQBL+oQSDDz/ZRgABAoMC36ni00AfP/7m+eEEgw/TQAIAAQEDDRDwAAbBAYLSAH -F7qhDQ1BDNUR+0IIJAAgPXAoUjoeup3791AEAOXGIC9SOQ7YCiiClybt8Slhjvj8AQ4A2kfQ/fr/ -IQUANmAZuqtwtnnwKRcAYAJQcAAKiiwWJSpCEitCEy9CES5CEIhJACmNmB6ITZ4fLxYQjk+PTCsW -EioWEYtOLxYTKBYUKxYVLhYWikv6FhcgwAJQcAAKii0WGy0WHC0WHS0WHi0WHy0WICpiQyliRCkW -GioWGS0WIS0WIi0WI2AASwAAAPAJBwBgAlBwAEphAEphAAmLLBYliEkoFhSPTS8WFY5MLhYWK0IL -+xYXIMACUHAASmEASmEtFiEtFiItFiMpYkQqYkMqFh8pFiAtFiMtFiItFiH6HDAgwAJYcFj0qi1h -bywSJfusAAgAbO6QKmF6/mF7LAAH0tAK7gj/AgAMAGHy0MBg+yIAIdYAteDA0P4KBCIAAFMw/woo -IgAAYbBZp5ofuzkYuzeOIC1BFiiCfy2lAilBFwjuDChCGP9CGS4JAHuwnqCMTZyii0ybo/1CCSgJ -ADIw+aUDIIAQSDD4pgQuCQBP8J+l/Y0UDoAEO2CeqZ2o/EIaIAQQWDD8pgcgARBIMCtWOSk0ACog -Bogisar6JAYoCQBKMPgmAiAAEBAw0Q8AAAAAAAAA+9wYIgAAULD8CgAgBRBoMFhVgsck0Q8ALUEW -LkEXhkn7FiQhxQA14By7BShCEYpOi00vQhKWGPoWByAAEEgwmRCbFZ8T+BYBIAAQeDD/FgQgABBA -MCgWAvkSJCAAEFgw+xYGIAUQUDD5FgkgKBBYMFmpWykSJPwSJSH/b65ghzEAJAT9umIfnhBwMC40 -ASpCFPhCGSAwEHgw9kIYIAQQcDD5QhoqfAFUMP0KASoAQGqw+GYYAfwCWvD7pzgCAABTMPmsUAYg -ATAw+yIAIyABSDBZp0MYugzZoPAIBwADEHgwbfoCAElhGLptAGkR/7rNEgkASLD/pgIiCQBAsPKm -ACAQAnEw8Q4WACACarAADYqXpixCFCsKYvukHSx8AWAw/KQcIAQQSDApVjn5NAAgABAQMNEPwND+ -CgUiAABTMP8KQCIAAGGwWacmGLrFLEIRGbrCjyAuQRYpkn8upQItQRctpQMJ/wyco/tCEC4JAEfw -n6CboilCE4tJmaUoQhKYpI9NC4kUn6eOTJ6mjU+dqYxOnKgvQhn4QhgqgAQ+4PmmDiCAEEgw+6YP -LgkAT/D/pgsoCQAyMJiq/kIaIAUQaDD+pg0gARBYMC1WOSs0ACwgBooiscz8JAYqCQBasPomAiAA -EBAw0Q8AHLqXKBIkj02JTJkQ9hYBIAUQUDD4FgIgKBBYMFmo9ioSJPwSJSH+pS6gY/5nAABsEAoF -CEf2PAACAFgCIIkizJ0pMBhkkGVokXRokwZolBnAINEPJTwY21D6LAACAABg8Fv572Sgc2av5Rq5 -kx25qiuiSv3SfyFoADbgLKJJ/csBDgCu7xAuIBQO5If+JBQsALOToIon+0wAAAAQYDD6rCAiAABp -MFhMlNKg0Q/aIPw8AAAwAljwW/7cY/+mAAD1PBgiAABQsPw8AAIAAFlwW/4DKzAYabOLY/97LDAY -/wIABf+3GyBj/30tMRaPOC4xF4M5/+VQAJoAA/AcumArYhGIboltKmIS8xYIIAAQeDCfEJgXmhP5 -FgUgBRBQMPsWASAAEEgw+RYEIAAQWDD7FgIgABBAMPgWBiAoEFgwWaiuYAAaHLpOiGwvYg3zFgEg -BRBQMPgWACAoEFgwWaimiieMqPuhFS/AEDgw86ILIEACarAH1wH3uwgAABB4MPu8QCD6BGDwKKkU -DE4Rroj4pRQqACBjsHqzdclq02D5zAAAFgA1IG1JBQADhgBJYSrSAA8CAA6qCP8CAAYATl6QmtDz -zAAAQgA1YC80GC80Gf80Gy//EFgwmzdj/mAAAAD6LAAAHBBYMPwKACABEGgwWFSQwCDRDwDaIFvS -EWP+lP+mCy/GALVgwMMsNBhj/7z8uwwANgA1oAtDFPg8CCIAAEmw+Eg2AgAAUzDTD22JBQIJhgBK -YwtpCPNIDACAAlHwbYkFBAmGAEplC+kMqXkpnECZ0GP/agAqfECa0GP/YWwQDh66CCggBSwgByfh -aiowA/wMQQAEAjEw9xdACBAAOiDAINEPjSLTD/UWBSJlALdgLyAWKAr/0w/6FgMgOgRD8CwWEfS1 -GgIAAFCwWAXxLBIR/rn0E9kANqAduRYauP4t0IAMyxGqu/+yOiBuAmtwDU0UDW0Js93/AgAKARbv -0B+49imyOQ/PCi/yl/sWBCgAQH5w9ZwAAhsANmAookpkgdws4iQpokn8mwEOAOnmUB+53fsWAiJF -ALXgKjAgLQrt/wIABgEpbpAoCg54oQopCu7/AgAOAaTKkMCw+xYJIEACYPD8FgsgABBQMJoYLiEX -iywauc8ZuU6PwB25zCmSgSggLAANi/m5DA4AQFfw+WkUAAEQUDD62WAJAAQ6IAjuAg/uAv4WCiYB -DW5QwMAqIBYrCv97oROcHYobW9A3+6wAAgAAULBYBYWMHSshBx25uxq5uP65uBtAAVwwDLsR+soK -CgkAbvCb4IkgiqD9EgMgABBgMPrmAymABD5g/OUFKAkATbD55gEgIAJA8PIIFgAgAnuwAE9j/eYP -IcwAteDewCwgFAzEh/wkFCwBCpMgjxUnTP4MfRH9Fgcu4AF8MC8WBh+5nP1cAAAAEGAw+BIGIgAA -W/D0CxYAAgJjMPANpAXoAjsg/FxAIgBtGiD9EgsgABBYMPhCFGAAEEgw9g0WAAICWvDwDKYB6Ag6 -8IoYZaDXiBmPF6hY9RIKLgAgL/D1hhAggAJ78PX8AAAcADegGrmDiBoKiAL4Fgon8AQ5oPP/fmAA -EHAwhDIkJhyMMf8WDyIAAFvw+RYBIgC9BxDAMPkWASAAzC8go2uMFPvGOSIAABJw0Q8AAAAAAAD6 -LAAAHBBYMPwKASABEGgwWFPQ+iwAAgAAWPD9EgUiAABhMFhQkdKg0Q+bFPP95WAAEEgwK8wY+iwA -AAEQYDBYU8Vj/80AiicuFhCZEfXhp2BAAlKw+woCIgAAaTBYS26LGC4SEPmsAA8sADbgjRD9VhAg -ABBgMCxWEmP/FyggLAAjjfAPHwgwBDogKObaCA+LARBpY/2kABu5TB25SvoyCCBAAkjwmRuZGP0K -ECoAQGqw/RYJKgkAWrCaEPP9r2BgAmDwL7AA/wIAAgCgY9DA8C2wAf8CAAIArWNQwJAPnBEM/AJn -zchj/LcduS8cuS0KM4wADWsvICwswtjw8QQAARBwMPDuGg8XAWQw/+4BAA8QaDD/AgAOAFh3UC4w -EP8CAAIAu/+QDAlC/wIAAABZBmAonP7/CgEgABBwMAj+OGP94C4WEPsSAiIAAFCwW9EELhIQY/3a -APosAAIAACvw+/wAAgAAYTBb0muMMSkSAfOsAAvABD6g985wagAgXXDaIPwKACIAAGkwW9I9o6ys -bI0UghEs1jnRDwAAAAAAAPosAAIAAFjwWPL5wCDRDwD7zBIiAABQsP0KACABEGAwWFNkY/5MAAD8 -D0IAARBoMP/8+yAAEHAwD944Y/1FAAAZuHOIGgmIApga8/02YAAQcDAAAAAAAAD7CgIiAABpMFgA -d4kRLhIQY/2EDhuLjjYtMQsNDz8ODj8MC4sPCEQMKm/8Fg4qAExCkPP+o2ABEHgwicL/AgAAAFCO -UAnMFAI7iwAriwwOSfnsAAqAAWgwAhlh+pMGcAEQYDDAwHrXB/AAD2IAAEsw+woBIAAQSDAMuTj/ -FgwuZQC2YIwy+iwAAAEQWDD8DF8AABBoMFjyp48c8/5Ib/8QSDAeuMyNNA8CAA8CAH7RB/P8eGAB -EHAwLzEKafLx8/xqYAAQcDCMMtog/AxfAAAQWDBY8piMHoss8/38b/8QeDAYuL0uMQ546QUsMQ9j -/1b/AgAB/vhnUJ8c8/+FbyABcDAAAGwQBIkn+JIIL8AQcDD6kRUiAABYsPKSCyBAAmJw/5kULgBA -czD+qggNwAQ9IPqsQCCWBECwrf//lRQoACBHcHmjUck28jwAABQANSDTgG1JBQAChgBDYYnADZkI -epF8mcBkUAwK6jD6thciAAASMNEP0oDRDwAAAAAAAAD4LAAAABBgMPyWCy/cALVgY//hAAAAAAD4 -qQwANgA04AlKFP+sCCIAABDw/082AgAAOjDTD235BQAChgBHYQkyCPpGDACAAhuwbWkFAgKGAENj -CdoMquoqrECawGP/hQAt7ECdwGP/fGwQBPgsAA/ABD1g/SEFKcAEPOD8IgEvwBBwMP4uAQIAAFkw -81QMCgAgc3D6rEAiACBLMPn/DAoAEdCQyfcMRhGmJnajHMhN0w9tSQUAAoYAS2GCgdEP0sDRDw0i -DGP/2gAAAqcM90UUAgAAGvBtWQUAAoYAQ2ELcwj1SQwAgAITsG2ZBQIChgBDY4KB0Q8AAGwQECMW -FioxDZUYlBsiFhUtEhXyMgAv8BBgMPRyACIAAHnw/dIHIgAAQbD1YgAjAAEQMPIWEC/AEDAw99EV -IB4CELD8LAEAQAJrcPbWAQIAIB8w9xYBJgAgMfDzPCAggAIxsPk8AAoBbbDQLhIWJxIVI+EM93EH -IGACc7CeEC4WFPcHSgoBZbOQKxIW/hIUIEACMvCWHyawEZgWnxX9FgQmACBxsCYWEyaxCyuxCpwT -+RYCJgAgcbD+CnQqACB28PsWDS4AQHKwnhwrsQAetzEmFhH3CgEnwAQ54PvLFAYJAHGw/hIIIfQC -WvD2FhIgABAwMAt2OBu3HfYWDi7gAXAw8kcUB+AEO6D2txcSACBZsPoWByH+Alnw8hYKI8AEO6D7 -FgkiACAwsPAANWAAEDAwLuCAKCI6+hIKIG4Cc7AOThSu3f3cByAAEEgw+qKXKgDgbhApIjkKmQH1 -nAABtQA2YIsTihSMEvW7CAIDACTw+7wQIgAAaPBby2YoEhYDRAyMhy4SFS8SEgPMDJyH/1YAJgAg -MPD+4gAgQAJJcP+2/BwAID6w/1YCIAICa3D/EhAvgAQ7oP9WAy4JAHNw/lYBIKEANyCPHygSFPAP -FgAgAnFw8A6gAB4APeCKGW2pBQAIhgBJYSoSEy+hAogeKaEF/SY5IAICY/D/zgwL4AFkMA+7DP4O -TwoQAVwwDrsM/KUCKAAgXnD8EgwqEAFMMAuZDPmlBSvgARww+hIRIA4AtiCJHSiRArGIKJUCiaEe -tuGNG/ykDSgAIF5w+aYBIf9xDSCOFo0VleD21gAgABAQMNEPL4EMAw5PDvkM/IAQIFoANmAigRP5 -IgwCAAAiMCJFEyQSEw87DA/oDCpBASJBBfsLTwgQAUAwC4gM+aoMAgAgQLD6RQEoEAEQMAgiDPJF -BSIAQAcgaMR4iB5lgKGLHSqxAQmqDCq1AY4XLwp9/xIRLgBAe7CMHygSFP70DSBAAklw8gwWACAC -WXDwC6IAHgA94IoZbakFBAiGAElljBaLFZXA9rYAIgAAE3DRDwBj/kaLGCoSFfu8GCABEGAwWFHw -jRaMFZXQ9sYAL/QQEDDRDy8SEy7xDAnuDC71DGP/d5cRBzkMY/0gAAAAAAAA/hIBIgAAW7AOuwwr -FhRj/SeIHS+BAgn/DC+FAmP/WgBsEATyIgciAABAsMDA+/rAIEACULD5rAAAsgA1YI0wDQ1I/IUo -IeACc3AuhSksMQwuMQvyMQosACBjcPowESHgAjswJzUTLZEF+sgMBgBAWnDyxQwB4AJCMPbWCAHg -Ailw+TwwIIACMbD0nAAKAF6yUKSpL5EFCAZPBgYGpv/4lQEmEAF4MAb/DC+VBScwEKQi+HMrZAAg -I7BodCMoIQAIyBT6CvYmAEUCICUlASlADQqaASpEDfk1DSIAABDw0Q8rzM4rlQxj/9IAAIUoLSEV -jisLrwH2KRQsACB/cP3cQCDWBCuwDE4Rrmb2JRQiACArsHLTYsk/yU3yPAACAAAZcG1JBQAChgBD -YSmCByKiAPmcICIAIHCw/wIABgBE7JCSoPP+92IAABlwDZQMY/9AKFzYKCUCJkANJwr2B2cBJ0QN -9jUNIgAAEPDRD5wr8/7LYgAAG7AAAPXdDAA8ADTgDUkU9pwIIgAAEPD2RjYCAAA5cNMPbWkFAAKG -AEdhDTII+UYMAIACG/BtaQUCAoYAQ2MpggcpnCAN5gym9iZsQJagY/98LfxAnaBj/3QAAABsEAQp -MBWPJ9gg/PrAKKABTDD0ULZgQAJT8B23ChS27Yc5iziOO/fnQAACEDAw92YMAnABWDDwYQQFZAFc -MPcyAC5gAVww8CIaDgAgL/Dy/woOAEAjsP/8DicAATww/4UoL+ABfDD/7gwBwAI58PeFKSoAQG7w -+zYIJ+ABPDD+NgcgqwA14PSRgWoAQGawJDEJIjARpCICkgmyIgICTyKFLCShBSIsEPIyCAoAIF0w -9SwwIIACWvD/AgAKAK7ZUIYs9oYYIgAAEPDRDwCF+C7xFYL79vkULABAZrD97ggLwAQ9IP7sQCYA -oKyQq2b29RQuACAu8P8CAAoAo/+QyTfyPAAAFQA1INNQbUkFAAKGAENhL6IAC/8I/wIABgC2d9Cf -oNNQBuowJoYXY/7sJKEF8jxAJgBAZrD3RwgAgAJKMPsKASgAeDzQ2iAACoYASWGFISSBKIoj+ba8 -GgA2qRAkhhGMIYUiHra59MwMCgAgUTD8JgEqABWikC2CEPndAQACAilw+iYDLAkAX3D1JgIsCQB3 -cP2GECIAABDw0Q8AAC2CEB62qgndAfUmAiwJAF9w+iYDLAkAd3D9hhAiAAAQ8NEPAAAAAAD/GgAg -LgQpMBm2nyaCEAlmAvaGECIAABDw0Q8kghAJRAELRAIkhhCOIPm2lh4JAHuwniAmghAJZgL2hhAi -AAAQ8NEPwKD6hSwiAAAQ8NEPAARbDIuw+4YYIgAAEPDRDwDA0J378/70YgAAGLAEIgxj/w0AAAAA -AAD17gwANgA04A5PFPb8CCIAABDw9kY2AgAAOXDTD21pBQIChgBHYw4yCP9GDACAAhtwbWkFBAKG -AENlDr8Mr98v/ECfoGP+mgAm3ECWoGP+kWwQDJQXkhz+EgwiAAAQ8PUiACIAAFFw83IAIgAAefD+ -4gcvwBBIMPRiACUAASww9RYIL/AQaDD24RUiAABBsP7sICAeAilw/V0BCABAT7Dy1wgIACBNsPd8 -ICCAAkpw/HwACgC6ydCYFJ8TnhKdEZwQBUcUmhWFHBu1UZca9VEHIEACMLCWGfe1VxbgAVAw/mkR -BUABLDD8VREIACBecPe1RhQJAD1wmRb1FgsnwAQ5oPUhDCYAIDmw8AAzYAAQODAAHrVULuCAKGI6 -/BIGIG4Cc7AOThSu3bfd/MKXKgBpbhArYjkMuwH0vAAAzQA24IsRihKMEPS7CAQDAB1w+7wQIgAA -aXBbyZcFMwyLHIwYjxyJJ4gb+hIKIgAAarAFmQyZJ5hA//IAJgAgPXD+tSwcACBXcPgSCSACAmtw -/kYCL4AEP+D8RgMuCQB/cP9GASAgAkkwbakFAAiGAElhK7IV/CIHICQAtuDKxy4hDC1mOf0SByv/ -pvDQghSPE5Qg9/YAIAAQEDDRDyixALGIKLUAY//UKSEMBZkMZZBCixSKE5Sw96YAIgAAE3DRDwAA -APP/NGAAEFgwAIsVihz7vBggARBgMFhQW40UjBOU0PfGAC/0EBAw0Q8GfAxj/ogAAB+1JisgFSkg -FCwgESghCS4hFvxKCAgAIF5w+MwICAAgTXD4qwgMACBicPxFCygAIEJw+bUSIC4Ee7COFIwTKKUR -lOD3xgAiAAATcNEPghP5EgQhsAJ6MC+lEpcg9JYAIgAAE3DRDwAAbBAEiif4LAAAABBYMPRQamBA -AkqwFrUGKTEMLDAVJzAUKjARIjEJJTEW+jQIBgAgZfDyqggGACBN8PJJCAoAIDqw+jUTIgAgEfD3 -lRomAEo1UCJFGS0wFSIxCS8wEf4wFCB2ADdgov+v7q4+Luwy/oYVIgAAEPDRDwAAhagsoRXyogsv -wBBwMP+pFC4AQHJw/swIDcAEPSD8zEAgngQosK3//6UUKgAgK3B6w0rJN/I8AAAVADUg01BtSQUA -AoYAQ2EqkgANqgh8oXSakPP/RGIAABlwAAAA+4YVIgAAEPDRDyws2CxFGmP/aZur8/8kYgAAGLAA -AAD1ygwANgA04ApMFP/MCCIAABDw/082AgAAOXDTD235BQAChgBHYQoyCPxGDACAAhuwbWkFAgKG -AENjCtwMrOwszECckGP/jQAt7ECdkGP/hGwQBCYgB/S0mhMgEDgwB2cdKCAWpHIjIq7wgQQAARBI -MACVGnU4PBi0gAxmEfQisSYAIEGwhmD4Iq8gARAYMPIKACQAQCFw9DI4BgBAMXD2ljkIAATBUABi -EdEPAmIBACIR0Q8TtHkIggnzcwgDwAQ4oKMiIi0JIix0IyHgIiHfAyIB0Q8AAABsEAiVEYsiKiAH -+TIAIAICYTCcFvwWBSogAVAw9bHwaQABTDAXtF8YtHYuckqZEviCfyIVADegJnJJCGYB+WwAAg8A -NaAYtG0ogIAMpRGnVftSOiBuAkIwCEgUpIwszAT/AgAKAOLm0By0TytSOQysCizCly4K//y2AQ4A -12bQLSAW+RYAIPwEc3AqPBBby7UtIAf5tFUTIBBYMAvbHSggFqm5LpKu8IEEAAEQYDAAzBr/AgAI -AVfjkPiPEA/ABDtg/68CDgAgO7Cf4y6Sr37AMi6SsA7OAi6WsA7qMBe0OAiPCfe3CA/ABD/gr3cn -fQknfGwvcm8udm4K/wz/dm8gAUgv4CggFC8gBKSI+AlHAgC2A+D5JBQsAPwSYBu0K48SLSEHGrQs -HrQp/PwPLUABbDD8TBQNwAQ/YP4SBiwJAHdwnWD4IgAgAgJjMAxMDPn8ECoFAGLw+WYDKYAEOiD6 -ZgIuCQBDsJ5hLSAsKyEXHLQ28N0RAAAQODD6EgEqCQBu8PlsICoJAGbw+2YEICACQPD8MgMq4AFQ -MP9lCyAAEHAw/mUKLwAQaDD8C0cMAEBrMPxmBirABD7g+2YHIgBiGqD4QQ1h/gJRMG2pBQAIhgBJ -YS4gBLBK/KoRAgBFA6CIMigmHCkyAfgWBCYAIFGw9mwgIgCVBlD2kUVgABAYMKNLsbv7VjkiAAAR -8NEPAAD6LAACAABY8P0SASIAAGEwWEwD0qDRDwAAjRbAwf2NCAAwAlqw/dwDIgAAULBYTzdj/8wA -AAAAAPP98WAAEDAw+iwAABwQWDD8CgEgARBoMFhPLmP/qY4w/wIAAgDOK5CPFf9WOSIAABHw0Q8o -JBRj/pmMJ/jCCS/AEGgw98kUIEACWzD6wRUsAEBu8P0WAynABD0g+XcMACACQjD4xgksACBusPh3 -MgCAAmtw98UUKgCgahBomziomiqs8PxsICoAaldQaEEYsE5t6QUACIYATGEqsgEKmgj3uQQh4AJS -sP8CAAYA1O6Q+rYBIgAAQrBkcOTz/sxiAAA6MAAAAAAA+xIAIgAAULBbzINj/f3aIPtsAAIAAGIw -W83tiBSJMZoX86wAC8AEPqD3nsNmACBZsPosAAIAAFmw/AoAIgAAajBbzb2jo6NLsbv7VjkiAAAR -8NEPHbOXCI4J/b0ID8AEO6Cu3S3dCS3cbCzR4/rMDAAAEHAwDsw1LNXjY/11AAAukrEOzgL+lrEi -AABTcFgCLmP9XwjdDA1KFG2pBQIIhgBMY4gTrW76TAwAQAJTsPiMQCAeAD8gsM9t+QUECIYASmWI -Eyq5BA2cDAyICCiMMPi2AS8fALagjRPA4P61BCCAAmtwnbGdsPP/B2AAEEAwCogMmMlj/rsoIAca -s3r4L0AB/gJJMPyZEQ6gBD/g+v8CCAAgTbCfmIwg/rNqECAQUDD6lgsgAxBoMP6WCiuABD8g/bNt -GgkAbvCbmY8rKyEI+iAUKCABQDD+IgkpAAQ6IPgKACoJAEbw+JYNKoAEOqCany+WEf8SBiwJAGsw -nJ7+lhAqCQBu8PuWDCAGAnvw+CQUIgAAEfAvVjnRD4gTKIxAmLFj/lgAbBAEKiAH/LNOEyAQeDAP -rx0rIBb0s0EcACBj8CjCrvCxBAABEGgwAN0a8rQ1GAAn6hAYtDT8rhEIgAQ+4PjuCAgJAEzwmeAo -wq/+wrAuABbHUA7eAi7GsAjqMAu5CfyZEQ4AICPwqe6i7inibyjmbgOZDPnmbyAAFq5g0Q8Lugn8 -qhEIACAn8KqZKZ0JKZxsKJHj84gMAAAQUDAKiDUolePRDwArwrEL2wIrxrFYAcDRDwAAbBAEJyAH -9bMhEyAQQDAIeB0pIBalhSNSrvCRBAABEFAwAKYadjguE7MHDHIRoyKCIClSr8CB8mIBAAAQIDDy -ojkIAANJkNEPKVKxCWkBCYQ4BCIB0Q8dswMJnAn9jQgNwAQ7IK3MLM0JLMx4LcHeK8HdLMHhDbsB -fLsDwCDRD9Kg0Q8AbBAGLCAHiCIdswX6su0cIAFgMPWAsWfABDsgLdCAqmb+YjogbgJrcA1NFC3c -A/8CAAoAY2+QHrLjK2I5Ds4KLuKXDrsB97wAALkANuAvokoZsvRk8Ikpkn8ookn5iwEOAEBOECog -FAqkh/okFCwAUpKgKyAE/Ar/KE4AOuAFDEdowhiKJ/tMAAAAEGAw+qwgIgAAaTBYRdrSoNEPwCDR -DysgLHyx1o0yLSYciTHxnm9yAABZ8PaQkGAAEBgwI2Y5Y/+5AAAAAAD6LAACAABY8PxMAAIAAGlw -WErd0qDRDwD6LAAAHBBYMPwKASABEGgwWE4SY//RAADz/0lgABBYMAAAK8wY+iwAAAEQYDBYTgtj -/7TaIFvLjGP/VgAAAAAA/RYAIgAAULD7fAACAABjcFvM840QiTGaEfOsAAvABD6g9594agAgXfD6 -LAAAABBgMFvMxfP/ZWIAIB6wbBAEE7MOIzKBAyIMAmIU0Q8AAABsEArA0f5CAi//EFgw9RYBIBAC -OTD/PAACAAAZsP8WAiYBDr+QFbLvH7MUkxDwIQQAABBIMJkU8NYaAAAQYDD2FgMmEQBZsInhi+Cb -kIrgKO3+mBaZoZzhnOCLQiiCfvPyfyABEFAw97sMAAAQSDD7qTgJkAQ6IPkWByIAIETwiDKJN54V -/UERIAAQEDD+kgwoAEAyMPg2AiBgAkJw9UUSIKcAN2AtmRSKmfjoDAGQAnOw9NCKYgUAQ7AqFghl -IEiKGPWyyBA0ADagGbKqKKAAFbLFCYgKKIIQ/KAHIgAAWrD9CgMiAABQ8AuAAC1BFStBEfoWCCoA -Lu7QzCuIGGWPu4wyYABWAAAAGbKaKCAACYgKKIIQ/CAHIgAAWLD6PAAABBBoMAuAACxBFStBEfy7 -jnIAABKwjDLOwWABIgAAAPP/dmAAEFAwLUEQLUURY/9TAACMMszEZSBLZaBIHrNAfOA42sBZoIfy -CgAiAABasPz6/yIAAFDwWEwlizKKE4gWiUL/EgUqCQBasJoyn5EnhoEphoCfQiJFEtEPfscgx90N -zAGcMokTjxaIQf4SBSgJAGZwmTKegCT2gCj2gZ5BihfAwPxFESCjALagixSxu/sWBCQaALrgH7Ko -jkJj/mYAjEB0yVWNF/MSACBQADdgjxGKEvAxBAABEGAw8MwaAyAQQDD5osAv/xBwMP6yIxwRAHMw -CPgoDJkB+abALgAgQ7Ao4rEu4q3HnwmIAwjuARiyCAz/Eaj/nvLRD9EPjkDTD3ThqvpMAAIAAFnw -WO3z/kICL/8QWDDz/clgARBoMGWvQo8YZf89Y/7xLkEQjRH/EgAjIBBIMPndKAnABDtgGbH0G7IF -CPwQ+YgIDAkAY7D8hgMqACBfcCiyr/DxBAABEGAwAMwa/wIAD/+bRxAosrAIyAIotrAI6jCPEBmx -7A//Cfz/EQgAIE9wqf8v/Qgv/Ggq8rAo9q8Oqgz69rAh/4CyoCmysfoSASgJAE8wKbaxWACFY/7o -bBAIBmQJDEQR8xYAJAAgIPCNQBex4/ux0BABEGAw/vr/JgDHbRAZslbwIQQAABBQMPoWBCMgEEAw -+FgoC8AEOWDwxRoKACBasJoS8GEECAAgOjCYE/DKGgAAEBgw+hYBJBEAdXCM0YLQksCO0Cvd/pzh -k9GT0IxAK7J+KpJ/CbsR9MwMCgAgWrCaFf2iByAAEDgw+KICIAEQWDD8tzgAABAQMPzSDCBgAnNw -+9kUKABAKjD4pgIgABBQMP7ODAGQAmMw9LB5YgUAczAq0gnWoGUgRclvGbHpKGAACYgKKIIQ/GAH -IgAAWbD6EgUgAxBoMAuAANagzS9lb9SMEo0TixGMwC3Sr/y8AQABEEAw/Iw5CAAyatBgACkZsdgo -IAAJiAooghD8IAciAABYsPoSBSAEEGgwC4AA8/+XYgAAErBj/4plwEaNQHTZXYgQiREvgsDHr/4S -AygRAFZwCf8BL4bAL+KxLuKtx48I/wMP7gGPEp7y0Q+JE4gRKZKxwNH5iAEAABBYMAjbOHvAuGV/ -tYsUsbv7FgQlXAC64Bmx+Y1A8/7AYAAQGDDRDwAAAAAA9LFqEAEQEDD6CgQgIBBYMPyyaxIAAGlw -WaCzjhAAYQT94sAv/xBAMPAvGgMgEGAw/FwoDhEAR/AP3QH95sAsACA7MC7CsSzCrcf//F0RDhEA -e7D+zAEMACAncJzS0Q8AbBAEE7JXLjJpLTJtou4J7hGu3RixTRyxXvwuEQMgEHgw/y8oDgAgQ7CI -4Kz8+sKwIF8ANiArwrFksEl6vFEpwq/LkxuySBqxSKuqqvptCB0oorAvoq/5GRQOACBH8PqsMC4B -AMfw/6ajIA4ANmBj/9sAAAAAAAAA+MKtIAAQSDApxrGY4orXKqwQWEYu0Q8AgtciLBDaIFvPUmih -AtEPANogW89vErIwC6gR9KA5YgAgQLAM6jArIoWLsCKs//y7CAIAAFCwWaKkKjKV8CEEAAEQWDAA -uxoLqgIqNpVZotnRDwAAAAAAAPoKByABEFgwWEbXLCJ/LCaD0Q8AbBAEE7EVIzF+ojLRDwAAAAAA -AABsEATAINEPAGwQBPSyFBMgECgwBSIo+LEQFAAgILAkQpfBXwNVDPVFFnIAIECwAzQJDEQRpCIi -LQsiIRjRDwAAErFy0Q8AAABsEAb8sgUQAhBoMPowAyAAEEgw9SwAD/8QWDD7JBYgABAQMPJVGyI/ -ADag86QLAA8QeDD/FgAgDxBAMCowCBmx9/8CAAoAvMKQCakKiZAKkAAAAIYz9odCBuABMDD6fAAC -AABZsFmeQByx7CdUDCZUDSihByhVB/ABRWACEGgwiTOZEGABOYszbrgH8AExb+oQEDAqUAcKCkFZ -Svccsd8qVBbwARpgAhBoMIszK1QWYAENizP/AgAEAIQC4Gi2Lfi1MGAIEFAwaLco+LglYAkQcDD/ -AgAOAHVy0C9QIMCE/VQFLgkAR/AvVCBgANItVAVgAMwpUCAKmQIpVCBgAMCLMytVG2AAuI4zLlUa -YACwKsJ9jzMpwoDwkAQOACBX8PoIBgH+Anvw+MKCLgBAR/APDxkN/zf4/zYA/xBAMAj/Ni9UI2AA -eYszm1xgAHIpUCKLM5tb+1YJICoANmAvwoDw8QQB/gJycPDuGgABEHgwAP8a//z/LgAgcvAP7gKe -WmAAPZtaYAA4iDMoVQhgADAbsaSKM/lQByoAIF6wK7CALgr7/pkBC+AEPuD7mQIA/BBYMAuZAQqZ -AilUB2AAAcYquDP4Cg8v/zqg0ClRGy9QI4sQHrD7KsKAALEEAOgaAKAECAgbDYg3CP82/1QjIGUA -tmAtwn0csVsqUBYrURr9zDYA/xBoMP+xgxDGBGqwLVAH+DogLCABbDAI3Rz5sH0eACB/cC/yl8GP -CogM+PUleAAgT3AKrgkM7hGumSmdCymRGAnPNgv/Nwv/LA+/HC9VG9EP0Q8OzzYL/zcL/ywPvxwv -VRvRD8CPmBBj/18LySwJuRwpVRvRD2wQECggBP+xHxABEFgw+rBaEAAQcDD1CUcCANICIC0K8fec -/iIAADDw97c5AgBJgmCOIi0gB/XhVmwgAWgwKyAhCxlCZZHQwNUMC0cMvhGq6imiOv8CAAIAqEZg -KKI5D7kKKZKv+YsBDgCfzhApIBSkmSkkFIxg/wIAAgDxKxArICH7HBQABxBQMP8CAAgBI2KQZHAm -+iIHIPEQYDD8uwECAABpMPskISAAEGAw+qwgIgAAWTBYQ0DSoNEPwCDRDykgBf8CAAABFgZg/wIA -BgEUhmD/AgAIAWuCYBywy2WfTyjCf2SE/CnCfYiRi5CbgIuQmLGekJ6RK8J/sLsrxn8onf+MIPyG -PiHwAlpwmycuJCAuJCEsCgj8JAUgABBQMCokFCokFyolG/olGiAgAkrwKRYRGrEeW9ijHLEcHbB0 -HrEcjyD6EhEiAABasFvYWoon0w8PAgAqrBAqFhJbziz/AgAAAhsGoCsgISwK/vy7AQIAAFCw+yQh -IgAAWPBb/wIar/0fsMBj/qYAAAAAAAD6LAACAABY8PxMAAIAAGlwWEgZ0qDRDwD7zBgiAABQsP0K -AyABEGAwWEtOY//RizCZGPsLRwIAjQJgjCItIAf1xFhqIAFsMAy5EaqaKKI6/wIAAgIaxiAoojkP -uQopkq/5jAEOAhJOECkgFKSZKSQUjzD/AgACAh4r0IoY/wIAAgJMmqDAINEP/wIAAABwhmD/AgAC -AHwCYP8CAAIBkgZg/wIABAGtAmDHxfz5UAAHEHAw+AoBIAAQWDD5izgABRBoMPztOw3zALbgY/8y -IyAHHK/bAyhA/yEIKKAEOiDzA0EICQBiMPi2ACMABDzg86/LHgkAH/COIJOyE6/RwMPz/wIAIBBA -MPPjAg+ABDug+LYDLgkAY7CesYgrjimftJi587YGKIAEOmD+tgggABBwMP62BSgJAENwmLcuJBQs -pjlj/aopCvEJuwErJCFj/bFkvuYDtwsZsLOZHvABi2IAADDw0uDRDwCLJ2P+F44rjSl+0QbAgpgi -Y/8sKQrx+bkBAAQQaDANmQIpJCEMzRGq3SvSOv8CAAIB0EbgLtI5D8gKKIKvnRz46wEOAcbHkByw -a/oiACAAEGgwnRGcEClgA/4KASAAEHgw/QqBKUAEPmD5FgIgABBgMFhFqx+wRhqvgo4cwNMt5jmJ -IiwgBsCG/iAhIAEQWDD7mQIAAgJjMPwkBiDxEFgw+SYCLgBAW7D+JCEuCQBDsC4kIWP+iYYnjGgr -YRX4YgsgQAIpsPUWDS/AEDgw+BYKJABAPXD1FgkvABA4MPUKAioAIC7w+7xAJgGEZhApaRQMSBEI -mQj5ZRQmACBiMPgWCyoBfTbQ+xYTICAANOADOQL7zAAAFQA1IG1JBQAJhgBLYYYdiBuGYKhmKBIT -/wIABgGVRZCJHZaQi8DWwPsIRwIAAEswbYkHKJAIaIELuJnAcfP742ADECgwiJMHuwEIuwKbwCgg -IQ2IAQWIAigkIWP/2sCbeaFEuGb/AgAGAGU9kCpgCGSgl2ihZGii6WijVmmp3oljbpPeaZPbiyf8 -+sAgQAJS8PwKACoAQGKw/LUUIIACUrCauZq4Y/+6jB6OIo8njSApIBYv+RSZEPhiAyAFEFAw+BYB -IAAQWDBZnniIYygkFmP/j4ljKSUIY/+HHLAwi2P6IAcsACBi8CzAgC0K+/2qAQ3gBDsg/KoCAPwQ -YDAMqgELqgIqJAdj/1gAi2MLikL6Fg8q4AFcMCsWEFmccSyhBy0SEI4fLiQMLSQNLCUHY/8wABqv -Dh+v0PP8gGAAEHAwAAD/AgAD/nJ/kIlgKAr8CNgB/voAKAkAQnD+CggoAEB2cPgkByDxEEAw/JkC -CABAQvD5ZgAoCQByMCgkIWAAAYlg8/ymbOABSDAAACoSElvNPBuv/gusEay7+xYHIFQANqAM6jAr -soWLsLCq+hYGKgAgZvBZoHEcr/yNFirCf/DRBAABEFgwALsaC6oCKsZ/WaClY/uDKcKAi5GIkJiw -iJCbgZ6QnpEowoKwiCjGgmP6//oKByABEFgwWESdihcpon8ppoNj+1EAAPosAAAwAlrw/AoBIAMQ -aDBYSjL6LAACAABY8PxMAAIAAGlwWEbz0qDRDyUhCB+u4fC2EQgCAWgw+ogQBAkANXD2rtUYCQB6 -MJjAjyCWwhau2sKAmMP2VQIAAxBAMPb2Ag+ABD/gCP8Cn8GDK48pnsWVxJ/Ik8n4nxAABBAYMPbG -Bi4JAB/wn8cuJBQopjlj+2CKJ/tMAAIAAGkw+qwgIAAQYDBYQb/SoNEPAAAAAAAA+8wYIgAAULD9 -CgMgARBgMFhKBhqupx+vafP7VW/0EGAwnmvz/UJiAABiMACJGQy7DPsWBSA/ADTg+0gUAgAAMzD4 -FgQgEAJCMPhINgIAAFjwbYkFAguGAEZjiBSLFSacQPhIDAoAIFzwbYkFBAuGAEZlixUiFhSCG4gd -CyIMopIiLECSgCISFGP83YgZiR0ojECYkGP80QBsEASJJyggBiuZFCqcIP2SCSH+AkIw9LB+aOAB -QDD4JAYgDgA2IMAg0Q8AAAAAAAD8CiogTgA3YCvQAHy5Q/6RFS/AEHgw+kz/LgBAfrD8qxEP8BBA -MPvbCA4AIHuw/uxAIEAEQPD53BAgIAJa8Pg8ECoAGN+QaEEIbakFAAiGAElh+iwAAAEQWDBbycbA -INEPAAAAAAAAAPgkBi+KALYgY//eDe0MLNzwDEwUuMsLqzZtuQUACIYASWH8SgwIACAbcPn8QCF4 -AD6gLaz/bdkFAgiGAEljY/+oAAAAbBAEiDL6LAACAABY8PwwByADEGgwC4AA0qDRD2wQBCggBCMg -B/MDQQYQADogwCDRDxuvU9MPK7F++ysUAgAAULBZBzxlr+X6LAACAABpMPwKASAwAljwWEmYwCDR -DwAAbBAGGK9Iii4vIAf8rr4QABAYMP8PQQYAbsaQI8J/CagRqDOIN4iOmBCKJympFPuiCSDKADZg -LbAU3rD4sBUgFQA3YCqsEFhDJMAg0Q8AAAAAAAAA+cJ/IKwANiCJlyuZFMDI/QoEIKUANuCLmSiw -FrCICM04GK4ZDPwR+K4ZHAAgQzApwjoI+Ar4gpcqAInuUCnCOficAQ4AhEZQKSAFKLAHFK8i9LYA -IWoCenD+mRECHgC/4B+vHK+ZKZLNYAABwJAInxEPiAKYsSTgFPjgFSAXALUgZICBKbAW+JE1Yf4C -UnAqtBaKJyqsEFhC+8Ag0Q8AAGP/MQAAAAAA8/82YAAQWDDz/21gBBBoMPP/XWAAEFgw2iBZOstk -P8mMECvAKC3AKf7AKiuABD7gDbsC/cArK4AEPuAOuwIIuxENuwKxuyvEKwuLFCvEKguLFCvEKQuL -FCvEKGP/i9ogWTq5ZD+DjRAs0Cgu0Cn/0CotgAQ7IA7MAv7QKy2ABDsgD8wCCMwRDswCscws1CsM -jBQs1CoMjBQs1CkMjBQs1Chj/0XaIPiu4xAwAlvw+OYAIAEQYDBYSSnAINEPAAAAbBAKKCAE/wIA -BgHfjiD3CgAkAduiICggB/UNRwggAUAw+BYIIgHUA2CKLhau0ysxCCslCCliOgmqEaqZKZAF+ApC -ID4QUDD7IBYmAfrWUPwKPSYB9sZQ/gr/LgIS4lCIOfgJQQAEECgwCVUMBQVB9VwPL/gQSDAJVQH1 -XH8oACAqMPVFFABoAkIw+BYEIDQEcvD83P4gARBQMPogQSwFAGKwWEigZKP3ixgZrZ0MuhH9rZ0a -ACBKsCyiOv29CgAIAkFw/dKXKgHMxxAsojmYGf3EAQ4Bxm8QLCEilxArIEEpMgn6rjUQCBBAMPwW -BSAEEHAw+7sJDiABTDD/7gwLwAQ+4P+unh4gAXAw/hYDKgAgWrD6on8gAxBgMPoWBigAIHZw+CRk -IHgCSnD5FgcgBxBAMPgkXCIAAFhw/66RHgkAe7D/JSovgAQ7oP4WACDKAlCwWZPHjRYnJTUnJGj4 -IQcgLhBIMPkkVyBSEFAwKiQF+a12EEIQUDD6JHQpQAFAMPoSBSnABDog+a2PGAkASjD4RgAgRBBw -MPrbFAzAAWgw/yIAK0AEPuD+RgMuSAFoMP19QA+ABDug9d0RDAkAczD+rnEaCQBu8Pj/EQoJAGbw -/K5sHgkAfXCfQR+tW59C/xIHK0AEOqD4IEEqCQBisJpGJ0UK/kYHKQAEOiD3jhQICQBaMP9FCygJ -AEow+EYEIJACaLDyDRYAQAJhMABMY/khKiAAEFgwK0QxK0Qy+0QzIAAQUDAqRDUqRDb6RDcgABBA -MPhEMCAAEHgwL0Q0LkQuKUQtJ0QvCYkUKUQsLSBXLUQ7DY0ULUQ6DY0U/UQ5IAQQYDD9jRQAeAJR -MP1EOCC4AliwWZN694sUAMACQLDzCBYAgAJJMABJigAIiAAJiogTJ0RTK0RSHa0qC4sUK0RR+4sU -AEACSPD7RFAgsAJRMAYJiADKigQJiACKiv1GGiAQAlowK0Yb+SB0KgAgQTAppHQvIQcoMAeYMSgg -BywhCPmtIBvABDlg/w9KCgIBRDD8/xEKoAQ+4Pv/AgQAIFEw+62CHgkAT/D/RgAoIAFAMPoiAC8A -BDog90YFLAkAczD3RgcgMBBIMPlGAywJAFswnESbRv1GAiAEEFgw/az0G4AEOqD8EgkqCQBasPpG -ASBAAiEwBgOGAERnBAOGAERlDI8RDf8ILPY5KyAWLgr/frEIKiBBLBIEWEe5JSEUIyESDwIA9AoA -ICoANWDKUvpiwyIAAFjw/AoAIAEQaDBYP3UoYsT0TAEgAgIY8AgzLnVJ29ogWDzUwCDRD4oni6j8 -oRUvwBBwMPmiCyBAAjKwDm4B/hYBLAAgczD8zEAmAGheUCipFAxPEa+I+KUUKgAgW/D/FgIqAGRX -EMk4yUbZsG1JBQADhgBJYY4SimAPAgAPAgAOqgj/AgAGAHhmkJpg8/vzYgAAGvAAAAAAAAD6LAAC -AABY8P8KhCIAAGEw/zUIIgAAaXBZPy3AINEPAIkiZZ9jixj6LAAAARBgMPu8GCIAAGowWEgJwCDR -DwAA+iwAAgAAWPD8CoUiAABpcPw1CCIAAGEwWT8dwCDRDwCNImXfIysgQfosAAABEGAw+7wSIAAQ -aDBYR/jAINEPAJer8/tjYgAAGnAAAAAAAAD7ygwANwA04ApMFP7MCCIAAEDw/k42AgAASvDTD23p -BQgIhgBJaYkRqjj8TwwAgAJKcG35BQoIhgBJa44SjBEK7gyuzCzMQJxgY/8VjxEv/ECfYGP/CwAA -bBAG+CIHIAAQaDAtFgAqIEEbrR8mIAcKrAn5iRQtwAQ7IPOCCSoAIGbw+7J/JiABMDD7FgEjOAA2 -YPgKSSMwADTgLjAS+wpVIykAN6ApIAX8Cv8mAY9eUCsgFvgkBSAaBGLwAMWOWEdlZKNIwEEZrGLA -7PxoEQABEFAw+axgGAAgSjD9gjoiAAB5MPSvOQAEEDgw+WkKBgUAf7D5kpcqAXi/UCWCOQlVAfus -bBLrADVg+FwAAgAAUXDwCwcAQAIxcG15AgBIYWRBsS0hBx+sVQ0NSgzdEf6tYxwJAH9wLVYAKiIA -+a1dEGQQWDD8rFAQCBB4MPxWAiuABDqg+1YDKgkAerAqVgH5JSogBxBAMPgkXCIAAFhw/yRkIAMQ -YDD+FgAgygJQsFmSiB6sXYoR/yEiIC4QYDD8JFcgQhBoMP0kdCBSEGAwLCQF/SBBIAAQYDAsJTUP -2BT8JGgvQAQ/4PoJRgpIAVQw9IgRCgcBUDD4uxENAAQ/YPuZAgtQBDqg+q04GAkAUjD8VQogVBBY -MPmtMxgJAEow+1ULLAkAR3D6VgcsCQB3cP1WBC4JAE/w/1YGIJACSLDyCRYCAABBsABIY/shKiAA -EHgwL1QxL1Qy/1QzIAAQcDAuVDUuVDb+VDcgABBoMC1ULvxULyAAEFAwKlQw+1QtIAAQSDApVDQL -ixQrVCwoIFcoVDsIiBQoVDoIiBT4VDkgeAJRcPiIFAAEEGAw+FQ4ILgCWLBZkkb2bQEgwAJAsPMI -FgCAAklwAEmKAAiIAAmK+l0BIAAQWDD7VFMgABBYMPtUUiAAEFgw+1RRIAAQWDArVFD+IHQhAAIx -sP5UcCEAAlKwHqxeLCEHLTAHnTEpIAcvIQj9q+8dQAFgMPzMEQoCAUww+QlBCqAEPuD7zAILAAQ+ -YPgyAC4JAF/w+6vdHAkAazCcoIwg+6YCLgkAd/D/pgQgABBoMJ2lnqb9pgcgMBBwMPjMEQAEEGgw -/qYDLAkAazD8pgEo+AFAMAYDhgBGZwQDhgBGZSoiBxyrvf/6wCA1EGgw/JsRAEACUrD/rwEKACBm -8Pe2OSAAEFgw+6UEIIACe/D/pgEgNhBwMP+mACCEBHIwfYFFykgrIBYuCv9+sSD6IEEgVBBgMFhG -fMAg0Q/E/y8kBSggaLGI+CRoL9oAtSDAINEPKCQF8/z1YAAQIDBkT9vaIFg7m2P/2MWSKSQFY/+x -8/0VYAAQKDCKImWv0PtsGCIAAFCw/AoBIgAAafBYRvHAINEPAIsiZb+zKyBB+iwAAAEQYDD7vBIg -ABBoMFhG6cAg0Q9sEBSVFpcUIhYc8xYFIgAAWTD7FgciAAB48CzwA/IhIiIAABiw9zIOIgAAIfD2 -MAciAABpsJIaKDBB8qwgH/AQSDD2BkEMACB7MPiICQB+AmMw/IgRDABASzD8FgkiACBAsPrCASAw -AkGwmBgiIn/8zBAqAuHTUMDg8hYBIAAQWDAO0gweq/Iu4n8JfxGv7i7hNyh6//8CAAoC9vIQFaws -lhMXrHwncn//AgACAAAx8P8CAAoASdNQjhmP4wKqDPcWAi4AIHyw/uICKgADk9Au7AGIFPcKACAC -piYg/wIAAAKiJqDAIG0IWgpINPYpCggBAMFwmJAGKAufg56CiZAJRAz5qgwAAgIQsP/sAAgAIHpw -+BYLKgADShCx7/78AAACAjnw/xILIBgAPKDyCgAgMAIxsP8CAAACbyUg/wIAAAJrJqBj/54MugoM -vguP44qglxL+4gIuACB8sPKqDAoAAxPQse7AIPIWECAAEBAw/wIAAABSpSAtFhtgACwoEhD7vAEo -ACBB8PgWECAYAD7g+woAIDACYzAMvgsMugqKoI/j/uICIAA3pSD3CgAvzwA2oGpBx9MP0w9tCFT2 -KQsIAQDRMPYtCggBAMFwCEQMCKoMmND+lgIgAgIQsP+WAywAIH4w+NsGcgAAe7Cx7/78AAACAjnw -+CEMYgAAe3DyCgAgMAIxsGSvc/8CAAH/uCUgY/+gAC0SG8CwmxArMBaEFPYSAiD/EEgw/RYYICQE -SvCMFiowQQDMjlhF+2SkWS0SEPmq+BOQADdgjhqME/USASCQAljw+xYRILgCUPD6FhIgwAJA8PgW -EyLAASgw/t8UDcAEPyD1h0IPQAQ/4PndCAeABD3g/RYPJAcBLDD1VRECCQA4sPeq/B4JAC/w9xYM -LgkAF/AvFhX/q/cd4AQ7IP2q3RAAECgw9RYZL0AEO6D/EhAuCQB7sPIWDiwAIGsw/BYNIAAQODD+ -FhQgCgJ78P8WFyAFECgw8AARYAAQEDAoEhfTD/8CAAYBeUVQwJUJWS/0knlgAgIpcCd9ASd8gCkx -BxqqzwkJSgyZEQqZAplwiDD8quoQRBB4MP6qyBAIEEgw/nYCKYAEOiD/dgMoCQBKMJhxLTBBBigK -ioArEhX4FhYgBBBIMPDdEQogAVAw+pkMCgkAbvD6q8gaCQBm8Jt0+xIRKCABTDApFhooggD6dgcg -ABBQMCp1CvkSFCgAIEow+XYGIHgCQjAodQvwCxYAQAJJ8ABJYSkxKvp0LyAAEGgwLXQz+XQtIAAQ -eDD/dDAgABBYMPt0NCAAEGAw/HQyIAAQcDD+dDEgABBgMPx0NyAAEHAw/nQ2IAAQWDD7dC4gABB4 -MC90NSsSEi8SGQmJFCl0LP4wVy4AIEfwLxYZLnQ7Do4ULnQ6Do4ULnQ5/o4UAHgCUfD+dDggBBBg -MFmQ0PkSEyCAAlHwAgmIAEqKAAmIAAqKKzIcKhIXK3RTC4sUK3RSC4sUK3RRC4sU+3RQIHQIUXAs -Ehr9q4sQigJR8PscAABCEHAw/jR0LCABYDD9CggsCQBrMP00ZS2ABDsg/BYAIAMQYDBZkLYtMTX2 -KQsANhBwMC40V/qSAyACAmtwLTU1LRIWHKt4LHYWi9ArdheI0I4/LzIcKnYZiZL5dhguACBH8C82 -HC8SGC3SALEi+EQMDgAgfjD/FhgsACB3cP02DyAYADyg8goAIDACMbAZqlUpdhopEhrA9Q9fL7iY -KHYb+DB0KAAgPnD4lHQtzgC34CoSEAWqDLaq/wIAA/7fQqCOH40eLeY5KzAWLAr/fLEIKjBBLBIZ -WEUJjR6JFfoSGCBHEFgwKzQFmpePMgT4E5SZ+JYIIKgAt+DaMPsSCCABEGAwWEWJ0Q8ALRIQjB/1 -3QwABRB4MPzCOiAMAmtwD902Dd0R/RYOKgBG7xCIHYcfKIKXJ3I5CHcBZH+eiRz+fAAAABBQMPAJ -Bw1QADdgbQgJsarwDqAH/qHukGP/7wCME8Cg+xYOLcAEOyD6FhksACBLMJwfjx+OHi72OSswFi0K -/32xCCowQSwSGVhE3IsVjBf9EgYiAABQ8Fv9htEPj8OOworAJxYQY/tMAADz/+5gABAQMPP/gGAA -EDgwAACPGY/0+v8IAgAAcrDyFgEqADN/UMCw8vwAAAAQeDD//AQiAABwsPj0D2ACAlrwLMwY/woA -IAAQWDCs8oIgriJy29v/AgAL/QLzUA3iDGP5/SVKAPYWAyQDAC+w8/oNZeABLDAoMEEojBKYGPP+ -rWAAEGgwAAAA8//IYAAQWDBsEAiUEi4hIpcTlhT1IAciAABpcCogQZ0R9jADJCABLDD6qAkAMAI5 -cPcWBSAAEDgw9xYAL/AQSDD3qnMWACAZsPsgFiB+AjGw/IgRBgBASbD4Cv8mACBF8PdyfyAoBELw -nhcAzY5YRMP+EgciawA2oBipvwxUEfqpvxQAIEEwLUI6CloK+qKXKAEOQ2ApQjn6lQEOAQjWUC8h -BxipwPypvh9AAXww/P8RAEQQaDD+2RQOCQBH8P9WACoHATgw+yIAK1AEOqD0mREOSAE8MP1WAy+A -BD/g+LsRAAgQaDD8VgIqCQBu8PtWASzAATgw/2IBLAkAezD4IEEoCQBWcPTqEQgJAGZw+6qzEAQQ -YDDwiBEGIAF8MPfHDAgJAEow+am+FiABPDD3/wgKCQBasPpWBiB4Anvw/xYGKAkASjCYVC9VCxiq -pfhWByAAEEAw+FUKIJACcLDwDhYAQAJpcABNYf8hKiAAEFAwKlQ1KlQ2+lQ3IAAQSDApVC74VC8g -ABBwMP5UMCAAEGgw/VQ0IAAQWDArVDErVDIvVC0rVDMPjxQvVCwrIFcrVDsLixQrVDoLixQrVDn7 -ixQAeAJRcPtUOCC4AliwWY++KSxg8wkWAIACUXAASooACYgACootIhwcqoEtVFMNjRT9VFIgigJR -cP2NFAIAAFhw/VRRIEIQSDD9jRQIIAE4MP1UUCgJAGIw+SR0IAgQYDD8JGUpgAQ6IPgWACADEGAw -WY+lG6le+iE1IDYQYDAsJFePY4hh+WIAIAICUrAqJTUpVhYoVheNYS9WGY5iLlYYLSYcLGIBLCYP -+1YaIBACUfAqVhv4IHQoACA9cCiUdC8KCC9GOSsgFi4K/36xCCogQSwSBlhEDPwSAiIAAFCw/RIB -IgAAWPBb/LXRD8DY+RIEIEcQUDAqJAWZN44iiBP4NgkgABB4MP82CC/fALeg2iD7EgUgARBgMFhE -htEPACsgQSu8EpsV8//DYAAQaDBsEAYoIAT/AgAGAHYOIPs8AAQAciIgBQhH/QoAIgB7giAqsAMs -sQgZqaIsJQiMLimSfwnMEayZ+JAFKgAgWrD6rD8v8BBwMPwKPioAQHKw/gpCJgCVZhD/Cj0mAJF2 -EPyp1R4AmfoQKCAF/gpHINACMnD/CkkmAJ12EPmqGxYAtX4QLSRoLSRmLSU1+SUqIAgQcDAuJGT+ -JGcgLhB4MP8kVy+AEEAw+SIcIFIQeDD4JGUgARBAMPgkXCBBEHAw/iR0IAcANmAoJGgvJAWIu3jD -KSmgA2mRIyphA3ijHdog/EwAAgAAaXD/sgYgABBwMFv/CsAg0Q/AINEPANog/EwAAgAAaXD/sgYg -ABBwMFv9VcAg0Q+IJ/uCCCfABD0g/IEVL8AQcDD5ggsgQAIyMP+JFC4AQHGw/hYALAAgczD8zEAm -AHdeUPt6CA4AID/w/4UUKgB3VxDJNvm8AAAUADUg2DBtSQUACIYASWGKYAeqCP8CAAYAi2aQmmBj -/qPaIPwKhCIAAGlw/LUIIgAAYTBZOzrAINEP2iD9CoUiAABhMP21CCIAAGlwWTszwCDRDwCIJy6J -FGTgR4uJiLt4w1YvoANp8VAqkTd4o0raIP6yByIAAGEw/7IJIgAAaXBb/s7AINEPAAAAAPosAAIA -AFjw/EwAAgAAaXBb/CXAINEPAIjb+MMQcAAQWDAsoANpwQUtkTd427TaIP6yByIAAGEw/7IJIgAA -aXBb/Q7AINEPAJ2L8/3rYgAAWnAAAAAAAAD7ygwANwA04ApMFP7MCCIAAEDw/k42AgAASvDTD23p -BQAIhgBJYYkQqjj8TwwAgAJKcG35BQIIhgBJY4wQCn4MrswszECcYGP9lo8QL/xAn2Bj/YxsEBgi -FiQjFiMuIRUkFhaILy8gBysiHCwwAysWHvsWIC/wEFAw/w9BBgAgZPD/Fh8gfgI58PSESGYAQFXw -LBIkj3MrEiMswRMUqYr7sgsgABBIMPTEFW9gAXwwm1GZUCoSJA7DDC9CZCihEiKhECahEfqiFimg -BDog+hYALgAgR/AvFhUqQpr9qPISAABgsPX6/yQBADSg+6wAAAAQcDBtKSmCcw8CAKLi/3ICKgAD -8JAv/AH+7RAoAEBssPm2ASgAQCvw+LYAIBACWvD/AgAKAEwzECMWJW0IWYl0wOH5yRILYAFMMP4K -ACoFAF+w+ssLCAAgXnD0kAdjQAQ+YGAANXbBYIl1wOH5yRILYAFMMP4KACoFAF+w+ssLCAAgXnD0 -kAhjQAQ+YGAB7AD3fBgqABqzEGP/n4J3j3b8zAEiACATsP0pAQoAA3CQsf8u7RD5tgEoAEAr8Jiw -8+PZcBACWvB2yZ4jEiUVqOYXqDsfqMAeqNgtEiQUqCwsEhUo0SMt0EAbqBz6iBEAABAQMPXTDmwA -IEMwKRIeCWYMLRIgCt0L/RYiI6IANOAtEh8M1RH7CgokACBdcPhSOioDAFzwC7cJD3cR/wIACgHc -PhAZqMwoUjkJ2Qopkq/5jQEOAdROECgSJAszDCqCHCmBIyKBFQq6CiqGHPqoGBIAIFiw8oUVKAAg -XnAphSPwCgcCAABLcG15AgBJYScWHCUWG/MWJSJqADbgKxYTiBAtFhT6zAACAAAbcPqIEQAAEBAw -+BYhIAAQODBtuZEoEiGeMCkSJApcFPw2AyACEGgwnTKFkCQ2BPg2ByBAEFgwKzYF+xIjIAYQaDD4 -XBEECQB9cPU2BiwJAGswLDYBLBIi+7ILIAAQQDD7NggiAABo8PmSDyBQAijw+DYLIgAAWLD5Ngkg -ABBIMPk2CiwAIGHw9rsxcAAQeDCPwY7AYAAn93wgIAgCELD6rEAgwAIY8CkSEyMSJf0SFCAAEFAw -8ABeaACAVnDA4P/WDSACAlrw/tYMIBACa3D12bVwEAJjMB6och+oWGP/tgAAAACCefzMASIAIBOw -/3IIKABAbLD5tgEqAANwkLH//u0QKABAK/CYsPPj1nAQAlrwY/3iAAArEhsqEhz6tjkhDQC04I4Q -LxIWCu4RnvAuEiQJkgkq4SH44REgABBgMCzlFSzlE/zmHCABEFgw++RAIFEQYDAs5AX6iAwDsAQ4 -oPjlISIAIBNw0Q/AYGrBE/wPSwABEFAw/MgSDgUAfrCo/69mLHADsMz6wUtiAAARsN5wiOQPAgD4 -D0sAARBQMPjIEg4FAH6w/Mz/LgAgR/D0wBxmACB5sIjlCA9L+MgSDgUAfrAI/wj8zP8mACB5sP7s -GCH/348gm1EoEiT5VgAgBgIpsAUlFCqBFCKFECaFEfNcAAJeALagC8sUs7sLKxT7vAMiAABgcPsW -HSIAAFIwWAi5LRIkKhYVLBIdLNUULhIVLxIk9fUTLCYAt6DAINEPAAAAAAAA/HIBLy8AN+CvzCzN -8PP/JGABEDAwj3MPD0ufL2P7sQDz/rtgABBIMPwKACw8ALWgY/zIACMSJC0SI4M/jdcAB4v4CgEg -EAJIcP3NFAIFAB4w/TMIAAQQQDBtigIASWEtPP8NDUFk0c8tFhf43AEgABAYMPgWGiQAU5YgKBIX -KQoE+JkMAgAAarBtmUkpEhr5FhgqAAcw0InQiNEoFhlgAAnAkPkWGSAAEEgwKBIY8hYmIAICEjDy -FhogEAIQcAKIC5mGIhIZ8oYHIAICGPDyEiYgEAJrcC0SF2AAQSoSJPgSACABEGgwLaRALKEhK6ER -8qUVIFEQSDAppAUipRMpEhYiphzyEhIpoAQ6IJiQDLsMK6Uh0Q/AINEP0tDRD8AzDTMMdjsFIxYg -YAACJhYgLRIfDNgRq4goFhsogjr/AgAGAL1CICkSGwXYCiiCrymSOQiZASkWFCkSFP2cAAFlADZg -/pYAIAIQQDCYkvgSJCGAAhswA1MUk5OJgPiTEQgJAH5w+dYGIAYQSDD5EgAiCQBM8C0WEvTWBCmg -BD5gmdcpEiPz1gEgQBAYMJPViZsp1gj4gg8gABBIMPnWCiAAEBgwI9YL89wwIEACSHD41gkgBRBA -MG2KBQAJiAADiikSICgSG8DW/YY5KgAHSZDwAAxgABAwMAAAKRIgCWYMLRIk+BIgIAYCGbADIxQo -1hwo1SEi1EAj1RNj+xQqgRIpQmT7ghYroAQ6oPsWACgAIFZwKRYVY/2wAMDTDW02/RYgIHAANaCD -oYmgkxv5FgoiIgE5oIOjiaJgAA0AAAAAAADzCgAgABBIMJMd+RYMIiQBPaCDpYmkYAAOAAAAAAAA -APMKACAAEEgwkx/5Fg4kJAE5oIOniaZgAA4AAAAAAAAA8woAIAAQSDAjFhEpFhBj/n3AMPP/kmAA -EEgwwIAoFhRj/pMpEiQilRMiEhTRDwAAbBAaKCAE+iAHIgAAOLD5PAAGAKuOIPTFGgQAp6Ig8xYr -KOABKDDzCgMqIAFQMPoWKiLkAjogiieLqPyhFS/AEBAw+KILIEACarD2qRQiAEATcPLMCA/ABD0g -/MxAJgM7XhAPZgj2pRQqACBb8P8CAAoDN9cQyZfJRficAAIAAErwbUkFAAiGAElhKtIAD6oI/wIA -BgNYZpCa0Nmw+RYrIAEQYDAtEiuLfh+noy3RCC11CCryGAm7EauqKqAFLgo++3AWJgL6dpAuCkL/ -Cj0mAvT2kPIKSS4DKPqQKnAF9QoBJgM1FpAtCv99sQsqcEFYQXNkp0wqcAUicAfFkfICQQYAS86Q -xbT/AgAGAEbekC0SKxynNI3bfcNVKBIrioAKCkeqiPiMPy/wEFAwCogBLoADaeE6i39ktVIap38q -oqEuoQQtoQUvoQKMoP7s/y3ABD9g/O4RAf4Ce/D/pQIsACBzMA3MDGAEC8Ag0Q8AAAD7EisiAABR -8P0cCCIAAGBwW/3QZKURLBIr/RIAIAAQWDCbyJ3JKnAFFqZI8hYoL8AEPKD2/wgAVBBwMP8WKSYA -p3aQlRSTGh+mtS5xCPwSKyAAEFAwmhiaHioWEioWFPoWGi//EEgwKRYb+RYhIAAQWDD7FgYgABBo -MP0WByAaEFgwKxYchsuNyRmnUJkfLRYZ/6dQHgkAe7AvFhWMyP4WDSACEFAwmhkO/hMsFhj2hhQI -gAQ5oCYWHhymiJ4cKBYf+hIpIBsQQDD4FhAgHhBwMC4WFiqiOiwWIPanPRAPEFgw9hYTKgIhXpAr -EikdptkrsjkNLAoswq/8sgEOAhXm0I5w9HEHICACSHD2ChggBRBQMP+mUBIAAECw9ARKBYAEP6D1 -phQSCQAdcP+mFB4JAHuw8uwABcAEOSD1pkcUCQApMG2qL5SAk4GfgpaDkoSKlIuVjJKNkP6SAyAw -Akpwmob7hgcqCQArcJyInon6hgUgYAJCMCoSKcCf0w/5pjkgVBBAMPh0BSBUEFAwKxIpHqaxLRIo -K7I6LBIpDt0K/dKvJgHCxuAswjkepfL4powQWBBYMP3CAQ4Bt+8QLXEHDQ1K/xIrLcAEP2D8cSIs -CQB3cJ0giXAepvT7JgMgBxAgMPul5hmABD5g+yYCKAkAJnCZIYb3JhYk//IGIAAQSDApFib/FiUv -iRAwMPZ0ZSAIEFgw+3RkIC4QeDD/dFcgBRBoMC10XC51Kil1NSl0Zyl0ZilwQf1waCBCEHAwLnR0 -+ZsJAFAQeDD8uxEAAgJrcP10aCgAIFow+IJ/ICYEerDE7n6hCcX2/wIADgIvepAepeATps77Ckgv -QAQ/IPCdEQTAAUQw+IpCBgcBQDD7JQsgABBIMPklCidQBDmg/NgUC4AEOqD8psIZQAQ6IPpVAgYJ -AEGw8/8CBAkANXD/JgYsCQAvcPwmBywJAHdw/SYEIJACUfDyChYAQAJAsABIY/hxKiAAECgw9SQx -IAAQGDDzJDIgABB4MP8kMyAAEHAw/iQ0IAAQaDD9JDUgABBgMPwkNiAAEFgw+yQ3IAAQUDAqJC4p -JC/4JC0gABAwMCYkMAiIFCgkLCZwVyYkOwaGFCYkOgaGFPYkOSB4AlCw9oYUALgCWfD2JDggBBBg -MFmLzih8YPMIFgCAAkiwAEmKAAiIAAmKKHIcKCRTCIgUKCRS+IgUAKgCULD4JFEgDBBgMPiIFAD+ -Alhw+CRQICICWvBZi70rcHQrJGQqEiobpWYMqhGrqiSmOStwFikK/3mxCvpwQSBIEGAwWEAzxMX8 -dAUgABAQMNEPLKEFLaEE/6IAIAICYzD8DE8B/gIjcPylBSYAju8QDEQR9PwID8AEOyAOzAwEDIvA -0A3dZPAMhA+QALtgLqEFGqZsJXUTLKLn+qLmL5AEO6D1dRQsACB3cP11EiugBD9g/cwICgAgWrD8 -dhYi8wA2oBulPwwvEav/LxYpL/I68hYoJgFLQ+AuEikUpfwu4jkELwov8q//5AEOAUD/kB6l0wpb -FBal65ZA+0YDIAIQUDCaQvoSKyBAEHgw/HIALaAEPyCfRf1GByAGEFgw/s4CDYAEOyD+RgYsCQBb -MJxBHqUxnkSKq5pI+nIPIAAQeDD/RgsgABAwMJZKjoOeTYyCnEyGg5pJLG0Q+oICKgADMxCxqh+l -rg8CAPpGDi4AQH8wL0YPLhIpLRYAK+Y5KXQF8/sHYgAAUTDAsCulBfP+3mAAEGAwjIMMDEucf2P6 -ogCNcmXayysSKvp8AAABEGAw+7wYIAcQaDBYQGDAINEPACsSK9pw/AqEIgAAaXD8tQgiAABhMFk3 -dsAg0Q/A0J2r8/nAYgAASjD7zAwANgA2YAxGFP5sCCIAAEJw/k42AgAAUvDTD23pBQYIhgBKZwyY -CPZKDACAAkiwbakFCAiGAElpDP4Mri4u7ECe0GP5cgArEivacP8KhSIAAGEw/7UIIgAAaXBZN1rA -INEPKCxAmNBj+U2JdypwByuZFPS6EWggAVAwiZlkmgccpNUMixGsuyyyOv8CAAQAoEMgH6WULrI5 -D48KL/Kv/+wBDgCWf5AfpUsjcQcepN/ykAcqAgFQMPqqEANAARww8pYBI8AEPODwghECCQBU8Pik -zhIJAHTw88YAIgkAE3DzcgAiCQB4sP/GBiAEEFAw+MYCIDAQcDDyxgQgQAJDMP7GAyAAEHAw/sYF -I4AEPOD+xgciCQBU8PPGASACEBAwbSoFCgmGAEhrjHfA0Pn6wCBAAmMw+rY5KABATzD9xQQggAJK -cJnB+cYAIFIQQDD4dAUgABAQMNEPxdItdAVj+52OcmXpIStwQfp8AAABEGAw+7wSIAAQaDBYP/bA -INEPwEDAwPwWJyfgAWgwGqWy+2wAAAAQYDD6oqEgARBoMFg3JxilrSoSJyiCovZsASACAlKwCGYu -/wIAAf7uhqAqFidj/8cAAADz+NdgABBQMIlyyJrydAUgABAQMNEPAAD6fAAAARBgMPuMGCIAAGnw -WD/Y8nQFIAAQEDDRDwAAAGwQCAUGR/TVGgAFEFAw/KWWEDAQWDBZk8D3IhAiAkYZoBak7SViiypS -FSihAmSEj1gyzhyk3y1SFizCbitihf3cASwAIFMw/VYWLZAEOyD6IAcqACBm8CmwB/wK+yoCAVAw -/qoRCABAZnD+IAwoCQBWcCm0B/ogBy+YEGgw/rQMIPwQYDD9tAUoAEBmcPK2CiAAEGAw/LYIKiAB -UDD8tgkoCQBWcCm0B/W8AAQcADbgirf8oggvwAQ9IPuhFS/AEHAw+aILIEACarD2qRQuAEBzcP4W -BSoAIHbw+7xAJgH5ZlCvZvalFCoAIGPw/wIACgH8VtDJOPnMAAAWADUgbUkFAAOGAElhKtIADwIA -D6oI/wIABgIPXpCa0NPAiVD6pK4dgAQ6YPsxCCwJAGEwnDErVQgqonMKmQz5aBQAkAIhcPkNRQwB -zZIgKnBwtqoAoQQAiBoI2AIoVg4kVhMkVhIvMCH0PCAuwAF8MP9UUCABV4fg/wIAAgFTg+C4SlmS -dBylOy1AAP5AASAwEFgw/1BQIgAAMrD2FgAgBRBQMFmTXi0xCBylM/5RCCAFEFAw/1IMIDAQWDBZ -k1iIIvogByLBALYgGKQFCgpBDKkRCJkIK5I6+hYEJAFKxuAcpAArkjkMrAoswpf8swEOAUDm0Csi -Ei4iCRykQf0iCioIAVwwKxYGDLsKK7KADt0M+xYHIGACWvD/AgAKASJfUCsgFiwK/3yxEvogByAA -EGAwWD7r+KPqEwgANqAsIQcdo/IMDEr+pBIdwAQ7IPqj8BwJAGswnDCJIP2kQxAFEHgw+jYCIEAQ -WDD7NgMngAQ6YPsSBiYJAHmwljEsIhL77TgMSgFgMPq7EQ1gBDsg+qQ3GgkAZvD8IRoqCQBu8P0S -ByYmAVgw+qPcFgAgUbAmYID7NgcsgAQ7IJw29tYMCAkAVnD5NgQgYAIxsJY1jiksIhX97ggP4BBY -MP7sMCwAIGsw/iYJIGACYzD8JhUmAMvc0PQEFgBAAkjwAEllAgSGAEljAASGAElhLiIWHaO9LjQ/ -Do4ULjQ+Do4ULjQ9Do4ULjQ8/lIOIAAQUDD6NCUgABBgMCw0Ivw0JiAAEFgwKzQjKzQn/jQzIAAQ -SDApNCQOjhQuNDIOjhQuNDEOjhQuNDDwDRcAkAJI8AAJiixyESpSEgyNFC00Og2NFCw0Oy00OQ2N -FC00OIumnF8rNDcLixQrNDYLixQrNDULixQrNDSKpyo0QwqKFCo0QgqKFCo0QQqKFCo0QCkgBwkJ -QQyZEaiZL5Y5KyAWJgr/drEK+iAHIDAQYDBYPlXJU4hYyI+PWcDgnluY8IlYn5GeWC5WCRykoY0g -L3IULnIRKHITmBD2Ug4gBRBQMPYWASAwEFgwWZLCKfqZ+VQFIAAQEDDRDwCNN/wyBiIAAFCw+1wA -D/8QcDBZkdb9rAANRAA2oCoKBfykjhAwEFgwWZK0wdbaIPtcAAIAAGDwWD3owCDRD9ogWD6A+KNd -HbcANqBgABSLFPosAAABEGAw+7wYIAUQaDBYPrWMWGXP0Y8vGKR9+FYLIEACaXD99gAgcAJwsJ5Y -n1n9Jg8gABAQMNEPiDcpiRT1PAAApgA2YIOJ8/y/YEACIPDz++RgABBYMPP/f2COEGgwwLCbq/P8 -RGIAABpwAAAAAPP8c2//EEAw/LoMADcANOAKSxT+vAgiAABA8P5ONgIAAEsw0w9t6QUGCIYASWeJ -Fao4+04MAIACSnBt6QUICIYASWmLFQr+DK67K7xAm9Bj++ePFS/8QJ/QY/vdixT6LAAAARBgMPu8 -EiAAEGgwWD6BY/8sAAAAAPP/XGAAEBgwbBAEKiAE+6cHYBgQQDBrpAZ4oRvAINEP+iwAAgAAWPD8 -TAACAABpcFj//MAg0Q8A+iwAAgAAWPD8TAACAABpcFv+l8Ag0Q8AbBAIBQZH9NUaAAUQUDD8pDIQ -MBBYMFmSVvciECICLxmgFaODJVKLKlIVKKECZIRnWDFkHKN1LVIWG6N9LMJuK7KF/dwBLAAgUzD9 -VhYtkAQ7IPkgByoAIGbwKLAH+gr7KAIBTDD+mREIAEBSMP0gDCgJAEowKLQH+SAHL5gQYDD9tAwg -/BBQMPy0BSgAQFIw8rYKIAAQUDD6tggoIAFMMPq2CSgJAEowKLQH9bwAA/EANuCKt/yiCCfABDkg -+6EVL8AQcDD5ogsgQAJqsP+pFC4AQHNw/hYFKgAgdvD7vEAmAePmUKb//6UUKgAgYbD/AgAKAebW -0Mk1+cwAABMANSBtSQUAA4YASWEq0gAGqgj/AgAGAftekJrQ08CJUA8CAA8CAPqjQx2ABDpg+zEI -LAkAYTCcMStVCCqic/qZDABgAiDw+WgUAJACYXD5DUUMAbQSICpwcLaqAKEEAIgaCNgCLFYTLFYS -mF4rMDH5PDgqwAFcMPtUUCQBO4bg2pBZkQoco9YtQAD+QAEgMBBYMP9QUCIAADKw9hYAIAUQUDBZ -kfMtMQgco87+UQggBRBQMP9SDCAwEFgwWZHtiCL6IAcikQC2IBiimgoKQQypEfyimRgAIEZwK5I6 -mhQMrAr8wpckAS3G4CuSOfyzAQ4BKGbQJiISLiIJGaLX/SIKJggBMDAmFgYJZgomYoD+3QwAYAJZ -sP8CAAoBC19QKyAWKQr/ebES+iAHIAAQYDBYPYH4ooAS4AA2oC0hBx6iiA0NSgzdEfqiiBwJAHdw -nTCLIP0SBiBAEGAw/DYDIAUQSDD6NgIvgAQ+4Pminx4JAE/wnzEfotIuIhL9nzgOSgFwMPrdEQ9g -BDug/KLOHAkAd3AP3QL+IRoqJgFoMPyidBoAIGKwKqCA/TYHLoAEO6CeNvpqDAoJAGbw+zYEIGAC -UrCaNYkpLyIV9pkID+AQcDD5nDAuACA38PkmCSBgAnvw/yYVJgC4dND0BBYAQAJI8ABJZQIEhgBJ -YwAEhgBJYS8iFh6iVC80Pw+PFC80Pg+PFC80PQ+PFC80PP9SDiAAEEgw+TQkIAAQYDAsNCL8NCYg -ABBoMC00Iy00J/80MyAAEFAwKjQlD48ULzQyD48ULzQxD48ULzQw8A4XAJACUPDyGh4ABRBIMCxy -ESw0OwyNFC00Og2NFC00OQ2NFC00OCsgB/xWDyogAVwwDLsRqLsptjkrIBYqCv96sQr6IAcgMBBg -MFg898lTiFjIj49ZwOCeW5jwiVifkZ5YLlYJHKNJjSAvchQuchEochOYEPZSDiAFEFAw9hYBIDAQ -WDBZkWQp+pn5VAUgABAQMNEPAAAA+RYHIgAAUnBZkGv7XAAP/xBoMPz6/yIAAHKw/1BQIgAAULBZ -kHSJF2StYcHW2iD7XAACAABg8Fg8isAg0Q/aIFg9Ifih/x3lADagYAAUixT6LAAAARBgMPu8GCAF -EGgwWD1WjFhlz9GPLxijI/hWCyBAAmlw/fYAIHACcLCeWJ9Z/SYPIAAQEDDRD4g3KYkU9TwAAKwA -NmCDiSQ8MPP87GBwAkjwAAAA8/wPYAAQWDDz/3lgjhBoMMCwm6vz/GxiAAAacAAAAADz/KZv/xBA -MPy6DAA3ADTgCksU/rwIIgAAQPD+TjYCAABLMNMPbekFBgiGAElniRWqOPtPDACAAkpwbfkFCAiG -AElpixUKbgyuuyu8QJvQY/wPjxUv/ECf0GP8BYsU+iwAAAEQYDD7vBIgABBoMFg9IWP/JgAAAADz -/1ZgABAYMGwQBvwKAiBCEDAw9QoDIAEQWDD4otEQABA4MPcWACAuEEgw+CUqJABogSAqMBX5JFcg -iAA1IP8CAAAAbwUg/wIAAgBhASBuRTgKaxR7UAUsMBQsJGAqLGX9otcSAABYcP0WACADEGAwWYf3 -JyRoJyRpJyU1JyYcJiR0HqIELiU30Q9uQ8P/orcSAABhcPskZCAjEEAw+CRcIMoCULD/FgAiAABY -cFmH6CckaCckaSclNScmHCYkdNEPACkwMMGi/wIABgBE1lD7JGQgugJQsPwKAyAiEFgw+yRcIDoC -WPBZh9oqLGH8CgMgMgJY8FmH1iowFWP/UgAAHaItLSUqLDQwY/8mwPb/JFwgCBBwMC4kZGP/NAAA -AAD6LF0gOgJY8PwkXCAgEEAw+CRkIgAAYXBZh8UpMBjTD3+XtCosYfwKAyAyAljwWYfAY/+jGqH1 -LDEcKqJ/CcwRrKosoTYsNRyKoComHmP/XmwQCCciEPUIRwBCEFgw+nwAAgH/GiAoMDD2od0YAgLC -0CViiypSFSyhAmTEUlgvvRihzilSFiiCbi9ihfmcASgAIFIw+VYWJZAEPiD+IAckACAv8C1QB/8K -+y4CAXAw/u4RDABAf3D5IAwsCQB3cC1UB/4gBy+YEEAw+VQMIPwQeDD4VAUsAEB/cPJWCiAAEHgw -/1YILiABcDD/VgksCQB3cC1UB/RTD2/AEHAwileMqP2hFSvABD0g+aILIEACMrD/qRQuAEBxsP4W -BSwAIHdw/dxAJgHZ5lCr//+lFCoAIGLw/wIACgH011DJMchP2cBtSQUAA4YASWEqYgALqgj/AgAG -AgtukJpg08CJUPqhoB2ABDpg+zEILAkAYTCcMStVCCqicwqZDAloFPkNRQwBtBIgKnBwtqoAoQQA -iBoI2AIqIhCYXiugBSwKlf8CAAYBhGbQjSJl0oovohMuohQP7gz4oQsQAZyPoCogBwoKQQypEfyh -CBgAIEZwK5I6KhYEDKwK/MKXJAEjxuArkjkZoUn8tAEOARzm0CYiEo4pDwIA/SIKJggBMDAmFgYJ -ZgomYoD+3QwAYAJZsP8CAAoA6d9QKyAWKQr/ebES+iAHIAAQYDBYO+/4oO4TSAA2oC0hBx6g9g0N -SgzdEfqg9hwJAHdwnUCLIP0SBiBAEGAw/EYDIAUQSDD6RgIvgAQ+4PmhDR4JAE/wn0EfoUAuIhL9 -nzgOSgFwMPrdEQ9gBDug/KE8HAkAd3AP3QL+IRoqJgFoMPyg4hoAIGKwKqCA/UYHLoAEO6CeRvpq -DAoJAGbw+0YEIGACUrCaRYkpLyIV9pkID+AQcDD5nDAuACA38PkmCSBgAnvw/yYVJgCtdRD6PDAg -BRBIMPQKFgBAAlkwAEtlAgqGAEtjAAqGAEthLjAwZODuwND9RCYgABBYMPtEJSAAEHAw/kQnIAAQ -WDArRCQqIhYqRD8KihQqRD4KihQqRD0KihQqRDyPXi9EMw+PFC9EMg+PFC9EMQ+PFC9EMCtyES1E -Ii5EIytEOwuMFCxEOgyMFCxEOQyMFCxEOCogB/tWDyogAVAwDKoRqKoppjkrIBYvCv9/sQr6IAcg -MBBgMFg7Z8lSiFjIjo1ZwMCcW5jQjlid4ZxYnFkvMDDI+yL6mfJUBSAAEBAw0Q8pchEqchSxmfl2 -ESACAlKw+nYUL5kQQDD4VAUgABAQMNEPAAAAANogWDug+KB+HigANqBgAEHAwfxEIC+BEFgwK0Qh -Y/8AAAAAAAD6LAACAABZcP0KjiIAAGDwWDr5wCDRDwCLFPosAAABEGAw+7wYIAUQaDBYO8qMWMjC -wCDRD48vGKGY+FYLIEACaXD99gAgcAJwsJ5Yn1n9Jg8gABAQMNEPiDcpiRT1PAABJwA2YIOJY/0k -LjAx/KGLEAUQUDD/IgAgMBBYMPgNRQ7AAXAwWY+k+iwAAgAAWPD8TAACAABpcFv9Q8Ag0Q8AAByh -f40gLiIYhlCPoP7gdCAwEFgw9hYAIAUQUDBZj5bAINEPAAAAAPP8IWAAECgwwPCfq/P8fGIAABpw -AAAAAPP8pm//EEAwHKFvL3IRLjAwjSApchSZEChyE5gR9lIOIAUQUDD2FgIgMBBYMFmPgmP+7AAA -AAAA/NoMADcANOAKTRT+3AgiAABA8P5ONgIAAEsw0w9t6QUGCIYASWeJFao4/U8MAIACSnBt+QUI -CIYASWmNFQq+DK7dLdxAnWBj+++PFS/8QJ9gY/vlixT6LAAAARBgMPu8EiAAEGgwWDt1Y/6oAAAA -APP8A2AAEBgwbBAIKCAE0w/0gBxq4AEsMPuHDGAYEEgw/wIABAHeCiB5gQTAINEPACciEC4KQvp8 -AAICCZrgKDAw9qCDGAIOw5AlYosqUhUvoQJk9GpYLmMYoHQpUhYogm4rYoX5nAEoACBSMPlWFiWQ -BD4g+SAHJAAgLvAoUAf6CvsoAgFMMP6ZEQgAQFIw/CAMKAkASjAoVAf5IAcvmBBYMPxUDCD8EFAw -+1QFKABAUjDyVgogABBQMPpWCCggAUww+lYJKAkASjAoVAf0UydvwBBwMIpXi6j9oRUtwAQ5IPmi -CyBAAjKw/6kULgBAcbD+FgUsACB3cP3cQCYB5d5QrP//pRQqACBbMP8CAAoCANdQyTHIT9mwbUkF -AAOGAElhKmIADKoI/wIABgIXbpCaYNOwiVD6oEYdgAQ6YPsxCCwJAGEwnDErVQgqonMKmQwJaBT5 -DUUMAcASICpwcLaqAKEEAIgaCNgCKiIQmF4roAUsCpX/AgAGAZBm0I0iZdKiL6ITLqIUD+4M+J+x -EAGoj6AqIAcKCkEMqRH8n64YACBGcCuSOioWBAysCvzClyQBL8bgK5I5/LQBDgEqZtAmIhKOKRmf -6/0iCiYIATAwJhYGCWYKJmKADwIA/t0MAGACWbD/AgAKAOnfUCsgFikK/3mxEvogByAAEGAwWDqV -+J+UE2AANqAtIQcen5wNDUoM3RH6n5wcCQB3cJ1AiyD9EgYgQBBgMPxGAyAFEEgw+kYCL4AEPuD5 -n7MeCQBP8J9BH5/mLiIS/Z84DkoBcDD63REPYAQ7oPyf4hwJAHdwD90C/iEaKiYBaDD8n4gaACBi -sCqggP1GBy6ABDugnkb6agwKCQBm8PtGBCBgAlKwmkWJKS8iFfaZCA/gEHAw+ZwwLgAgN/D5Jgkg -YAJ78P8mFSYAuXUQ+jwwIAUQSDD0ChYAQAJZMABLZQIKhgBLYwAKhgBLYS4wMGTg7sDQ/UQmIAAQ -WDD7RCUgABBwMP5EJyAAEFgwK0QkKiIWKkQ/CooUKkQ+CooUKkQ9CooUKkQ8j14vRDMPjxQvRDIP -jxQvRDEPjxQvRDArchEtRCIuRCMrRDsLjBQsRDoMjBQsRDkMjBQsRDgqIAf7Vg8qIAFQMAyqEaiq -KaY5KyAWLwr/f7EK+iAHIDAQYDBYOg3JUohYyI6NWcDAnFuY0I5YneGcWJxZLzAwyPsi+pnyVAUg -ABAQMNEPKXIRKnIUsZn5dhEgAgJSsPp2FC+ZEEAw+FQFIAAQEDDRDwAAAADaIFg6RvifJB4oADag -YABZwMH8RCAvgRBYMCtEIWP/AAAAAAAA+iwAAgAAWPD8TAACAABpcFj+FcAg0Q8A+iwAAgAAWXD9 -Co4iAABg8Fg5mcAg0Q8AixT6LAAAARBgMPu8GCAFEGgwWDpqjFhlzACPLxigOfhWCyBAAmlw/fYA -IHACcLCeWJ9Z/SYPIAAQEDDRD4g3KYkU9TwAASoANmCDiWP9DwAAAC4wMfygKxAFEFAw/yIAIDAQ -WDD4DUUOwAFwMFmORPosAAIAAFjw/EwAAgAAaXBb++PAINEPAAAcoB+NIC4iGIZQj6D+4HQgMBBY -MPYWACAFEFAwWY42wCDRDwAAAADz/AlgABAoMMDwn6vz/GRiAAAacAAAAADz/I5v/xBAMBygDy9y -ES4wMI0gKXIUmRAochOYEfZSDiAFEFAw9hYCIDAQWDBZjiJj/uwAAAAAAPvaDAA3ADTgCk0U/twI -IgAAQPD+TjYCAABK8NMPbekFBgiGAElniRWqOP1PDACAAkpwbfkFCAiGAElpjRUKzgyu3S3cQJ1g -Y/vXjxUv/ECfYGP7zYsU+iwAAAEQYDD7vBIgABBoMFg6FWP+qAAAAADz++tgABAYMGwQBhmf5fKS -XSIAAHCw/UwAAgAAYPD/CgAqAIyQ0CWSXJUQK1EE9VIAIf4CIzDzIwwAARBAMPy7EQIAABMw8AAO -agAgLvCx//8CAAYAc5/QD1YUDmYRBrYMJm3/9mI/KoABeDAAoQQAiRrwoAQGAEBJsPYGGQACAhCw -+QoAL8gAtaD4wTxgAgIz8G1JLgZXFA53EQe3DCd9//dyPySAATQwAFEEAIoa8FAEBgBAVfAHBxn1 -cAZgAgIxsLGZCcoMaaGDZMBHhxAqcQQp+v/8qhECAAAb8G3JNPJyACSAARww81QUAAICGPDwUQQF -4AQ9IPCCGgQAIFCw9UUMBBEASLAlXPyGUARkAQQiApJQEp+iJSKiLOUTIiKh/+USJAAgL/D11gAj -oAQ/4PXmFiIAIBiw0Q8Vn5klUqIs5RP/5RIkACAv8JXQ9eYWIAAQEDDRD2wQDhuffJIf9yEVIgAA -QTDyMAMiAAAgsPgWASAEEGAw9xYCL/AQUDD3MgYiACAQ8P1BEyB+AhCw9EAHIgBAULD6IgMuIAE4 -MP7MDAIAAEjw9ARBDCABYDD8FgMrYAFQMPoWDiPZALdgiCH3jAADmgA2oKqI+I3wIAEQMDBqgRP4 -C0sAARBoMPjMEgoFAF9wrLurZiggA7CI+oFYYgAAGbDbIG0ISo20KIz//QpLAAEQcDD9zBIKBQBT -sPfXCAoAIGKw9IAiZgAgUbAqsgUKD0v6zBIOBQB/sPiM/y4AIGfw96cIBgAgebD7vBggAAQmIGP/ -rgCOHyPlECblES2QE2TTDZdR+hIPIAYCWbD7KxQAABBgMJxQ+xYJIgAAYHBb/2SOH5oWjRn95RQi -qAA2oP6eqRIAAEjw9fr/IuUANOAany0qopr/CgAiAABCsG05IosjgyKr+/69AQoAA3rQsTMv/RD9 -hgEsAEAo8PyGACAQAkIw9BYQKgBgslDAQYgk+AtLAAEQYDD4yBIKBQBfMPuICAAAEHgw9IA9bACA -JjAKmAtt2jKLJw8CAA8CAPmcASoAIF/w8yIGLABAdvD9hgEqAAP60CM8Af/9ECwAQCjw/IYAIBAC -QjD2kVlwARBgMCgiBQgLS/jIEgoFAF8w+4gIAAAQeDD0gDNsAIAmMAqYC23aKIspgyj5nAEqACBf -8P69AQoAA3rQsTMv/RD9hgEsAEAo8PyGACAQAkIw8iwYK/+mNlAkEhCLEogW/hIJL+AEPuD/ZgwJ -oAQ+4PmICAuwBD7g+BYGKgAgXrD7FgoiGQA3oB6dxQxNEf4SCSwAIHdw/RYFIAoQeDD90jouAwB7 -sJ4YDu4JD+4R/hYEKgDx91CPFRmdui/yOQlJCimSlwn6AfoWBy4A50/QjB+KGIkZK8EVGJ3KCpkM -+RYJKgAgVvArxRUACIuIF23pAgBIYYwYZMFCEp21GJ5gjRMZnkWOF/zPCQwAID9wLRYM/RIAIAAQ -WDD6EgYvsAQ/4P/uCAIAADuw/hYNLaAEP2D9FgsgABAoMPpdFABAECAwgxuPHJ1zmHD8Eg8gAhBw -MJ5yjh6MwJJ0lHWfeJN3/nYJIAAQaDCde/0KACIAAHLw/XYKIAgCWvD/EgoiAAAZ8PjNEQwJAEsw -/HYGIAYQYDD83QIOACB9cP12ASAFEGAwbcoj9usMcAAQIDCE8Y3wYAACAMDQlD39NgwgAgJzsP/8 -CCAQAhjwjx30CkAgQAIpcPd8YCCAAlKw+l0UD/+x+dCNGMDgDt01jxmJFYgU+JY5IAkAN+DAINEP -AIoQixH92AkLoAQ6oJqwix+CF/uIEQAAEEgw+bUVIFEQUDD6tAUiACBAsNEPAAAAAPP8cGAAEDAw -l5Zj/OwAAADz/6lgABBoMPkKACAQADWgGp5zJBYQKqKaY/1GGp5wKqKaY/36l1ErsmSGH/4SAiAA -EHgwn1AsYhacECxhEiNhEA7eDP4WCS2gBDsg9mERKgAgZvCbFmP8vcAg0Q/SoNEPiB+KEPsSASAA -EEgw+YUVIFEQEDDyhAUroAQ6oJqw0Q8AAABsEBQdnbYm0osiFhv1Yhgo4AEoMCdiGipiJSxiGSwW -FvoWGCIEpAIgiTcumRTzFhogBSmroIOZIxYZLhIbLuIQKeAFKgqV/wIABgVdVlAvEhuP8v8CAAIF -KKvgJOITL+IUBPgM/wIAAAVijiApEhokEhmJnP8KAi//EBgw9EADIgD7flApEhmkmCiCDywSGgN6 -AwqIASjGFC6QRCuQRfqQRi+ABDugC+4C+ZBHL4AEO6AK7gII7hEJ7gL4EhYuACBDsA5eCPCABAH+ -AnOwDg4ZDg5P/sUrIAYCW7D7KxIPoBBQMPvFKiYFCdWQKvq0/wIABgUEVxD/AgAABQAroCvs//vt -AQ4AD9+QbQgP/Nz/IgAAc3D83QEOAATnkGP/6QAAD+4RGZ4mHZ4jG54hEp4i/J3rEAYCU7AKKhTy -ogEAARBAMPysAQoAQF6w/a0BCgUAXjD9jTkMBQBiMPKCOQoAQEqw+AoAKgUAUjDZgPqMAAgFAFfw -+QoQIgkASLAMmjn8CggiAABKMA3JOfoKBCgJAFZw/WIpKAUAWrAJiAIIIgL/AgAKBKqXUCpiKgIs -DwrKCoqgK6ECDswR/BYFIATbKuBYKyuMFStiKqy7jbb8sgEtoAQ/YA2tKP0SGiwAIGswLNYTjLYe -nSP7sgQgAhB4MPrMKAAAEEgw+CgRDQAEPqD8uwgMCQBHcPsWBCwJAHdwKhIaDZgCCA5H+KYSIgSj -K6AenS6NoC7icw7dDA1pFP8CAAwEnBJgKxIbK7IQK7Bw+hIEIAwCWvAAsQTwmRoKoAFsMPqqEQgJ -AE7wCpkCLRIajNz/zAIAARBwMPnWDiwJAHMwnNwtEhot0Spk1NQmEhkrEhoZnc4anJ76Fg0oEQAZ -8PkWFCAAEBgwkxuYGhOdySuyE/sWEiYAICGw9hYRIIACQbD4FgwgcAIxsJYXFpyH8AFvYAAQYDAp -Ehet/f8CAA4BmmpQZLMsKhIRixmNry22f4quKrZ+KBIbiR8uEhAqEhIbnTKb4ApaFAlZFJnimuOI -gC8SEwiIEQj/Ap/hjx3AwPAPFwAAEEgw/5x9ECACc7CxmfIeHgH0Aj5gJBIQjh+NHp9Gnkf0CgAg -twA3YIofH5xuJhIQ/p0DEAAQYDD/RAsABBAQMPpqCAIAADmw9mw4IHACUrArEhsPAgCLsCgSGvkS -GCoJAHbwm3gtgg4rEhkJ3QEtdgkpsEQtsEUImRH9sEYoCQBucPuwRymABD5gDZkCCJkRC5kC+XYK -JAAgGTD4ghQiAABZsPh2CyCAAjGw/HYNIAAQSDD8dgwggAI58PEEFgACAkpw8hseBegCPmDyLAQi -AAAgsP9ECw//vNGQKBIbKIAHCAhBLhIajx4t4SoWnDX/3QwJwAQ6IP8SEygAIDIw/4Y5LeABbDD9 -5SojMAA3YIoeKRISCqoRqpkpFhInEhsncAcHB0H8dBEABBAQMPZECA4DABNw+0I6L6AEP6Ao/C8I -SBT4FhMqAxZG0Buc4ShCOQt5CimSrx2dVvmEAQ4DCs4Qnh4oEhokFhAiEhEpgSufH/IgMyvgBDug -+IIMKgMASrAqFhcNqgv8pn8gABBgMPymfiAAY/oQKxIRiBuJvou/+RIKKABASjD7CgEoAEBecPm5 -OQgFAELw+hYJKAkASjDyFggiOQA2IIkXKBIULxIaAgmIAEiKKdJ/KBIRL/IUKtJ+jo0q1n4anI0P -XwwO/zb/7gwIAEBWcCnWf/6GDSAbADeghI+k9P6CDioAA/kQLuwBKBIRlI8uhg4uEhqN7PsSES/9 -EHgw+hIWLABAf3Cd7Iu9AKAEKhIXpbmwmfkJGQH+AlKwCao2/woAIeYANqDwADhgARBoMAAAKxIR -i70uEhb4EhcoACAu8PDgBAH+Akpw+QkZAAAQeDD6FgkoAwBKMPIWCCGkADYgwNAuEhEqEheMFxeb -0/2qDAAAEHgw+ao2AgAAQzCt9gdmC/UIFgYAIBmwAIaKi+2C7/jMAAACAnvw9OIOJgMAWXD2uwwC -ACARsPvmDSAQADbg8uYPKgADMJCxRJTuevm8Y/zCiBiKHPoWHiAAEEgw+RYdIf4CQjD4FhUgIgC2 -IGP8wioSFfmcASAwAmLw+RYdKgUAdzD7Fh4n/lZWUCsSHSgSFiwSHv4SFyoAAVwwKxYcDLsKgrAN -7gwAgAT4Eh4uACAssPYSHCH+Anvw/w8ZAAAQSDD8EhQuAwB7sP4WBiBdADegKhIGCGYLDwIA/N4L -CgAgarD8qgsAEAJhsAzIAvcIFgIAACOwAMSKgrDyVDYAEAJzsPQiDAIAAEMw8rYAIBcANKCHY49i -p0f3ZgMqAAOh0C/8AZ9ieunEjhYoEhf7Eh4oBQB3sP4SHCwAIE9w+RIdL/+XahBkLyYrEh4qEhwL -qguLGY2jLbZ/iqIqtn5j+9ErEhEPAgAPAgArsg0qEhb9EhcoACAu8PCgBAH+AkpwCQkZCd02/woA -LmQAt2Dz+4JgABBoMPP7emABEGgwJRIbjFIlUAf1w0hkIAEsMB6bXgxdEa7dKNI6/wIABAG4xiAY -nBwv0jkIWAoogq/49AEOAa7H0CkSGyeSEhabm4qZ+ZIKJggBPDAGdgomYoD6mQwAYAJZsP8CAAoB -T15QKxIbK7AWIwr/c7EQKhIb+qAHIAAQYDBYNkVko3ouEhso4QcZm0wICEoMiBEJiAKYQIngwLXy -m0kQQBAoMPJGAi+ABD5g9UYDLgkAX/CfQS3iEhibmB+bYfybmBxKAWww+nURDWAEP2D3+DgECQBt -cAhVAvqbPBImASgw+OEaIgAgYLAiIID1RgcoCQBWcJlE8mIMCIAEOiD4RgYgYAIQsJJFj+kt4hX2 -/wgP4BBgMP/8MCwAIDdw/+YJIGACa3D95hUmARjlECkSGSxMIPmcMCADEFAwbaoFAAmGAExhKhIb -wNEtRCAsohYuEhosRD8MjBQsRD4MjBQsRD0MjBQsRDyP7i2iEC9EMw+PFC9EMg+PFC9EMQ+PFC9E -MP3SESAAEEAwKEQj/UQ7IAAQSDApRCINjxQvRDoPjxQvRDkPjxQvRDgsoAf95g8sIAFgMB2a9gzM -Ea3MK8Y5K6AWc7ENKhIb+qAHIDAQYDBYNcMrEhpksPSJuGSQ7y0SG/KyCSAAEHgwn7st0hCZIIi4 -LNIRLtIUkoGfuP+2CSACAmMw/NYRIAICc7D+1hQvmRBQMPq0BSAAEBAw0Q8qYhUuoQLzFhkgDgC3 -oPAAg2AAEBAwAABYKToYm0sSm1Qogm4pYhYiIoX6EhsoACBSMLGZ+WYWKZAEOiD5oAciACBAsCgg -B/sK+ygCAUww+4gBCeAEPmD9oAwoCQBKMCgkB/mgBy+YEGAw/SQMIPwQWDD8JAUoAEBaMPomCiAA -EFAw+iYIKCABTDD6JgkoCQBKMCgkB/IWGiBVALSgLQqOKhIbKxIaLBIZWDU5wCDRDy0SGy3SECzS -ES7SFPsSGiACAmMw/NYRIAICc7D+1hQvmRBQMPq0BSAAEBAw0Q8AAAAAKhIbWDXEZK1cYABNiifb -MPqsICIAAGEwWDEajCD6FhktgAQ7IPuhCCwJAGEwnKErJQhj9bYA8/WvYAAQGDD6EhsgMAJZ8P0S -EyABEGAwWDXuLhIaLRISLeYTLxIaj/jI88Ag0Q8AKBIbKhIai48cm8T8pgsgQAISsPK2ACBwAkow -maibqfKGDyAAEBAw0Q8AAAAAAAAA+hIbIDACWXD8CgEgBRBoMFg12GP/rcDQ8/cRYBYQSDApEhsc -m7IoEhqNkCmSGI/giID+kHQgBRBQMPgWACAwEFgwWYm9wCDRDy0SGxybqS7iEf3SACAFEFAw9BYA -IDAQWDBZibVj/rz6EhsgJAJZcPwKASAAEGgwWDW+Y/9FAADz/qRgjRBoMPP272//EEgwwNDz9pdg -AhBIMAAAbBA6KCAEIhZkIxZR9RZQJnQANiDyChgmAswOIP8CAAQCyCIgjDAvEmQjFk8vFlT98Acs -4AFgMPwWTCDWAmMwDEwU/BZNLCABbDD9FkskArsCICgSVA8CAA8CACiABcR+/wIABgKkPhDFkXmB -KysKVHuBJSoSVCsST/wcFCAwAmhwW/vY/wIAAAlHKqAqEk8oElSJFZmnKIAFLBJPg8bzB0EABBAw -MAdmDAYGQfYzCABUEFgw88YGJgDqXhDzjBQAABA4MJccJxYQJxYSJxYWJxYYJxYcJxYeJxY0JxY2 -JxY69xY8LAAQSDApFikpFiv5FjEj/xBAMCgWMPgWPSAAEHgwnxr/Fi4gPxBQMCoWKPoWKi//EFgw -KxYf+xYlIAAQIDCUGyQWL/wWIiAQEHAw/hYsIAEQMDD2FggsgAQ84C0WI/YSTyADEGgwnR79Fjgg -ABBwMP4WMiACEGAw/BYNIBEQcDAuFib7mnIQGhAgMCQWIPqa5RAPEGAwLBY3H5pcGJsaKBYZ/xYk -IAYQaDAtFjUsElQqFhMkEksrFhEZmxEpFhcbme6GZ/YWHSvABDkg/MEIKgAgWrAqFk4qojr8Fjsg -GxBYMPsWFCAeEDgw9xYaKggJXpAvEk4WmqMv8jkGRgomYq/29AEOB/230BiZ9MGb8AgHAgAAQTBt -mgIASGElElQfmePzUgAgQAJIcPaaFhAJEFAw9VEHIgAAQTD4OxEAAxBgMPaZ2BIJADTw9QVKCgkA -ZvD8VRECAAAi8PaaCxQJADVwbaovlYCUgZ+CkoOThIqUi5WMko2Q/pIDIDACSnCahvuGByoJADNw -nIieifqGBSBgAkIwKhJOKBJUwZv5pjkgVBAwMCaEBSsSVNMPDwIAK7AWLAr/fLEWLBJQKhJUAMyO -KqBBWDSt/wIAAAfSKqAkEksemakMTRH+Ek0sACB3cC0WTi3SOv8CAAoHdvdQLxJOFppkL/I5BkYK -JmKv9vQBDgdrN9AYmbXwCAcCAABBMG3pAgBIYSoSUSwSVPuarhAAEDAwJhZE+8UqIC4QaDD9xFcg -BhBwMP7EXCAIEHgwL8RkKqAV+moUAAMQSDD/AgAIB6FSUCoSVByatisdAfwWRCAgAlrw+qxlIAMQ -YDBZf9YlElTAoCpUaCpUaSpVNSpWHPlRByBCEFAwKlR0GpmF+BJMKUABTDD7mdwZwAQ+YPtVNygJ -AFZwmUCHUPYSTSCYAkIwmEP4mX0XgAQ94PhGAiYJADmwlkEjUEEfmhEoUAUDNgn8ZhEAUBBoMPVR -Ii4AIDfw//J/ICYEajDEvnuBCcXG/wIADgeIYhAYmYkamnf13RQJQAQ9YPaadRcABDzg/wtGDkgB -eDDzEkwsBwF4MPZGBy1ABD9g9cwRD4AEO6D+CgAqCQB28P3MAgB4Ahjw80ULKgkAZvD7ElQmCQBd -8P5FCigJAFZw+UYGJgkARfD3RgQgkAIy8PAGFgBAAhEwAEJhLbEq/kQvIAAQEDDyRDcgABAwMPZE -NiAAEDgw90Q1IAAQQDD4RDQgABBIMPlEMyAAEFAw+kQyIAAQYDD8RDEgABB4MC9EMP1ELSAAEHgw -L0QuDY0ULUQsLLBXLEQ70w8MjBQsRDoMjBT8RDkgeAJRMPyMFAC4Alrw/EQ4IAQQYDBZf3QoElT/ -TEAgwAJCMAIIiABPigAIiAAPiiwSVCzCHCsSTyxEUwyMFCxEUgyMFCxEUQyMFPxEUCCoAlEw/BJM -IGACWvBZf2MrElQvEkwPAgD+sHQuACB9MC70WC0STiwSTSzWOSuwFi0K/32xDSoSVPqgQSIAAGDw -WDPWKhJUKBJPxJUppAUogBJkgEUUmRiDpwSEKPpMAAAgAhjwW8GMLxJU/ZlbEgAAYTD+mjUSAABa -sP/yACIAAFDwW8FBIhJUgiciLBDaIFu3FP8CAAAGfQagwCDRD8FpeGL2/wIACABllhBj/+sAAAAA -/DwAACACWHD9MQgiAABT8P4KACIAAHlwWBnbLQqI/wIABga7bpCOFGTvvIjni4j1gRUvwBAwMPmC -CyBAAmowBtYB9hZGJAAgNXD1XEAmBrteUCeJFAxMEfvPCAYAIGXw94UUKga2fVAoElHJgchP2bBt -SQUACIYASWEv0gAM/wj/AgAGBs+v0J/QieD4EmQpgAQ+YAlJApmxKIAFw277Fk8mBoQ2EMSi/wIA -BgZ/VhDDzf8CAA4GvGIQLhZUY/nNFZn2JVJ6LhJQIlIYI1IaL1IZLxZf/1IlLuABcDD/FmEiBL+D -oCgSUYmHJpkU+BZjIAVDqaCMmSwWYikSZCmSECqQBSsKlf8CAAYFn16QKhJkiqL/AgACBUKqoCSS -Ey+SFAT7DP8CAAAFpI7gLBJjJBJiLMIM/woCL/8QcDD0QAMiAP//ECcSYgR2CCZiDw44A/gSYyYA -QEGwJoYULXBEKnBF+XBGLYAEP2AK3QL3cEctgAQ/YAndAgjdEQfdAvYSXywAIDdwDS0I8GAEAf4C -a3ANDRkNDU/9hSsgBgI7cPcnEg+gEDAw94UqJgUhtVAnEmMo+rT/AgAGBRrF0P8CAAAFFqtgKtz/ -+tkBDgAL11D7nP8iAABqcPuZAQn/+ltQD90RG5mlGJmiFpmgGZmi95lqEAYCY3AMLBT5yQEAARBQ -MPfHAQYAQDMw+MgBBgUAMrD4qDkGBQA+sPmpOQwAQFsw+goALAUAYrDboPysAAoFAGfw+woQKAkA -XnAHvDn3CggiAABasAh7OfwKBCoJAGbwBso5C6oC+lIpKAkAVnD/AgAKBMVOkCpSKgmXDwp6Coqg -LaECKRZH/ncRAAVlq2BYJqorUiqnu422/LIBLaAEP2ANrSj9EmMsACBrMBaYpCzWE4y2+BJHL/8Q -cDD7sgQgAhB4MPrMKAAAEEgw+IgRDQAEPqD8uwgMCQBHcPsWRSwJADdwJhJjDZUCBQxH9WYSIgUq -qyAWmWwtEmMmYmKN0AbdDA1pFP8CAAwFIhJgKxJkK7IQK7Bw+hJFIAwCWvAAsQTwmRoKoAFsMPqq -EQgJAE7wCpkCLRJjLNIM/8wCAAEQMDD51g4sCQAzMCzWDC0SYy3RKmTU9i8SYisSYxmZSxqYGioW -VvkWXSIRAHTw8xZSIAAQMDAmFlMTmUUrshP7FlsuACAn8P8WWiCAAjPw9hZVIHACe/AvFkhgAX0A -JhJgrU3/AgAOAavpkGSzTygSWikSSoqPKpZ/iI4oln4oEmQpElgkElkqElsbmK+bQApaFAlZFJlC -mkOIgCYSXPsSVimABDogCGYC9kYBIAAQSDDwCxcAIAIhMLGZ8hQeAfQCPmAtElguElksElcfl/P/ -5gYgABAoMP3mByC9ADcgGZiA9JfpEAQQQDD96ggCAAB7sP7sOCBwAlKwLBJkDwIAjMAtEmP7EmEs -CQBLMJz4J9IO+xJiJgBAXfAn9gkmsEQssEX3sEYngAQ5oAxmAvuwRyeABDmg92YCAAAQYDD0VwsH -gAQ5oPtlAgYAIB3w9fYKIgAAW7D90hQggAJzsP32CyAAECgw/PYNIAAQYDD89gwggAJ78PUHFgAC -Ailw8pseBegCPWDVgP8CAAAIAkIw/wIAD/+zU5AmEmQmYAcGBkEuEmMvElct4SoYl6//3QwHwAQ5 -oP8SXCYAIEGw/2Y5LeABbDD95SojRQA3YCkSVygSWwqZEamIKBZbLhJkLuAHFpehDg5B/OURAAQQ -SDD2VQgIAwBPcPpSOiWgBDpgK0wvC0sU+xZcKgMi3pAfmFkmUjkP5woncq/3ZQEOAxi9kCkWVygS -YyUWWScSWiaBKyQWWPdwMy/gBDpg9pjFHgMAM7CIjC4WYPbuCwAAEFgw++Z/IAAQUDD65n4gAGV6 -ECoSWigSU4muiq/5ElIoAEBKMPoKASgAQFZw+ak5CAUAQrD+FkooCQBKMPcWSSJFADYgJBJjJhJI -LBJaJRJdBgaIFpisAMWKi80kQhQlYn8oYn4oZn4YmAMEJAwLRDb0uwwEAEBFcCVmf/vGDSAZADbg -hc+lRfnCDioAAyFQsZkmElqVb5luLRJjjNz7Elov/RBwMA7MAZzci70mEl8oEmCitfBgBAH+Ailw -9QUZAf4CQjAFiDb0CgAh7QA2IPAAN2ABEGgwACsSWou9JhJf/xJgJAAgFvDwYAQB/gIpcPUFGQAA -ECAw/hZKLgMAL/D3FkkhrAA34MDQKRJaKhJgLBJIH5dJ/aoMAAAQIDD1qjYCAAAzMK1OD+4L+QYW -DgAgG7ABDoqLnSiSD/bMAAACAiEw9ZIOLgMAWLD+uwwIACBDsPuWDSARADbg+JYPKgAD8hAlXAGV -nnpJumP8oQAAKRJJKxJV+xZnIAAQUDD6FmYh/gJKcPkWXiAiALZgY/yeKxJe+qwBIDACczD6FmYs -BQB7sPwWZyf+RF6QKxJmLBJn0w/0EmAqAAFcMCsWZQy7CoiwKRJf/UQMBgAgEjDwkAQB/gIxsPYG -GQAAEDgw/hJlJAMAMTD2EmAgZgA1ICwSXS8SZwzZC//uCwoAIGkw/KoLABACY7D0FmgiAAArMPsF -FgIAAHpwAU+KiLAIJTb1iAwAEAJKcPi2ACAaADYgj+OE4g8CAA9fCP/mAyoAAyvQsUSU4vqZxnIA -ACswJBJo+hJmJgUAJTD8EmcsACA/cP8SZS//lmmQZI8kKRJnKBJlCYgLKRJKioMqln+IgiiWfmP7 -qisSWtMPDwIAi70mEl/6EmAkACAW8PBgBAH+AilwBQUZBao29AoALlwAtqDz+1xgABBoMPP7VGAB -EGgwJRJkjFIlUAf1w1BkIAEsMBqW0gxZEaqZJpI6/wIABAG8xaAcl5ArkjkMXAoswq/8tAEOAbLm -0C0SZCPSEhKXD47Z/dIKIggBHDACMgoiIoD+3QwAYAJYsP8CAAoBU19QKxJkK7AWLgr/frEQKhJk -+qAHIAAQYDBYMblkpAotEmQl0QcWlsAFBUoMVREGVQKVQIfQwIX+lr0QQBB4MP5GAi2ABDng/0YD -LAkAQzCcQSvSEhaXDByW1fqXDBpKAVww+jURC2AEPuDzxjgECQBdcAZVAvmWsB4mASww9tEaLgAg -V/Av8ID1RgcmCQBN8JdE/y8MBoAEOaD2RgYgYAJ78J9Fjtks0hXy7ggP4BBYMP7sMCwAIBMw/tYJ -IGACYzD81hUmARzdECkSYixMIPmcMCADEDAwbWoFAgmGAExjKxJkwKEqRCApshYuEmMpRD8JiRQp -RD4JiRQpRD0JiRQpRDyP7i2yEC9EMw+PFC9EMg+PFC9EMQ+PFC9EMP3SESAAEDAwJkQj/UQ7IAAQ -ODAnRCINjxQvRDoPjxQvRDkPjxQvRDgssAf95g8sIAFgMB2WagzMEa3MKMY5K7AWKgr/erENKhJk -+qAHIDAQYDBYMTYrEmNksPuIuGSA9iwSZP+yCSAAEHAwnrsswhCY8IK4+8IRIgAAUvAtwhSfIZ6o -/qYJIAICWvD7xhEgAgJrcP3GFC+ZEEgw+aQFIAAQEDDRDypSFS2hAi4SUf4WYiAMALdg8ACCYAAQ -ODBYJKwZlr0rUhYXl4Upkm4oEmQncnT7vAEoACBWcPtWFimQBD5g9oAHJgAgTfAvcAf5CvsmAgEw -MP5mEQ4AQE/w+4AMLgkAN/AvdAf2gAcvmBBQMPt0DCD8EEgw+nQFLgBAT/D4dgogABBAMPh2CCYg -ATAw+HYJLgkAN/AvdAf3FmMgUwC14C0KjioSZCsSYywSYlgwq8Ag0Q8uEmQu4hAt4hEv4hT8EmMg -AgJrcP3mESACAnvw/+YUL5kQWDD7xAUgABAQMNEPAAAqEmRYMTZkrVRgAE2KdysSUfqsICIAAGEw -WCyMjHD6FmItgAQ7IPuhCCwJAGEwnKErdQhj9YHz9XtgABBgMPoSZCAwAluw/RJcIAEQYDBYMWAu -EmMtElst5hMvEmOP+P8CAAP6IavgJhJkKRJjim8blzX7lgsgQAIScPKmACBwAkGwmJiamfJmDyAA -EBAw0Q8AAAAAAAD6EmQgMAJZcPwKASAFEGgwWDFKY/+twNDz9uJgFhBIMCwSVIzC/wIAA/nzqyAr -Ekv6ElQgARBgMP0STSAwAlrwWDE+wCDRDwAsElSMwv8CAAP536sgKxJL+hJUIAEQYDD7vBggGxBo -MFgxNMAg0Q8ALhJkHJcQJhJjjeAu4hiPkIZg/uB0IAUQUDD2FgAgMBBYMFmFG8Ag0Q8tEmQclwcu -khH90gAgBRBQMPQWACAwEFgwWYUTY/5uLhJUjuL/AgAD+aeroCoSVCugQcDB+7wSIAAQaDBYMRnA -INEPLBJRLRJULMAULNRgY/CyAAAAAAD6EmQgJAJZcPwKASAAEGgwWDEOY/692iBbs/MSlrULphH0 -oJNiACAwsAzqMCsihSuyACKs//y7CAIAAFCwWYcoHJbkKsKc8CEEAAEQWDAAuxoLqgIqxpxZh13A -INEPAAAtElTFwizUBWPw6AAAAAAA8/3GYI0QaDDz9eNv/xBIMMDQ8/WIYAIQSDAuElSO4v8CAAP5 -RqugKxJL+hJUIAEQYDD7vBggQBBoMFgw6MAg0Q8AAAAAAAAA+goHIAEQWDBYK0UsIn/8JoMgABAQ -MNEPKhJk+xJRIgAAYTBZKRXAINEPLQqE/bUIIgAAU7D9ElAiAABhMFkn8sAg0Q/A8J+L8/K+YgAA -WnAmElH7WgwAPAA1oApDFPk8CCIAADmw+Uk2AgAAQvBtmQUEB4YASGUnElEoEkYDTwz6dwgAgAJC -MNMPbfkFBgeGAEhnKRJGCs8Mr5kpnECZ0GPyZiYSRiZsQJbQY/Jb2uD9ElAghRBAMPi1CCIAAGEw -WSfRwCDRDwBsEAgdlpQo0X/EZfWVrBAuEDgw9CxlIADT+hC4GvtMAAADEGAwWXuejBIMjBScEoo2 -BasBmxMpIAUsMB4PAgD7MCIgmggycP0KNiYAsT8Q/wIADgCx6xAuIGj/ITUuAKxbkCwxEC/8Af8C -AA4ApXsQLCU1+yRoIgAAUTD4MgkgGAJYcPgmHCADEGAwWXuFijb5lnISAIqikPsKQiwAhs6QKjAf -/wIADgCBWpAsIAX/AgAOAHuzEIknLSAHLpkU+pIJKiABbDD5IQcg3wA3oP6VIBDXADagDLwR+JUe -HAAgczAvwjoIuAr4gpckARtD4C/COfSVJBwCAWww9ZWUHKAEP2D4/gEOAQ3H0AC7Ef+VJRlAAUww -+CEIKcAEPmDzoAcoCQBucPOmASgJAH5w+eYAKAkAWjD/IgAoCQAqMJjk9eYGIAQQaDD05gIgMBAY -MPPmAyAAEFgw++YFL4AEP+D75gcuCQBv8P/mASBAAkuwAgqGAEljAAqGAElhjyf++sAgQAJ78P3G -OS4AQHPw+/UEIIACc7Ce8P72ASBSEFAw+iQFIgAAEvDRD8Ag0Q8sMRArMCJj/rnFhSgkBWP+0Y4n -L+kUZPFKiOmYFBqVRikhN/8CAAYAolZQjTYBGgL9jVcCAABZMP0WBSADEGAwWXssihAKjRSdEI82 -jhUF/wGfEfsgBSAAmh+gdrmdLDAed8EH/wIAAgCRnpB73hsoIHTEknmIEyogV3ehGC4gaC0wIn7R -D2ABAQAALyBX/wIADgB8u9COLy0xC4oUrt2dLyigE2SAq4qm/wIADgBR01D7CkggSBB4MP8kBSYA -Tz8Qw4b/AgAOAGJDECowIikgaP8CAA4AW1JQLSE1LDEQsd3/AgAOAFNrEB2VrywlNR6V7iokaIoR -LuF//awBAgBje5D/AgAOAELukB+VCy0hN9MP/DEKIOQIe3AsJTd8p2p5rmd/rmR6rmF7rl58pgco -MB/EknmAU7Qb+jIJIAMQYDD6JhwiAABRMFl66sAg0Q99ozf/AgAP/7U7ECwxECowImP/hMCwmxRj -/rEsMQosJTdj/raNIsrZxOn+JAUgABAQMNEPAAAAAHzRkf8CAA//NrLQxfX/JAUgABAQMNEPAAAA -APosAAAwAlrw/AoBIgAAaLBYL9rE6f4kBSAAEBAw0Q8AABiVePkKASIAAGsw/J05CAAMQpAflNgu -ITb8MQogNgR7sCghN3yJn/wKASAAEEgwDck4ZZ8jY/+OLCU2Y//oAGwQBMAg0Q8AbBAOJyIQ+ZTk -EgAAMTD0CgAo4AEoMP58AAIDMJogJZKLKlIVLKECZMaFWCLAGJTQK1IWGZTZKIJuL5KF+7wBKAAg -UjD7VhYlkAQ+IP4gByQAIC/wLVAH/wr7LgIBcDD+7hEMAEB/cPogDCwJAHdwLVQHLiAH+lQML5gQ -QDD4VAUg/BB4MPJWCiwAQH9w9FYILiABcDD0VgksCQB3cC1UB2RVhIpX2zD6rCAiAABhsFgqt4tQ -CLwRDGwCnKH6lLcSAAAasCqicy4iECwxCAq6DAppFPoNRQwC8JJgK3BwtrsAsQQAmRoJ2QKZXixV -CC3gBS8Klf8CAAYCyv9QiCJlgwoq4hMp4hQKmQz7CgIgAtkOYCoiEi1wbx6UIPqJFAoIAVAw+9wB -ABQAe3ALnQENqgItIAcNDUEM2xH/lBgaACB28C6yOp0bD98K//KXKgKKw6AusjkUlFn/5gEOAoP/ -kASkCiRCgJoY+xYJIAAQcDD0w0xgYAIhMC0wRCgwRf8wRi2ABD9gCN0C+DBHLYAEP2D/IhcsCQB/ -cAjdEQjdAg/dNqTUiCmPKgj/DP8CAAoBISfQKyAWKQr//BYOIDwESvCdHfogByAAEGAwWC70jB4t -Eg3+CgAlDwA2oCk8MPQJFgBAAlGwAEplAgmGAEpjAAmGAEphwIEoZCAvIhYvZD8PjxQvZD4PjxQv -ZD0PjxQvZDyLXitkMwuLFCtkMguLFCtkMQuLFCtkMClyER+T6ClkOwmKFCpkOgqKFCpkOQqKFCpk -OJlf/lYXIcwANyAuZCMtZCf9iRQAwAJBsJgX+WQmIKACUbCaFAmJFClkJQmJFClkJCoyGQ6JFClk -IvSiR2DgAkjw/lYWKgMAU3D6ZhUg0AJY8PELFgCwAkGwAAiKKzIZCt0MCrsM+zYZIpQANuAtMhut -rfsyGioAA9NQK7wBLTYbKzYawLAqIQcdk74KCkoMqhH5k7oaCQBqsJpg+7oJAgAAQ/D/IgAoBQBi -cPhmAiBAEBgw82YDIGAQaDANqgoTlOj9lHQQHgJSsPpKFAmABD/g+BILKAkATrCZYRmUOA2ICiiC -l40Y+ewACABASjD9OTgNoAQ/YP2U2xgJAG5wCYgC/ZP4GAkAajD5IRoiJgFEMPOTnxwAIB9wmGf9 -0IAogAQ+YPlmBi4JAB/wn2QNTQz9ZgUgEAA3IB+UzI0UsbwPzAKc0CwiFYsppMz8JhUqACAm8Jsp -iRkqljkrIBYoCv94sQz6IAciAABhMFguTsDgKHIUL3IR+VIIIAICQjD4dhQgAgJ78P92ES+ZEGgw -/VQFIDsANmCKWZ5bmaCLWJqxnlj+VgkgABAQMNEPAJ0dnB76LAACAABZMFguiIwejR3+CgAtqwA2 -oIxYZMIuwCDRDwDAsGXOrSshBx2TaQsLSv6ULRvABD7g+pNlGgkAbvCbYIsb+CIALgUAZrD/ZgIg -QBBIMPlmAyAFEFAw+ZSVHYAEPiD+uwoMCQBXcJ1hHZPnK7KXjhj9CgAqAEBu8P6dOA+gBDug/pSL -HAkAd3ANuwL+k6gaCQB28P0hGigmAVww+ZNPHgAgS7CbZ/7ggCyABD9g/WYGKAkASjCYZA5ODJ5l -8/7OYAAQcDAAAPP84GAAEGgwKlIWA6oKKqIcZKBsCto2KmYVK1IWCbsLuLvzCxYAsAJBsABIiitS -Fgm7CoiwCogMmLArUhabGgm7CouwmRz63QwAHwA24I0aCd0Lg9Ojo/vSAioAA1DQsbuT05vSY/2e -AIgaZIDxKlIX/lYWIAICUrD6VhcgMAJKcIMX+woALtEAN2AoUhaYGogaCYoKiqAlFhH7FhAqAAFc -MPO1CgoDAFNwmlAlEhElUhYJVQv7FgYgEAIpcAQFiAO1CysSEbhVAIWKK7IWCbsKhbAKVQyVsCsS -EZkcKLIWKxIQmBoJiAqIgArdDCUSEfWARGACAlrwiBrKiipSF/5WFiAAEEAw+BYKIAICUrD6Vhcg -MAJKcIoWKDwY9d9wYgUAVjBj/jAAwKH6VhYgARBAMJgaY//fAI0aCd0LiNPz0gIoACBCsPgWBSoA -A1IQsTOT0ogV+NYDLLAAtyBj/frAoSpWFmP/GPosAAIAAFlw/QqOIgAAYPBYLV/AINEPAI0vHpQb -/lYLIEACWXD71gAgcAJgsJxYnVn7Jg8gABAQMNEPixv6LAAAARBgMPu8GCAMEGgwWC4nY/2QiTcs -mRT1PAAAfAA3IIOZY/pgHJQJjSApIhiIUI/g/pB0IAUQUDD4FgAgMBBYMFmCC8Ag0Q/z+exiAAAp -MPP6LW//EEgwHJP+L3IULnIRjSApchOZEPhSDiAFEFAw+BYBIDAQWDBZgf1j/0GLG/osAAABEGAw -+7wSIAAQaDBYLgZj/Q0AAPP56mIAABkwbBAOKSAE10D8kq8QABAwMPwWECMWADZg+AoYJgGDjmD/ -AgAEAX+iYCggB4Qw8xYOKCABQDD4Fgok4AEgMPQWDyDWAiEw9EQUBAR/gmApIAUtCk7/AgAGAWfu -UC4KR/8CAAYFE3ZQKyAWLwr/f7EQAMWOKiBBWC2H/wIAAATxKqCIGhmSgwyFEalVKVI69BYJKgSf -plAbk0EqUjkLiworsq/7pAEOBJXekBySkokZ8AwHAgAAQTBtmQIASGEfk432FgQgLhBAMPgkVyAG -EEgw+SRcIAgQUDAqJGQvJSouMBX+bhQAAxBoMP8CAAgEyPNQKixl+5OWEAMQYDD7FgQgIAJYcFl4 -t4wejx8mJhwmJTUmJGn4IQcgQhBIMCkkdBmSZ/YkaClAAUAw+pK+GcAEOiD6JTcoCQBKMJhAjiD9 -EgkgmAJ78J9D/xIQL4AEO6D/RgIsCQB3cJ1BLMATZMfbIyBBKCAFGpLwAzsJ9yEiK8AEPuD7qggA -UBBIMPqifyAmBEowxM58gQnF1v8CAA4EsGoQJkUK/JNXG0AEPeD33xQJAAQ84PoNRghIAVAw8xIP -LgcBUDD6kmAfQAQ/4PXuEQmABDog9owUCgkAZvD4k0ocCQBHcP/uAgB4Ahjw80ULLAkAd3D7RgYo -CQBucPhGBygJAFZw+UYEIJACQLDyCBYAQAJ5MABPY/shKiAAEEgw+UQ0IAAQUDAqRDAmRC/8RC4g -ABBoMC1ENy1ENv1ENSAAEHAwLkQzLkQyK0QtLkQxC4sUK0QsKCBXKEQ7CIgUKEQ6CIgU+EQ5IAQQ -YDD4iBQAeAJRMPhEOCC4AliwWXhchhn5TEAgwAJAsAIIiABJigAIiAAJiiwiHIseLERTDIwULERS -DIwULERRDIwU/ERQIKgCUTD8Eg8gYAJa8Fl4TI8f/iB0LgAgfTAu9FgmVjkrIBYtCv99sQr6IEEi -AABg8Fgsw4gexJYpJAUogBIPAgBkgEEUkgYjIgcEhCj6TAAAIAIY8Fu6ef2SSRIAAGEw/pMjEgAA -WrD/IgAiAABQ8Fu6L4InIiwQ2iBbsANpoQVgB4R4kQPAINEPJCIQ9ZMYGOABLDD4TAACA1qaYCVS -eipSFSuhAmS23lggNhiSRylSFhuTDyiCbiuydPmcASgAIFIw+VYWJZAEPiD5IAckACAu8ChQB/oK -+ygCAUww/pkRCABAUjD8IAwoCQBKMChUBykgB/xUDC+YEFgw+1QFIPwQUDDyVgooAEBSMPZWCCgg -AUww9lYJKAkASjAoVAdkVd2KV9sw+qwgIgAAYfBYKC2JUAibEQt7Apuh+pLtEgAAGrAqomIrMQgK -mQwJaBT5DEUMAx6SICpAcLaqAKEEAIgaCMgCmF4oIhArVQgsgAUtCpX/AgAGAvVvEI4iZeMCKYIT -L4IUCf8M+woCIAMFj+ApIhIsQG/5ihQICAFMMPvIAQAUAHswC6wBDJkCKyAHHJGQCwtBDLoRrKot -ojr7Fg0qAqtDYB2STSyiOZQcDb0KLdKvFJHQ/ccBDgKe7xAElAokQoCZF5oY9INrYGACITApMEQr -MEX6MEYpgAQ+YAuZAvswRymABD5g+iIXKAkAVnAImRELmQIKmTaklIspiioLqgz4FhIqASEmkCsg -FiwK//gWEiA6BGLwKRYR+iAHIAAQYDBYLGsoEhL5EhEmBwA2oCo8MPgKFgBAAlnwAEtpBgqGAEtn -BAqGAEtlwOEudCAtIhYtdD8NjRQtdD4NjRQtdD0NjRQtdDyMXoscLHQzDIwULHQyDIwULHQxDIwU -LHQwK7IRHZKWK3Q7C4wULHQ6DIwULHQ5DIwULHQ4m1/2Vhch5wA2ICZ0Iyl0J/mMFACgAnHwnhX8 -dCYgwAJR8JobDIwULHQlDIwULHQkKjIZBowULHQi9KJlYOACYPD2VhYqAwBScPp2FSDQAljw9QsW -ALACefAAj4ouMhkKmQwK7gz+NhkiqQA3oCwyG6ys+TIaKgADUxCxmSw2Gyk2GsCwFpE1KSEHLBIQ -GpE0CQlK/JkRDAUAQbD2Eg0oCQBWcJlwC7kJ/iIAIEAQeDD/dgMgYBBQMAqZCpxy/JHtEB4CSnD5 -SRQLgAQ7oP+SXBoJAFJwmnEMZgoakbAmYpeMF/oKACYAQFGw/Po4DaAEOyAMqgL8kXMWCQBRsA1m -AvohGi4mATQw/5EZHAAgezCWd/zAgCqABDqg+nYGLgkAe7CedPxMDAAAEDAw/HYFIBAANiAdkkSM -FbG6DaoCmsCIKSoiFaSI+CYJKgAgIrAqJhWPGCn2OSsgFi4K/36xCvogByIAAGEwWCvGjRws0hEu -0hT4UgggAgJjMPzWESACAnOw/tYUL5kQWDD7VAUsOQA2II9ZlluY8IJYnyGWWPZWCSAAEBAw0Q8A -AAApFhH6LAACAABZMFgsACgSEvkSES2tADagiFhli/2LLxySH/xWCyBAAklw+bYAIHACULCaWJtZ -+SYPIAAQEDDRD8CwZY6RGZGgjx0qIQcbkNn5/woLQAFQMPmQ1RvABDqg+xIQKgkAWrCacPwiACBA -EHAw/nYDKgUARnD+kgUQBRBIMPt2AiuABDsg+xIHKgkASrCacf/ylyIAAFGwC+o4/pDIG6AEPuD7 -kVEaCQBasPv/AQwJAHMw/pEXHgkAV/AN/wL6IRoqJgF8MPx2BC4AIFuwLuCA/3YHKoAEOqCadg5O -DJ51Y/6rAAAAAAAA8/zBYAAQSDAqUhYDqgoqohxkoGMKmjYqdhUrUhYMuwu4u/cLFgCwAhnwAMOK -L1IWDP8KjvAK7gye8CNSFgw7Couw+pkMABwANuAMOQuDk6Oj/JICKgADUNCxzJOTnJJj/YVkMToq -Uhf2VhYgAgJSsPpWFyAwAmMw/woAIJQANmAjUhb8OgoOAAF4MIqgixv74woKAwBScJowI1IWnhYM -Mwv77gsAEAIY8PkDFgAQAnOwAQ6KK1IWDLsKI7IACjMMI7YAI1IWDD4KLuIACpkM9eBSYAICW/DL -PS5SFyzMGPZWFiACAnOw/lYXIAAQGDAvEgsuEgYq/Bj8OgoOBQB2sP8WCyIAAHrw9Z9/bgABeDDz -/kFiAABb8MDxL1YW8//OYAEQGDAMOQuDk6Oj/JICKgADUNCxzJOT/JYCLK4AtiBj/hSJHiiSE5iW -Y/gZAAAA+iwAAgAAWPD9MQgiAABh8FgKlGSh6YmnK5kUyrmLmSggBcPO+xYOJgDgZhDE4v8CAAYA -23YQw/3/AgAOAO56EPP22mIAABKw8//TYAAQWDDAoSpWFmP+z/osAAIAAFlw/QqOIgAAYPBYKr/A -INEPAIsd+iwAAAEQYDD7vBggDBBoMFgrkGP9UowiZclQixr6LAAAARBgMP0SCSAwAlrwWCuJwCDR -D4k3LJkU9TwAARkANyCDmWP6DAAckWqPgC4iGI0ghlD+4HQgBRBQMPYWACAwEFgwWX9swCDRDwAA -AADz+ZNgABAoMPP50W//EEAwHJFeL0IULkIRjSAoQhOYEPZSDiAFEFAw9hYBIDAQWDBZf11j/0GJ -ImWYwisgQfosAAABEGAw+7wSIAAQaDBYK2XAINEPLDAULCRgY/ZpAAD6LAACAABh8P4yCyIAAGlw -/zIHIgAAWPBYBx3AINEPANogW64/EpEAC6gR9KBhYgAgQLAM6jArIoWLsLCi/LsIAgAAULBZgXQc -kTAqwpzwIQQAARBYMAC7GguqAirGnFmBqcAg0Q/FwiwkBWP2m4sd+iwAAAEQYDD7vBIgABBoMFgr -QGP8FAAA8/j5YAAQGDD6CgcgARBYMFglnSwif/wmgyAAEBAw0Q/9CoQiAABh8P21CCIAAGlwWSJP -wCDRDwD6LAACAABY8P0KiCIAAGHwWSNlwCDRDwD+CoUiAABh8P61CCIAAGlwWSJDwCDRDwBsEASL -J4u++7wQIgAAUPBYfiKMJy7JFIvILcEV/u0BL8AQQDD0wgshQAJzsP/MICoATXdQ+OwADgBAR/D+ -vQEsACB/cP3cQCYATF0QLuyg+MUUKgBM91D/AgAGAFFvkJ7IGY/GHo/nGI/g8AkHAgAASvAASWEA -SWEASWEASWEASWEASWEASWEASWEASWEASWEZj9WZsI0gwMr4tgIgIAJ6sP62BC2ABD9g/7YFLAkA -Z3D9tgEgFQA24I0iI7UQ+rURIA4AN2DAINEPwCzRDwAA+iwAAAMQaDBbvdPAINEPAMDgnsvz/3li -AABZMAvYDAj4DCiNASiM4JjIY/9jKfxAmchj/1sAAABsEASKJy6pFPOiCCIAAGjwLKEV/u0BL8AQ -QDD7ogshQAJzsP+sICoAevcQCP8Br8z8zEAmAIIe0Cs9ASu8oP6lFCoAgV8Q/wIABgCF5tCbqBuQ -uRyPiBqPoh6PoAAMi/wSCCIAAEjwAElhAElhAElhAElhAElhAElhAElhAElhAElhAElh/jYAIGYQ -eDD4IgAgARBIMPo2AiBeEHAw+zYEIgAAWzD8mzkAChBQMPiIEQ4FAFvw/jYFKAkAUjD4NgEgWwA0 -4Jc6/DYLIE4QUDD8ClYgiBBwMP41ECoFAFswmjn0DRYAYAJI8PAJpACgAnjw8gQWAIACQPDwCKIC -AABZsPAFFgAGEGAw8A+gAMACUPBZdZOPIsj2wCDRD8As0Q/6LAACAABY8PwKCiADEGgwW717wCDR -DwDAMJOr8/8QYgAAGvADyAwI+AwojQEojOCYqGP++in8QJmoY/7yAAAAbBASHo9HHI9HhSeN4Sni -AyVSDvviAiAgAlBwK6YCKaYD/aYBKaAEOOD+4gAkACBFcC1Suv9SvCADEEgwKVa+KFK9LqYA/lK7 -IDAQWDD4FgAgBRBQMFl+ZvldAyAAEFAwKhQiKhQjKhQkKhQlKhQmKhQnKhQoKhQp+hQqIP8QcDAu -FCD+FCwgARB4MP8UKyACEEAw+BQhIQACSnAokHYvkHcvFC8oFC4pkHX5FC0gQAJwcPriASBgAkBw -moGP44nimYKfg47g/oYAILcANSAZjxaLkoyR/ZIAIIACUHCdoJyhm6IpkgP5pgMiAABQsFiBvSoW -FC0WFysWFSRdAywWFvhJCiCgAmBwi8H/wgMgARA4MPnCAiDAAjBw+WYCIAAQaDD/ZgMgAgJCMPh9 -OAAAEHAw+2YBIgAAULD8wgAiAABZsPxmACCAAmBwW7M7LUkK0w9o0GovSQv7HGAiAABQsP4KASBg -AmBw//wBIAAQaDD/7TgAABBwMFuzLyhJC2iARvscECIAAFCw/V0DIGACYHD93OggARBwMFiefSlS -v/osAAIAAGjw/I7hEAICSnD5Vr8gZBBYMFj6ZSpWwNEPACpFCmP/jgAAKkULY/+yAABsEASDJ/My -DiAFEFAw/I/+EDAQWDBZfgIoMsl9jwkpMs7MkyoyGsmoHI/5/TIaIAUQUDD+Ms4gMBBYMFl9+ccv -0Q+MICsgPwyNR/C7EQ2ABD9g/AxHCgkAbvAMuwL7Nh0g+AJQ8FiMWvo0/CAAEGAwLDZg/DZhIAEQ -WDD7NhoiAABQsFv+0fyOtxIAAFCw/QoAIGQQWDBY+jkuMskqNhz/CgggAhBoMP02Gi4JAHuw/jbJ -IAAQEDDRDwAAAGwQBIcnH46gKnkUiHgpcRX6rQEvwBBoMPxyCyFAAlKw+3wgKgA9VlANuwGrmfmc -QCDiBEMwLo0B+nUUIUACU7D6k2pyAAAyMHmhc5p48A8HAgAAObAAR2EAR2EAR2EAR2EAR2EAR2EA -R2EAR2EAR2EAR2EXjp+XYIUgk2X0ZgQgChA4MPKOnBWABD1g8mYCJAkAPXD1ZgEiAAARsNEPwCDR -D8CAmHvz/6NiAAAzMAiaDAq6DCqtASqs4Jp4Y/+NLLxAnHhj/4UAbBAIKTEHKDEDKjEAJSEBJyEF -LCEHKyEGLSEELyEC/DEBKgAgZvD3MQIsACA/cCYhA/4hACoAIGKw/Ao6JgAgRfDyMQQp4AEgMPYx -Bi4AIDfw9TEFLgAgK7D/CgAuACB7sP8UACwAIHdw/bsIAAAQcDD+FAEgABBoMP0UAiIAICiw9REA -KgAgWrD8FAMmACBV8PcRASIAIDiw9AZfAgAgMLD4ZggCACBIsPdVCAIAIDCwpSLyBU8H8AEQMKVl -9QVfAgAgMLClIgICT9EPbBAKJhYIJDASJjATJyIHCEQR9TYIBAkAMTD3cg4gIAIpsFl5qll5oCgw -FSwwFv0K/iD/EEgw/jAXLgBtShD/CsAuAGlrEA/uAf8CAA4AY5ugKDASKTATDwIACIgR+xYHKAkA -SjD6FgYqAFXGIMCl/I9UEDAQWDBZfVYtcrPAkfnaAgAOAHtwKnazKzwW+hwAABAQYDBZdFIuYAcp -ctsqctqPEIgR/WAGIAAQWDD6/wwAARAYMPmIDAAAEGAw+Dw4DYAEP2D/OzgMCQB3cP0WBS4AKmbQ -L3LdKHLcjRKOEwjdDP/uDAAAEGAw/jw4AAAQWDANOzh8sCyJFWWRmBqN/Sl9A/AKBwDAAkpwAElh -AElhYABMwKX8jysQMBBYMFl9LMAg0Q+KFcumwKX8jycQMBBYMFl9J4sXihb8fQMiAABocPANFgDQ -AmMwAExhnRT9jesQABBgMFl9qI4Vq+4udt4oYAQodrYvYAX5crMgGABj8P8CAAIAaHpQJEzwbkM4 -KmARDaoR9o8RGgAX1RAtUAEN3REpUAD4kW1iAAAbcGiTTWiVMgNEDP5DDWQAICzwLVABDd0RfUva -yUH6CgUgMBBYMPyPAxIAAGkwWX0BwCDRDwAAAAAAAP8CAAgAbRtg+nwAAgAAWXBY9zZj/7YAAP8C -AAwASJtg+nwAAgAAWXBY9ztj/54AAGnYKdxg+goFIDAQWDBZfO6OFWTvh9og+woAIgAAYHD+Eggg -BAJpcFj4aWP/b8Cl/I7nEDAQWDBZfOTAINEPgyf8jtwQBRBQMPMyDiAwEFgwWXzdKDLJfY8KKTLO -zJQqMhpkoF0cjtT9MhogBRBQMP4yziAwEFgwWXzUY/70wKX8jtQQMBBYMFl80MAg0Q+LFx2NmfoS -BiAAEGAwWX1V+xIFIgAAYvCsuyt23mP+qsCl/I7JEDAQWDBZfMPAINEPAAAAjiAtID8Oj0fw3REP -gAQ/4P4ORwwJAH9wDt0C/TYdIPgCUPBYiyT6NPwgARB4MP82GiAAEHAw/jZgIAEQWDD+NmEiAABQ -sFv9mfyNgBIAAFCw/QoAIGQQWDBY+QIoMskqNhz5CgggAhBYMPs2GigJAEowKDbJY/43AABsEAoc -jqb3IgckACAs8CZQAyhQAflQAiH4AlFwJKAAKqABg373UAAgMBBYMPiZEQkABDog+YgCBYAEOSD6 -CgUkCQBRMPhmAgHoAiEw/XwAAgAAcTBZfI0rMhr/AgAAAXMm4C4yHf8CAA4A2bOQZEJDlxn7Fggg -ABAwMPAAT2AAEGgwAAD7CgAgBBBAMA8CANMPbYoX/qAIJgAgFvAncDyxuw8CAPqsAS4CYjuQ+QoI -IBEQQDD/FgQqAANHEMDMCWYCtMqq3f8CAAoAlaNQrVoroAUooAQvoAYsoAf+rIQpgAQ6IPj/EQoJ -AEbw/8wCC+ABXDD8DE8AqgA+4PkKgCL6ADrg/wIAAAgCerD/AgAD/7qG4P8CAAAYEHgw/wIABABC -huD5Cg0mAFgG4P8CAAYARs7Q/o4HEA4QUDD/vOkmAFVW0A5uAg/mOGP/dCgw/Nrg+woALgBe4hBt -yRT+oIQuACAe8C/wfLG7+qwBLgH389DAgvP/SmYJAEGwAP8CAAAQAnKw/wIACgBFZlCcEv4WASAE -EFgw8/8nZgkAWbAAAAAAAAD/yWpwCAJKsPkWBSAgEEAw8/8JZgkAQbAuoAgroAn/jiUfgAQ7oA67 -ApsY8/7uZgkAebC0qfkWBiCAEEAw8/7cZgkAQbAajTvz/tFmCQBRsP8CAA4ARmkQ9xIJIgBOSZAk -GoD1Cv0iAH6B4Gh3IsAg0Q8AAAAAAAD6CgUgMBBYMPyOHxIAAGmwWXwXwCDRDwAAgyeDPvyOGhAF -EFAw/TIaIDAQWDBZfBAoMhr/AgACAJyCICkyGmiTXioyGminWCsyGmi4Uv0yGiAFEFAw/I4NEDAQ -WDBZfATAINEPAAAAAPoKBSAwEFgw/I4IEgAAcTBZe/3AINEPAPyOBRAFEFAw/RIIIDAQWDBZe/fA -INEPAPP/TGAAEDAwwsD/AgAP/6hlkMDU/wIAD/+jbZD/AgACAKtFkC4yGv8CAAgApYOgjxL7EgEi -AABQ8G35Dyyg/S2wALGq+7wBLgFAY1CFFWABUwAAAIMn/I3qEAUQUDDzMg4gMBBYMFl73CgyGmiC -OCkyGmiUMv0yGiAFEFAw/I3hEDAQWDBZe9TAINEPAAAAAP0yGiAFEFAw/I3cEDAQWDBZe83AINEP -AMKg/wIAD/9YVZDAtP8CAA//U12QeGcoLDIajRYnPQEnfID90AQkAN0DIC10/mAAFRiM0/8CAAn/ -YkGQY/7OAAAnPQEnfICGEqU6+xIBIgAAYbBZcruCFPZ0/SoAICDw+ywIIAQQYDBZcrb6PQIgGAJY -sPqshCAEEGAwWXKxghX6PQIgEBBgMPssBCEQAlKwWXKs+j0CICgCWLD6rJggBBBgMFlyp/o9AiAw -Aliw+qycIAQQYDBZcqIrMmBksNnAxPw2GiAAEBAwIjYb0Q8AghKlOvsSASIAAGCwWXKZhRUrPQH6 -PQIgEBBgMPqsiCEAAlrw8rT9IAgCWXBZcpH0NAgCAABhcP0KECIAAFkwbdoPLcAEKrAIsbv8zAEu -AJJTUC4yGmjnES8yGmj4C8Al8jYaIAAQEDDRD/o9AiAoAllw+qyYIAQQYDBZcn36PQIgMAJZcPqs -nCAEEGAwWXJ5ghTaQA8CAPssCCAEEGAwWXJ0+j0CIBgCWLD6rIQgBBBgMFlybysyYMu2wMb8Nhog -ABAQMNEPAAAAAP0yZyAAEBAw8jYbIAQQcDAuNhoNHRQNHhT9NmAsACB3cC02YdEPAAAiMmfA9i82 -GgISFAIYFPI2YCIAIECw8jZhIAAQEDDRDyhw/v8CAAv/Ie4QwKX8jV0QMBBYMFl7TsAg0Q8AAAAA -/+MGf/8QUDDAoWSsB2P8pffjBn//EFAwwKFkqzJj/JX9wwZ//xBQMMChZK12wKX8jU4QMBBYMFl7 -PsAg0Q8AAPrTBn//EFgwwLFkvtLApfyNRxAwEFgwWXs2wCDRDwAAbBAOlBQiFhP2FhIiAAAQ8Cch -DCohFighFSshGPYhFyAoAnBw+SEOIAAQGDAj5ALz5AMgABB4MP/kACAAEGgwLeQBLyENLSELIyEQ -+SETLgAgT/D+IRIgOhBgMCwUF/shDyYAIFmw+iERKAAgUjD8IRQsACA/cP/dCAoAIB7w/bsICgAg -crD8mQgKACBasPchGSgAIFZw+iEaKAAgSjD5EQsmACBBsPcRCiYAIDmw9AtPCfABIDD7iAgGACBR -sPhmCAYAIE3w92YIAgCcQSD0GRQAABB4MPUjCAAAEFgw/DwACEEBIDBtiQwqwQCx//zMAioAIF6w -CT0UZNCQKMEEJ8EALsEB+sECIBACe/D5wQMqACBd8PfBBSoAIF+w/sEHKgAgWrD7wQYoACBWcPmI -CAH+Aktw+MwQJgAgRfBtmUf5gQAgEAJ78PeBASwAID7w/YECKgAga7D5gQMqACBWcPeBBCoAIF3w -/YEFKgAgX3D7gQYoACBecP6BBygAIE3w+dcIACACQjCnuwvrCA/5EQlMDMjFo50t0ACr2wsJX8mV -bQgJCwtPq5sLCV/ImGP/7wAAAAAAAAD2D08P8AEwMP5sCA4AIHuw/g5fD/8QaDD+zAgMEQBu8P0N -Tw3gAWAwfckEKDAByostMAD6CgIgMBBYMPyMxxIAAHEwWXq1wCDRD2RAWvkKACIAICyw8/+EYAAQ -WDArMAD/AgAOAcoC4CkKgv8CAAYB0c7QKgqG/wIABgHZVtD9CocgFxBgMPUK/yCWBGrwLgqI/wIA -BgDi9tAvCon/AgAGAUV+0MAg0Q/2CU8J8AEwMKmJ+QlfCAAgQbD5i6wYACBKMAgIT/8CAAYBk84Q -8/9fYgAgLLAkEhMqIBWER/RCDi4BbCqQKDAI/wIABgFmrhAtIBIuIBMI3REO3QL/AgAKAVzrEC4h -Cy8hDADuEQ/uAmXgmS8hDSghDgD/EQj/AmXwiighDykhEACIEQmIAmWAeykhESohEgCZEQqZAmWQ -bCogJv8CAA4B1KqQKyAn/wIAAgHPGuAsIChlw5MuICll440vICpl84coICtlg4EpICxlk3sqIC1l -o3UrIC5ls28sIC9lw2kuIDBl42MvIDH/AgAAAa6f4CggMv8CAA4BqSoQKQoY/wIACgGj7lDApfyM -axAwEFgwWXpYKhww/AoQIBACWPBZcVj7HDAiAABRMFj22PSsAA7NADagiqb/AgAD/2GmoItG/wIA -AgFOhuCMRv8CAAX/V5sgLSELLiEMAN0RDt0CztouIQ0vIQ4A7hEP7gLN7C8hDyghEAD/EQj/AmXw -DighESkhEgCIEQmIAmSCz8Cl/IxKEDAQWDBZejYqEhP8LA4iAABZMP4SEiIAAGjwWPHmwCDRDyQS -EykgFQ8CACRCB/RCDi4AsKpQKDAI/wIABgCrLhApIBIqIBMImREKmQL/AgAKAKFLECogJnWpKSsw -BCwwBf0wBiuABD7gDLsC/DAHK4AEPuANuwIIuxEMuwL/AgACAIkG0MCl/IwpEDAQWDBZehQqHBj8 -ChAgEAJY8FlxFPscGCIAAFEwWPaU9KwAAV8ANqCMpsCx+sMGYgAASvDAkMDQCb04ZN2ijkb/AgAD -/s6foMCl/IwWEDAQWDBZegD6EhMiAABZMFjxx8Ag0Q8AAAAkEhOER/yMDxAFEFAw9EIOIDAQWDBZ -efYoIBX/AgAOAEmqECkgFioK/v8CAA4AQtJQKyAXLArADLsBab50LiASLyATCO4R/+4CACcQaDB+ -22AvICZ18Vr7PAAAEBBAMG2KDSqwGCywCPu8AS4A9VMQ+zwYIgAAUTD8CkAv/xBoMP76/yABEHgw -WPOuwCDRD8Cl/IvtEDAQWDBZedXAINEPAMCl/IvpEDAQWDBZedDAINEPwKX8i+YQMBBYMFl5zMAg -0Q8AAADz/DdiACAssCoSE/ssAAIAAGEw/hISIgAAaXBY92/AINEPKhIT+ywAAgAAYTD+EhIiAABp -cFj2XcAg0Q8qEhP7LAACAABhMP4SEiIAAGlwW/xCwCDRD8Cl/IvNEDAQWDBZebEqHCj8CgYgNAJY -8FlwsikwGP8CAAP+HhpgKhIT/BwYIAAQWDD+EhIgUAJocFj1J8Ag0Q8AACohCyshDA8CAACqEQuq -AmWsCSshDSwhDg8CAAC7EQy7AmW79ywhDy0hEADMEQ3MAmXL6CkhESohEgCZEQqZAmWb2f8CAAH9 -6wZgKgoF/IuqEDAQWDBZeY76EhMiAABZMFjxVcAg0Q8AwKX8i6QQMBBYMFl5h8Ag0Q8AAMCl/Iug -EDAQWDBZeYL6ilAQMxBYMCsUQCsUQYmhiKLzogMgYAJgcJPDmMKZwYqgmsAuEDwtED0rED4rFEQt -FEMuFEIqED8qFEUpICb/iuEQARBAMPoSEyAAEBgw9ZkMAIACcHD5gzgAEAJpMPMWACIAAFtwW/qU -wCDRDwAA+sMGf/8QWDDAsWW7FmP+CWwQBIYnwnn2Yg4igAC84Ms4/EwAAgAAaXD6LAACAABY8FvB -zcqh+AokIgBfAOApYRKxmSllEiogBfsKJyYAY8aQ/wIABgBp3pDRD281Am8zTvk19GAmEFgwKiAF -e6Hp+zwAAgAAULD8CgAiAABpcFvBumSv0ywgBXfBdvuLYBIAAFCwWLyRjWeKZrHb/btScAICcrCb -Z55m0Q8AAAAAAAD6LAACAABY8PxMAAIAAGlwW8GpZK+S+DRAYCgQQDApYRcpnAEpZRcvIAX/AgAP -/73D0CckBfsakCIAAFCwWLx60Q+bZ5pm0Q8qYROxqiplE9EPwrUrJAVj/4AsYRaxzCxlFtEPKyQF -+wpkIgAAULBYvG7RDwAAAAD6LAAAKBBoMP0kBSGQEFgwWLxn0Q8AAABsEAqHNPaKahZwATwwB3gJ -DIgRqGYrYn/0MDMgOAA24BqKNC1tAi3QAC6idyqigA7dCAndEf2qCAABEGAwWU99KW0CKZyAKZB5 -/pcHcgAAMrB/nwPRDwAA+jwAAgAAWHBY+W7Ir8Ci/IsaEDIQWDBZePrRDwAA23D8MQoiAABRsFj5 -V/esAACjADag2nD8TAAAQAJY8Fj4PmWvuPYKKSAoEHAw/wonICUQaDD/AgAAJBBgMP8CAAAATIUg -/wIAAgCDASD/AgACAJKFIGhEhWlFgipwBdMP/wIABgDY5pD/AgAGAONukHriB/8CAAgAz36Q/wIA -D/+vspAqfGj8CgMgQAJYcFj4F2WvSSocGPwKCCBwAlnwWPgT/wIAAADmJqDaIFj4BdEPAAAAKzEK -+wtLAgAAUbBY+E73rAAPTwC2oMCi/IrkEDIQWDBZeMPRDwDacPscAAADEEAwbYoVLKBoJLAg/wIA -DgDo4RD6rAEgAgJa8CpwBcKUeaElfaExeuImf6Ij2nD7HAAACBBgMG3KDy2wGCygSLGq+7wBLgCx -41DaIFj35dEP/wIAD/9UMpD8PCYiAABR8PsKAiIAAGlwW/9D0Q8qcAV8oRf/AgAGAHjukP8CAA3/ -PtGQ/wIADf86+pDaIFj31NEPAAAqcAV8oQh9oTp64i9/oizacPscAAADEGgwbdoVLKBoLbAg/wIA -DgCrY1D6rAEgAgJa8NogWPfF0Q8AAAD/AgAP/xQykNpw+xwAAAMQcDBt6hUsoGgtsCD/AgAOAJjj -UPqsASACAlrw2nD7HAAACBB4MG36FSygSC2wGP8CAA4Ab2NQ+qwBIAICWvD6fAAAAxBYMPwKACIA -AGlwW/8S0Q8rfGj8CgMgQAJQcFj3s2Wtt9ogWPem0Q8AAAAAAPp8AAIAAFhwWPdVya6Jp4mewIEo -lH7RD/p8AAADEFgw/AoAIgAAaXBb/v/RD8Ci/IqAEDIQWDBZeF7RDwAAAAD6fAAAAxBYMPwKACIA -AGlwW/710Q8AAAD9wwZ//xBQMMCh/wIAAf9JpqAqEQH/AgAB/0RykPw8JiIAAFHw+woCIgAAaXBb -/ujRDwAAAAAAAPTDBn//EFAwwKFlrQ5j/ir9wwZ//xBQMMCh/wIAAf+QJqACKgJY93bRDwAAAAAA -AP3DBn//EFAwwKFlrN5j/qX9wwZ//xBYMMCxZL7N+nwAAgAAaXD7CgQgTAJg8Fv+ztEPAAAAAAAA -bBAWIhYg9xIgIgAAYfAtcAf+bAAARxBQMP9wBSBGEFgw/BYFLCABbDD9Fg4iAAAQ8P3cGCAYBFvw -/wIADgNo09AkcSKMfp0UlRkmIhMjIBUpcEEvIAMYiW75mQkP8BBQMPyZEQ4AIBfw+YgIAH4Ce/D5 -EgUuAEBX8Irx+IJ/IiQBHDD4Fg0gIAJb8PlmNgoDENOQwND/FgggABAoMA3vDB2JQC3SfwnMEazc -LME3KHr/9BYHKgAG4hAUiXqTFmAADgAkSgAExDbzFgYl4AEgMByJxg8CACzCf/8CAAIAABsw/wIA -CgBPU5CNGIjTD6oMLBYD/dICKAAgQ/D4FgoqAAP6EC3cAcDw8hYMIgAAY3D9EgogAr4loPIWDCAC -uiagwCBtCFoKaTTzKAoIAQDNMJmAAykLnZOckoiACGYM+KoMAAICELD9zAAIACBuMPkWDyoAA0JQ -sc383AAAAgJ78P0SDyAYADyg8goAIDACGPD/AgAAAoeloP8CAAACg6agY/+enBMLXQsLWgqKoIjT -khyN0v+qDAgAIEPw+BYLKgAGehDyFgwgAgJrcNzQ/RILIAAQeDD/Fh4gABAQMP8CAAAAU6WgLhYf -YAAuAAAoEh71XAEoACBDsPgWHiAYAD1g9QoAIDACWvALXAsLWgqKoI3D/MICIAA3paD+CgAvzwA2 -oGphx20IVPMpCwgBANGw8y8KCAEAwTAIZgwIqgyY8PyWAiACAhCw/ZYDLgAgbjD4+wZyAABrMLHN -/NwAAAICc7D4IQxiAABr8PIKACAwAhjwZK93/wIAAf+6JaBj/6QAAAAAAC4SH/YSDCAAEEAwmBAr -cBaIFSZiE4MT/hYbIP8QSDD4ZjYAJgRK8CwSCSpwQQDMjlgjOWSkdC0SHvmINhORADdgGIg1hR6K -F40dH4hL/xYQIJACIfD0FhQguAIR8PIWFSAAECAw+tsUDMABaDD9jkILQAQ+4PjuEQwHAWww9d0R -DAkAczD+fGAqCQBu8P4WFiAAEGgw/RYcK0AEOqD8iTYaCQBm8PsWGSvABD1g+bsIBeAEPWD8EgYq -CQBisPsWEyQAIEVw9RYRIAAQQDD1CjUgARBYMPoWFyGkAmMw/Lg4ADYQWDD6FhIqBQBFcPsWGCAA -EBAw8AAQYAAQKDAALhIe/wIABgFwdVDA9Q9fL/TyY2ACAilwJE0BJEyALXEHHogIDQ1KDN0RDt0C -nUCMcPiIIxBEEFgw+ogBEAgQaDD6RgItgAQ7IPtGAywJAGswnEEpcEEDLAqOwC8SGfwWGiAEEGgw -8JkRDiABcDD+3QwOCQBP8P6JAR4JAEfwn0T/EhQsIAFsMC0WHYzA/kYHIAAQcDAuRQr9EhcsACBr -MP1GBiB4AmMwLEUL8A8WAEACaTAATWEtcSr+RC8gABBIMClEM/1ELSAAEFAw+kQxIAAQWDD7RDAg -ABB4MP9ENCAAEEAw+EQyIAAQeDD/RC4gABBAMPhENyAAEFgwK0Q1+xIcIAAQUDAqRDb9jRQN4AFg -MP1ELCoAIF8wKxYcKnBXKxIVKkQ7CooUKkQ6CooUKkQ5+ooUAAQQYDD6RDggeAJRMFluCPkSFiCA -AlEwAgmIAEqKAAmIAAqKL3IcLhIeL0RTD48UL0RSD48UL0RRD48U/0RQIHQIcXAoEh35iRcSAABY -cPwKAyBCEFAw+nR0KCABQDD5CgkoCQBKMPl0ZSmABDog+BYAIIoCUTBZbe4sEhspcTUDLQsqEhgq -dFf+0gMgAgJKcCl1NSkSGhiIsChGFo+QL0YXi5CKfy5GGS3SAv1GGCwAIGLwLHYcKZIA+2YMAAIC -ELD8FhsoACBWcPl2DyAYADyg8goAIDACGPAdh44tRhotEh3AtQtbL7jcLEYb/HB0LAAgJ3D81HQt -0gC24C4SHgXuDLHu/wIAA/7hQ6ApEhMoEhIoljkrcBYvCv9/sQgqcEEsEhxYIkItEhKOHCwSG5zr -lueKcsS3+3QFIMQANqDRDy0SHigSE/XdDAAFEHgw+II6IAICa3AP3TYN3RH9FhIqAFduECgSESQS -EyiClyRCOQhEAWRPsikSEP5MAAAAEFAw8AkHDWIAN2BtCAmxqvAOoAf+qu6QY//vjB7AoPsWEi3A -BDsg+hYcLAAgSzAsFhMvEhMuEhIu9jkrcBYtCv99sQgqcEEsEhxYIhmIHCiAE8iOiRyKf4mWepkG -xKgqdAXRD8S2K3QF0Q+Ns4yyirAvFh5j+y0A8//vYAAQEDDacPsSBCABEGAwWCKU0Q8A8/9hYAAQ -IDCF9KpV9eNocgAAarD1FgIgABBAMPgWASAAECgwiBGNEvVcASAIAkIw+BYBJCgAOiD5CgAgMAJa -8PkWASAAECgwiBGriIiArYj4FgIr/+hDkP8WCCv8zOuQDt8MY/mR0Q8pcEEpnBKZFPP+p2AAEGgw -8//cYAAQKDBsEAiUESgiByYxC/kgByBIEGAw/YkUIEYQODD6IAUgRRBYMPkJQQGIAjGw9YIJIYkA -N2D/AgAOAPjikCxQEsjL+RYEICACUjBYHACJFIRQ2lD7+sAk+AEgMPUWAiYBSoEgiBKIiiwwX/8C -AAoBWjYQ9MFXacAEOmAnbDcHRxS0dxyG9AyICCyCOvWIYxoBTb8QHIbwKII5DJwKLMKXDIgBKBYA -+BYDIokANiAehwIADotteQIASGH/AgAGAcCBIC8wXxuG8PmG9xAzEEAw/DBeIXgAt+D/AgAGAJvF -EP8CAAYAm4EgLaAT9KIGITQAN2AtIg//AgAOAa3pEGXBSsCgjxIu8AcIqBH9IQcuCQBDsJ7xKCAH -ihP9DUoOAgFAMPzdEQ6gBDug/IdJHAkAd3D+IQgsCQBPcP2mACggAUAw/SIAKQAEPiD7pgIuCQBL -sPymBi4JAGOw/qYEIgAAS/D8CjAgABBwMP6mBS2ABD9g/qYHIAQQcDD8pgMsCQB3cP2mASBAAlKw -AgmGAEpjAAmGAEphG4av+RICK8AEOiCrqiemOYmQ+YlXADIQUDB6kSnaIFgWpdEPAPypf3AAECgw -wMAswBJlzndj/oEtMF5l3qbz/qtgBBA4MAAmIRQjIRL0CgAvzAA1oPpSQiIAAFjw/AoAIAEQaDBY -GS8oUkP0TAEgAgIY8AgzLnZJ22P/oABkzupgADIAAGTO4mAAKgAAZM7aYAAiAAD/AgAH/we+kP8C -AAf/A96QY/95d6GB/wIAB/+/XpBj/2uKECwgByshCP6GlBBuAiGw9EQUDAIBZDD8DEEMoAQ/YPDM -EQwJAHdw/aYAKgkAZvD8IgAgMAJpsP2mAyAAEHAwnqUdh+Qehn3+pgItgAQ7IP4SAiwJAGEw/KYB -KgkAbvCbpABOjZannaYCCo8sIAwAzBH2pgcqCQBm8PumBCIAAGGw+zxUIFACUrBZbLOOEPmGcx/A -BD0g+4ZpHgAge7CeE/P+EmCJEFAwjSeFESzRFS3cIAvdAf3NCAXABD1g/dxAJAAgLPD4XAAKAMbp -UJgSY/1EAAAAAPP9TGIAADIw8/10YAAQQDCPImTxUv8CAAf/PgEgiCcpiRQmUr30kTdgQAJSMIWJ -JVAH+woAL8AQIDD8bAACAABpcFgZS4gniogpgRX7ggsgQAI6MAR9Af2ZCAIAAGHw+ZxAJgCR1tCL -ES6JFAy7Eavu/oUUKAAgWrD/AgAKAI3GUMozjhH/rAAAHwA3oG3pBQQDhgBPZYwniHAPAgAPAgD8 -zCAoACBaMP8CAAYAxk4QmHCLwynBBY4gjRH4wgAiAEAjMPKZCA+ABDug+ZxALAkAd3D9pgEmAF3G -0C/JBAxaEfqLCA4AIFfw/8UEKgCB3lDJa9Ng/4wAABcANWBtWQUGA4YAT2eLwA8CAA8CAKq7/wIA -BgCYztCbwNEPiieNEcDA+qwgIgAAW3BYGRGaEmP8bHTbEg1ODP6mBi3tALcg8/ycYIsQUDAE3wz/ -pgYt2gC3IPP8iWCMEFAwAAAAAADz/stgABAoMPucGCIAAGnw+iwAAAEQYDBYIUxj/pYAAAxYDGP+ -cMCQmYvz/yRiAABS8MCgmsPRD/qUDAA8ADTgjBEESRT+nAgiAABA8P7MNgIAAHqwbckFCAiGAE9p -jxGkOPn/DACAAktwbfkFCgiGAElrjCcszCAEvgyu3i7sQJ5w8/7Mb8AQIDAAAAAAAAD4lAwANgA1 -oARJFPucCCIAAHow+1s2AgAAQbDTD225BQwIhgBPbQRoCPldDACAAkiwbdkFDgiGAElvBK4Mri4u -7ECewNEPAAAv3ECfcPP+cW/AECAwKCxAmMDRD2wQCBmHLCmSfy2RAvyRBCIAADCw+5EFIAAQODD6 -kgAiVwA3YJUU/tz/If4CYzD8uxENwAQ7IP6VAioAIGKwC6oMYAAnKJEFKpEEsYj8kgAp4AFAMPiV -BSYBAkaQsKoMqhH6yggLwAQ+IAuqDAAKiweHYPAKgA+cALogKpEFGYYPFYcO+ZJsK5AEOqDyUkQo -ACBSMPgWASngAUAw+BYCKAAgQnAJiBGoIo0nG4Wn/lpAL8AQQDD5LCAgQAJjcPfVFCwAQEMw/tUV -IIACYzD81gkgkAJQsPzWCCCiAnGw8AsHAJwCYLAASWEASWEASWEASWEASWEASWErYAScE/liACQA -xIbgK2IWJVJE/hYFLZAEOuD4YSIkACBlcC9QfI1Y+yYNIJwCWbCbEC3QBJku+CUiIBwQcDD/JEEm -AJd3UPwKBiDkAllwWWu7ihP8CgYg2AJZcFlruIUQixX8CgMgwgJQsFlrtNtQ/AoDILoCULBZa7GI -aRWG0fgmCy/AEFAw9yYMIAYANiCSjJJpjBQuYAwtYA0rYQcoYAcvYBYpYQkpJQkvJBYrJQcoJAcu -JAz9JA0gBhBwMP4kBCBPEGgwLSQFKCIHLCUILFLPK4II/4EVIEACMjD5ggsgAgJjMPxWzyQAQFWw -9yYCLgAgL/D//EAmAHBeUCmJFAxHEft6CAgAID5w+YUUKgBq19DJNfm8AAATADUgbUkFAAOGAElh -KmIAB6oI/wIABgB+fpCaYI4gCO0R/BIBLAkAbTCdsY0SLCUJHIae+90IIAUQUDD7JTYgMhBYMFl0 -c9EPAAAnlQXz/flgABBAMADVsFinoYoTixVYp59j/uDApfyGkRAyEFgwWXRowCDRDwAYhigvYSku -YHyJYJktLyUi/iRBIOQCWbD4Jg4gBhBgMFlrYooT/AoGINgCWbBZa1+Ia5gr9yYMIAYANiCSjJJr -8/6+b8AQUDCXi/P/V2IAAFpwAPv6DAA2ADTgCk4U/OwIIgAAQPD8TDYCAABK8NMPbckFAgiGAElj -CjgI/k0MAIACSXBt2QUECIYASWUKfgyuXi7sQJ5gY/8KAC9cQJ9gY/8BbBAS9DIEIgAAeTACJgLy -hZAUcAEgMARECQxEEQQiCCQif/4xCyC1ADUgGoYkGYVYKC0CKIAAKZJ3/hYUIgAAWTD6olwgARBg -MPYWEygAIEow9RYSKZAEOiD/FhEqACBCsFlKnC8wMyswMS4wNy0wNikwMPqgByIAAGKwJTA09jA1 -KYAEPmD43REKIAFQMPoWFyWABD1g9iKDJAkANXD+MQosCQB3cPuZAgBwAljw97wAAEYANaCKZ/qi -DiCIAD5g+JJsYA4QcDD/AgACAFYGYGiUCf8CAAQBKgZg0Q9p8vpkb/crFhD8FgkgdAJo8C0WFmAB -IwAAAAD5kcRgABBQMP8CAAABe4fg+xYQI54CO+CPNg8fUv4WGCSvADfg+swAAgAAYXBY+S/RDwAA -AAAAAP8CAAAA0IfgafKfGIXgKIF//wIAAAD99hAuMDjAmH6SiWrnhv8CAAYCUYegK6EjsbsrpSMq -EhMsEhH9EhIiAABY8FkGDdEPAP8CAAH/r4fg/wIAA/+rm+BkX0/7FhAgFBAYMPAA2WAAECAwAChw -AaSE94cKCgA3qRApcAB+mewvcAIocAMqYRkI/xEI/wL6CksPYAF8MHr50iktAimcgCmQewOZEQn5 -AillGYkYKJEb2mD8EhEiAABY8P0SEiACAkIw+JUbIAYQcDBY94AqcAHTD9MP9KQIAA4QcDD3pwoL -/8ytEIZpy2aLGSoSFvuwFiAGEGAw+2QWIIACWbBY+L7+Cg4v4AC2oIln9xIQIAAQIDD5kg4vzgA1 -YJkYY/9ZANEPAAAAAAAA/IXQH/8/2pAMMwEtcAGk1PfXCgoBzikQKnAAaKIy+aTeYAsQWDAmIoMu -+u/0blNiAEB08C98BJ8UihT8CgYgkAJZsFj4o2SvwYZpZW/qY/4xJiKDx4v0biliAEBE8LJ5KRYV -KhIV/AoGIIACWbBY+Jhkr5aGaWVv6WP+BgAuMDjAqH6iB/8CAAYBg4+gwLn/AgAP/vhbkByFqy8w -Qy4wQi0wQSowPZoQKTA+mRH4MD8gMhBYMPgWAiAEEFAwWXN4KhITLBIR/RISIgAAWPBY+IzRDyoS -EywSEf0SEiIAAFjwW/pZ0Q8AABiElIozEoVdKIJmIiJcqogJiBGoIoonK6kUZLKCK6IJLxIXHYQV -DPoR97IAKgAgarApojr1vAAAMBBYMPeHVwoADHZQGIQOLqI5CPgKKIKX+OYBCAA4w5CJImSTOv8C -AA/+nVnQiScVhSArmRQlUn/0sxxgQAJScIuZJrAH3FD7CgAiAABpsFgXDIon2zD8EhEgQAJSsFga -dY0gLBIRiycI3RENzAL8pgEgQAJS8PtcAAIAAGGwWBpt0Q8uoFCx7i6kUNEPLiAHH4QBDi5ACu4Q -D+4CnmCPIPwWCSAKEEAw+oPzEIwQSDD6ZgIvgAQ/4PlmAy4JAEfw/2YBIDAEWfCKJy0SEcDA+qwg -IgAAW3BYFurVoC0gBywhCPuFShwgAWwwAN0R/cwCAAAQODD3ZgUsCQBbMJxkAEWN8gYfAFACUbD7 -ZgYgdBBgMPxmByCoAljwWWodGoUJiy0qolz5uxEABhBgMPs9ASoAIFqw+hYPINgCUrD6Fg0hlAJa -8FlqEoof9zw9IAMQYDD6rEgiAABZ8FlqDYsfDwIADwIAi7f6g9EQIAJa8JsbW6xGHIPOHYQYjx8e -hSv6EgsiAABasI/wW6v9ih+KpyqsEJoeW6HQ/wIAAAD0BqDbcPwKAyAyAlFwWWn5KzxB/AoDIDoC -UXBZafWDHfcKACIAAFlw/G0BIgAAULD+UAcgDhBoMP5WASFAAmMwWRByH4UTGoUUGIUSHYUTl6+X -rpetl6yXq5eql6mXqJenl6aXpZekl6OXovemASIAAFjw96YAIAQQcDD+hj8sCQBtMP2GPiAGEGAw -/4WAIBQCUrBZadcYhJaKGSiC2RuE/vwKBCAFEGgwC4AA2iBYE3vRD/4SFCIAAFMw/xIYIgAAYXBY -+KnRDwAAAPP9fGAAEFgwKhITLBIR/RISIgAAWPBY+/3RDymhIbGZKaUhY/tdAABlOs/3EhAgABAg -MPAAHGALEGgwAIM5zzwrcAH0tAgACxBoMPe3CgoARikQLHAAfcnmZGqeiWrznAAP3gA2YPkWBSAS -Annw/xYHIBgCcfD+FgYgBAIR8Nog/AoGINgCWPBY969lr62KF/wKAyCQAljwWPerZa+dihb8CgYg -gAJY8Fj3p2WvjYgVc4FfKYAFwqx6mYT6PAAAARBYMFj9M9owWPzw2jD7ClEgABBgMFj3QmP/ZItn -i74qsRyxqiq1HNEPAAAAAAAAAPP85mAAEFgw+iwAABwQWDD8CgEgDhBoMFgekvP8rmAwEFgw2mBY -/ehj/yQAih5boXQchDULrRH0oDhsACBrMA3qMCvChYuwsKr6FgoqACBu8Fl0qRyEZo0aKsKE8NEE -AAEQWDAAuxoLqgIqxoRZdN1j/dScHPoKByABEFgwWBjcjxwu8n8u9oNj/bwAbBAKKTEPLTAsG4ST -/jAtIgSaKmAcg4gfg9z4hJAdgAQ/YP7dAgAREDAw/9E2f/sQcDD3hIsWAFHHUBmDOPqEiRYA489Q -/oQdFgHJ11D/AgAGARt3UB+Drv8CAAYBnH9QwCDRD400KMJt97LoKHABbDD9lwdyAABScA6aAaqI -CYgR+HcIAgGTp1ArMDf/AgAAA1cG4Gi2yHa5xSkwQiowQwiZEfoKQygJAFZwepmxKjBEKzBFCKoR -+wpEKgkAWrB7qZ36LAACAABY8PxMAAIAAGlwWPDIwCDRD400KTAuKjAvCJkR+pkCCnABaDD4kQxi -AAASsP8CAAf/tBpgKDAwKTAxCIgRCYgC/wIAD/+qehAlMDQoMDUIVREIVQJoUQf/AgAD/56ZYCYw -Ri8wR/QwSCeABDmgD2YC/zBJJ4AEOaD4g6wWCQAhsPmESBeABDmgD2YCCGgB/wIAB/+DThAYhEMZ -gz0IaAH/AgAH/3rOEH2nAg6iASjCbSSy6A8CAKKICYgR+EQIAAHyp1AqMQoPAgD6FgcrYAFQMP8C -AAIB6iKg/wIADAHmUdD7EgciAABRMFjw3vwKASAAEGgw+s04AAAQWDANyzj0vpVkBQBSsCYwRigw -RyowSPUwNCeABDmg+TA1JgkAQbD4MEkngAQ5oPhVEQYJAFGw+VUCB4AEOaDwA21mCQBBsIg0KcJt -FYNc8rLoKnABQDD6MDQoACBWcPoWBimQBD5g+SIIAgDtJhAuMDIvMDMnKiP7KiIvgAQ7oPxNEQQJ -AHuw/dzgIGwCcTD8TAAKAQB3UGSkgf0KNiYBZLaQw/r6FgYmAMV+kPyEABAFEFAw/RIGIDAQWDBZ -ccfAINEPAAASg3EmMQoZg/mONC0wRYgy94NyHnABcDD+FggtAAQ/YP4wRCwAQs4QKcJmiDMqsuip -iAmIEfTmp2oAIEKwAt4Bd+luzacqMSZ/og0oshcvrfj/AgAKAkBH0MCgZK16KzA8/wIAAf66BuD/ -AgACAroG4P8CAAQCYgbg/wIABgK6huDCkv8CAAf+qU7QwsP/AgAP/qRi0Nsw/EwAAgAAaXBZDlrA -INEPAAAAZOXKAt4Bd+GS/wIAA/6Rp1CLGBqDzQu7Cfc8ICvABD7g+6oIAgAAYfD6on8iAABZsFkS -GPKsAAD/ALagHIPELzA/LjA+LTA9+BIIIAUQUDD4FgAgMhBYMFlxhMAg0Q8AAAAAAPosAAIAAFjw -/EwAAgAAaXBb/T/AINEPAPsxCiIAAFHwWPBd+woBIAAQYDD6vDgAABBIMAy5OPWcu2YFAFawY/yK -AAAAAAAAAPosAAIAAFjw/EwAAgAAaXBZEyrAINEPANog/lwAAEACWPBb9jPAINEPAByDnv0xCiAF -EFAw/iIQIDAQWDBZcWAlMQoFC0v6EgYj/wUi4P8CAA3/AVnQ+iwAAgAAWXBY8Dz+CgEgABBoMArt -OPXcFGIFAFKwihZj/drApfyDixAwEFgwWXFPwCDRDwDbYP08QSIAAGHwWRGj9qwAAAkAtqCHIGAA -AYegHIOBKDBDLzBCLjBBLTA89xYBIAUQUDD4FgAgMhBYMFlxPtsw9mI5AgAAYTD6LAAD/xBoMFv8 -MCs8PPwKECIAABKw96E2ILgCUrBZaDcqHBD5MSYgAxBgMPklNiC6AjCw9yU3IgAAWbBZaDAvIGEu -IGIuZAH/ZAAgIAJYcP0gYyADEGAw/WQCIMICULBZaCf6LAACAABY8PxMAAIAAGlwWAIuwCDRDygw -VikwVwiIEQmIAv8CAA/9k7oQKTBYKjBZCJkRCpkC/wIAD/2J2lDD1vs8ICIAAFCw/lwAABACa3Bb -9GvAINEPAAAagoWaFxyDRi8wPIdH+DA9IAUQUDD5MD4gMBBYMPdyDi+ABD/g+P8CAgAAaLD4MD8v -gAQ/4P5yqi4JAE/w9hYAL4AEP+D1FgEuCQBH8Flw+CswRiwwR/0wSCuABD7gDLsC/DBJK4AEPuAN -uwIIuxH8uwICAABR8FjoKmSqdipykP8CAAIAxAKg/wIAAgDABqAsMDwtMD3+MD4tgAQ7IA3MAv0w -Py2ABDsgDswCCMwRDcwCKDA1LzA0nBAlMEYmMEf9LAAABRBQMPkwSCWABD1g9lUCADAQWDD2MEkl -gAQ9YP4SByQJAE1w/IMPFYAEPWD4/xEECQA1cPUWAS4JAEfwWXDLKTA0KjA1CJkRCpkC/wIAAAEG -hmD/AgAD/OyaYBiDAiwwPSswPI8X/kEbIgAAUTD9MD4rgAQ+4P8PSwoJAGbw/DA/LgBAQ7D/7gIL -gAQ+4P27AgBsAmjw/g5PC4AEPuD8CgAqCQBm8FjsMsAg0Q8AKDBX/ILtGdAEOiD4jAggBRBQMPgW -BSAwEFgwWXCojRUqMFYNTAz93DYv/a4ykK06LqAgL6AhCO4RD+4C/wIAD/yhO5AvoCIooCMI/xH4 -/wICIhBAMP8CAA/8lMPQY/4UjXAucDn/MEIgBRBQMPyC1BAwEFgwWXCSwCDRDwAALcJsLrLo/YLP -GgAgU3AJqhGq6vP7b2oAIGqwACwwPC0wPf4wPi2ABDsgDcwC/TA/LYAEOyD+coYsCQBzMAjMEQ3M -Av8CAA//PeOQaKMEwNMtdpDApfyCvBAwEFgwWXB4wCDRDy6gBcT2/wIAD/xL+5CJpyiZFGSBHouZ -LaBoH4I4LLAH+LAVIAICa3D9pGggARBIMPmkXCAIEHAwLqRnLqRk/6UqIAAQcDAupGYupGX5ME4g -LRB4MP0wTygkAUAw/qU1IaQCQjD4mREALhBwMPj+OAgJAG5w/qRXIEEQaDAtpHQppTcpsBX5aRQA -AxBAMHmABS+wFC+kYC4yFf8yFiIAAGlwW/ggwCDRD9sw/EwAAgAAaXBZDQfAINEP2zD8TAACAABp -cFv54sAg0Q8AAC4wPSwwPP0wRiIAAFEw/zBHIAIQWDD4MD4tgAQ7IPjdEQwJAHMw/jBILAkAf3D/ -MEktgAQ7IPjdEQwJAEMw/jA/LAkAd3D4zBENgAQ/YP/dAgBsAnjw/vwADAkAczBY50zAINEPAAAA -8/7fYAAQWDAAACoxJn+iCC+yFy6t+H/jGsCg/wIAAfumqqDbMPxMAAIAAGlwWRDGwCDRDy3CbC6y -6P2CXBoAIFNwCaoRqurz/9BqACBqsAAfglki8X4u8kD59X8iCQBIsPL1fiACAnOw/vZAIAAQEDDR -D2WvrGP/kAAAAGwQBiwwBx6A0BiAuP3ggCwgAWAwDMURqFX4UjogbgJrcA1NFLjd/wIACgBjbhAY -gLAvUjkIyAoogpf4+wEOAFlH0PEOBwIAAErwAElhAElhAElhAElhAElhGYI4/4HFEAEQYDD6IgAg -ABBAMPgWASAAEGgw/xYAIAMQcDD5FgIgABB4MFgWwS4xBx+Aow4OSv2CLB/ABDug/4CiHgkAe7Ce -oPwiACAQEEAwmKP/pgIgAhBYMPjJEQwJAGsw/KYEKAkAXnD5pgEgARBgMCykGcDlLlY5izLA1y0k -BPw0eCoJAGbwmzKJRMenCpkBmUTRD48yyPDRDyvMGPo8AAABEGAwWBvZ0Q8AAGwQCBaAeBuAjywg -ByhiSvwMQQABECAw+7J/IfkANiAqYkkLqgH7rAAB9gA2oB6AhC3ggAzKEaaq/6I6IG4Ca3ANTRQt -3Bb/AgAKAPVv0B+AZiqiOQ/PCi/ylw+qAfoWBCHgADagjCfAkCkkdirJFIfJZKGHjXEsIBQMzYf8 -JBQsAO+TIBSB7hWAzC9xF4pzjHr85jMgABBYMCvmMvqIFAqABDqgKuY5+OY4LgkAL/Av5j8P/xP/ -5j4g8AIpMIsUKEKCijAuQn8tQoQvQoMpQoGZEf0WACABEGAw+BYCIAAQaDBYFmgkTBj6FgQhoggp -MIh0jCf1IQcgEBBIMP2AuRgJAEow/8kUIAAQSDD4dgQlQAEsMPQgByXABD1g+zIAIOQAN+CDyYg0 -x8j+MRcoAEBiMPg2BCtABD7g/CAsJgIBJDD0BEEGoAQ94PeAOxQJAD1w8E8RCgkAXzD7gC8YCQBa -MPg2BCQJAD1w9aYALgkAe7D8IgAuCQBrsJ6kmaX7pgIggAI6sP2mBiIAAFjw+aYHIAQQaDD4zBEA -MBBIMPmmAywJAGsw/KYBIEACSrACC4YASWMAC4YASWGFMiUmHIkx/wIAAgBShlD2kL9gABAYMMCz -+iIHIAAQYDD8TxEAAxBoMPb/CAAmAnDw/vY5IEACUrBYEwzSoNEPAADz/nRiAAA6cMAw8/8cYAAQ -SDAAAAAAAADz/g1gABBQMAAAAIgiZICH9CR2IAAQEDDRDwAAAAAAAPP+JWAAEFAwAAAAAACJImWf -3SvMGPosAAABEGAwWBtD9CR2IAAQEDDRDwDaIFuYwh5/92P+Gdog+3wAAgAAYXBbmiuJMfoWBSvA -BDqgCncI8xIFIf+ksmD7fAACAABQsPwKACIAAGlwW5n78/8uYgAgHrAAAAAAAPosAAAcEFgw/EwA -AAEQaDBYGyj0JHYgABAQMNEPAAAAbBAEhjDEgvkKhCA+EDgw9oZXADAQIDD0YT1wMxBQMPaiCXA3 -EGAww7F7agr2wjlwNRBoMH1iMSIgBXchSvghR3A9EHAw/wqFIEAEcLD/NQggARAQMNEPACIgBXch -DvghC3AtECAwdCEDwCDRDygwMPs6/yXqAD4gKjEI+6ETcAEQEDApNQjRD/k1CCABEBAw0Q/AIdEP -AABsEAYvMDwuIAcrMQuGLv0iACogAXAw/LzEIADSB+AXgNn0FgAmANyb4C9yXAloEfd/lB4AIEfw -KPAF/KYRAD0QSDD3vBMmACA5sPdHFA4A3EoQKWI6d5MTGX+LKGI5CakKKZKX+YQBCAA+ShCLImSx -NgUMR/8CAAIAlhsgiieLqP2hFS/AEBAw/6ILIEACcrAC4gH4qRQsACAXcP3cQCYAu1/QjxAM/xGv -iPilFCoAIHrw/wIACgC1V1CIEMk4+bwAABYANiBtiQUAA4YASWEq4gAPAgAPqgj/AgAGAMZukJrg -0Q8lIQf58QgtgAQ/YPklCCAGAkLw+EgUAegCWvD7RgMoCQBDcJhJ99sCD+EQQDD7RgEtAAQ+oPhE -GCtAASgw+H9kG8AEOqD+8h8qAgF0MP5GCyqgBD7g+39mGgkAWrAegOcl8h71RgosCQBucPxFDyAA -EEgwmUWYQh+A4PuqAgCoAljw+kYALAkAd3D9RgQuCQB/MP9GCCCAAlEwWWWUiicr+sD3ZjkgQAJK -sPsKACgAQF5w+6UUIIACSnCZqZmo0Q/RDwAA+6wYIgAAafD8CgEiAABQsFgakGP+so0n/vrAIEAC -Y3D+CgAsAEBzMP7VFCCAAmMwnNmc2NEPwoJ4+dopcX99l9T7PAACAABhMPo6/yIAAGlw+iUIIgAA -ULBY+0jRD8W1+yQFIgAAULBYDxnRD8DAnKvRDwAAAAAA+90MADYANOAoEgANSRQqnAj6PAAIAwBS -MG2JBQIKhgBLY4sQKixA0w/5uwwIACBs8G25BQQJhgBKZQ38DKwsLMxAnODRDwAALSxAneDRDwBs -EBLdcP0WEiAAEEgwmRCZESggB4VA+TYAIgAAWTD3QAciIAFEMPRhvmT4ASww+mIAJgDPAWAsIAQq -FQT9CjAkAP8DIC4gfP4VBSYA6u1QwNEbfu8MOhGrqiiiOowQ+xIBJAGjQiAYfusuojkIOAoogpfC -mPjlAQ4AhEeQLiEHGH7v/RYUL0ABcDD8FhUvwAQ7oPsWFi4JAEOwnlCOIBh+6fhWAiAEEEAw+VYD -KYAEP6D4EQUoCQBGcJlRKSAEKhYXCIgJ/IgRCADMgmAegGqo7v7hfyQAnYZgKCISKBYRKSBMKRYQ -GYBkCTkCAIQEKVYEGIBiJBYY+/9QBRcBYDD/VgYoAEBC8P+AXhnwBDog/HgUBAkAQTAkVgf/EhEo -AEB6MPQSECgJAGow+FUKKcAEO6AoVgj/RBgOgAQ/4PRWDCABECAw9IBPHgkAJ/AoEQT/Vg0v4AQ8 -4PhVCy4JACfwL1YJKCAHCChA/3r/KGAEOiAPiAIoVgskCgQkpjkkEhj/gEIR3wA1YAM6Cf2AQBvA -BDqg+36nGAAgfrAskn/yIgAgEAJBsPjGACoAIG7w/GYDKgAgWrD6ZgIjgAQ4oPiWfyIJABHw8kYB -IAAQEDDRDxx+9StBJ/VMAAYAlWbQ8/5vYAAQaDAAAPtMAAIAAFCwW7XV9qwAAckANqAtqRT0ogkh -JgA3YPP+JGIAAFkwAAApIhMpFhEoIFAoFhBj/sUAKrAY9bwAAgBm/pArXB38CgMgCAJQcFlkymP+ -EgAA2hD8CgMgogJYsFlkxbQa/AoDIJwCWLBZZMIrIFUrFQVj/e0fgAsdf1kpIRf4CgAgIAJQcJig -mKGYopijmKSYpZimmKct0n+YqJip/e0MDEgBSDD5zBEMCQB/cPkIRggHAUww/RYTKQAEPmD8CgEo -CQBiMPwWBCgJAEow+BYGIIACWHBYDHFloH4cf/P9EhAgBRBQMP4SESAyEFgwWW2dKhIXKxIWLBIV -LRIUKRIT8/37YAAQcDDaEPwKAyAyAlrwWWSYY/8mKSAE+hUEJABxBmBolB0rXEH8CgMgCAJQcFlk -kGP+ugAAAAAA8/7aYAAQIDDaEPwKAyCiAliwWWSJKSBVKRUFY//LwKX8f9QQMhBYMFltf8Cl/H/R -EDIQWDBZbXwff8obf88DOgksEhIMqhH/qQgKACBasPjDNWAQAlmwLJKBm8CcY5piK5aBKpKCjaLL -0Y4gCO4RDn4C/kYBIAAQEDDRDwAAAADz/dRgABAoMC+SgJvxmmOfYiuWgGP/ywAiCojRDyugB/sL -QQABEGAw+7wYIAQQaDBYGWyMIAjMEQx8AvxGASAAEBAw0Q/aEPwKAyCQAliwWWRaLSB8LRUFY/8O -AGwQBCMiGBx/pv0iACAFEFAw9PUaADAQWDD+IgIk4AEsMFltSooi9AoCL/0QQDD4qAEAFAB6sJgi -YAASAMiuWWxA26D8+v8iAABQsFgX4CwgB40iJvrA9CFKbCABYDBl0UIdfer/f5AbwAQ/IK27LrI6 -D88KL/0E//ILIgCYw6AusjkYffv/6gEOAJH/kB997PAIBwIAAEqw+H3oEAgQcDAASWEASWGYoIwg -x5D/pgItgAQ/IP6mAywJACdw/aYBJgByTpAZf3kJyQKZpIw+9LY5IA8ANyD7MhAiAABQsAvAAIon -/H5uEAAQIDD9fpof/xB4MPSgQ2BAAnKw9KUULgBAM7D/pgAggAJzsJ6o/qYJIBACWrD0JgcqAH/X -UC3CfRl+jpvRmaMown2Yoi/Cf/vGfSACAnvwL8Z/lC6ULyQmECQmESQmEiQmEyQmFCQmFSQmFiQm -FyQmGCQmGSQmGiQmG/x/UhAFEFAw+wowIDgQaDBZbPYkJAQkJAWUIiQkICQkISQkIiQkI5QplCqU -K5QsJCUa9CUbIgAAUPBZEXPAINEPAGhSGsAg0Q8A+8wYIgAAULD9CgIgABBgMFgY8mlS5IsnL7kU -+rIJIEACcvAG7gH+7EAgIAJ78P+1FCHgAlKw+rYJKgApcpAosRX8fboYACBSMJi5nICJIB9/LfiZ -EQABEGAw/4YCKAkAZnD5hgEgABAQMNEPL8KALs0Cm/Geoy3CgJ2iKcKC+8aAIAICSnApxoJj/wEZ -faiZoIggwJHyfxsZgAQ6IPKmAigJAEow+KYBIAAQEDDRDwBsEAqMNRt/Cf1/FBloAWAwCIgJ+X1v -GcAEOiCtiiqif/uZCAAAEDgw838NGAAgSjD9rf8mAffGkIihKakQjqCegI+gmRYeftmY8Zeg96YB -IAEQWDD+4ugg0QA2YIWnhlGIU49S9oQUAgAASfD4uTgG4AEwMPRSACIAQB0w+TMRAAAQQDD/uDgC -ACAfsPSEVw4Acs4Q/wIABgBugSAoUQgpOv//AgAGAGfOEBh+7S+CQy6s+PnRfSrgAWQw+RYKIf4C -e/D/hkMiSgA24P/6wCAwAmKw+34JHABAezD31ZAggAJjMCzWR/zWRioC93bQGX7djpr65gEgUAJi -cCzWQYuaK9ZAiJz6lgogAgJCMJicGn7W+xIKIAAQYDD6otMgARBoMFgPvcAg0Q+GcYVyiHP2hBQA -ABBIMPQKASIAQB0w+Ek4AAAQQDAFSDiEcPYGRwOQBDzg9XwAAgAgH7D0hFcOAArOEGhGDShxCCk6 -//8CAA//nMoQLtF9/hYKKuABZDD1s8xh8AJysPh9IxYBTgEgL1EInxL5IgAgnAJgsJwYKdY+/iYH -IJACULDwCAcAQAJIsABJYQBJYQBJYQBJYQBJYQBJYSswBB9+p/w8USQCTwbgnBUuMhYv8vWLMPgx -IimQBD+g+TxOLgAgT/CZF58Zjfgv8HyeLS3QBCsmDvglIiAcEHAw/yRBJgEa91ArEgn7vHIgBhBg -MFljOYsZihj7vGwgBhBgMFljNooVwMP6LGEiAABasFljMosX/AoDILoCULBZYy+KOYkSmiv3Jgwg -BgA2oJKskjkYfoQrMQcvgtIuMBYtMAf6MA0gAgJ78C+G0vgwDCAGEHgwLyQEKSUIKiQNKyUHKCQM -LSQHjSAuJBb3JgIgTxBwMPcSCi2ABD9g/iQFLAkAbbD9VgEgMBBAMPclCSYA8YEg+QozJgDJxRD0 -kitwMRBQMPpCI3A+EFgwKjAFxML3JTYmAStekP8CAAYBJ2aQw93/AgAOAeZqkBh8+QhICiiCEPos -AAIAAFlw/GwAAAIQaDALgADAINEPABl8wIogKtY+nifwCQcAQAJIsABJYQBJYQBJYQBJYQBJYQBJ -YSswBPosSCCcAmCw/BYIJAHchuAUfkguMhYkQkf5MgAlkAQ/oPgxIiQAICkwjUgvQHyeLf3QBCCi -AjDw+SYOIJwCKPD4JSIgHBBwMP8kQSYAf/dQ/AoGIOQCWTBZYtuKGPwKBiDYAlkwWWLY22D8CgMg -wgJQsFli1dtQ/AoDILoCULBZYtKKOZor9yYMIAYANqCSrJI5LDEJLjEHG34mLzAN+DAMIAYQSDAp -JAQoJAwvJA2IGiqy0v4lByP/EGgwLSUI/CUJIAICUrAqttIqMBYrMAf7JAcgTxBIMCkkBZciKiQW -+CUJIgAAULBYDGHAINEPABt+ECuxVf8CAAH+r3bQLDr/nBJj/VbbkFie3ooYixVYnt2KFWP93AAt -MAT3JTYkAFqDYNow+1wAAgAAYLBb/IxkroT6LAACAABZcPxsAAACEGgwWQ7JwCDRDwDbUFiezfoS -CCIAAFmwWJ7KY/8JAAD3JTcgeAIZcPADFgC4Aniw8A+gAAgCUHD+USYgAxBgMP4lNiC6Ahiw9yU3 -IgAAWPBZYo0qIGEpIGIpNAH6NAAgCAJYcPggYyADEGAw+DQCIMICULBZYoT6LAACAABZcPxsAAAC -EGgwW/yMwCDRDwAAAAAAACpcGfwKAyCiAljwWWJ6Klwd/AoDIJwCWPBZYnZj/y8AAAAAAPosAAIA -AGGw+wqEIAIQaDD7VQgiAABZcFkOlcAg0Q8AGX3Ejxb7kkMjwAQ5oAL1DAhfMp8W/9WQIf4CWvAr -lkP70ZEvwBBAMPXSRyAwAmKw+ZK8LABAQzD7FgMqACBm8PkWBCCAAlrw/1wACgDa2VDLJ68l/wIA -CgDsrtDZ8P8SBCAeADWgbWkFAgmGAE9jJdJHL9mQDwIA/xYGJAAgLLD/AgAGAPfdUCXWR4gWZIFf -GXzG/wIACgC69lAcfZ6IyvqGASBQAnswL9ZBjsou1kCLzPrGCiACAlrwK8YM+jwAAgAAWHD8EgQg -ABBoMP4KACACEHgwW/ze/QqIKeABVDB9mR5oRhsqEgTTDyqhCCs6/3uhDQM6AvsSBCIAAGGwWQ9w -Gn2F+xIKIAAQYDD6otMgARBoMFgObMAg0Q8ffOOIMC0wfC4xKS4lIi0kQfgmDSDkAljw/yYOIAYQ -YDBZYhyKGPwKBiDYAljwWWIZijuaK/cmDCAGADagkqyJEpI7Y/ulAAAAAAAA/GwAAgAAULD5CoUi -AABZcPlVCCACEGgwWQ4zwCDRDwAcfWOIzfqGASBoAnswL9ZBjs0u1kCLz/rGDSACAlrwm89j+hIA -HXy+LDEpKTB8jjCeLSkkQfwlIiDkAljw/SYOIAYQYDBZYfiKGPwKBiDYAljwWWH1ijuaK/cmDCAG -ADagkqySO2P8iffVkCCAAnswL9ZHL9ZGY/6OjxMPXwwv1kdj/kMZfUKOnfrmASBoAmJwLNZBi50r -1kCIn/qWDSACAkIwmJ9j/owAiRQPtQwFSxRtuQUED4YASWWPFCnMQNMP+2gMDgAgL/BtiQUGCYYA -T2cFKAz52ZAoACBDMPkWBiCAAkIwKNZHY/4XKcxAKdZHY/4ObBAEijUKSVH7e+0RKAA2YP8CAAAA -tAZg/wIAAgCAAmAosnX/AgACAEweYPWygCloAVQwqYgJiBGoVYhSKfr++gpHCABASjD4VgIgWQA2 -oPijc2AWEFgwe6lJKVIHLJkUI5IJZMCNLzIE/H0NEAUQUDD9MQogEBBAMP4yCi4JAEfw/zYEIC4Q -WDBZaqb6XAACAABY8PwwByAFEGgwWAIGwCDRDwDyVhkiAABRcPUmCCIAAFiwW/rSiyjIoomyy5vA -INEPAAAAiVfAsStUeCqZFMAw81YZIAYANqCDmfpcAAADEGgw/DAHIgAAWPBYAfJj/8QAAPP/bmAA -EBgwLLAH2rD8C0ECAABpMPu8GCABEGAwWBaTwCDRDwAAAAD6LAACAABY8PxMAAIAAGlwW/3BwCDR -DwAosnX1soApaAFUMKmICYgRqFWJVx57gow0LZkU9NBAbABAczCLmSq0G5y3LFAG/Mz/IgAAUXD8 -VAYgARBYMFuWf8Ag0Q8AAAD6LAACAABY8PxMAAIAAGlwWQ8/wCDRDwDz/8JgABBYMGwQShl7qywg -ByUWhocw9Uz/KOABKDD8DEECAAAxcPl3AQIAkQIgiSIYewj1kbdgBgJpcBl7HfzKEQYFADtw/XsD -GgAgQrAqFof6ojogBgJZsCsWhQ3NCv3SlyoA5t6QKxKHK7I5DbsB+xaEIZwANuAugkpk4Xkpkn8v -gkn5+wEOALhP0CogFAqkh/okFCwAyRKg+DwQICoAPSD6TP8iAABIcG2pBQAIhgBJYSIWgPodAiIA -AFhwWV/32KD6Fogg/gA2oMCj+xwAAgAAYjBZaEnJfPsShCIAAFCw/WwAABACYPBYEOTwAAdiAABa -sAAAAPhBF2IAAEBw+kz/IgAASvDTD22pBQAIhgBJYY0z/FwRAgAAULD8MgIqACBm8FgQmiwSh4on -+xKFIgAAaTD7xjkgQAJSsPtMAAAAEGAwWA3S0qDRD4oni6j9oRUvwBB4MPmiCyBAAnKw/haDLgBA -e7D+FoEsACB3cP3cQCYAYl5QKakUDEgRqJn5pRQqACBaMPgWgioAXldQyTzJStmwbUkFAAOGAElh -KhKDLhKCKqIA/qoIAgAAMXD/AgAGAHZukC0Sg5rQ8/5tYgAAGvCIEBl7tdMP/RKGKPgBQDAJiAoo -gsQqEoD8EAciAABYcAuAACgSiGP+3MAg0Q8AAAAAAAD6LAAAHBBYMPwKACABEGgwWBXwwCDRDwD7 -zBgiAABQsP0ShSAAEGAwWBXqwCDRDwDaIFuTa2P+afP+PGAAEFgwwLCbq/P97mIAABpwAAAAAPva -DAA6ADTgCk8U/fwIIgAAQPD9TTYCAABK8NMPbdkFAAiGAElhKRKBqjjTD/9ODACAAkpwbekFAgiG -AEljLhKCLRKBCu4M/hKDLAAgd3At3ECd4GP/HQAvEoEoEoMv/ECfgGP/DgAAbBAGKCBy+iAFIAAQ -IDD5CggvwBAwMPmIAgAUECgw+CRyJgBrLpD3fA4QEhAYMPozMnALECgwc6Fm8woJKgDEhqB1oWz/ -AgAIAEAGoP8CAAYA2J6QwLn7owZ/9RAQMNEP0kDRD9ogWLP0+iwAAAAQWDBYEjwdesGOICMkBS3S -aCxyl67dCd0RrcyOxy3sIAbdAfTlFCCAAmtwnemd6CTEBY4i83o/EW0AN6AqIAVj/6MAjyJl/53a -IFu112Wv68CK+CQFIAoQUDCJImWfhtogW7WwZa/ULCAGiiIjJAX8zAEgARBYMPwkBioJAFqwmiLz -/2FgCRBQMACJJy2ZFPcKFiAAEFgw/5wwIEACcnD00XdiAEA3sI2ZifD/nAwBkAJKcPTQa2oFAGZw -jOAPAgAPAgB9wVss0AD4ChUmAGAvEP8CAAYAXEcQ+QoYJgBYPxD4ChkmAFRPEPkKGiYAUEcQ/wIA -BgBMTxAp0Aco4QUoFgDziAgJwAQ+YPndCACAAkIw+Ns5cgAAY3D9zAAPnQC3IP0KLSAcADbgLLAA -fcFcjL7AsPz+DAGQAmMwDss5Zb/nLSBO3EDwAEJsBQBp8IwQDNwMY//CAI0iZd6I2iBbtbplrtYv -IAb+IgIgDBBAMCgkBf/8ASABEEAw/yQGLgkAQ7CeIvP+XWAMEFAwLCRxY/4kiSJlnk3AqCokBfP+ -RWAIEFAwKzJKDwIAdbNzLXJnLDJJ/csBDgA1bxACKgL8IQkgABBoMP8K/yAAEHAwWLNO/CEjIAAQ -aDD+CgEiAABasP8KAiIAAFCwWLNHJTZJLyAG/iICIBEQQDAoJAX//AEgARBAMP8kBi4JAEOwniLz -/dJgERBQMAAA8/6LYgAAaTD6LAAAHBBYMPwKASALEGgwWBUeY/4DAABsEASJPxp7bYU+lZD7Mg4g -cAIw8PR6ixAAEEAw8lcMAAAQEDD5tgEhkAIpcPg2DyIFADlw+DYOKgARnRAvon0ee2CW8Z4/LaJ9 -nT4son/2pn0gAgJjMCymf9EPK6KAKa0ClrGZPyiigJg+JKKC9qaAIAICITAkpoLRDwAAbBAEiiLH -jfopUwAYAHqwCKgBmCLRDwDInlln5dug/CICIgAAULBZZS7RDwBsEASJJ/iSDCAjECgw9gokICUQ -ODDynDAgABBYMPKJDAGQAkIw+Ys5ACkQGDD0CisgPwA24GAAEIq+wLD6LAwBkAJSsAyrOcq1LLAA -c8noLbAQ+rAfIcQII3B1oQV2oQJ3qdTaIFv/vPP/22IAAFqw0Q8AAGwQBPQiBy/AEEAwJUwg+FUB -AAAQGDDzRRQggAIpcJVJlUjRD2wQBCggcNMPfIcUKiAH+yIAKgIBUDBZDjLIp9Kg0Q8AwCDRDysg -cCwK9wy7AfskcCIAABKw0Q8AbBAEFnoDImJ/9QoAICQANKAiYn2EIYkgmUCIIJSBlSGVICNif7Az -82Z/IfACELDRDyJigIQhiSCZQIgglIGVIJUhI2KCsDPzZoIh8AIQsNEPAAAAbBAQhzmKOPYgByjg -ASgw+foAL8AQKDD5qgEGAEBN8PYGQQIASZogjSeM2CvRFf/SCyBAAnNw9eUBAAAQQDD52RQqACAu -8Pu8QCAeCGPwmNvwAGJiAAAb8AAMTxGvmfnVFCwAIGfw/LkMCgDpatD7PAAAOAA04AlIFPgWEiAQ -AkIw+Eg2AgAAazBtiQUAC4YATWEoEhKpO9MP+EgMAIACaXBtiQUCC4YATWMJ+wyrWyu8QJvg08CL -IsiywCDRD4w0HXne/nrKEAQQeDD1eRgQAKLvEBx6yfgKACXABDmg+CYZJAAgKTAoQjotMQsvMQr8 -wH8kAcLCIBh50ClCOQhoCiiCrx55F/iVAQ4Ac8ZQKSEHG3kRCQlKDJkRC5kCmVCLICwWFP5WAiAo -EEAw+LkRAAQQcDD4VgMoCQB2cJlRKSAEKhYVDdgJ/IgRCAFUgmAbepCou/uxfyQBtgZgLSISnR0p -IEyZHB16iw1tAgCEBB56ip1U+nlYCB8BODD4VgYuAEBx8Ph6hh/wBDug+n4UCAkAdnCZV/gSDS4A -QEOw/1ULLgkAczAuVQr+EgwrwAQ+4JtY+IkQAAEQWDD7enkYCQBecJld+O4YCeAEPaD+VgwoCQBe -cJlZKCAHCChA+Xr/KGAEOiAJiAKYW8DkLkY5iyJkUoOINMaf+YgBAAEQeDD4NgQuCQB+8P8mAiAA -EBAw0Q8AAP8CAAIBP/MQKyB2+CB3IEEANuD7IhkiAABQsFv4RtKg0Q8AAAAAAAD5zAAAFAA04MhM -bUkFBAOGAElljeAP3Qj/AgAOAR1bUC9cQJ/gY/5PZY5SKSB4ZJ5MKlJKZKL4KOJnK1JJCLsBZLLs -GnjCKqCADGcRpXf5cjogbgJSsApKFLeq+hYQKgFc1lAocjkNaQopkq/5igEOAVROEC0gFJoeDdSH -/SQULAFkE2AuIhlk4j7AiPzJFAgJAEMw+DYEIAAQWDDA0C0keIww/SYZIAD3KxCMJy7JFGTiLITJ -iEQnIQfzIAcv+BBgMP1CASgAQGIw+EYEKUAEPmD8ICwrgAQ+4P5BFydAATww/HcRCgkAX3D9eQAY -CQBPMPmJAggCARgw+0YBKKAEOiD4eJAWCQBF8Bt4hvlGBCIgARww8DYRBgkARfD3pgAuCQAzsPwi -AC4JAGuw/qYEIDAQSDD5pgMgAhBAMPumAiIAAEkw/aYGIAAQaDD9pgUtgAQ7IP2mBywJAHsw/KYB -IEACWrBtigUGCYYAS2eGQiYmHIlB96xAIgDwBlAuCgD+Fg8gAPouYP4SDyADEFgw+iIHIAAQYDD8 -PxEAAxBoMPX/CAAIAnOw/vY5IEACUrBYC1/SoNEPABl57i8WExh5/P0hFyAAEHAwnhGeE54UnhWe -Fp4XKIKMnhj+FgkiAABQcPi4DAABEHgw/QxGCAkASjD4FhEuSAFoMP19QA+QBDug8N0RDAkAczD/ -FgAsCQBrMPwWAiBgAlhwWAZTZKDJwKX8edUQMhBYMFlngcCl/HnTEDIQWDBZZ36LImW8PvtsGCIA -AFCw/AoBIAQQaDBYE4fAINEPneBj/Bwr4n0q4pcMzBSsuwm7EfuqCAIAAGkw+iYZIgAAYPDypggi -AABYsFv3YMAg0Q8uIhOeHS0gUJ0cY/yUhTIlJhyJMZoe+6wAAgB5BlD2kQtgABAYMIon20D8CgAi -AABpMPN2OSBAAlKwWAsb0qDRD8GwC8sC+zYEIAAQSDDz/cJgiBBYMAAA8/3RYAAQIDAAABx5ov0S -DCAFEFAw/hINIDIQWDBZZ0wqEhUsEhQvEhMtEhHz/CBgABBYMAAAAAD7bBgiAABQsP0SECABEGAw -WBNQwCDRDwD6LAAAHBBYMPwKASABEGgwWBNKwCDRDwDaIFuQy4oejDTz/S9gBBB4MNog+3wAAgAA -YbBbkjOJQfoWDy3ABDqg954UZgAgZfD7fAACAABQsPwKACIAAGmwW5IDjR+trZ0fY/3z2iD7Eg4i -AABhcFuSJIseiTHzrAANwAQ6oPee/WoAIGbw2iD8CgAiAABpcFuR9vP+6GIAIB6wAABsEAYZeXwq -IAX4IAciAABw8PYKACIAAFlw/CIHIAMQKDD0oMdoIAFAMAsKR/3MMCIAfAKgGXe8/3lhF8AEPiCp -dylyOg+PCv/9BCQBAC0w//ILKgCuLlAtcjn/2QEOAKj/UCggBxx3xAgrQAq7EAy7ApuQJiIA+3e4 -F4AEOaD7lgImCQAxcPaWAS4AryFQLDAD/JYDICACSnD/AgACAMAaoP48ECAqAD0g+kz/IgAAQ7Bt -qQUACIYASWHAMPx5TxAFEFAw/SIAICgQWDBZZuUldjkuIAT/IAUgCQC3oMzxZDCH0jDRDwAAAAAA -APqS1y85ALcgZKHUKpLVjaGMoJzQj6Cd8ZahlqAsktewzCyW1y+t/40g/fY+IfACYrCcJyYkICYk -IWP/AIfMwJD9fwwBkAI58A95OfcKKy75ADZgYAARj57AkP/WDAGQAnvwBvk5ZJ7fJpAAd2nnZJ7W -bUkFAAOGAElhwCDRD4knGnhA+woAL/8QYDD8lgAgEAJCcPsmByoAkM6QHnkbKuLVEng4mKGSky/i -1Z+SLeLX+ObVIAICa3D95tciAAAQ8NEPAIoiwN560BCOwvgWASEsALegx/EPqgGaIpgR+xYAIL8A -NqD6LAACAABY8P0SACIAAGEwWA2w0qDRDxt3+C8hCP0KICwgAUAw/ZYDLQAEOyD8CgAuCQBn8JyV -+3j9HgkAX/CflJuW8/6BYEACSnAAiyeKvIyhj6CfwI2g/NYBIAAQaDD9pgAgYAJa8P2mASGwAkKw -bUkFAAiGAElhFngIKKzI/wIACgBYRZAceOcowtsfeOOagZ+hLcLbnaApwt36xtsgAgJKcCnG3Ymw -wDD7mgwBkAJKcPP+NGIFAFZw+iwAAAAQYDD7jBgiAABpcFgSgGP/LgAAHXjUItLYH3idmCGfky7S -2J6SLNLa+NbYIAICYzD81toiAAAQ8NEPACqS2I2hjKCc0I+gnfGWoJahLJLasMwsltpj/iebEFll -W9ug/CICIgAAULBYEPuLEIgRiiJj/sMAH3i8LPLeGXi9msGZoSjy3pigLfLg+vbeIAICa3At9uBj -/1AAAAAAAGwQBIooiacomRT7kgkgMgA2IPmiAiAAEGgwLSQE/SQFL/4QYDDyphkoAEBmcJmi/LAH -IAMQaDBb/aXSoNEPAADz/85gABBYMGwQBi4gBNMP9woYJgEmB6D1DEcGATU/kPwWASIAcgMgKzAQ -+AoBICsQaDD2Ci0mAdDu0PcKMiYB9LbQ/wIABgCgvtDChv8CAAYA38bQihEpIAfAwPkIQQIAhYKg -iyKcEPx21BADEGgw9bM0bAEAbTD/eHYbwAQ+IKy7LrI6D48KL/0E//ILKgGGb5AusjkJJ0D8dtwW -oAQ94P/qAQ4Be3+QDHcCl6CGICmsEPd2zheABDmg96YCJgkAM3D2pgEuAbijUCgwA5ijihEcd4v4 -PBAiAcoaoPhBDWH+AlEwbakFAAiGAElhwIAttjkuIAT/IAUgCQC3oMzxZIQK0oDRDwCGJ4gyH3bE -Hnhi9GPDavgBRDDwDwcCAABQ8PAOoAHAAmOw8QoWAgAASzDyGR4MfAFEMPnMECAQAkDwbdkFAAiI -AAmK83hUF9AEO2C/ZAREFPY0eyACAiEwJDR/8/7EYgAAGzCGJ4psJmww/wIAB/93NpArrMhkvuPA -4Z4QYAIgAIwR/wIAA/9jmyApICLLny4gBxt2l8Dw/yQiLiABcDAL7gkt4d6p3S3l3ikgImSTly2y -e4Yr8NEEAf4CYnAAzBoAihr6rP8mACBhsApmApYqKCAgLiAFwJQJiAL4JCAj/zcboAgKQ/8CAAv/ -MZqgiifAsPskICAgAlKwWAtswMAsJBQsJAVj/kSNEf8CAAP/H5tgjifI6NogW/v92iBb/PYpIAX4 -CgggywA2YC8gIAj4AvgkICP/DBpgCAZD/wIAC/8GmaCKJ8Cw+yQgICACUrBYC1Zj/6YAAPosAAIA -AFjw/EwAAgAAaXBb/1PcoPoiCCBnALcgjaJk0F3AINEPjzL8eAYQBRBQMP0iAC74AXww/xYCIDAQ -WDBZZZWIEsJm/wIABgBSthDCe/8CAAYAWb4Qwp3/AgAGAGDOEMOieom5+iwAAgAAWPD8TAACAABp -cFkLYcAg0Q8AK6AH+wtBAAEQYDD7vBgiAABpMFgRkMAg0Q8cd+MswtdkwlQed+Ep4tWGkYuQm2CK -kPamASAAEHgwn5CfkS3i17DdLebXJp3/jyD/Zj4h8AJycJ4n+CQgIAAQaDD9JCEgBxBgMCwkBWP9 -CgAAAAAAAPosAAIAAFjw/EwAAgAAaXBZC77AINEPAPosAAIAAFjw/EwAAgAAaXBZC3nAINEPAPos -AAIAAFjw/EwAAgAAaXBZC2HAINEPAAAAANog+4wYIAAQYDBYEWP6LAACAABY8PxMAAIAAGlwWAxf -iCJljsGJEGSevPosAAAAEFgwW5FdwCDRDwAAAAD8d7MQBRBQMP0iACAoEFgw/zAfIgAAcPBZZUEo -MB/Co/8CAAYAwlYQwrT/AgAGAL1eECwKJf8CAAYAt+YQ+iwAAAIQWDBYAKRj/DEAAAAAAPosAAAC -EFgwWABxY/weAAAfdokWd5UuIQj8CiApAAQ+IPymAyAAEGAw/KYFLgkAS7D2pgYuCQB7sJ6k8/xp -YEACSrAAAI4niuyPoYigmPCGoP9mASAAEHgw/6YAIGACc7D/pgEhsAJCsG1JBQIIhgBJYymsyP8C -AAoAe88QFnd4L2LbGXd1mvGZoShi25igL2Ld+mbbIAICe/AvZt2G4MCA/mkMAZACMbDz/BtoBQBJ -sB12wwgKUfkgBywAIG6wLdCALAr7/JkBDeAEP2D8CvwoCQBucAyZAfglCCgJAFZwKSQHY/wLiif+ -CgAv/xB4MP+mACAQAkqw/iYHKgBL1xAWd1gtYtUcdnWZ0ZyjK2LVm6IiYtf5ZtUgAgIQsPJm1yIA -ABIw0Q8AjymfKmP8gAAad0wpotiMkY6QnsCNkPzWASAAEFgwm5CbkSai2rBmJqbaY/2nAAAA+iwA -AgAAWPD8TAACAABpcFv9wNKg0Q8AFnc8L2LeGXc9mvGZoShi3pigL2Lg+mbeIAICe/AvZuBj/wkW -dzMtYtgcdvyZ0ZyjK2LYm6IiYtr5ZtggAgIQsPJm2iIAABIw0Q8AAGwQBIUg8lQMAZACGXDAIAQy -OdEPbBAEKiAgKwrz0w/7qgEAABAgMPokICJSADjgaTEZ/Ar9ICwAerAMrAEsJCACKgJb++0tICBk -0GXRDwAAAAAAAP+n9HABEBgwLgr+Dq4B/iQgIgAAULBb++woIAccdWkpICL0JCIoIAFAMAyICS+B -3qn/L4XeKSAiiisuwnv0kC9h/gJqcADhBADdGgA7Gvu8/yoAIGqwC6oCmipj/42KJ9MPKqwQWApF -JCQUJCQF0Q+LKZsqY/90AGwQBCogBcCIeoM09QoAIEUANqAqICDAQfOpAggAD5qQ+SQgIvgAOODa -IFv7wNogW/uiKiAgCgpBaqNZ0Q8AAAD6LAACAABY8FkKB2Wv7CogBWP/tosnZb+5G3XPLLJ/+bJ9 -II4ANyCOkYiQmOCPkJ7xlZCVkS2yf7DdLbZ/LJ3/iyD7xj4h8AJScJonJSQgJSQhY/992iBb+30k -JAXRDwDaIFv7qy4gBxx1KC8gIvUkIi4gAXAwDO4JLeHer90t5d4pICKPKyvCe/SQHWH+AlJwALEE -AKoaAEga+Iz/LgAgV/AI/wKfKmP/PYwpnCpj/zYpsoCOkYiQmOCPkJ7xlZCVkS2ygrDdLbaCY/9v -AAAAbBAIKjADKSAH+DAQIgAAMTD4FgYgCxBgMPoWBCggAUww+RYFKAChhiD4FgYmAKXmEMDQnRKO -IokV+nTvEAAQeDD/FgEg7gC3oAyXEap3K3I6tEz8FgAqAKDm0Bx2jStyOQycCizNBIzLDLsBKSAE -+xYDIS0ANuD+dpwQlwA2YC+iSmTwmizi0CmiSfybAQ4ASOZQLSAUmBYN1If9JBQsASyTYP8CAAgA -jIIgihMddN2doIgg+xIELuABLDD1dNwQIAJKsPumAymABDog9aYCKAkAQTD4pgEiANKb4Pg8ECAe -AD0gsEptqQUACIYASWHAoI8w/wIAAgBsq9AmdjkoIAT5IAUgCQC2IMyRZKI80qDRDyogFKSqKiQU -Y/+FAAAA+iwAABwQWDD8CgAgARBoMFgQEIsSZLHn+iwAAgAAWPD8TAACAABpcFgLC4wizM2NEcjZ -+iwAAAAQWDBbkArAINEPAAAAAAAA+iwAAAEQWDBb/1+IFmAADPosAAABEFgwW/8tiBYFDkf/AgAC -ALsDoMDxnxJj/p0A8/7QYAAQWDCLFf0SACIAAFCw+AoBIAAQYDD5jDgAMAJa8FgP7mP/ctogWQpl -ZKFvjCJkzt1j/2ItIASMIC8gBxt0nf4SAyABEDAw/ylAAf4CQTD8iBEIoAQ+YPuZAggAIHIw9NGx -a4AEPyCVhh10lZmE/woEIDAQcDD+hgcuCQB+8P+GBSADEGAw8A0HAEACSjBtygIASWEsIAcZdPD2 -IQggYAJ6MPt2KxwgAWAw8MwRAAIQKDD0VTYGCQBhsPuGCiYJAEmw9oYIIgAAY/BtWQUAA4YATGH2 -EgAgABBoMC30BmP+fY4S+CIHIRUAN6CKjIuhjaCdsIyg+8YBIAAQeDD/pgAgYAJCMP+mASGwAlqw -bUkFAguGAEljHnUjL6zI/wIACgCuf5Abdgoushv65gEg2AJq8J2hLLIbnKApsh36thsgAgJKcCm2 -HY+AwKD4+QwBkAJ78PP9/moFAEvwANogW40hiBZj/aCJJ4qcKZww/wIAB/9BzpArrMhkvnjAwZwR -Y/4ZAAD6LAACAABY8PxMAAIAAGlwWAxV0qDRDwAtIAX/AgAJ/0SbYMDiLiQFY/58iSf/dPwf/xBY -MPuWACAAEEAwmCf4nAgqAG7P0B114CLSFfgmASCoAntwn5Mu0hWekizSF/jWFSACAmMw/NYXIgAA -ErDRDwAAAAAAAAD8nAAAARBYMPqMICIAAGkwWAcjY/1CAAAuIQj1hgYiIAF8MPmGBCAgECgw9YYH -IAMQSDD1dCEYCQBO8PmGBSMABDzg8yAULgkAG7CNKYkr9e4CBAkALzCVipmN/YYMIoAEPOD+hggg -ABBwMP6GCSIJADTwk4suJBTz/OdgBgIxMBx1tC/CHvr2ASDwAnMwnqEtwh6doCvCIPrGHiACAlrw -K8YgY/6kAAAbdaoushj45gEgwAJq8J2TLLIYnJIishr4thggAgIQsPK2GiIAABKw0Q8AAGwQBoki -+CAHIf4CUTCaE5oSGnPe9ZCkaCABQDAMhhGqZixiOvkgBCAGAmkw/RYBKgBebxAcc9crYjkMjAos -wpcMuwH3vAAArQA24GSQYC2iSh9z5mTQfC/yfy6iSf/rAQ4AOf+QKCAUCISH+CQULADoEiAFCUf4 -PBAiAEwaYPhBEGIAAEnwKkz/bakFAAiGAElhwICJE4swDJkR+XkIAgB/qtCKEvpmOSIAABIw0Q8r -IBSkuyskFGP/uAAA+iwAAgAAWPD8TAACAABpcFgL09Kg0Q8A+iwAABwQWDD8CgAgARBoMFgPCGP/ -0QAA8/9TYAAQWDD9CgEgABBgMPncOAIAAFCw/RIBIDACWjBYDv5j/6mMJ/jCCS/AECgw/ckUIEAC -WzD6wRUkAEAu8PUWACnABD0g+d0MACACQjD4xgkkACAusPjdMgCAAilw/cUUKgCrqhBomzyomiqs -8P8CAAoAc9VQ+EEcYgAAYfCwTW3ZBQAIhgBMYYqxCpoI/bkEIeACUrD/AgAGANIukPq2ASIAAEKw -Zd78YAD0AAAAACUgBy0gBBtzj/8iACoCASgwCqoQ/HODGgkAWrD00PFrgAQ/4JySHnOLmpD9CgQg -MBB4MP+WAyoJAGrw+pYBIAMQaDDwDgcAIAJScG3aAgBKYRVz5iwgBxt1Ii8hCPwMQQACEHAw9O42 -DQAEOyDynCAuCQBn8PuWBi4JAC/w/5YEIgAAULDTD23pBQIDhgBKY8DgLiQGjRH9ZjkiAAASMNEP -2iBbjDRj/isIXAz8TxQCAABR8G35BQQIhgBKZSgSAP9PDAoAIGHw+IxAICAAP+At/P9t2QUGCIYA -SmeIEC65BAyaDKqIKIww+LYBLgkAt6CMEMDQ/bUEIIACYzCcsZyw8/3xYAAQQDAKiAyYyWP+pBNz -TZqQ/JYCICAQaDD9lgMgAxBwMP0hCC4JAHLw/pYBJiABLDD0IBQnAAQ94P4iCSwJAD9w9yILLAkA -H3CdlPeWCSSABDkg/pYIJgkAH/D+EgMgABAYMPeWBiABEDgw85YFJAkAOTD0lgcgBgJzsPMkFCIA -ABIwLmY50Q8AiBAojECYsWP+XQAAbBAEaEMGaEJCwCDRDyggBrCICAhH+CQGL/AAtiCJIiwwAceu -+wr9KABAVnD5JgIiWAE/IHvJ0fosAAAAEFgwW45owCDRDwAAAAAAAPs8ECIAAFCwWQlLwCDRDwD6 -LAACAABY8FkJW8Ag0Q8AbBAEGHMBGXS2+goBIgAAcPDwMQQCAABosPMzCQMgEBAw8tIoAgAAeTDw -qhoP/xAgMPkpCAQRACKw/JKuI8AEPOD4kq8iACBAsPuSsCIAIBTw8z0ILABAYTD8lq4oAEBBMPiW -ryQAQFkw9JawIwAEO+AiNsvyNsogDQA34CiSrgioAiiWrsCk/HSXECAQWDBZYibAINEPAABsEAiX -EBx0kvoSECAgEFgw+BIRIgAAaLD4FgUiAABw8PgWAimABD1g+hYELwAEPSD6FgEuCQBP8PoKBC4J -AH2wWWITHnLL/HSDEAEQSDD3dIIQABBoMPr6/yCuADUgaEEGxirRDwAAAPM/CQMgECAwBCQo8DEE -D8AEP+DwnhoIACBxMP8SBSgAIEfwKxIS+BIEJAAgOTD5nQkv4AQ/4Pv7OADgAjpw+/s4AOcANiAr -deAsQq8YdGr6EgUsCQBjsCxGryp15fiWiCDfADVgaVGQihQrEgVZZAD9CgggABBgMFliZ/wKACPo -EGgwWWJz2iD9ceAiAABi8P5CryIAAFjwWRQjwCDRDwDwMQQDIBBAMAgoKAM7CfeECAvABD7g/EKv -KAAgcjDwnxoIACBaMPiNCS4RAFfw/3RHHABAezD8Rq8g4AJaMC215f+GiCB+ADVg/wIAAf+GHWCK -FIsVWWPe/QoIIAAQYDBZYkX8CgAj6BBoMFliUdog/kKvIgAAYvD9CgAiAABY8FkUAcAg0Q8AACtC -rwrvAw+7AStGry115fyWiC8pALVg/wIAAf9anaAtceD8EgQiAABQsP5CryIAAFjwWRPzwCDRDwDJ -bf8CAAH/SB2g/BIEIgAAULD+Qq8iAABY8FkT6sAg0Q/aIPwSBCIAAFjwWJjGwCDRDwAAAGwQBikw -AmSRC2iRQPiSCG/qEBAw0Q8AACIwBvhzVhMgEEgwCSkdqYgogpckMAXzMgMgrgA2IPoKBCAgEFgw -/HQIEgAAaLBZYZLGKtEPLzEIFHJILTAGLjAH+XP7EyAQWDD72x0P/xAQMP7sCQABEFAw8OEEDcAE -OyDwqhoIACBO8PiSriIRABKw9JKvKgAgJvD1krAsACBbMPzNCCgAQECw+JauJABAILD0lq8iAEAo -sPKWsCsABD/gK8bK+8bLIA0AN+Askq4MrAIslq7ApPxz3xAgEFgwWWFuwCDRDwAA+goEICAQWDD8 -c98SAABosP5MAAIAAHjwWWFlZEBB/wIAAf+jnSD6LAACAABY8FkId8Ag0Q8qMAYrMAcsMAMtMAQu -MAWPMogzmBApMQmZESgxCigWAlv/MtKg0Q8AAAAA+iwAAgAAWPBZCKXAINEPAGwQBBJzEiIgBCI0 -CCI0CSI0CvI0CyAAEBAwIjQC0Q8AbBAEwCDRDwBsEAQmIhDTD/UKACA4ADWgGnJyKCIR9yB2ICgC -SrBtCB8jknXyooUkACBBcKQzCTMR9VwBIgAgGLD3JBYqAAOxUGP/2dEPAABsEAQiIQXRD2wQBhVy -Kv5zphAAECAw9goAIgAASPD5FgAgABA4MP7hfiABEGAw8AA2YAAQGDAAAPoKACIAAGGwWWNFjxEu -EgL7MwIAARBgMPb2CAYJAFXw9VwBIAICITD4SSxgCAIQsABABA4IG3+H5osgaLApKlAwnhIAoQQA -yRoJCQb6FgEv/9heUMYq0Q+LEJOx97YAIAAQEDDRDwAA/UwAAAIQUDD8c4EQABBYMFlhB8Yq0Q8A -bBAEAASLyFYDImAABGHRDwMiYNEPAAAAbBAEhCfz+v8gQBBQMPRCDiAAEEAwJU0EKka2I0b7I0b6 -KU0DI5UKI5ULKEa+I5UqI5Ur+EbOIUACMXAmRuj2Rukh6AIpcCVG/SVG/iNGktEPAAAAbBAEKCEE -JSEFKSEChCD4jP8lwAQ9YPyIEQH+Akpw+SUCJAAgQTAFRAzwADBgABBAMCUhBSYhBPQiACACAilw -9QVPAf4CSbD1JQUpwAQ+YPVhInQAIEkwDFcRB0QMAASLCFhg8ASAD5QAuWAiIQUJIhGiUtEPKCUF -8//bYAAQKDBsEAT1c0ITIBBAMAgoKKhVLlKy+VKsIAwEG7DIktEPAADApPxzOxAgEFgw/SwAAgAA -ePBZYL4jVrL6LAACAABY8FkTdRZyfSZgBPQKAC/PADWg2iD8PAACAABZMP5SrCAAEGgwWRLxsUR2 -SeVj/60AbBAEKiAHiCL8cV0YIAFUMPWAmGvABD5g/nFaGgAgZvAssjoOngr+4pcqAE0nEC2yOR9x -Xf7YAQ4ARndQjDIpIQcKLkD67hAK+AFgMPkJSgsABDqg/JkRDgkAU7AJ7gIP7gKegIkg/XFSEf4C -UTD8rBEO4AEsMP2GAimABD5g/IYDKAkATTD5hgEioAI74PmMECAAEBAw+DwQIBoAPSBtqQUACIYA -SWEktjnRD/osAAIAAFjw/EwAAgAAaXBYCVPSoNEPAPosAAAwAlpw/AoBIgAAaTBYDIhj/9GGJ4Jp -82kUL8AQKDD6YRUgQAJhsPxJEQQAQC8w+TMMACACELDyZgksACAusPgzMgCAAmtw82UUKgBPaJBo -mzeimiqs8PaMECoAG9dQ+EEcYgAAQbAuTP9t6QUAAoYASGGKwaqa88kEIeACUrB9oW76xgEiAAAS -sGQwSCS2OdEPAt0MDUoU0w9tqQUCAoYARmMKTwz4XEAuACBqMPrsECAiAD/gsP7TD23pBQQIhgBK -ZS/JBA2SDKJSIiww8sYBL7sAt+DAIPLFBCCAAkFwmMCYwSS2OdEPCiIMkmlj/10iXECSwWP/kAAA -AABsEAgrEhCSFfdythIAAEnw9hIFIgAAEbCbF5kU93GcFgAgObBgAAUAZFBvzSwqYoAKPAH8SfFx -/gIpcI0UZNBN+tYAIAAQEDDRDwCPF2TwQgjqMBlw4SmSMcClCio3CpkoqYiYFgwCAIoXKXKC+HDa -GABAVnAIqY4IqAqIhAuAAAzqMIsWDLsMa7HZY/+ewCDRDwDaIFlY+2P/kd4w/RIFIgAAeTD6FgAg -CBBYMPxyjRABEFAwWWAQxyvRD2wQBAXqMBZwxShiMcCVCSk3CYgoFHFv8AAVZAAgRXAGqAqIhAuA -AAnqMAlZDGqREwwCACpCggo6AQiqjmUv32mm3NEP0Q8AAAAAAABsEAQTcX32cU4QEAIosPpxexoA -EBTQK2J9lbGaIylifZkiKGJ/9WZ9IAICQjAoZn/RDy9igC5tApXxniMtYoCdIixigvVmgCACAmMw -LGaC0Q8AAABsEAQTcWj2ckYQcAIosPpyRRoAERTQK2J9lbGaLylifZkuKGJ/9WZ9IAICQjAoZn/R -DwAAL2KALm0ClfGeLy1igJ0uLGKC9WaAIAICYzAsZoLRDwBsEAgack0YcIgpooYron0qoowogMEJ -uxH6oCQoACBecPoWBSAeAH4wKp0BKqyAmhRgAAcrnQMrvICbFIwV/wIAAACmpyD0zAAAABAYMPZy -OxAAEBAw8AC6YAAQODAsUAcnVRvzVDkg/BBoMP3MAQ//EFgw+1QWLAkAYLD8VAciAABRcFksmYpX -iq4srQT7rQQv/xBIMPmm+iBAEGgwLaa2Kab7La0DKdULKdUKJ6a+KdUr+dUqIegCWvArpv4rpv35 -ppIhQAJjMCym6Cym6SemzhxyG41QL1ANLlAMkhKdEZMQ+GCAIDAQWDD4FgMgBRBQMFlflStggAAw -BAsLG3+/dowV8iwBIAICGPDzwX9wAgIhMI8UHnIIKfANKOJzL/AMLuKG+DUICAAgQTD5iBEFkAQ9 -YP5VCA4AIEOwLlYQ/1QMJdwQUDAqVRopVA0pYIAAIAQJDRv/AgAD/4H/UG0ID7EiACAECQ8b/wIA -A/94f9Bj/+kAAAAA+goFIDAQWDD8cfESAABo8Flfb2P/ctEPbBAEiCcbcDX3+sAgBBBQMPWJFCIA -ADCw8oIJIEACIjD5gRUkAEA5MPRMQCCAAilw9YUUIYACELDyhgkqAAQgkKKSkokAC4v9cD8SAAAo -sNMPbaoCAEVhnSCMYPjMEQAEEGgw8yYCLAkAazCcIdEPAGwQBBlxEyggBB9xz/0iDiAAECAw/iIL -JgB4AiD88ukgVhAoMPoiDCAAEBgw++wABgDYz1AJ2BH0oHNsACBDMJ6riytksAIqtgyKJ5Mr8yYM -ICACUrBYBOuNJ/b6wCBAAmNwBswB89UUIIACYzCc2ZzYKyAF/nG2EE8QaDDzJBUmAOAu0P0kBSC6 -ADUgG3DwJSAHK7F+9OK7IgAAULD7KxQEIAEsMFiOMWShrNEPAI3Jctlp/sYJIgAAW7AuwAX4CkIg -BgA24JO8/wIAD/++w5CJyWWfc4rLZa9u+8IAID8QQDAoxAUu8tL68uwgARBoMP67DAAAEGAwWAJd -GnGVia6wmZmuY/9BACvyEoog/wIAC/+F2pDz/wNgARAgMI3L+MIKIBoIE3Cey4srY/+MAAD/AgAO -AESSEJ7K8/99YgAAW7Ap4kIq4r0rIQn5nP8gABBgMPnmQiABEGgwWAJFiycqvCD+IgIqAEAysPO1 -FCCAAlKwmrn6tgggKQA3oPxxdRAFEFAw/SIAIDIQWDBZXu6KIlld6sfP+6wAAgAAULBYCYojJAUt -IQn+IgAgBRBQMPxxahAyEFgwWV7j0Q8tIAX/AgAP/3urUC0hNv4hNyAFEFAw/HFiEDIQWDBZXtvR -DwCILQmIEfWuVWwAIEMwicv/AgAOAHASUJ7LiyvIsJO8KsAFw7D/AgAP/yBakI3LZd42jsxl7jGI -zWWOLPvCACArEEAwKMQFLvLT+vLtIAEQaDD+uwwAABBgMFgCDWP+CC0hNv4hNyAFEFAw/HFFEDIQ -WDBZXr3RD40nGXFCmUAs0RX4IgAgARA4MPvSCCBAAnNw+dILLgBAN7D/zAgJgAQ6IPzMQCgJADow -+EYBINQEWnAq2RQqrBD61RQgIAJS8HrDXMhJAASGAEthitgqrBD/AgAGAEbmkJrgiyJlvev7XBgi -AABQsPwKASBAEGgwWAqt0Q+NzXLZCZ7N8/8dYgAAW7CIzP8CAA//iZIQnszz/wliAABbsACT22P/ -vAAAAPvMDAAyADUgDE0UuNr6ejYCAABJMG2pBQIJhgBLY6xJ+vxAICQAP2ANewzTD225BQQJhgBK -ZQz9DC3cUJ3gY/94KPxAmOBj/3AAAAAAAAAAbBAE+CIAL8AQSDD0MEloAEBMsNMPbQgwc4E8KjAA -/DAHIGwEIrAqIQX5qwgNwAQ7IPu8QCIAIGTw+zsPcgAAOPDzfAAAEwA14GP/xgo3DGP/7gAAAAAA -APOODAAAEGgwDtM40jDRDwBsEAQrIAf2bycQAxBoMAslQApVEPchCCQJADVwlTCIIBpvIv5vGBAg -EHgw/zYDLYAEOiD+NgIsCQBrMJwx+SIJKiABXDD2IBQrAAQ+4PsiCyYJAF3w+zYJIAAQKDD5Nggo -CQBSMPg2BiYJAFXw9zYEJoAEOaD1NgUmCQAxMJY39SQUIGACEPDRDwAAAGwQBCQgIhhvAPMiCyAB -ECgw9EAfYf4CMTAogn8AgQQAZhoAVRr1XP8iACA08AUzApMq0Q+JKZkq0Q8AAGwQBPVu8hABECAw -JVJ/hiuDKQBRBABHGvd8/y//EEAw9jIMBhEARfDwUAQGAEA5sAYzDPMDGQIFABEwoyLRDwBsEAYo -IAUuIAfTUP4KQQgAXJogiymPK/8CAA4AVtvQjCcswRTBkP8CAAoAT2ZQHW7GDKwRrcwtwjrAYfUK -ACIATMdgGW7CKMI5CakKKZKX+Y0BDgBCzhAXbtEOJkAKZhD+bscWCQA5sJbQhiD3IQggIBBIMJnT -+GgRAAMQSDD+1gIoCQBKMJjR+G7GHwAEOqD+IBQmCQB18JXVn9n71ggugAQ7oPh3AgYJAEGw9tYG -IAEQMDD31gQuCQAzsC7WByUkFC4SASnGOQMIR/8CAAIASJogwCDRDwCJImSQqgMKR2micoknK5kU -LZwg+xYAIJEANuCKmRRwbPSgom/AEDgw8AAHYCoQGDBkoJKL0PSgN24AQDtwerEvLKAA0w/5oAcg -TgQbMC/RBf74CAnABD5g+IxAKgAgSrD4qx5yAABisPrMAA/RALcgCrwMDFo4ZKBMjqJ06bHAINEP -D6wMY//fiif7TAAAABBgMPqsICIAAGkwWAGF0qDRDwAAAAAAAADz/3FgABBQMPusGCABEGAw/QoD -IgAAULBYCcpj/z6LEIrRK7wQ+9UEKgBAP3D6rPAggAJa8PrWASoAFVqQLNEF/m6VGgAgUzCa0Z6g -jSAI3RH0pgIsCQA3cP2mASAAEBAw0Q8eboyeoI0gCN0R9KYCLAkAN3D9pgEgABAQMNEPAGwQBigg -BSwgB8GT/AxBDgCRyhAtIgL6CgAhLwC3YBNuSgzHEQN3CC5yOv8CAAYA4UOgGG5GJnI5CMgKKIKX -CGYB+2wAAbMANaApMkr/AgAGAMBCYB1wFiwySS3S3/3GAQ4At+8Q/AoBIAAQaDD4IgkgGhBwMPoi -AC4AEHgw+CYMIAAQSDD5FgEpAAFAMP8WAClwBDog+BYCIAAQeDBYBFL4cAMSAABasPoiACAAEEgw -mRAogHwsCgH/bs0QABBoMPkWAigQBDog+BYBIB4QcDBYBEXAlvYhCSIAAFmw+iwAAAEQaDD/Cv8g -ABBwMPl2OSIAAGGwWKeKLSAV/wpAIAAQcDD+JBQtgAQ5oP6kACxABD9g/QoBLAkAazD/pAMsCQBr -MJyhwLb7NkkgFBBQMCokBQUOR2jiDC8gOsCP/wIABgBpR9DAINEPBQlHaZL1iScnmRT0cHBgQAJy -cIuZFW/U9LDHb8AQMDD27wEAKhAgMIzgy7F7wS8tsADTD/mwByBOBCNwI+EF/zgICcAEPmD4jEAq -ACBO8Pi7JXIAAGrw+9wAD9EAt2ALzQwNqzhksHqPsnXxjvW/t24AQDewYABqA70MY//YAPP/kmAA -EFgw+iwAABwQWDD8CgEgBhBoMFgJOPP/YmAAEFAwAAAAAADz/k1iAAAysPvMGCIAAFCw/QoGIAEQ -YDBYCS7z/zpgABBQMIon+0wAAAAQYDD6rCAiAABpMFgA2NKg0Q+K4St8EPvlBCoAQDew+7xAIeAC -UrD65gEqABZakCzhBarKmuEebfGeoI0g+N0RAAEQcDD1pgIsCQB3cP2mASAAEBAw0Q8ebeieoI0g -+N0RAAEQcDD1pgIsCQB3cP2mASAAEBAw0Q8AAGwQBCggIiUgBxdtugOIDPgkIiQgASwwB1UJJFHe -o0QkVd4jICKJK/xyeyABEFAw9DAcYf4CWPAAwQQAuxoAqhr6rP8oACBecAqZApkq0Q+NKZ0q0Q8A -AABsEAQoIAb4jP8iAABQsPgkBiABEFgwW4j0wCDRDwAAAAAAAABsEAQUbyUBIhGiMqQigiDRDwAA -AGwQBhhvUgEpEakz8AAJYgAgQPAAymzNeioigApLAftZ8nH+AjGwiRzyCgAgFAA2YGAACADacFlV -rmP/25qQ0Q/AINEP3TD+TAACAAB5cPoWACAIEFgw/G8+EAEQUDBZXMHHK9EPAAAAbBAEGG84AScR -pzeodyZygMePCEgDCGYBBlYCJnaADAIA0Q8AbBAEKSEEhyDzWBQJwAQ+YPl3CAngBDogCHcMJ3z8 -9nIAKIABHDDwkQQAARBAMACIGvCQBAoAQDYw+wMZD/8QUDDwkQQIEQBSMPBIGgYAQEGwCGYC9nYA -IBkANWAlIQLNQiohA7FbCwtP+yUCICgEWrDSMNEPsFz8JQIiAAAQ8NEPwND9JQUiAAAQ8NEPAAAA -bBAEA1QUJSEEgiAMVRH1IggF4AQ5IAQiDCIt//IiPySAARgw8EEEAAEQKDAAVRrwQAQCAEAosAIC -GdEPAAAAAGwQBCchBIgg0w/2fP8p5wEcMPklBSXABD2g9YUIDcAEOmAMXAwADIsqIQP9CgEqwAEc -MA07YAoKRv4+E2AAEGAwDJsRC1sMAguLDTxibz4T+WkJf/8QWDDIoXOhIwmSEaIy0Q8nIQSxmQkJ -T/klBSCgBDpwsHYMZRHz/8RkACAuMAmfEa8//1QUD8AEOeD+RBEOACByMPTuDA6AAXww8PEEAfgC -c7CP4ADYGguEAwT/AfkhBSgJAHowmOCIIGP/oywlBfP/rWAAEEgwAGwQBIgzCIhXb4QDiSLOloon -9TIEIgAAWTDzMgUiAABpMPqsICAAEGAwWAAE+iwAAgAAWPALUADAINEPAGwQBPgsAAvABDlg/YIB -IgAAEPD7iQQiAAAZMPJUDAXABDyg/YEFIgAgK3D6uwwPwBBgMPWqDAwAQGIw+LsyCAAgZ3DyhgEg -gAJKcPuFBCoAIciQyqQKJQh1kz/JQW1JBQAChgBDYYWB+4kEJAAgVXB5UWX1hgEiAAARcMix0Q8A -JsxAloH2hgAgABAQMCKFBNEPDSIMkoFj/7gAApcMB0sU+0QMAgAAKPBtuQUAAoYARWHyzEAiACA8 -8G1JBQIChgBDYy2JBAeiDKLCIixA8oYBL6sAt2Bj/6YizECSgWP/mQAAAGwQBvNukhAAEDgw9G6P -EAQQMDDyFgAgARBIMPAhBAWwBDyg8J0aAAAQEDD9FgEkACApMPAAGmAAECgwAACXGrFV9mz/IEAC -ITD0YNtgBAIY8CgxripCUvBRBAXCATog/m0pH9wANqAnQk4u4n+IcY1wL33/L/I+LYYALHIALUJQ -KMYB/H3/If4CWrDydgArkAQ74PJ2ASoAIFOw+0ZSIf4Ca3D9RlAh+AJjMPLFgSAdADbgKxIB8FEE -AAEQODAAdxpbh+nz/3dgARBIMC5NAS7sgCzgxi3M6A0NR/LkxyYwALtgGG5b+NgKD/8QeDAvhqsv -hrMs4MbbIAvkFgECAB5tLC3iggDBBAAvGgDBBPCYGg//EEgwCYgDCN0BD90CLeaCC+QWY/+KAuQW -AQIAG20gKrKCjRHH7/4SACwRAHdwwPHw4QQGBQA/8PB8GgoAQGqwDKoCKraCAuQW0Q8AAABsEAoq -IAeIIv5uOxIAAEiw+gpBAAQQeDD6qwoAtQC2IPNuNhvgBD7g/GxdHgAgcvAt4eIn4eP2bjEQABAg -MP/dNgwAIGLw/HsLAXoAN2CeFJoTmRLyvRUgARB4MPIs5CgAIB7wJSKv+VFqcAAQQDCJUY5QnpCK -UJmh+FYAL4AQcDD4VgEuAEBxcCnhOSjhOCrgB/oKQQCkBEowD3oDDKoLpqgpgm71lgAgAgIhMPlW -ASoAIBqw+lYAKAAgHvD1hm4hRghpMMCQixT3teMgAICuYMAg0Q8PdwMMewsivRUiLOQlIq9j/4cA -khoYbDsSbCObEPiAgCnABD6g+2whGAAgFnCZFvmSOiBuAkIwCEgU8hIKIAYCQjD7qwoKACzGUIkW -K7KXKZI5C5kB+5wAAMcANmAo4HWZFfwWCSBBADYgnhH9FggiAABacPziHCIAAFOwW4ZajBmNGIsV -/hIBIAEQeDD8qBECAABKsPAAEGoAIEbwAADz/7JgABBIMMCQKuB0mRedGPwWCSAkADagnhEcbNb9 -4hwiAABTsFuGIowZiReNGI4R+akIAAEQeDCLEIoWGGxXKaY5KOYcY/7cAAAAAAAAAPfl4yAAEBAw -0Q8dbAIt0ICLE/oSAiBuAmtw/U0UAAEQYDD93AIgMAJa8FgHQsAg0Q+OEC8ir/X2AS4AIBuwnlGf -UCUmr/P+um/0EEgwAAAAbBAEG2yoGWymACEEJ7CA9pCAIAEQYDAAyBr6bKMY4AFAMPRGOQQAQD4w -9cU5AgAAIfD2lIAgYAQZcMfv+ioKDhEAcjDwPRoGAEB18P85EAYJAG3w+aaXJuABPDD3tIAgCAA1 -IMl40Q/ybJEf+gA14C8i0sc+A/8BD88CLybS0Q8YbIslgtLHnglVASWG0tEPbBAEE22SEm2V0w8o -Mh/8bZQQABBIMPogACH+AlowbYkbCq0KDN0KLdGu9dASYAICSnCxqgq6AQoKRyokANEPABxsHCzC -di4y3/rKCAAAEFgw+aoRAAAQaDD66ggAABBgMFv/Pi0gAC4yH/7s/yACAmtwDt0BLSQA0Q8AAGwQ -BBVtaIJZ9m14GP8QQDD0IKBu/xBIMPRtdRAAXYSg+CIqYAYQODD/AgACAGYEoP8CAAQAeICgaCVS -aCYlglqwIvJWCi//EBAw0Q8AACliqiNCgAkpVymcpvlGfyD0ADTgl1kqCmQrYqosSgD3UgogABBo -MP1WCSoJAGbwK2aq96IMCABhVdCifp5a0Q8vYqoSbVjzbVcfEQF8MAL/HBJsfPdWCS4AIB/wAv8s -L0aAY/+wACliqioaAPMKASABEBAw8lYJKABARnDzVgooCQBWcClmqtEPLGKqi1rAovpWCSwAQEsw -/GaqIAICWvD7VgogARAQMNEPLmKq8lIKIwAQeDD47gEABBBoMP1WCS4JAHuw/maqIAICELDyVgog -ARAQMNEPI2KqglrA9f9WCSIAQEzw82aqIAICELDyVgogARAQMNEPsXj4VgogARAQMNEPwJOZWS5i -qvJSCiMAEHgw+O4BAAQQaDD9VgkuCQB7sP5mqiACAhCw8lYKIAEQEDDRDwBsEAT0az0QARAYMCRA -wfQEQAAAEBAwBDI40Q8AbBAEFGv8FW0UF2v8I0CAHmv8+2v5H/4QeDD9+v8gDAA04LAyIkSA0Q8A -wMH0CgAgBBBAMNMPbYpdKlHgKVHcKLCA8EEEAAEQMDD6kwZwARAYMMBgAMkaCQlHCJIBAjI59iEp -cgAAUjANkgPwYhoIAEASMPKIAgLwBDmg8naXKOABQDD4tIAgFQA2oMmIsUT3fAQgBAIpcNEPAAAA -8woBL+0ANiBgAAHAMCji0g+IAQg4Aijm0mP/1GwQBIUjiCAWa0D9awYUIAEsMPSAY2uwBDlgpqYp -Yn8nYn4cav/ymStwABBYMHJ5KytmfytmfoQhiCCYQIUgI2J9lFGbIPsmASH+AhjwI2Z90Q8AAP8C -AAYAQBXQcpEciiGYoIwgKWJ9msGbIfsmACH+AkpwKWZ90Q/RDwCs3q6ufoEw+GZ/IDUAtWDOjS9i -fWryKCNieyNmf4UhiSCZUIgglYGbIPsmASH+AiPwJGZ90Q8AAPtmfy/VADVghSGJIJlQiCAkYn2V -gZsg+yYBIf4CITAkZn3RD44hrN+vrw/vDA++OC5mfmP/cQAAbBAEIyEEFWrK83RGAgBALPAEMwIj -JQTRDwAAAGwQBIgjGmtHKy3+K7J8KqJ/+bsRD/wQSDD7qggIAEBKMAuAANKg0Q9sEASJMBZrPYdA -ipEmYn+MkJygi5CIMvq2ASAAEFgwm5H7lgAh/gJCMJgyhSf1XP8nkAQ94PUmByIAID2w+0UDIAgA -NWDSMNEPJCAaDwIADwIAJkzo+yQbJuABMDD/ZhNv/xBIMBhseAhoCimGqymGsyQgGvI8AAIAAFLw -CuQWAQIAHWtJLNKCAEEEAL4a8EEEAAEQeDAA/xoJ/wMPzAEOzAIs1oIK5BbRDwAAbBAEFmwhASUR -pTWmVZRQDAIA0Q9sEAgYbE6SFPYSBCIAABGw8AAIZgAgQbDKXM0pKmKACjkB+Unycf4CKXDyCgAg -FgA14GAACgAA2iBZUqlj/9wAmnDRD8Ag0Q/eMP0SBCIAAHkw+hYAIAgQWDD8bDkQARBQMFlZvMcr -0Q8AAABsEAQaax4WbFDz+v4gABA4MCRirgACACmi0AOZASmm0BhrMyeGXFlVSFlb8xVsSB1qwAgs -EAxMAg3MAixmrhtsPSe2hCpSIhRqa/ZsJBAAEBAw92rLEEYANqATbD0rcnsqUtyrKwm7EauqLjKA -LWCAACAE/Q0bDgBAI7D+NoAgHAB/cCyiH8jDwLFZHn0tUiKxIvM9QCv/4+yQxz4fbC4u8oID7gEu -9oJYhfzRDwAAAGwQBMBABOQWAQIAFmrwJWKCACEEADca8CEEAAEQQDDwiBoP/xBIMAmIAwhVAQdV -AiVmggTkFtEPbBAEKyAHFmo7HGpC+wpBCgIBXDD4atQaoAQ+4PkhCCoJAGbwmzCHIPQ2CCsABDqg -9TYJKAkAVnD2NgIoCQBGcPg2BiAgEBAw8jYDIAMQQDD5NgQgABBIMPk2CieABD3g+TYLJgkARfD3 -NgEgYAIQ8NEPAABsEAQsIAf7IgAiAABQ8P0KASAEEHAw/CxAADAQeDBZV1sdaiMYaokea/XwDQcC -AABKsABJYQBJYQBJYSkgBy8hCAkJQfCZEQAAEFgw8qwwLgkAT/D+pgIuCQBH8P+mACAgAkqwAgSG -AEljAASGAElhK6QW0Q8AAABsEAQoIAcaaggIKEAKiRAKmQKZMIcg9mn4F4AEPeAHVwKXMfAGFwAQ -AjjwAAeK+yEIKcAEPWD6a1odAAQ6IP1p8hBgAhDw/TYEKgkAZvD8nOgqCQBW8Ps2BiGgAkpw/DYF -IAAQcDCeNwAEjZo4mTkCE4/RD2wQBJc2HmoZ+WnhEAMQQDD6aeEQGBBYMPs2Ay+ABDyg+jYCLbAE -PWD5NgAsEAQ5IP1ruRwJAGsw+BIKLgkAR/CYOZ8x/xIJLAkAYbD/NgguCQBwsP1pzBwJAGswnjSc -NY4YnjfwDRcAUAIQ8AACiiI8MNEPbBAEGGtrJYJ/wJD2gn0gPwA1YIphjGCcoItgmrGZYJlhJ4J/ -sHcnhn+OIZbgnmGSYPYmASGQAjGw8jwAAgAAGbBtSQUAAoYAQ2HSYNEPJoKAhWGKYJpQh2CVcZlh -mWAvgoKw/y+GgmP/vgAAbBAEBQZHaWJIGGtOhScqgn/1XDAgABBIMPKCfSA7ADaghyGLIJtwiiCX -oZkgmSEmgn+wZiaGf41RktAtJgElJgDyVgEhkAJgsG1JBQADhgBMYcAg0Q8AACKCgI8hhyCX8IYg -n2GZIZkgLoKCsO4uhoJj/8JsEASIIPohBS/AEGAw8iIDIgAAOLD8SxEMAEBh8Pi5CAoAIGKw+qxA -IG4EQLAteQSr3f11BCoAGM6QyTbJRPI8AAIAABowbUkFAAKGAENhiXALmQj6kVlyAAASMJlw0Q/A -oJpz0Q8ACKkM+boMADQANOAJSxT9vAgiAAAQ8P1NNgIAADIwbdkFAAKGAEZhCTII+04MAIACGzBt -6QUCAoYAQ2Oqzy/8QP92ACIAABIw0Q8izEDydgAiAAASMNEPAABsEAySG5QXlRmWGCkwA4gnKzAC -9xYMIgAAcPD4jCAiAAA5cPgWDSIByQLgLAoQ/BYEIB4CUnD6ShQAAhAQMIYd9RIMKcAEPKDzYQUg -AgJisPj6wC3ABD8g+GYBDAAgb7D2FgomACAw8PZsQCQAIE1w+twACgI4M1D/zRECAAB6sPy8AA4B -XOrQiKGJoPlWACgDAEHw+FYBIBACSrDxCRYAEAIZcAADio2hiqMIdwyD8vjdDAoAIFIw/fYBKgAD -QpCxM5rzk/L1FgAgARBIMP2dOQAAEEAw/Zg4AAQCSzD0gsJqBQBGcIUQ9HK4YCACGXCEF/9EEQAC -AlLw/wIACgFTIpAYaSvA0PgWBSAgAkIw+BYGIAAQeDBtCBcAATAAADEgDBAgDQEAATEAAgAAAjAg -DAGMHYkaLMEFqcn+tgsAgAJKcPpsAAoAf0mQjKDz1goAbgA3IPPcCwgDAGHw+GYAIBACMrD1BhYA -EAJjMACMiomghqMIdwz8ogIgAgJ78PiZDAYAIDIw+aYAKgADQZCxzJaj/KYCIP4ANeBk0K3zPBgg -ABBoMPgSBiAAVXDQ8AgXAgAASPAACYoACYoACYqMoWTAbQPWCvPcCwgDAGXwmWD5dwwAIAIysPkG -FgAQAmMwAQyKiKGGpYyk+YgMAAICe/D4pgEmACAycPamBSoAA0mQscz8pgQgjQA14GTQXPgSBiAw -Ahjw/RIFILIAcPDwCBcCAABI8AAJigAJigAJisDQiaBkkE30cFpgAgJS8HSrUmP+0QxqDGP+/wDz -/29gARBoMIwV8AwHAgAASPAASWEASWFgACcAAAAAAPP/wmABEGgw8A0HAgAASPAASWEASWFgAAyJ -obO4CYs4Y/+nY/8sY/+aK+QC//MJAA8QQDAIMwoDQxT//AEiACAYsP9VASACAhCwgxskMQcYaK/7 -EgwlQAEgMP5oqxXABDkg/WisFAkAQTCUsIMwGWqK/BIELAUAf7D8tgMrgAQ44P22AioJAFCwmrEp -kn//AgACANl+UIgYZoGojRsean/90RosJgFAMPlonRwAIHMwLMCA+LYHLIAEP2CdtvsSCSIAAFLw -CTkCmaQMuwybpdEPhBebEvMWASAAEGgw/0QRAAICUvD1FgAqACuikPsWAiIAABqw/xYDIgAAKvBt -CCr+vAsCAAB68PbLInIAAFMwjKBlwJqMobHd9cDQYAYCGPCz+/Q7GXIAACrwY//OihEKygxj/9kA -APP+7WAAEHgwixIN3Anz/TFqACBm8L+a+koUASsANmCDHPLsECBAAhjwbakFAAKGAENhhxkLqwnz -CgEgBAISsPl3DAAgAkJw+BYEIBUANeAsEgcPzBH8vAwAABBIMAyTOf8KASAAEGgwA/04Zdwr8/6g -YAAQeDAAAPwSACgDAGHw+MYBIBACarD7DRYAEAJjMAFMiomgjKMIdwyDoviZDAwAIGIw+aYAKgAD -QxCxM5yjk6JgADaDEAx9Nv02ASAgAkKw/QgWABACGPABg4qPoYylDXcMg6T9/wwMACBjcP+mASoA -A2sQsTOcpZOkiRMcaS2JkPwSACgAQGZwmcCIoGWMP42hs1wNyzhj/DUbahaKGI8ciBn0aDMYJgFU -MPr2BygAIF5w+ZCAIAAQcDD+9gYkCQAg8JT0CYgMmPXRDwAAA9oMY/uNwcCcFPP7TGACEBAwAABs -EASEKYMsBDMMAwNIIyUfIyUh0Q8AAABsEASJJ4qc+JkUIAAQEDD1nCAgYAIicPSrDAGQAlKw9ICh -YgUAWrCKmfYKDSBXADagJwo8zTYroAB3sUdotSx2uQssoBBowTt2uQJowjUtoAfAwPpcAAIAAFtw -W/sIK1kEilHJtWWvy2AAGAAsoBBpyMxgAA4AAAAAAAAA8//lYAAQUDDzCi0gHAA0oC0gAHPRGY4u -wCD+TwwBkAJzsA/iOWUv58Ag0Q8AAAAA+ywAAgAAUTBb7iPyrAAP0QC2oGP/4QAA8/9hYAAQUDBs -EAQFBkdpYlqFJ4hY+VEVL8AQYDD3UgsgQAJRcP1ZFCwAQGKw/JkIC8AEPSD5nEAgbgRB8Kvd/VUU -JAAgXjB1ky3JMslAbUkFAAOGAEhhJaIADwIAC1UI+VFYcAAQEDCVoNEPwCDRD8AgklvRDwAA+JUM -AC4ANOAFRxS4dvZGNgIAABDwbWkFAAKGAEhhBTII90gMAIACGzBtiQUCAoYAQ2MFuQypySmcQPmm -ACAAEBAw0Q8rzED7pgAgABAQMNEPAAAAbBAELiAHH2e+Di5ACu4Q/We0HgkAe7CeMPgiACIAAFEw -9Ge7EAQQWDD9NgIgMBBgMPw2AymABDog+2gbGAkAWjD4NgEiAABI8PAEBwAgAhjwAENhAENhAENh -LSAH+CEILQAEOeDynEAgAhAgMPVENgwgAWww9ZwgLQAEP2D7zAIICQBqMPyWBigJAFow+JYEIgAA -GXBtSQUACoYAQ2EGDgYuVAbRDwAAbBAE+iAFIAsQKDD2aS4QCBBYMPcKASASEBgw+jMycAAQIDBz -oWvzCgkqAF4GoHWhcP8CAAgAQoag/wIABgBrnpDAifijBn/1EBAw0Q/SQNEP2iBYoRL6LAAAABBY -MFv/Whpn3osgIyQFKqJoKWKXq6oJqhGqmYuX/PrAIEACUvAMqgH0tRQggAJSsJq5mrgklAWLIvNn -XBCRADbgKiAFY/+ejCJlz5kCKgJbovRlr+vA2v0kBSAKEFAwjiJl74HaIFuizGWv1CggBo8i8yQF -IAICQjD4JAYuCQA/8J8i8/9fYAkQUDCJImWfVdogW6MLZa+oKyAGiiLAzPwkBSACAlrw+yQGKgkA -OrCaIvP/MWAMEFAwjSJl3ycrJAXz/yFiAABS8AAAAAAALjJK+GJnKgA2L5AvMkn4+wEOADDH0Nog -/CEJIAAQaDD/Cv8gABBwMFigovwhIyAAEGgw/goBIgAAWrD/CgIiAABQsFigm4kiKiAG9TZJIBEQ -WDD7JAUoCQA+cPkmAiACAlKwKiQG8/6uYBEQUDAAAAAAAPosAAAcEFgw/AoBIAsQaDBYAnRj/ukA -AGwQBPIgcCIAACCw9CAJaAkAEPAoRHDRD/pMAAAAEFgwW/78KkBwDDkRCTkCCpkCKURw0Q9sEAaG -J/cgByjgASgwmBCGbvcHQQIAQRogGGjw/wIACgCYGhD/AgACAKuBIP8CAAAAdh0giScPAgAPAgAq -mRTIqSsgBvqSCSAAuAbg+iwAAAQQWDBb/91loM+MMyshCQwMQ/S7EQ2wBDsg/AoQKgkAZvAMuwKb -YGAAEAAAAC48EPAOFgIAAGmwAE1hLyAFbvhsKCByfo9m2iBb/19loJQpIAX7IgIosAE6YCogcn6v -TfWwgGvABDngG2bZ/WbZGgAgWrAsojoNfQr90pckAKfDICyiOZoR/csBDgChbxD8bAAAAhBoMP4K -ACIAABqw/woCIgAAULBb/x7A5C42OY8QaPJIiif7TAAAABBgMPqsICIAAGkwW/nR0qDRD/osAAAC -EFgwW/+pZK9SwCDRDwAAAAAAAPosAAIAAFjw/EwAAgAAaXBb/tnSoNEPAMAg0Q/aMFlU/fusAAP/ -ZcKg/wIAAgBIAqD/AgAF/12WoP8CAAP/Wcag2iBZVN3AINEPAIsxC8tTaLF8jDL8jFcANxBoMP8C -AA//S+sQ+iwAAAgQWDBb/4plr4IuPBDyDhYCAABpsABNY2P+0mSukI+g/49XACoQQDD/AgAP/0HD -0BlnR4ii/wIAD/87ShCMIv68/y/+EGgw/iQGLABAazCcImP+Wooz/wIAAf8W7pDaIFlUy8Ag0Q/6 -LAACAABY8PxMAAIAAGlwWVSB0qDRDwD6LAAAMAJZ8PwKASAEEGgwWAHaY/8BAABsEAgbaGqbEPgi -ACAAEEgwmRL5FgMiAABQsPMWBCACEGgw9BYFIAIQYDD1FgYpgAQ6IPYWBygJAGIw+BYBIgAAWHBb -/2LRDwBsEATAINEPAGwQBpMQ/QoBIIACWfD6IDsiAABhcPYiByIAAEmw/BYCL8AQQDD5FgEqYAFQ -MPVsICvABDqg+joIBABARXDwACdgUAJSsCggO7GIKCQ7LGEV/dwBLgAgLzD+rBAggAJ78PrsAAoA -dnuQ/6IBIgAASrDzCRYCAABi8ABMivEJFg4DAH0wAAyKg6KOo5+xiKH/RAwOACBz8P6mAyAgAlrw -/4gMAgAAYPD4pgEqAAN7kLE8/KYCIf/IjSAcZn0rISIuIQkvISSIEBloJiogB4iAIyEH9BIBKgIB -UDD5Zs4YAEBKMPMDSgqgBDqg9AVDCAkAUjD5ZjIYCQBKMPh2ACSABD1g+iIALgkAL/D/dgQqCQBm -8PR2BiLABDjg+3YCLgkAE7D+dgMgCAITcPsSAiuABDqg+3YFKgkAULCacQAJjfloCRBgAinwAAWK -CdkCmX7RDwzqDGP/EQAAbBAE/WYXEBEQQDD7PBEKAASaEMGVc5toiyeJIo+zKLEDirKa8PqyAigO -AUAw+IgJDAAgbzANiAr4jRQv/xBwMP+mASAAEGgw/bYDKhEAcTD9tgIoAEBWcJkiL4KyGWfssP// -hrIsACBLMCrCULCq+sZQIAEQeDD9tQMgFQA2oNEP2zD8IgIiAABQsFlRhtEPKs0BKaA+K5zoCwtH -/7YTYgAAE7AcZ8QMvAouxqsuxrMpoD7e0A7kFgECABpmliiiggCRBADbGgCRBAD8GgLMAwyIAQuI -Aiimgg7kFtEPAABsEAQsIAcdZdYMC0EMuhH/ZdUaACBqsCiiOg+/Cv/ylyQAUsIgLqI5DClACpkQ -/+0BDgBKf5AoIQcfZkj+Zd4ZQAFAMPQxECnABDog+YgCCQAEPuD7ZdAYCQByMJjQ+SIAJAkASTD/ -1gYgABBwMJ7V/tYHJAkAeTCU1PvWAiAEECAw+JkRADAQWDD71gMoCQAmcPnWASAgAkjw8gkWAEAC -W3AAS2MACYYAS2GJJ8Cz/AoAIAMQaDD0pjkgQAJScFv4uNKg0Q+KIsikwCDRDwAA+7wYIgAAULD8 -CgAgBBBoMFgA/sAg0Q8AbBAEJSkEhyH4IQUvwBBIMPxKEQgAQEyw+ncMBAAgVXD1JQQggAJacPcm -ASoABFnQqHeXIfelCAIAIEJw9DAaYIACELB1IxjyPAACAAAZ8NMPbUkFAAKGAENh0nDRDwAABykM -CUgU+owIIgAAMfD6SjYCAAAQ8G2pBQAChgBGYfhMDAIAIBpw0w9tyQUCAoYAS2PScNEPAABsEASL -Jx1lqfayCS/AEFAw+bkUIEACQvD+Z2cYAEBSMPiMQCGgAjGw9rYJIGACSnD5tRQqAAbBkCmxFQaW -CCa2CZ1gjCD7CgIgIAJJsP8KAiADEGgw/mYCLYAEOyD4XhEMCQBrMPxmAS4JAHuwbboFAASGAElh -/mYFIJ4ANOCJOMBQ92XXH5YQaDD4MgkgEAA2YJU7mYCLOJixlTiVOYw8JHKL0w/9NAUgYAB/MCsy -Ei5CKguKRPqqDwAAEGAw/qoKAAEQaDD6ogAr8AFcMFv33vU2Ei/AEFAwiDf7MgAgQAJ6MPU2DC4A -QFfw9YUUIIACe/CfiZ+ILnJz+kIVIAAQYDD+uwwAARBoMFv3zyhCFg8CALCIKEYW+iwAAgAAWbD8 -CgMgAxBoMFv/VdEPAAAAbBAE+mU9EyAQaDANLSj/ZTAaACBTcCiirvAxBAABEFgwALsa/mYlGAAo -WhAYZiT8LBEIgAQ84PjMCAgJAE0wmcAooq/8orAuABbG0Ay8AiymsAjqMAM5CfyZEQwAIHtwqcyu -zCnCbyjGbgSZDPnGbyAAF65g0Q8AAzkJ/JkRCAAge3CpiCiNCSiMbC6B4/TuDAAAEEgwCe41LoXj -0Q8AACyisQy7AvumsSIAAFCwW7Ou0Q8AbBAE9mUQEyAQQDAIKCimhiRirvAxBAABEEgwAJcad0gy -FGT2DCIRpCKCICpir/JyAQAAEEAw8pI5CAADUdDRDypisfp6AQABEEgwCpg4CCIB0Q8AHWTyAzwJ -/Y0IDcAEOyCtzCzNCSzMeC3B3ivB3SzB4Q27AXy7A8Ag0Q/SkNEPAAAAbBAEKiAiKSAjHmTr+pkM -AAEQeDD0kFhh4AIjsCwgBwwMQQ7MCSvB3gm9Ng27DCvF3iogIosrCq2H+iQiIEsANqAo4nvwgQQB -/gJisADMGvD4GgoAIGbw/CIJIf4CQjAIuwKbKgy5DHOTKcAg0Q8AACogBWihcosiwMIMuwL7JgIv -9BAQMNEPAIspmyrz/9RiAABi8CsgBw2sDPwkIiogAVwwDrkJKJHerYgold4qICLLqSlCf40r8JEE -Af4CQrAAiBoA/hr+7P8sACBHcA7dAp0q+7wfIgAAULD8CgEgABBoMFgABMck0Q/HJNEPiimaKmP/ -3WwQBPlmlBAREEAw/wIAAAEQMDD/AgAKAEWeEAs6EampLJJQZMCqHGSfiCf0SwkMACBisAy7Ci+9 -FC3ysfu9FyAQAmIw/NYAIYACWvD7hgIvIAQ5IPtk8heABDzg/vKyJgkAdfD9hgMmCQA9cPz2sSYJ -AF3w94UDIAICc7Au9rIuklAtnQEt3ID90L4gAgJzsC6WUIsiANEEAGwaDLsCmyLRD8HV/wIAC/+5 -n1AYZmwIOAoogn/aMPssAAAAEGAwC4AAiSIAMQQAahoKmQKZItEPLJ0B9cQ/IAAQODAnxD0nxDz8 -wD4iAABB8AjkFgECAB1lGi7SggDBBPBsGg//EHgwD88DD+4BDswCLNaCCOQWLzzoDw9H/wIAB/+G -E+AdZjYN/Qon1qsn1rNj/vgAAABsEA4qIhAooAUpCpX/AgAGAuLOEIwiZcX7F2ROKSISGmSW/2WP -EAAQcDD5iUEAARBYMPqdEQAAEEAw+bg4CeAEPmD6mQgOBQBD8PkWBiwJAHdwnRVgAmUAAAAAAPgK -ACoAQFLwCpg4ihgIqgFkpUktVCcNiBT2FhEgABBQMCpUIypUIfhUJiAFEDAwJlQg+IgUAAAQMDAm -VCIoVCUIiBT4VCQgcAJZMPELFgBQAklwAAmKiD4oVDMIiBQoVDIIiBQoVDEIiBQoVDCLPfZUOiAA -EEAwKFQ5K1Q3KlQ7C4sUK1Q2C4sUK1Q1C4sU+1Q0IAAQWDArVDgqIhYmVE4mVEIoVE0oVEEqVD8r -VEwrVED6ihQAABBYMCtUTypUPgqKFCtUQypUPQqKFCpUPCgyFbGJKTYVKFRHCIgUKFRGCIgUKFRF -CIkUKVREKzITJjIUq2YmVEsGhhQmVEoGhhQmVEkGhhQmVEgqMhImEhH7qgwAsAJBcPrZEHAAEFgw -KVAhKgqACpkCKVQhKkIZ9KJGYNACSTArNhf7NhYqAwBTcCpWFQIJiABIii4yEwruCC42EytCGQre -DC1CGgq7DPtGGSKlADbgLkIbDq4I/kYbKgAD05At3AH9RhogABBoMCkhBxpj6P9j5hlAAUww/dgJ -CcAEPmD6ZKgYCQBWcJlQ+RIMKeAEOiD7IgAg3gJCMAhIFP9WAiBAEHAw+pkKC4AEOuD+VgMqCQBS -MJpRGmRkKZKXjhX6ZQwYAEBWcAnuAvpj1R4JAFOw+SEaKgkAVvCbVBtkJQ5qQfplBRoAIFbwK7CA -/lYHKIAEPmD5VgYgAgJ7cPvLDA4JAFfw/1YUIGACWvCbVYopKSIVrKr6rDAoACBmcPomCSBgAkpw -KSYVKGY5KyAWLwr/f7EHKiAHjBpb/n0rMhMqMhL/AgAGATtekCogBwoKQQymEadmLGI6wb76Fgwq -AVHfEBxjoC1iOQyuCi7il/7VAQ4BR/dQLiIXiTctMhKKKS+ZFPwSBiDAAkFw+BYHIAAQIDD4MhMi -IgA34ISZLzIXKSIKLMKACN0M+pkMDAMAd3D//wkMACBrMPT/CwBgAlMw+hYKIgAAc3D//HAqADbW -UCggFiogB/s6ICD/EEgw+BYLJ/6MzhCaGQuqHRtjj4kb+hYEKgAgWrAooq7wkQQAARBYMAC7Gv8C -AAgBB9oQiRkMmRGnmYmQ+bkBAAEQQDD4oq8oBQBOMJkYKqKx+QoBKf5cQtCKGGP8vpwdnx6dHy0W -EPsSCiIAAFCwW/6HLRIQjh+PHvwSDS94ADagwCDRDyoyFg+qCoqgZKCECto2KlYVKzIWD7sLuLv1 -CxYAsAJJcACJiigyEyQyFv9ECggAIFIwKDYTjkAK7gyeQCQyFg9LCouw+t4MACgANuAPTguP443i -r6//5gMqAAPT0C3cAZ3i8/2iYAAQaDAAAAAAAAD5CgAhJAA1ICgyF9MPDwIA+TYWIAICQjD4Nhcg -MAJ78PkKACDsADeg9DIWKgABTDCNFw9KCoqg/bgKCgMAU7CagCQyFg9EC/29CwAQAiEw9wQWABAC -a3AAzYooMhMkMhb/RAoIACBSMCg2E41ACt0MnUAkMhYPSAqIgAruDPWAT2ACAmpw+hIHIDoANSAo -Mhf5CgAgMAJ78Pk2FiACAkIw+DYXIAAQIDD4rBgiAABLcPuKOQoAAUww+hYHL3QAt6BgAE7AkSk2 -FvP/3GABECAwD04LhOOP4qSk9OYDKgADURCx/5/iY/yvY/3giTjKmYs5wKCaO5mwjDibwZo4+jYJ -L5kQQDD4NAUgABAQMNEPAMCQ8/yCYgAAanAt+pn9NAUgABAQMNEPAMCBKDYWY/7vixz6LAAAARBg -MPu8GCAeEGgwW/5SwCDRDxti+YoUCZkJ+6oICcAEPmCqmSmdCSmceCqR3iiR3SmR4QqIAfoKASv9 -W8oQixz6LAAAARBgMPu8EiAAEGgwW/5BwCDRDwAAABxk1o0gLiIYiDCPoP7gdCAwEFgw+BYAIAUQ -UDBZUiiJOGSd1oI5mSCIOPKGASAAEHgwnzifOf82CyAAEBAw0Q/AINEPAAAAbBAEyDHRDwCIJ/aJ -FC/AEDgw84IJIEACKjAHVQH1XEAgIAIxsPaFFCHgAhjw84YJKgAUqNAqgRX7YvMaACAasJqJm6CJ -IPiZEQABEFgw9KYCKAkAXnCZodEPHGLqnDCLIPi7EQABEGAw9DYCKgkAZvCbMdEPAAAAbBAEZCB2 -ZDBzZFBwKCICGmKs9AlHAD4CaPD9TRQAXwC2IAyYEfxipxgAIFIwKoI6DJwK/MKXKgAlbpArgjke -Yrn8ugEOAB5m0PAOBwIAAEKwbdkCAEhhGGKlmKCCIJ1QH2Kl86YDI4AEOKD/pgIiCQATcPKmASAg -AhKw0Q/AINEP2iD8CgAgMAJZMFv97MAg0Q8AAGwQBCogBxtingoqQAqqEP1jBhoJAFqwmjCGIPVi -nRAEEDgw+WKPEDAQQDD4NgMngAQ5oPk2AiYJADmwljHwBQcAIAIw8ABGYQBGYQBGYS4gBywhCA4O -QfDuEQAAEHgw+2RrHAkAczD7NgYsCQBrMPw2BCBAAhDwAgSGAEJjAASGAEJh/zQgIIACEPDRDwAA -AAAAAABsEATAUQMkLAMiLgJSOaQi0Q8AAP//AQP/Av///wAAAAAAAAAABAQIAAAAAAAAAAAAAAAA -IANgKSADYAEgA2AYIANgGCADYBggA2AYIANgGCADYBggA2F+IANhRSADYBggA2AYIANgGCADYBgg -A2AYIANgGCADYRMgA2EFIANgmiADYIUgA2B2IANgUgEQGAEAAQAAARAYAQAEAAAAAAAAAAAAACAE -V3YgBFfWIARXYyAEV9YgBFdjIARX1iAEV3ogBFd6IARXvyAEV6ggBFeRAAAAACAEXr0gBF69IARc -0CAEXr0gBFzQIARemiAEXnEgBFzQIARdpSAEXNAgBFzQIARdnCAEXNAgBF69IARc0CAEXNAgBF69 -IARc2wMBAAIAAAAAIATmCiAE52cgBOiKIATmCiAE6IIgBOhtIATmCiAE5gogBOYKIATmCiAE5gog -BOYKIATmCiAE5gogBOYKIATmCiAE6GIgBOhXIATmCiAE5gogBOYKIATmCiAE5gogBOYKIATmCiAE -5gogBOYKIATmCiAE5gogBOYKIATmCiAE5gogBOdRIATmCiAE50sgBOdLIATmCiAE50sgBOdLIATo -OiAE50sAAAAAAAAAAAAAAAAgBQXoIAUF6CAFBUogBQXoIAUCTSAFBeAgBQXAIAUCTSAFAk0gBQJN -IAUCTSAFAk0gBQUuIAUF6CAFAk0gBQUuIAUF6AAAAAAAAAAAAAAAACAFDh4gBRJaIAUSByAFEZgg -BRE4IAUQ4SAFEKUgBQzgIAUQZyAFDv8gBQ/iIAUPXSAFDokgBQ5LARAYAQACAAABEBgBAAIAAAAA -AAAAAAAAIAW25yAFsmkgBba7IAW2jyAFtmIgBbZNIAWyiyAFsqIgBbY0IAWy1yAFssMgBbKLIAWy -1yAFsosgBbKLIAWyiyAFtucAAAAAAAAAAAAAAAAAAAAAIAX+6CAF+NggBfiAIAX2bCAF86gAAAAA -AAAAACAF+MAgBfi6IAX4uiAF+LogBfjAIAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+MAg -Bfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF -+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4 -uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6 -IAX4uiAF+LogBfi6IAX4uiAF+MAgBfi6IAX4uiAF+LogBfjAIAX4uiAF+LogBfi6IAX4wCAF+Log -Bfi6IAX4uiAF+MAgBfi6IAX4uiAF+LogBfjAIAX4uiAF+LogBfi6IAX4wCAF+LogBfi6IAX4uiAF -+MAgBfi6IAX4uiAF+LogBfjAIAX4uiAF+LogBfi6IAX4wCAF+LogBfi6IAX4uiAF+MAgBfi6IAX4 -uiAF+LogBfjAIAX4uiAF+LogBfi6IAX4wCAF+LogBfi6IAX4uiAF+MAgBfi6IAX4uiAF+LogBfjA -IAX4uiAF+LogBfi6IAX4wCAF+LogBfi6IAX4uiAF+MAgBfi6IAX4uiAF+LogBfi6IAX4uiAF+Log -Bfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4wCAF -+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4wCAF+LogBfi6IAX4 -uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6 -IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4wCAF+LogBfi6IAX4uiAF+MAgBfi6IAX4uiAF+Log -BfjAIAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF -+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4 -uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6 -IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+MAg -Bfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF -+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4 -uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6IAX4uiAF+LogBfi6 -IAX4wAAAAAAAAAAAAAAAACAF+Q8gBfxlIAX8UCAF/EIgBfwtIAX8HyAF/AogBfv8IAX75yAF+9og -BfvHIAX7uiAF+6cgBfuaIAX7hyAF+QsgBft0IAX7ZyAF+1QgBftHIAX7MyAF+vIgBfqyIAX68iAF -+rIgBfkLIAX5CyAF+QsgBfkLIAX5CyAF+QsgBfqlIAX5KCAF+QsgBfkLIAX5CyAF+oggBfpvIAX6 -ZSAF+lQgBfkLIAX6QSAF+icgBfoUIAX5+iAF+ecgBfnPIAX5vCAF+akgBflFAAAAAAAAAAAgBf8s -IAX/NiAGALIgBgCMIAYAhSAGAH4gBgB3IAYAcCAGAGkgBgBiIAYAWyAGAFEgBgBHIAYAMSAGAAYg -Bf/aIAX/0SAF/7YgBf+sIAX/nSAF/40gBf+GIAX/cyAF/2wgBf8eIAX/QwAAAAAAAAAAIAag6CAG -nkAgBpzUIAaagCAGmCggBpTwIAaV6CAGlpggBpRkIAaY7AMPCBKDjpOSUlYAABAAAABjb25maWd1 -cmF0aW9uIGZpbGUgcGFyc2VyIGZvdW5kIGFkZGl0aW9uYWwgY29uZmlndXJhdGlvbiBhZnRlciBb -ZmluaV0KAAAwAAAAZmNvZQAAAAB2bmljX2lkAHZsYW4AAAAAdG9zAGV0aGVydHlwZQAAAG1hY21h -dGNoAAAAAG1wc2hpdHR5cGUAAGZyYWdtZW50YXRpb24AAABzcnZyc3JhbQAAAABmY29lbWFzawAA -AABjb21wYWN0AGJhc2ljdmlydHVhbAAAAABzeW5tYXBlbgAAAABzeW40dHVwZW5pcHY2AAAAc3lu -MnR1cGVuaXB2NgAAAHN5bjR0dXBlbmlwdjQAAABzeW4ydHVwZW5pcHY0AAAAb2ZkbWFwZW4AAAAA -dG5sbWFwZW4AAAAAdG5sYWxsbGtwAAAAaGFzaHRvZXBsaXR6AAAAAGxhbgB3YW4AY2x1c3RlcgBt -YW51YWwAAHRwX3BpbwAAdHBfdG1fcGlvAAAAbmljX3ZtAABuaWNfdW0AAG5pY191bV9pc2dsAG5p -Y19oYXNoZmlsdGVyAABvZmxkAAAAAHJkZHAAAAAAcmRtYWMAAABpc2NzaV9pbml0aWF0b3JfcGR1 -AGlzY3NpX3RhcmdldF9wZHUAAAAAaXNjc2lfaW5pdGlhdG9yX2ZvZmxkAAAAaXNjc2lfdGFyZ2V0 -X2ZvZmxkAABmY29lX2luaXRpYXRvcgAAZmNvZV90YXJnZXQAcG9mY29lX2luaXRpYXRvcgAAAABw -b2Zjb2VfdGFyZ2V0AAAAaXNjc2lfdDEwZGlmAAAAAHBwcABkY2J4AAAAAGIyYgBzb2NrZXRudW0A -AABjZWUAaWVlZQAAAABhdXRvAAAAAGFuX2RpcwAAIAMWlAAEAAAAAAQAAAQAAP8CAAAAAAAAAAAA -AAAAAAEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP8CAAAAAAAAAAAAAAABAAL/AgAA -AAAAAAAAAAAAAAAC/wIAAAAAAAAAAAAAAAAAFgAAAAAgBpQoAAAAACAGk3ggBpEIIAaP+CAGbrgg -BgdAIAXzACAF8eAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgBH0EIASJgCAEiYAgBImAIASaGCAE -syAgBL+oIATHCCAEegwgBHeAIAQxhCAE5OwgBC80IAQsKCAF8bQgBfCEIAXp6CAEiYAgBekQIAXn -tCAF5uggByRwIAOc1CAD96wgBqu8AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgBFVA -IAQ/7CAETzAgBE2cIARMJAAAAAAgBEnIIARUfCADX8wAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -A///AAAD/wAAAD8AAAAPIAc1DCAG3dAgBzT4IAbd4CAG3fQgBt04IAbeeCAG3Qj/GDBgYAAAAAAB -AgMAAAAAIAahlCAEe2QgAIgIAAAAAAAAAIEAAAAAAAAAAAAAAAAgBCWYIAQkzCAEInAAAAAAIAQD -hCAEA3wgBAN0AAAAACAD+nQgA/wAIAP7nCAD/BQgA/qQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAACAD+yQAAAAAAAAAAAAAAAAwMTIzNDU2Nzg5YWJjZGVmQUJDREVGAAAAAAAAAAAAAEFCQ0RF -RkdISUpLTE1OT1BRUlNUVVZXWFlaYWJjZGVmZ2hpamtsbW5vcHFyc3R1dnd4eXowMTIzNDU2Nzg5 -Ky8AAAAAAAAAAAAAAAAAAAAAIABFzAABAAAgAEWsAAUAASAARZAAAwAFIABFcAAHAABjglNjAAAA -AP///////wAAAAQECAAEBAgABAQIAAQECAAHQwAAAAAAAAAAAAAAAAAAIQAJAIEAQQAhAAkAIQAJ -AIEAQQCBAEEAgQBBAIEAQQAhAAkAgQBBACEACQAhABEAgQBBAIEAQQAhAAkAgQBBACEACQAhAAkB -AQBBAIEAIQCBACEAEBBhAQEAgQEBAIEDAgEAECBAAAAAAAAAAAAABAACAAEAAIAAQAAgABAACCBA -gAAAAAAAAAAAAAAAAAAgAwkQAAAAACADD9gAAAAAIAMJFAAAAAEgAxCAAAAAAiADCRwAAAAEIAMJ -JAAAAAggAwksAAAAECADEIgAAAAgIAMJMAAAAEAgAwk8AAAAgCADCUgAAAEAIAMJVAAAAgAgAwlk -AAAgACADCXAAAEAAIAMJfAAAgAAAAAAAAAAAAAAAKhwAACo8AAAqXAAAKnwAAAIEAAAARAAAAAQA -AABAIAalMCAGpOwgBqO8IAaiZCAGoiAgBqH0IAaiTAAAAAAAAAIAAAAEAAAACABZZXMAMDEyMzQ1 -Njc4OWFiY2RlZmdoaWprbG1ub3BxcnN0dXZ3eHl6AAAAAG5vbmUAAAAAYWxsACoAAABkaXNhYmxl -ZAAAAABlbmFibGVkADB4AABOQQAAVjAAAFYxAABWMgAAVjMAAFY0AABWNQAAVjYAAFY3AABWOAAA -VjkAAFZBAABWQgAAVkMAAFZEAABWRQAAVkYAAFZHAABWSAAAVkkAAFZKAABWSwAAVkwAAFZNAABW -TgAAVk8AAFZQAABWUQAAVlIAAFZTAABWVAAAVlUAAHBvcnQAAAAAcHJvdG9jb2wAAAAAZ2xvYmFs -AABmdW5jdGlvbgAAAABmaW5pAAAAAHJlZwBmaWx0ZXJNb2RlAABmaWx0ZXJNYXNrAAByc3NfZ2xi -X2NvbmZpZ19tb2RlAHJzc19nbGJfY29uZmlnX29wdGlvbnMAAHNnZV90aW1lcl92YWx1ZQB0cF9w -bXJ4AHRwX3BtcnhfcGFnZXNpemUAAAAAdHBfcG1yeF9mbGFncwAAAHRwX25yeGNoAAAAAHRwX3Bt -dHgAdHBfcG10eF9wYWdlc2l6ZQAAAAB0cF9udHhjaAAAAAB0cF9tdHVzAHRwX3RjcHR1bmluZwAA -AAB0cF90aW1lcnJlcwB0cF90aW1lcnZhbHMAAAAAdHBfYmFja29mZnZhbHMAAHNjaGVkX2lvcXR4 -X2JwX3ByaW9yaXR5AHBjaWVfbWFfcnNwX3RpbWVydmFsdWUAAHBsX3RpbWVvdXRfdmFsdWUAAAAA -YmFyMnRocm90dGxlY291bnQAAABjcGx0eGRhdGFfbWVtYWxpZ24AAG1jAABtY19tb2RlX2JyYwBt -Y19wZAAAAG9mbGRfZmxhZ3MAAG52ZgB3eF9jYXBzAHJfY2FwcwAAbmlxZmxpbnQAAAAAbmVxAG5x -cGNxAAAAbmV0aGN0cmwAAAAAbnZpAHJzc252aQAAbmV4YWN0ZgBjbWFzawAAAHBtYXNrAAAAbmV0 -aG9mbGQAAAAAbnJvdXRlAABuY2xpcAAAAG5maWx0ZXIAbnNlcnZlcgBuaGFzaAAAAHRwX2wydAAA -dHBfZGRwAAB0cF9kZHBfaXNjc2kAAAAAdHBfc3RhZwB0cF9wYmwAAHRwX3JxAAAAaXNjc2lfbnRh -c2sAaXNjc2lfbnNlc3MAaXNjc2lfbmNvbm5fcGVyX3Nlc3Npb24AaXNjc2lfbmluaXRpYXRvcl9p -bnN0YW5jZQAAAGlzY3NpX21heF9zZ2UAAABwcG1fbWF4X3pvbmVzAAAAcHBtX3pvbmVfcmFuZ2Uw -AHBwbV96b25lX3JhbmdlMQBwcG1fem9uZV9yYW5nZTIAcHBtX3pvbmVfcmFuZ2UzAGZjb2VfbmZj -ZgAAAGZjb2VfbnZucAAAAGZjb2VfbnNzbgAAAGZjb2VfbmZjYgAAAGRjYgBkY2JfYXBwX3RsdgBk -Y2JfZGNieF9wcm90b2NvbAAAAGJnX21lbQAAbHBia19tZW0AAAAAaHdtAGx3bQBkd20AZGVib3Vu -Y2V0aW1lAAAAAHZlcnNpb24AY2hlY2tzdW0AAAAAU2Vzc2lvblR5cGUAT0ZNYXJrZXIAAAAASUZN -YXJrZXIAAAAARGF0YURpZ2VzdAAASGVhZGVyRGlnZXN0AAAAAEVycm9yUmVjb3ZlcnlMZXZlbAAA -SW1tZWRpYXRlRGF0YQAAAERhdGFQRFVJbk9yZGVyAABEYXRhU2VxdWVuY2VJbk9yZGVyAEluaXRp -YWxSMlQAAE1heENvbm5lY3Rpb25zAABNYXhSZWN2RGF0YVNlZ21lbnRMZW5ndGgAAAAASW5pdGlh -dG9yTmFtZQAAAEluaXRpYXRvckFsaWFzAABEZWZhdWx0VGltZTJXYWl0AAAAAERlZmF1bHRUaW1l -MlJldGFpbgAATWF4QnVyc3RMZW5ndGgAAEZpcnN0QnVyc3RMZW5ndGgAAAAATWF4T3V0c3RhbmRp -bmdSMlQAAABUYXJnZXROYW1lAABUYXJnZXRBbGlhcwBUYXJnZXRBZGRyZXNzAAAAVGFyZ2V0UG9y -dGFsR3JvdXBUYWcAAAAAQXV0aE1ldGhvZAAAU2VuZFRhcmdldHM9QWxsAENIQVBfQQAAQ0hBUF9J -AABDSEFQX0MAAENIQVBfTgAAQ0hBUF9SAABEaXNjb3ZlcnkAAABOb3JtYWwAAE5vbmUAAAAAQ1JD -MzJDAABDUkMzMkMsTm9uZQBOb25lLENSQzMyQwBDSEFQAAAAAENIQVAsTm9uZQAAAE5vbmUsQ0hB -UAAAAE5vdFVuZGVyc3Rvb2QAAABJcnJlbGV2YW50AABSZWplY3QAAE5vAAA1AAAAQ0hOZXQgMS4w -MAAAAAAADAAAAAABAAF8AAwBAAAAABAAAAAUIAdK4AAAAwUOQAAAAAAAEAAAACggB03oAAADGA5Q -AAAf/AAAH/wAAB//tLAf/7SwIAdYMCAHW/AgCUEAIAlBACAKAAAgCoAAIAqAACAK5oAgAwAA4QAu -AB//k7AAAGGo4QGOAAAAQA8AAZEMgAAAACALevAgC3sgAABAAAAAgAAAAAgAIAt8IP//8/8gC3xQ -AACoLAAAqC8AAKgrAACoKgAAqOwAAIEAAACoNQAAqDcgCwEw4QBeACALAXAAAEAOAABAGiALAaAA -ABAAAAD/6QAA/+AAAFAAAAD/+AAAqDv//8kkAABADQAA//cAAA//AAAPQgAA//UgCwIAAACABwAA -gAQgC3xwIAt8kAAAuO4AADQAAAC07gAAtP8AALQAAAC4AB//rcwgCwIwIAMLcCALfLAf/7AwIAt8 -8BWgAAAAAIP9IAMIwCADWHAAAUFKAAEBbgAAg/8AwAABIAt9ACALAnAgAwuAIAsD4CALBBAowAAA -QAAAAiigAACAAAACIAsFAB//rbAAAP//AQAAACgAAADhAAAAawAAACALBTAQAAAAA2AAAO4AAAAg -C32QIANSaCALfbAAACcQIANaACALfgAgCwWAQAAAACADC8AgCwYAA+AAAB/84gAf/6z0H/+xMB// -rISBgAAAgQAAAAQAAAjhAwYAAJiWgAABAHgDQALoIAAAAN////87msoA//3//wACAAAAB6EgACxj -SP/4XuD/3///4QL+ACADACAAAYafAACvAOEBDgAAAIj3ACAAAAE/AUAAD0JAFH01cBR9NW8f/6wU -IAt+MCALfpAIAAAAH/zi5CADZ1wf/62cIAsGMCALB2AgCwZgDzwAACALBvAgA+S8IAPmkCALB8AP -AAAAIAsIIB//hmAf/4dQH/+E0CALCHAgCwiwIAsI8CADDbAgAw0wIAuAICADDRAgC4BQIAt/ACAL -f2AgC3/AH/+t8OAAAAAgAEXsH/+u9AD///8gC4BwIAsJgCALCeAgCwowIAsJsCADv2QACAAAIAPE -JCALC8AgB1RQAA/8AB//mXgf/5rMAAAwACALDAAgCwyQIAsNMFUAAAAOAAAAIAuBECADDigAAAgG -IAsNYCALDkAAAA/+H/+trCALDtAgCw6gAADwACALgTAgCw7wIAsPUCALD4AgCw/gIAsQMCALEPAg -C4FQIAsQkCADgNggA5iIIAsRUCALEZAgCxIAH/+toCALElAgC4IgIAOexCADpBQgC4HgIAsTACAL -EpAgCxNwIAsT0CALFEAgB1uwIAsUwCAHWIAgCxTw7////yALFVAgCxWgIAsYcP3///8gCxYAIAsW -cCADpoQgCxbQIAsXMCALF5AgCxfgIAsYICALgnAgAw4gAABgACADDbQMAAAAH/+GwB//h8AgAw+w -H/+GoD/////P////IAsY0B//h3wgCxkQIAsZUCALgpAgCxnQf///q///LlwAANGk///QTQAAnE4g -CxpQIAsaECALGZAf/4a8IAMNuAAAaAAf/4a4AAAgAB//hyAgCxqQIAPfOCADx/AgCxtAH/+tlCAD -U4AgC4MgIAuCsCALgwAgA8uQA//QAAABAAAgC4QwH/+abABQDAAAQAAAg//QACALg6AgA4CwIAuE -YB//rlQgCx0AIAsdQB//reQgCx5QIAsdkABMS0AgA8d0IAsd4CALHpAEAQAIIAOo/CALHxAgCx7A -IAsfcCALgNAgA9zUIAuAoCALIiAAAI4CIAsikCALIuAgCyMwIAsiUCALI4ADgAAAH/+wQCALI9Ag -CyQw//f//yALJSAgCyXgIAPYgCALJqAf/6wgAADAACAD29wgA9aMIAPIDCALhRAgC4VQIAuE4CAL -JuACAAAAIAsnICALKAAAABdwIAuF8CADC3QgC4ZAIAuGcCALhpAgC4YgIAP5JCALhcAgAwtUIAMK -5CADCuwgCygwH/+sQCALKFAgCyiAIAsooB//qxQgB1YkIAso0B//qkDhAH4AH/+sTAAA8/8EAAAA -FAAAACoAAAAgBAH4IAspgB//rlAgC4dgIAuHMCAAHxgaAAAAIAsqECALiBAf/65AAB6EgCAEXIgf -/7EkAA///yALKmAf/6/kH/+uBCALiKAf/62MOAAAAAAAH0AwAAB0AAAQNv//7/8f/7EIMAAAECAL -ieAf/5nkiIiIiB//ragf/5VsAAAMvAAAiQYgCyqgH/+VWACcAADgAAkkH/+qiCALKsAgCyrgAAME -wOEDAgDg//4A4QCOAAAAloAAAJZAIAdYMAAAlqAAAJZg4QMALAADAAAf/N4A4QB6AAAB4ADhAZIA -AAHjAB//rbQgCysgIAsrUB//soQgCyugIAssAB//lRwgB1swF5AAABSwAAAgB1qAgAAABCAHWzoA -AB9aIAMAeCAHW4AgAwCAFqAAAAhQAQAgoAAAP/AAACOgAAAD/wAfAMAABCAHH6ggBEVYIAcfbN6t -vv8f/7KIGsAAACAHWjgAAP/qIAdbQAAACBQAAIgAABAAAB//rAwf/664IAQLzCADAJAgC4hQIAuI -cP8///8gAwDAIAssMDAAAAgwAAAMNAAACNAAAAAAAIkUOwAACDSQAAAFXUqAIARiRAAYAAD/B/// -ADgAAAAwAAAgC4sgBgAAACAEb+z4AAAAAf//5wABwAAgAACABAAQAB//qlDhAZoA4QGaQOEBmjzh -AZo44QGaNOEBmjAf/66gIAMK/CADCvSAAAADH/+tpP/8//9/////H/+VnCAEfQQgC45wIAuOsCAL -juAgC48gIAuPUCALj5AgC4/AIAuQACALjkD//wAAD///8P/wAAAgC5CgH/+alCALkGAf/6mkIASJ -gCALkaAgC5HQIAuRQCALkOAf/5pEH/+bgAAA/4AAAC7gIAstICALLVD/+///AAQAAOEB4sAf/6xQ -kAAA8Pz/gMACAAAg/v+AwCALLaAgCy3gAAD4AAADAQgAAwEMj////yALLjAgCy6AIAsusCALLvDh -AN4AAAMDCAADAgAf/6xE///wAOEA7gD//3//AAD8AB//rbwAAOAAAAAMAAADAwQAAREcAAERGAAQ -CACAAAgAH/+ddAADAQT//8AAAAD//gAAJYAAAwMAH/+sPOD/4sAf/6roIAuSICALklAgC5JwIAuS -ACADAQgAAP/zIAsvQAAAKjAAAP+PAABStQAASAoAAI+CAACPhgAAOAAAAI+KAADjPwAAg64AAP/x -IAsvYAAAEED//8+/IAuSkAAAEgAgCy+Q4QMuAOEDMgD+////AAD/PyALhvAgC4awIAMBECALkrAg -Cy/gIAsvsACAAAAf/53QIAuTQAAA/v8AAP/AAAD5/yALkuAgC5PgIAuTkCALMJAgCzBQIAswICAL -MLAQAAUNAcCAAAkAAAAf/7HEH/+uyCADAcAf/6wkAACDACALM1AAAIIAIAsycCALMsAgCzMAEAAH -AiADAhAgCzhQIAs08CALOBAgCzfQIAMLNCALNxAgCzeQIAs3UCALNtAgCzaAIAs2QCALNfAgCzWg -IAs1YCALNSAgAwtEIAuX4CALmBAgC5hAIAuYgCALmMAgC5jwIAQqkCAEKhggBCggAAAbIQAAgMIg -C5kgIAuZYCALmYAA/8AAEgAAACAFIxAgC5owIAuZ8AAAoABgAAAAH/+uECALm7Af/64MIAs4gCAL -OLAAABwgIAs44CALOUAf/6ycIAs5gCALORAgCzswAAB/piALO8AgCztQIAs78CADSjwgCzuAIAs8 -UCALPCAgA0tYIAs8gCADUBAgC5yQIAs98CALnuAgCz8QIAMNoCALPkAgCz8wIAs+oB//sowf/7EY -AAAIUCALP3AgCz/AIAs/oAD///wyAAAAH/+zCsAAAAAgAwJIM5AAACALiQAgC4lgIAuJQCALiaAg -Cz/gIARsfCADAlAgC0CQAACABSALQMDerb7vIAtBAB//rZAwAAAcMAAABDAAABQAAP/v//7//wD/ -8AAAKAAAIAtBMAAACEAgC0FgAP//+iALQbAwAAAAMAAANAAAgAEgAwJgAACAAiAFhsAgC6AQEAAF -EJkAAAA0AAAAIAufwCALQfAAGQAAIAtCQCADDkAAABIPIAtCsB//qlgf/7DMBQAAAIP/ABsDFQAA -IAtDIP//QAAgC6JwIAtEACALRIAgC0TQAAGRrOEBkay/////IAtFAAsAAAAgBd84IAtFcCALReAg -C0ZQIAtGgCALovAgC6NwIATJjCADCxQf/5yoAAE4gOAABgAAAicQIAukEH///w8f/60Y/AD//+EA -lgDhAJoAAAAJ/+EAngAAALAAH/+uOCADArAgC0eA4QAOAAMAAAAAOP//4QASABMAAAARAAAAH/wA -AB//rXwgC0fAIAulMAABlI8AAZTPIAMC0B//nZAgAwdQIAtIACAHUoAgC0gwH/+ruCADCCAf/5Qk -H/+cnB//mygf/5yU4QGeAP+///8AAZ80IAtJYCALSaAf/5UUIAtOgCALTtAgC08wH/+qzCALUKAf -/6twAD///x//mzwAAP/9AAD/lwAA/9X//6uTwgAADgXcAQAD/wAAwAAAASALUQAgC1FAIAtRkCAL -UdAgC1IgIAtSYB////Af/65kAgAAEB//nbAf/5WoAAL//wAAnEAgC4ogIAtUwCALVTAgC1XwIAuH -kCALVoAgC1bAIAtXACALV0AbAAAAAAUAAiALrNAAAP4AIAYaHCALV5AgC1ewAAAhACALrZAgC60g -IAutYCAGKowgB1QoIAdUOCAHVGAgC1fg///I////8f///9/PIAtYEP//n/8AACAE///fOCALWEAf -/5VwIAdYYCAHWGggC1hwAAGQ+P/8+H8gC1iwIAtZICAHU/QgC1lQAAMAAh//nXAgC1nQIAtZgCAL -WgAf/6rs4QMKAB//quQgC63w9////wEwGMAgC1pgIAtaQCALrjAgC1qAIAtbACALWsAgC1ugIAtb -4CALW0AgC1twIAuucAABhqAgBlh04QMABAX14QAAJiWgAmJaACADCIjhAwAwAAQF7uEDAQThAeIA -H/+VYABgAAAf/5WEH/+quAAFAAQABBQAH/+dfB//nYAgCAAAIAgusCAIBmAgCG8AIAgFUCAIBEAg -CAMwIAgCICAIARAf/648H/+qDCAHVFQf/6iYH/+rUCAB2fzhAHYAIAuy4B//rUQgC2JAIAOeYCAH -W3ogC2TQIAda/BSQAABAAAAEIAtlQCALZXAgC2NAIAdbcCALY4AgC2PgAACP/iALZDAjKBUAIAtk -kCALs1AgC7PQIAdauB//s6gf/7CwH/+zGP/oD/8AEIAAIAtmwCAGkiDhAFYAIAMIkOEAWgAByEAG -4QGZ4AAAflAAAH5AAAB+GCAGnkAf/6wQIAMP4CADD+QgAw/YIAMP6CADD/QgAw/8AFAgBuEBmgwA -cCAG/+AAAAACIAYgC2lwH/+csOEBmgThAZoIAAQgBiALaaAAAw0Q4QMeAP//7f8gAwi4IAtqACAL -adAgC2owAAD+5SALamAgC2rQH/+sqAAEk+D/+2wg/+F7gAADDQQAAw0UAAMwAAAOAAAA4AAA/wD/ -/+EDKgAAAIADAABjfCALrdD//7//AP8AAPv//84EAAAB/j4ADwAAIAz//98w4QMOAAAYAAMAALmT -AAA/4OEDEgDz/+f/IAu0kCALtMAgAw9gIAu0UCALtPAAAwg0IAu1ICALtUAAAyI8IAu2UAADCAAA -5OHAIAu10B//rLAgC7YwIAu1cOEDIgD//0P///8//wADIgAgC7WgAAMiBCALtgAgC7ZwIAtrQOED -IjwgC7cAIAu20CALtyAAAw0AH/+ZDOAAAQDf//4AIAdY4OEB4kAABAAEAAQACCALa3AABACAAAP/ -ACALa6DAAAAGAB///wAAH/8AABAA4QAuAESAAFBsEAYa+7srMQAsoAiNoY6g/hYAKCYBNDD9FgEo -RAFYMPwUCCoBAVww+7sJCAAgCjD6rBAoACBaMPiAACgAIFZwKZAA8IgyAAEQYDD5UgwAZgA+IGiD -O3ZnNihABYNDJ0EDCDMMA3MK/ncRAZ4CGPAHMy3zwwwAYAJ6cAP/KK8i0Q8AKjADDaoR+iIMAZoA -2bDRDyswAwKyC2P/ugBsEAYY+5Uogq5xjkNYARdmoLsc+5IswjEb+5L8zAoAABBQMPAADG3gBDsg -AAAAsap7oRwJ6jAN6jCsmQ2dDGrR7G0ICA3qMA2dDGrR4GP/8BT7hQIPR/v/EQAeEEAwCP8CL0bB -HvuBLkbCGvuA+/uAEDIQaDD+CgEgABBgMPxGwyAAEHgwW64K9qBGYgAAErAa+3cb+3f8CgAgMhBo -MP4KASADEEAw+EbDIAAQeDBbrgD2oC1iAAASsClCwikVAPYgC2IAAFCwKhEAmjDRD9Kg0Q/ApPz7 -aBAUEFgwWQfJY//cwKT8+2UQFBBYMFkHxWP/zAAAbBAG+vthFAAQYDD4+2AQABAoMPRcAADAEFgw -+VwACgBAXPD7iTkADgBk8AqZAv77WBwAQFZw/cQ5AA4AQnAORAImICHz+0sQEBA4MPtmEQAHEEAw -CGYCJjbBJzbCG/tH/AoAIDIQaDD6+0QQARBwMPU2wyAAEHgwW63Q96BRYgAAErAqCgT8+z4QFBBY -MFkHoGYgdiY2wSc2whv7OPwKACAyEGgw+vs0EAEQcDD1NsMgABB4MFutwfegXGIAABKwwKH8+zQQ -FBBYMFkHkdEPAPv7KxAAEGAw/QoyIAEQcDD6+yYQAxBAMPg2wyAAEHgwW62y96AWYgAAErDApPz7 -IRAUEFgwWQeCZy+I0Q8pMsIpFQBj/3oAABv7ICoRAAuqAQpKAgoKTyo2whv7FPwKACAyEGgw+vsQ -EAEQcDD+NsMgABB4MFutnfegEWIAABKwwKH8+xIQFBBYMFkHbdEPAGwQBAIqAlgCe2agjyogIRz7 -DPsKASAYEGgwWNuwZqB7KiAhHPsI/QqAIAEQWDBY26tmoGcqICEc+wT7CgEgPxBoMFjbpmagUyog -IRz7AP0K/yABEFgwWNuhZqA/KiAhHPr8Hfr8/vr7EAEQWDBYaudmoCgqICEc+vj7CgEgBhBoMFjb -lmagFCogIRz69PsKASAAEGgwWNuR0qDRD9Kg0Q9sEAYjIR3Apfz67hAYEFgw/SAiIgAAcPBZBz36 -+tsUABBgMPj62hAAECgw9FwAAMAQWDD5XAAKAEBc8PuJOQAOAGTwCpkC/vrSHABAVnD9xDkADgBC -cA5EAiYgIfP6xRAQEDgw+2YRAAcQQDAIZgImNsEnNsIb+sH8CgAgMhBoMPr6vhABEHAw9TbDIAAQ -eDBbrUr3oFFiAAASsCoKBPz6uBAUEFgwWQcaZiB2JjbBJzbCG/qy/AoAIDIQaDD6+q4QARBwMPU2 -wyAAEHgwW60796BcYgAAErDAofz6rhAUEFgwWQcL0Q8A+/qlEAAQYDD9CjIgARBwMPr6oBADEEAw -+DbDIAAQeDBbrSz3oBZiAAASsMCk/PqbEBQQWDBZBvxnL4jRDykywikVAGP/egAAG/qaKhEAC6oB -CkoCCgpPKjbCG/qO/AoAIDIQaDD6+ooQARBwMP42wyAAEHgwW60X96ARYgAAErDAofz6jBAUEFgw -WQbn0Q8AbBAEGfp7IgoA9vrnIKcQODDzChQiAAAqcG06DyRRcAZEAfdBCnAEAilwsSLHK9EPZiC8 -9fqGEBMQUDD4CgEgABBYMP8rJG//EDgwJlKEACEEALwaACEEAI0aB90DDWYBDGYCJlaEYAAfAAAu -UsACpAwAQQQAvxoAQQQAgxoHMwMD7gEP7gIuVsAG6jAkkjErkjELRAoGRAoG6jAGRgxqYQ5tCAgM -6jAMTAxqwQJj//BvKxwuUoQAIQQAjRoH3wMP7gEO3QL9VoQgABAQMNEPACNSwAKpDACRBACCGgck -AwQzAQMiAvJWwCAAEBAw0Q8A0Q8AAGwQBvMWAiIAAHDw/PpUEAQQUDD9ICIgFBBYMFkGohj6PhT6 -OPf6TxAAEDAw+BYAIaACQjD4FgEgABAoMPAADmAAEBgwsWb/AgAIAFuFoCwgIfvMEQAeEGgwDcwC -LEbBJ0bCGvoq+/oqEAAQYDD9CjIgARBwMPNGwyAAEHgwW6y0ZqCWGvoiG/oi/AoAIDIQaDD+CgEg -AxBAMPhGwyAAEHgwW6yrZqCCJULCBQVPflcsCuowGfoSKZIxqpkI6jAImAz/AgAB/7+mIG0IDQrq -MAqaDP8CAAH/tyagY//rjBIqICH9CoAggBBYMPz6HhIFAGbw+woeIgAAcPBYagHAINEP3lD9ICIg -BBBQMPz6FxAUEFgwWQZkxyvRD8Ck/BIBIBQQWDBZBl9j/4LApPwSACAUEFgwWQZbY/9yAABsEAQq -ICEd+gr7CgcgABAoMP5cAAgDARgw+N45ACAQYDBYaej2oFViAAAisBz6AvoKAiIAEEgw+joBAgAA -cXD6ICEuBQBScP0qACAHEFgwWGnd9qAnYgAAIrD6ICEhABBoMPMMQAEAEFgw+woHJAUAZvD8ChAi -AABxcFhp0tJA0Q8AAABsEAT8CiggJhBYMPg8HWAlEFAw/wIABgBI1ND/AgAGAFFc0HwxUMYq0Q8A -AC0gQ/8CAAYAYddQ/wIABgBPX1B82eMqICH9+cUQAxBYMPwKACAAEHAwWGm6ZqCVKiAhHPnVHfnV -/vnSEAcQWDBYabTSoNEPAAAAACogIf35uBADEFgw/vm2EAAQYDBYaa1moGAc+ckd+ckqICH++bAQ -BxBYMFhpp9Kg0Q/AsfogISAAEGAw/QoBIAEQcDBYaaHSoNEPHPm+HfmnKiAh/vmlEAcQWDBYaZvS -oNEPKiAhHPm4Hfmg+woHIAAQcDBYaZXSoNEP0qDRDwAqICH7CgEgABBgMP0KASAAEHAwWGmN0qDR -D2wQBMAg0Q8AbBAGJCAh9fmoEAEQODDz+YcQABAwMAtEEQdEAiQ2wSU2whv5hfxsAAAyEGgw+vmB -EgAAcfD2NsMgABB4MFusDvegUGIAABKwwKT8+XwQFBBYMFkF3mYgdiQ2wSU2whv5dvwKACAyEGgw -+vlyEAEQcDD2NsMgABB4MFur//egX2IAABKwwKH8+XIQFBBYMFkFz9EPAPv5aRAAEGAw/QoyIAEQ -cDD6+WQQAxBAMPg2wyAAEHgwW6vw96AWYgAAErDApPz5XxAUEFgwWQXAZy+I0Q8pMsIpFQBj/3oA -AAAAABv5cyoRAAuqAQoKTyo2whv5UvwKACAyEGgw+vlOEAEQcDD3NsMgABB4MFur2/egEWIAABKw -wKH8+VAQFBBYMFkFq9EPAGwQBhb5YCkhIBP5P/QgISABEHAw+CoQKKABTDD1KgIh8AJKcPmFOAAA -EDgwC0QRDkQCJDbBJjbC+/k3EgAAYfD6+TQQMhBoMPc2wyAAEHgwW6vA96BRYgAAErAqCgT8+S4Q -FBBYMFkFkGYgdiQ2wSY2whv5KPwKACAyEGgw+vkkEAEQcDD3NsMgABB4MFursfegX2IAABKwwKH8 -+SQQFBBYMFkFgdEPAPv5GxAAEGAw/QoyIAEQcDD6+RYQAxBAMPg2wyAAEHgwW6ui96AWYgAAErDA -pPz5ERAUEFgwWQVyZy+I0Q8pMsIpFQBj/3oAAAAAABr5JSkRAAqZAQlZAgkJTyk2wvv5AxAAEGAw -/QoyIAEQcDD6+P4QARBAMPg2wyAAEHgwW6uL96ARYgAAErDAofz5ABAUEFgwWQVb0Q8AbBAGKSAh -8/jwEAAQIDDTD/uZEQAeEFAwCpkCKTbBGPkLKDbCGvjr+/jrEAAQYDD9CjIgARBwMPQ2wyAAEHgw -W6t1F/jm/awAAAMQKDD2fNAgAI+uoBv43/wKACAyEGgw+vjcEAEQcDD1NsMgABB4MFuraNmg+hYC -IACHrqAqMsIqFQBmkMcpEQAJOUH7CgEgAFQGYPwKAiIAVAJg/QoIIgBUBmArICH7uxEABxBgMAy7 -Ais2wcGjKjbCGvjF+/jFEAAQYDD9CjIgARBwMPQ2wyAAEHgwW6tP+hYBIAA7rqAa+Lz7+LwQABBg -MP0KMiABEHAw9TbDIAAQeDBbq0b6FgEgAEyuoCwywiwVAY0RZtBTH/izKhEBGfiz3kD6qEAKCwFQ -MPqUOQ4FAEPwBO4CLiUeghHRDwAAKyUgY/9lAAAsJSBj/10AAC0lIGP/VdKQ0Q8A3GD6CgQgFBBY -MFkFAo4RZ++rghHRDwAAAAAAAAD6CgQgFBBYMP0WAiIAAGGwWQT5iRJj/vrApPsKFCIAAGHwWQT1 -iRJj/ugA3HD6CgQgFBBYMFkE8GP/YABsEAYkICH1+KQQARA4MPP4gxAAEDAwC0QRB0QCJDbBJTbC -G/iB/GwAADIQaDD6+H0SAABx8PY2wyAAEHgwW6sK96BQYgAAErDApPz4eBAUEFgwWQTaZiB2JDbB -JTbCG/hy/AoAIDIQaDD6+G4QARBwMPY2wyAAEHgwW6r796BfYgAAErDAofz4bhAUEFgwWQTL0Q8A -+/hlEAAQYDD9CjIgARBwMPr4YBADEEAw+DbDIAAQeDBbquz3oBZiAAASsMCk/PhbEBQQWDBZBLxn -L4jRDykywikVAGP/egAAAAAAG/hvKhEAC6oBCgpPKjbCG/hO/AoAIDIQaDD6+EoQARBwMPc2wyAA -EHgwW6rX96ARYgAAErDAofz4TBAUEFgwWQSn0Q8AbBAGKSAhwEHz+DwQABAoMAuZEQSZAik2wRj4 -RCg2whr4OPv4OBIAAGFw/QoyIgAAcTD1NsMgABB4MFuqwhf4Od2g0w/2fNAgAJwuoCoKgCo2whv4 -LPwKACAyEGgw+vgoEAEQcDD0NsMgABB4MFuqtdmg+hYCIACRLqD2kBNiAABqcCkgK3+XDH6XCX2X -BnyXA9LQ0Q8sICEb+DcLzBEEzAIsFgEsNsErNsIa+BX7+BUQABBgMP0KMiABEHAw9TbDIAAQeDBb -qp/2oKBiAAASsBr4DBv4DPwKACAyEGgw/goBIAMQQDD4NsMgABB4MFuqlfagtmIAABKwKTLCKRUA -ZiBnixErNsEa+BsqNsIa9/z79/0QABBgMP0KMiABEHAw9TbDIAAQeDBbqob2oIxiAAASsB34ESwR -AA3MAQwMTyw2whr37/v37xAAEGAw/QoyIAEQcDD0NsMgABB4MFuqefagZ2IAABKw0Q/RD8Ck/Pfm -EBQQWDBZBEhj/4IAAAAA+goBIBQQWDD9FgIiAABhsFkEQYkSY/7hwKH7ChQiAABh8FkEPYkSY/7P -AMCk/PfXEBQQWDBZBDhj/0PAofsKFCIAAGGwWQQ00Q8A3HD6CgEgFBBYMFkEMNEPAABsEAYc9+X6 -ICEgARBYMP0KGCAQEHAwWGfA9qB3YgAAIrApICHz974QABAoMPuZEQABEHAwDpkCKTbBGPfFKDbC -+/e6EgAAYXD697cQMhBoMPU2wyAAEHgwW6pD96A9YgAAIrDApPz3sRAUEFgwWQQTZkAgKxEAKiAh -HPe1+7zgICAQaDD7XTgAARBYMFjYVtKg0Q8A0kDRDwAAAAD796MQABBgMP0KMiABEHAw+veeEAMQ -QDD4NsMgABB4MFuqKvegFGIAACKwwKT895kQFBBYMFkD+mP/mCkywikVAGP/j2wQBikgISoKB/P3 -jBAAEDgwC5kRCpkCKTbBGPeqKDbCGveJ+/eJEgAAYfD9CjIgARBwMPc2wyAAEHgwW6oTFveE9KwA -AAMQKDD2bNAgAGEuoBv3ffwKACAyEGgw+vd6EAEQcDD1NsMgABB4MFuqBvahHGIAACKwKDLCKBUA -ZkCBKiAhHPeQHfeQ/veQEAcQWDBYZ2lnoAfAJdEPAAAAACogIfuqEQAHEFgwC6oCKjbBGfeIKTbC -G/dk/AoAIDIQaDD692AQARBwMPc2wyAAEHgwW6nt96DJYgAAIrDApPsKFCIAAGGwWQO9ZkAVKiAh -HPd1Hfd1/hEAIAcQWDBYZ07UoGZPkSQRAXhPFcAm0Q/ApPsKFCIAAGGwWQOwY/9dAAArICHTD/u7 -EQAHEGAwDLsCKzbBwOEuNsL790MQABBgMPr3QBAyEGgw9zbDIAAQeDBbqc32oIhiAAASsBv3O/wK -ACAyEGgw+vc3EAEQcDD1NsMgABB4MFupxPagcmIAABKwJDLCZi8OBEJA0Q8AAMCk/PcvEBQQWDBZ -A5Bj/t0AABr3KPv3KRAAEGAw/QoyIAEQcDD1NsMgABB4MFupsvegFGIAACKwwKT89yEQFBBYMFkD -gmP/ESwywiwVAWP/CNxg+goEIBQQWDBZA3xj/5TApPz3FxAUEFgwWQN4Y/+EbBAEIhrL0Q9sEATA -pfz3MRAUEFgw/SAiIgAAcPBZA29oMUVoMgdoMwTAINEPACogIf33CBAAEFgw/vcGEBAQYDBYZv1m -oDwqICHzDkAAABBYMPnuEQAeEGAw/QqAL+ABcDBYZvXSoNEPHfb6+iAhIAAQWDD8ChAgABBwMFhm -7tKg0Q8A0qDRD2wQBPwKKCAmEFgw+DwRYCUQUDB6MTl7MVJ8MRnGKtEPLSBDfNF5/wIABgBJX1B6 -0VTAINEPAB325fogISAAEFgw/vbiEAAQYDBYZtnSoNEPHfcA+iAhIAAQWDD+9v4QGBBgMFhm09Kg -0Q8AAB32+fogISAAEFgw/vbWEBgQYDBYZszSoNEPKiAh/fbxEAAQWDD8ChggBBBwMFhmxdKg0Q8q -ICH99soQABBYMPwKACAAEHAwWGa/0qDRDwAAKiAh/fbkEAAQWDD8ChggABBwMFhmuNKg0Q8AAGwQ -BMCl/PbeEBgQWDD9ICIiAABw8FkDGRn2t/r2uBQAEEAw+TkBAAAQIDD5hDkADgBA8ApEAiMgIRX2 -qPszEQAEEEAwCDMCI1bB+/anEAAQYDD9CjIgARBwMPr2ohAKEEAw+FbDIAAQeDBbqS/3oBNiAAAS -sMCk/PaeEBQQWDBZAv/RDylSwiNWwRr2ngqZAQlJAgkJTylWwvv2kxAAEGAw/QoyIAEQcDD69o4Q -CRBAMPhWwyAAEHgwW6kb96AUYgAAErDAofz2kBAUEFgwWQLr0Q8A0Q8AAGwQBMCl/PapEBgQWDD9 -ICIiAABw8FkC4/ogISAAEFgw9AoAKAABGDD+TAABABBoMPjeOQAEEGAwWGZxZqApKwoA/AoJIAIQ -UDD5KgAqAEBQ8PogISQFAFJw/kwAAgAQaDBYZmfSoNEP0qDRD2wQBisgIRn2ZAu7ESuWwRr2aSqW -wvv2YxAAEGAw/QoyIAEQcDD69l4QCRBAMPiWwyAAEHgwW6jr96AZYgAAGrDAofz2YBAUEFgwWQK7 -0jDRDwAAAAAK6jAZ9k4pkjEKmQoM6jAMnAxqwQgK6jAKmgxrofbaIFhjxGagHvwKASIAAGhw+iAh -IBAQWDD7FQAgCRBYMFgAU9Kg0Q/SoNEPAABsEAT6ICEgABBYMP32ZhAcEGAwWNbsIyAh/fZjEAAQ -WDD8ChwiAABQ8FjW5magZRT2Mvs5EQAcEFAwCpkCKUbBGvYwG/Yw/AoAIDIQaDD+CgEgChBAMPhG -wyAAEHgwW6i5ZqAjKkLCG/ZRHfZRCgxA+iAhLAUAZvD7CgAgHBBgMFjW0MAg0Q8AwKT89iAQFBBY -MFkCgWP/zmwQBvogISAfEFgw/AoAIgAAaHBYACX4EQAgACIuoHqHQCogIRL2D/uqEQABEHAwDqoC -KibBGvYM+/YNEAAQYDD9CjIgChBIMPkmwyAAEHgwW6iWZqAPIiLCAkJA0Q/AJdEPwCbRD8Ck/PYD -EBQQWDBZAmPAJdEPAGwQBPogISAAEFgw/fYkEBwQYDBY1qj6ICEgABBYMP32HRAcEGAwWNaj0qDR -DwAAAGwQBG88NPIGRwJiALkg9EAua2AEPOAtUQAe9e773QICAABRsP7dAgAcEGAw/Q1PAAAQWDBY -1pPSoNEPxirRDwD7DU8CAABRsPwKHCAAEFgwWNaMZqBDE/XY+2kRABwQUDAKmQIpNsH79dcQABBg -MP0KMiABEHAw+vXSEAoQQDD4NsMgABB4MFuoX/agEGIAABKwKzLCK1UA0Q/SoNEPwKT89csQFBBY -MFkCK9EPAAAAbBAEKCEg+AhFAD4QGDD9Cu4ibAA6IBn16/ogISAAEFgw+d0CABwQYDBY1mod9ef6 -ICEgABBYMP09AgAcEGAwWNZl0qDRDwAAIwru8//HYOMQaDAAbBAEKCEg8wruKKABQDD9CuMiFAI6 -IGAACgAAAP0K7iA+EBgwGfXT+iAhIAAQWDDTD/ndAgAcEGAwWNZRHfXO+iAhIAAQWDD9PQIAHBBg -MFjWTNKg0Q8AAGwQBCggIfT1lRAKECgw+4gRABkQSDAJiAIoRsEb9ZP8CgAgMhBoMPr1jxABEHAw -9UbDIAAQeDBbqBzWoPP1jRAAP66gKULCCYlC+JdoYAEQUDAqJSArICH7uxEABRBgMAy7AitGwRv1 -gPwKACAyEGgw+vV8EAEQcDD1RsMgABB4MFuoCfagSGIAACqwwMDdwB71eSlCwhj1ePmvQAgLAUww -+Y05DAUAe7ANzAL8JR4iAAASsNEPwKIqJSBj/5YAwKT7ChQiAABg8FkBzNJg0Q/cMPoKBCAUEFgw -WQHI0lDRDwAAAGwQBCkgIRT1XA8CAPuZEQABEHAwDpkCKUbBG/Va/AoAIDIQaDD69VYQChBAMPhG -wyAAEHgwW6fj9qAPYgAAErAqQsIKKkCaMNEPAMCk/PVPEBQQWDBZAbDRDwAAbBAEInrD0Q9sEBDz -IgciAABI8Igrgz7bUPkWFyIAADiw8YYLcgAAMPAnIhGGd4ZuHfVs0w8t0n+P1wu+Cv/uCwIAAGEw -+AoQIgAAU7Btig8lwAAvoAixqvzMAS4A9HlQJekNsFUIVTL15Q0gCAA1YMAg0Q+J4YjgmJCP4IrT -+fYBIAAQQDD45gAgARBoMPjmASIAAGIwW6TTZV/T+W0DIHgCWbD7FhUgABBQMPoWFiAzEHAwLhQR -LhQQLUAMLRQSLEANLBQTL0AOLxQU/kAPIgAAKbD+FBUgWAIycChS6vscECIAAFGw0w/5CgYgmwA2 -IG2aDy2wACyggLGq+7wBLgD2Y1AqEhbTD/8UFCH/sYKgLBAT/hYCIAUQUDD8FgAq4AF8MPsWASAz -EGgw/PUuEDAQWDD/EBIgMxBwMFkBXy1S6rDd/VbqIF0AN2Aa9SePo4ii+aIBIDACWHCZsZiyn7P6 -ogAgEBBwMPq2ACIAAFEwbeoPLbAALKAAsar7vAEuAGpjUMAg0Q8sEhYrEhW8ZvVcDCACAmMw/BYW -L/+gWVBj/swAAIZ3hm789RAQBRBQMPVtBCAwEFgw/XISIGgCKXD9FhQiAABpcFkBPBj1CfAIBwIA -AElwAElhAElhAElhAElhG/UFKXEY/PUCEAUQUDD9bQIgARBwMP7WjigJAF5w+daNIDAQWDBZASwn -EhQqbQQb9Pr7pR4gBhBgMPqsPiAgAlhwWPgpGPT2KILZ+nwAAgAAWXD8CgQgBRBoMAuAAGP/B/Xz -Bn//EFAwwKFlrh5j/gv80wZ//xBQMMChZK4O2jD8TAAAAxBYMP4KASBgAmhwWARaHPTjCqUK/lUR -AgAAMrD7XFYiAABQsFuDMvSsAA3dADag+RIXIgAAYXD2pgogjAJZcPumCSCPEFAw+kUQIGACQTDw -CRYAYAJYcPAIoACAAlEwWPgBjCJlzaD6LAACAABZMPwKCiADEGgwWz/rwCDRDwAAAAAAAP3DBn// -EFAwwKFlro5j/gdsEAT3CrAg8gA8oPX0wRLyADyg+CR0YAIQKDD1Gm4k8gA8oGgmef8pB2AAECgw -byhe9hoAIEgQIDD2VgEAQhAQMPZCOQAWAHlwKDDstIioIv1XDngAIDzwKZC9DwIAtJmpInxXCvIs -ECAOAGlwIiwceVcBuiJxVwO0ItEP0Q8AABX0o2P/qgAAY/+lY/+iAADz/51gLhAoMGP/lQAAAAAA -8/+NYWoQKDBsEAaGJ4Zu9yISIAUQUDD1bQQgMBBYMPz0jBBoAilw8xYBIgAAaXBZALwZ9IiXEyNt -A/AJBwIAAGFwAExhAExhAExhAExhHPSDKCEYmRD3bQIgARBQMPp2jigJAGIw+HaNIBoANSDApfz0 -ehAwEFgwWQCpG/R5KzWeYAAFHfR8LTWeixEqbQT6rD4gBhBgMFj3phj0coYT+ILZIgAAWXD8CgQg -BRBoMPgWAiIAAFGwC4AAKnKO9UC5augBUDAsMZ6NEPsqAC0gAWAw+VwACgBSYtCKEQANiwBJYQBJ -YQBJYQBJYRv0XCkhGBz0Yfx2jigJAF5wKXaNLKACLqAAL6ADKKAEKaABLaAF+IgRDwAEP+D4mREP -AAQ7oPnuAg4JAEfw/90CDAkAczANzAMMzRTzEgIsEQBrMAxtFP3MAwABEFgw/AxFAAAQUDBZApXA -xP0KBSIAAHKw+3aQIgAAUbD+do8iAABZcAswACJyjgKCR9EP0qDRDwAAbBAIFfQw2iD1Un8iAABY -8Fh8oPasAADxADagJ6kMaXB7ilMooQL7CgEgABBIMAi5OPkWBSBbADYgW59umhSIVwinMvd2CgIA -AFjw+GYLAAAQGDDzZgAgEBBgMPNmASAQAlGwWPdTiRUqLQQjZgctEgT7Iukv/xBgMCxmCC1lDCa2 -APtmASFAAlKwKmYAJibp/woBIAAQcDAJ/jjK6Rz0F4lljWKOY49klxEpFgD4aQ0gBRBQMPgWAiAw -EFgwWQA4KmkNsaoqZQ33cAZv/xAQMNEPJ0UAjlf89AoSAABp8PdyCgAFEFAw/i4LADAQWDD+6Q0j -0AQ4oFkAKohXooIiKQ3RDwAAAAAA8/8Vb/8QODBsEAT4Cg4gABBIMPkkAyAAEBgwKSQCKCQB8yQA -IAQQEDDRDwBsEAT7CgAgBhBgMPwkASAAEFAwLCQDKyQA+iQCICcQGDDzJQQgGBBAMPglAyAXEEgw -+SUCIAoQEDDRDwBsEAT4EgkgABBQMPo0ACADEEgw+TQBIAAQSDD5NAIgDBBIMPk0AyBxADYgwNX9 -NBEgABBgMPw0ECAYEFgw+zQTIAAQUDD6NBIiAABZsPwKECAoAlDwWPb6JzQnjxj3hhQAABBwMC40 -AiY0Ji80KwaGFCY0JQ+PFC80KgaGFA+PFCY0JP80KSAoEDAwJjQDD48ULzQoYAACANaQKyw8/AoE -IAgCUPBY9uYkNAslNA8FiBQEiRQpNAooNA4JiRQIiBQoNA0pNAkIiBQJiRQpNAj4NAwgCAIRsNEP -AAAAbBAE9CQDIgAAWPD0iBQAABBIMPkkACACEFAw+iQBIgAAYTD4JAIgCAJQsFj2zrRC0Q8AAGwQ -BPQkAyIAAFjw9IgUAAAQSDD5JAAgARBQMPokASIAAGEw+CQCIAgCULBY9sG0QtEPAABsEAQjJAXz -ixQACBBQMCskBPokASAAECAw9CQCIAIQQDD4JAMgABBIMPkkACAGEBAw0Q8AbBAEaCEZaCMbaCQd -aCUgaCYibykGbigDwi7RD8Ag0Q8S83nRDxLzeNEPwCLRDwAAIhpu0Q8iGmrRDwAAbBAOgyeDPhXz -ZigyvvVSfyQAeYIgGPNyiYKKgYuAmxCaEZkSKIIDKBYDLBIDLhICLxIB+BIAICACaHAo1gAv1gH+ -1gIgBRBQMPzWAyAwEFgw/PNkEgAAaTBY/4j4UgciAABQ8P0cICACEFgw9EwKAAEQcDD4zAsP/xB4 -MP/GCCAQAmMwWALF86wAACIANqAKpQr880wV4AQ9YPtcViIAAFCwW4Gd9KwAAAgAtqDAINEP3FDz -pgogjAJZcPumCSCPEFAw+kUQICACSHDwCRYAYAJBMPkWECBAAlhw8AigAIACUTBY9mqMImXPwfos -AAIAAFkw/AoKIAMQaDBbPlTAINEPAAAtMr0uMrwvMrsoMrqYEJ8RnhKdE2P/DgBsEBCDJ4M+KDK+ -/wIABABrgiAY8yyJgoqBi4CbEJoRmRKIg5gTHPMpiBGNE48S+RIAICACcHCZ4J/i/eYDIAUQUDD4 -5gEgMBBYMFj/RcCy/RwgIAAQYDD+CgIv/xBQMPo2+iIAAFDwWAKG86wAACIANqAKpQr88wwV4AQ9 -YPtcViIAAFCwW4Fd9KwAAAgAtqDAINEP3FDzpgogjAJZcPumCSCPEFAw+kUQICACSHDwCRYAYAJB -MPkWFCBAAlhw8AigAIACUTBY9iqMImXPwfosAAIAAFkw/AoKIAMQaDBbPhTAINEPLTK9LjK8LzK7 -KDK6mBCfEZ4SnRNj/yhsEAgY8uXaIPAIBwIAAEhwAElhAElhAElhAElh+fLvEDwANSCZERvy65sQ -GPLfiTGZE40wKILsnRKMM/wWBSIAAFhw+TICIAUQaDD5FgQgAhBgMAuAAMAg0Q8AABvy4Rzy35wQ -mxFj/8EAAABsEB6DJ8Cl/PLcEDAQWDDzMg4iAABpMFj++PpKEQIBcREgCjMIKTK+0w/5nPwgARA4 -MPl5OQAAEEAw+Xg4D/8QKDD1NsQiugA2ICkyuf8CAAIBavJQHPLJ/wIAAgFSdlAqwncpwoAszeb8 -wMErkAQ6oPbyrhgAIFZwKp0D+qyAIBQAfzAqnQEqrIAABov98rwSAABIcABJYQBJYQBJYQBJYQBJ -YQBJYQBJYSyhKf7ythAHEEgw/wrhJgEl7xCIoB3ysS8UGP4WACmABDog/RYCKAkASjCYESSgB/jy -rBQgASAwAEQRBMQCCEQClBQP6jAkPQOfFf3yphAwEHAwLhUP/RYIIAUQQDD/IgAgERBoMP0UNSAA -EEgw+RYLLSAQcDD5FgogbhBIMPkUNi+ABD/g/xYMLgkAR/D/FgkgWgRw8ClBAykUQIgrcYYFLCEb -LBUhKDK7KBYTLzK6LxYSLjK9LhYVLTK8LRYU2xD8CgcgAhBoMFud8okniZ4qkr4ABov7HHAkAMMC -oBrydYyijaGOoJ6wnbGcsoqjmrMsHHCJwv/CAyD+Alhw+sIBIAICQvCagZ+DmYKMwPyGACAiAkrw -AElhAElhAElhAElhGPJcGfJnGvJlKhYkKRYlKILsKTK7KRYnLzK6LxYm/jK9IAIQYDD+FikgBRBo -MPkyvCAiAlrw+RYoIgAAULALgAAY8kWNg/6CAiD+Alhw/4IBIGICYvCfwZ7CncOIgPjGACIAAFCw -/UkKIAICWvBb/MInFMslRQr6PQMgABAwMCYUwiYUwyYUxCYUxSYUxiYUxyYUyPYUySACEFgwKxTB -9hTKIP8QWDArFMD7FMwhAAJSsCigdymgdikUzigUz/qgdSD+Alhw+hTNIIICevCN8v7yASBiAmLw -nsGdwonzmcOP8P/GACIAAFCw/UkLIAICWvBb/KEpMsQlRQsmNrnwkQQAxAA6YIsnjb4t3QQs0AAA -eRoFmQMJyQH5CUcAABAQMPnUACAHADcgZJBBJTbEJja+0Q/AINEPJD0DY/5rLpK9KJK8KpK7+5K6 -IOACeHCb8JrxmPKe82P+dNogWzVFwCDRDwD2Nr4gABAQMNEPKrwQW6PdJTbE9ja+IAAQEDDRDwAA -AGwQBIYn/PIPEAUQUDD2Yg4gMBBYMFj+JIsrKSA5LEEB/SEbIBwAEvDApPAABmoJAFJw2pD48gUQ -LAAG8ATVEfAADWQJAEVwAAAAAAAAAMBQH/H/nzP+IAwgABA4MPsgDSjgAVQw+vH6GQAEPmD3NQIv -gAQ7oPw1AyoJAHbw/PH1GAkAXnD6mQIAMBBYMPk2ACAFEFAwWP4E+zwQIFgCYTD6LAABDhBAMPJM -GCQJAEVw9TYCIBACKTD+LAACAABpcFs2oixitiykB/ekKS+BEFgwK6Qo+kA2IgAAGrArQDcIqhEL -qgIqNC0KihQqNCwpQDgqQDksQgoImREKmQL5NC8h8AJjMPmJFAB0Alkw+TQuIGACUPBY9OiMSiw0 -BfyNFAIAAFFw/TQEIgAAWLBbgDcqNCsKjhT+NCogABAQMNEPbBAEhSeFXihSs/4KASAAEDAw+jQR -D/8QODD0VAgCAFF+EChCvytdBPkKDyIAY5YgKrAAwMT7vIAoAD/OkP/xthIAAEmwbcoKAJAECg0b -f9cDsZnHnwmcCQCRBADtGgXMCvfNAiwJAG6wLbSA/M0DIZEQQDAoxYIoxYPzdoIiAAAycP92gyBc -ADagJkbAK00D+7zoIgAAULBbMnkuUrPA8g/uAi5Wsy1Cv7Hd/Ua/IAAQEDDRDwAAAPP/zmIAADHw -jSD6CgQgMBBYMPzxlBIAAHCwWP2lJka/90bAIAAQEDDRDxvxj/zxjxIAAFCwWPoAY/+WHPGN90bA -IgAAcLD2Rr8gBBBQMP0iACAwEFgwWP2WwCDRDwAAAGwQBIUnhV4oUrP+CgEgABAwMPpDEQ//EDgw -81MIAgBRfhAoMr8rXQT5Cg8iAGOWICqwAMDE+7yAKAA/zpD/8XASAABJsG3KCgCQBAoNG3/XA7GZ -x58JnAkAkQQA7RoFzAr3zQIsCQBusC20gPzNAyGREEAwKMWCKMWD9HaCIgAAMnD/doMgXAA2oCY2 -wCs9A/u86CIAAFCwWzIzLlKzwPIP7gIuVrMtMr+x3f02vyAAEBAw0Q8AAADz/85iAAAx8I0g+goE -IDAQWDD88U4SAABwsFj9XyY2v/c2wCAAEBAw0Q8b8Un88UkSAABQsFj5umP/lhzxR/c2wCIAAHCw -9ja/IAQQUDD9IgAgMBBYMFj9UMAg0Q8AAABsEAoY8T+PK/zxPRAFEFAw+wowIgAAaLD+IgAuAEBH -8Fj9RYsrKSA5jEH9IRsgHAAS8MCk8AAGagkAUnDakPjxJhAeAAbwBNUR8AAGZAkARXDAUB/xIp8z -/iAMIAAQODD7IA0o4AFUMPrxHhkABD5g9zUCL4AEO6D8NQMqCQB28PzxGRgJAF5w+pkCADAQWDD5 -NgAgBRBQMFj9JycUCicUCScUCCcUBycUBicUBScUBCcUAycUAvwK/yACEFAw/BQMIQ4QWDD8FAAq -CQBdcPoUASABECgwJRQLmzImQDUoQDYpQDcpFA8oFA72FA0gMxBQMCoUICoUIY0RjhL/EgMgIAJg -cJ/DnsKdwYsQK8YAJhAeKBAdJRAf+RAcIgAAULD5FCIgIAJY8PUUJSBAAmBw+BQjIDACKTD2FCQg -EAIxMP1sAAIAAHFwWzWkJ6QpJ6Qv940UD4cQcDAupCgtpC79jRQAUAJZMP2kLSAQEGAw/Y0UAgAA -GrD9NCwgYAJSsFjz8i9BAcCg/AoYIC0AN+AorBgoNAX4iBQCAABZcPg0BCIAAFGwW389KjQrCokU -+TQqIAAQEDDRD/oKASB0Aliw+jRAIAYQYDD6NEEghAJQ8Fjz3cCo8/+2YCAQYDAAAABsEAQFVwr8 -8KYX4AQ94Pt8ViIAAFCwW3739qwAAAgAtqDALNEPlar6fEYiAABZMPpmCSCPEEgw+WUQIgAAYfDw -AxYAYAJBsPAIoACAAlGwWPPGiyLIuMAg0Q8AAAAAAAD6LAACAABZsPwKCiADEGgwWzutwCDRDwBs -EAb9CgAgIQA1ICNUAC1UAS1UAy1UAvAEFgAIAhFwAEJhwCHRDwAAKiLoLy0EL/ygevFeZGBb/vB1 -EgAAE3DwAAlgEBBYMAAAditEjOMp4gAn4gIo4gEoFgEnFgL5FgAiAAAgcPwWAyAAEEgwbboU/EAA -KgAgVnArsAixmfvJF3ACAiEwiqDTD/r5vHAQEFgw0Q/S0NEPAPvDBn//ECAwwEH9hxQP3wA1ICdU -Ai1UAy1UAfNUACAQAiKw8gQWAAgCYXDwDKIAAgIQsPP/tmAoAilwAGwQBocnFfBah36DUSZyvoRS -glD1UgMkDAA5oNEPInK6I3K7JHK8JXK90Q8AbBAShCeETihCvv8CAAQAkgIgGPBMiYKKgYuAmxCa -EZkSiIOYE4sTihKJEfcSACAgAkBwl4CZgZqCm4MsQuglTQQlXKD/AgAP/xA4MP8CAAYAQOVQwDD/ -8C0QYAIwcPAACWAAEFgwAABvMkUp8gAt8gMu8gL48gEgQAJQcCimAS6mAi2mA/mmACAQEEgwbZoU -/qAALAAgZvAt0Aixu/qsAS4AV+uQjMDTD/xZu3AAEFgwyTwDNgr88BwX4AQ5oPtsViIAAFCwW35t -9awAAA4AtqD3RvsgABAQMNEP3GDzpgogjAJZsPumCSCPEFAw+lUQICACSHDwCRYAYAJBcPkWGCBg -Alhw8AigAIACUXBY8ziMImXPu/osAAIAAFlw/AoKIAMQaDBbOyL3RvsgABAQMNEPLUK9LkK8L0K7 -KEK6mBCfEZ4SnRNj/tsAAAAAAAAA/eMGcgAAUfDAof0KBC9HADag/WQAIAAQWDArZAP7ZAEgABBY -MPtkAiAQAlMw8goWAAgCSbDwCaIAAgIY8PP/FGAoAjGwAAAAbBAIGPAEiYGKgouDmxOaEpkRiICY -EIVC/PAAEgAAaLD1VQoABRBQMP5VEQAwEFgwWPwFiyspIDmMQf0hGyAcABLwwKTwAAZqCQBScNqQ -+O/mECIABvAE1hHwAAhmCQBBsAAAwGAf7+2fM/4gDCAAEDgw+yANKOABVDD6790ZAAQ+YPc1Ai+A -BDug/DUDKgkAdvD879gYCQBecPqZAgAwEFgw+TYAIAUQUDBY++coGhAIaAL4NgIgMxB4MC8UEC8U -ESgQDy0QDf4QDCIAAFCw+RAOICACYHD5FBQgIAJY8P4UEiAgAhkw/RQTIgAAcHD4FBUiAABo8Fs0 -fSekLSekNSekMSekLyekKfekBiABEHgw/6QuIgAAErD/pAcvjxBwMP4kMCACEGgw/SQrIAUQYDD8 -JCogOhBYMCskKAeKFCokLIlCKiQ0+SQ3IEACWTD5iRQCAABhcPkkNiBwAlCwWPK+KFwQ+CQFIgAA -UPD4iBQAEAJhcPgkBCIAAFhwW34LKiQzCokU+SQyIAAQEDDRD2wQBIYnhm4kbQMlbQImbQT2YAAh -AAI5sCMKAAAwBAYIG3+HOylBgrCZCQlP+UWCIDAAtmApcIDwMQQAARBQMPCqGg//EFgwC6oDCpkB -KXSAKFKD2zD8UoIiAABQsAuAAPVcDCACAhjw+TSvYBgCITArcIDJsRvvfvzvfxIAAFCwWPfvwCDR -DwCKJyqsEFuhOcAg0Q9sEAiIIiwgB4owGe98/AxBAgAAMTD1gVtrAAFQMC2SSvvveBGAADdgKJJJ -K7J/C4gB+4wAAXcANiAY73IogID8xxEAbgJCMPhIFAYAIE3w/XI6KAAgIjCkjrPu/wIACgCW91Ad -72kpcjkNzQot0pcNngH+FgIuAItuUCggFC4gBKSI+AlHAgCvg6CaE/kkFCwAvhJgH+9eHu9eKSEH -jRL7710QHgJCsPhIFAlAAUww/JkRAAICQjD4SAwICQBecCnWACwiAPj+OQAgAkDw9QtHACACS3D6 -1gMtgAQ7IP7WAiwJAGEw/NYBIgCDGuD4QQ1h/gJRMG2pBQAIhgBJYcBQiRKwS/ogBCvABD7gq5ko -nBD8jAACAFaCoIYyJiYcijH4FgAiAABaMPkWASIAdYaQwID4FgQgAIEuoIwRDI0Rrcz8zBAmACBB -MMlH2iD7PAACAABpMFs0AaZO/nY5IgAAEXDRD/Z2OSIAABFw0Q8AAAAAAAD6LAACAABY8PxMAAIA -AGlwW6P70qDRDwD7zBgiAABQsPwKASAGAmowW6cwY//RAADz/olgABBAMPosAAAcEFgw/AoBIAEQ -aDBbpyhj/7GPMPgWACECACvw2iD8CgAiAABaMFucr4wQs0bz/2hgYAJjMCgkFGP+qAAAAACKJ/sK -ASIAAGJw+qwgIgAAaTBbnsjz/vhiAAAqsNogWySXihNj/n0A2iD8bAACAABaMFsmAIsQ2KD6MgEt -wAQ6IPP+/moAIGbw2iD8CgAiAABpsFsl0ogU8/7vaAAgQrAAbBAGFu7xGe7x8vQTAgAAKLD2IG1g -ABBQMGZABGRBDMChZKB3AjoRpqorouQY7ukopusf7ugvpuYsooEe7ucOzgIupoEd7uYNzAEspoH/ -TAEqACBdcPi4EQoAU6rQKKbhAYQEC/IYIqbiD49XL6bjLqLkLaLk/KLlIAAQEDDRDwAAAMe/C0sD -sbwCyzjyDQYAAASu4GSw9MChZa+H9+7RE+cQYDD2II9gABBQMGRAzP0KASDpADagwMD7XAACAABR -MFj7QPGEBAuABD7g/AoBIEAQODACOhH97sMaACAysPmi6yAAPKSgDZkBKabrAM4RDn4CLqbp+6bq -IAAQEDDRDwi9ES2m4QGEBAtMGCym4gSJVymm4yii5CKi5P+i5SAAEBAw0Q8AAAAAAAAA/wIAC/94 -klBj/ufH7w5OA/ULBgACAnuwBf44/fr/IQkAN6BkoRHz/2Nv/xBgMAAAH+6jD5kCKabrAM4RDn4C -Lqbp+6bqIAAQEDDRDwAAAAD/AgAL/5evEPP/J2ABEFAw/wIAC/+E6lBj/v8AAAAAAP3ulBIAAFlw -+kwAAAAQYDBY+wX8CgAhIQA2oGTA4f0KASAAEGAw+1wAAgAAUTBY+v797ocSAAAisPW8AAAAEGAw -WPr5+xYBIgAAOrD97oISAABZcPpMAAAAEGAwWPry/RIBIgAAYfBY+v4tOujxhAQAABBgMPuqGAuA -BD7gWPr4+rFsYgAAOvDAoQVbAvoWACPoEGgw/AoAIgAAUTBY+uL8CgAj6BBoMFj67YwQAYQE8/6E -a4AEPuD/AgAL/3vfEGP+8gAAAAAA/e5kEgAAWXD6TAAP/xBgMFj60/wKACBkADagZMBEx9/z/zpv -/xBgMMRx8/+UYP8QUDAAAAAAAAD9CgEgABBgMPtcAAIAAFEwWPrF+u5MEgAAIrD6FgEiAAAq8PP/ -JWAAEDgwx9/z/9dv/xBgMAAAAP8CAAv/b7rQY/7ad7ucY/+cAAAAbBAMEu43H+5CHe45KjAIhjD7 -7kAQARBgMP4KACAWEEAw9gZDCgATwpAY7jsIqAqIgAqAAAAsIoENzAIsJoECahGrqimiwA+ZASmm -wNpQ+zwAAAAQYDBY+EDAINEPAm8R++4iHgAgF/Ar9usa7iAq9uYu8oEN6QIp9oEY7h4I7gEu9oFj -/8WKNYg0AmkR+KsRCAAgFnArluEBhAQKihgqluIIiFcoluNj/6ECahGiqiqi5Jo1njRj/5KMNI01 -AmsRorsttuEstuIutuNj/30nOQUvOuf3FgIiAABrsPf0EwABhS3gZENEZNRJ/QoBIAAQYDD7fAAC -AABRMFj6ePGEBA+ABDrg/QoBIEAQSDCIEgJvEfrt+h4AIBfw/PLrIAF4piAKygEq9usA2xELmwIr -9uku9upj/xQAAPo5BSIAAFmwW/71Y/8EKzkFx48LjDoLzR0vOugP3Sj9/hMCAABTsP/t7xK4ADeg -ZKCD+rwAAgAAWbBb/ulj/tIc7b0twn4swoCm3QndEfkxBSwAIGswKcUILDAJAsoRq6ouptAd7eAM -DEANzAIAzBEMmQIpptFj/pkpMQYCbRHy7b8aACBfcC2ywBTt2R7t2fSQHGoAQHtw/+3UEAEzhmD3 -7dUSATuCYP8CAAIA54ZgKrbAY/5cFO3RnBybEp4b/RYKIAAQSDD5Fg8hVxA4MPAAUGAAEBAwZOJo -+0wAAgAAULD9CgogABBgMFj6PPS8AAIAABKw++3CEAAQUDD9TAACAABgsFj6Ndew+hYPIAAQUDD6 -IwpwABBwMMwgyEDA4WTgR4saKhIL/UwAAgAAYLBY+iqbHpod+QoAIBgANqCMH8qWwOD97a8fjgC3 -IHfThmAAD2+06PP/5WABEEgwAAAAAADz/3BgARBwMPvtpRAAEFAw/UwAAgAAYLBY+tmPHo4djB8A -JAQP7hj+FggiAABp8Fj6Eo0ejB2IGJgZWPoBmxYrEgn6FgciAABpMA8CAPv6EwIAAGCwWPn68IQE -AgAASrD6ihQD6BBoMPubGAAAEGAwWPnzjBaOF6vP/PsGfgAgU7Cx7ogaihsPAgAPiwz+qgwKAAPa -ECqs//4KASAAEGgw++04AAAQYDAK7Dh9wBHwACdgABBYMAAAAAAAAAAX7Xf8CgAgeRBoMFj53QHk -BPQsGA3gBD0gWPnojByNGQvLKA3MKPu9Ay0YEHgw+7xALADM+xAZ7VT/zQMvIAQ5oPC4EQHQAnvw -+e4IDgkAR/Av5ucn5uhj/JgAJPblJ/bnAGEE8MkaACgCUbAAoQTwyhoAIAJBsACBBADIGgqIAgmI -AgKIAij26PP+A2oJAHNwx78LSwP+vAEiAABrsAfrOPcKBgHuADbgZNH2x9/z/Odv/xBgMBrtPPP9 -D2oJAFMwAAD/AgAL/qRv0PP9QGABEFAw/wIAC/5bv9Dz/K9gARBoMCT25QBhBADIGgKIAij26PP9 -mmoJAHNwACf25ylsFACRBPDKGgAgAkGwAIEEAMkaCpkCApkCKfbo8/1xagkAc3D77S8QABBQMP1M -AAIAAGCwWPpjiBqHGx3tKQAkBPh3GAAAEGAwWPmclxmNGowbWPmMmxWLGf1MAAIAADqw+/oTAgAA -YLBY+YbwhAQCAABysPqKFAPoEGgw++sYAAAQYDBY+X+IFauP+PsGfgAgUfCx7okaihsPmwz+qgwK -AANaULCq/goBIAAQaDD77TgAABBgMArsOP8CAA//Im8QF+0HY/4qAAAAAAD6EgIiAABZsFv9+mP7 -GQAA/ez0EgAAWfD6TAAAABBgMFj5ZR7s7PwKACEeADagZMDe/QoBIAAQYDD7fAACAABRMFj5Xf3s -5xIAACKw97wAAAAQYDBY+ViaFJsT/eziEgAAWfD6TAAAABBgMFj5U40TjBRY+V8tOujxhAQAABBg -MPuqGAuABD7gWPlZarF0+xYAIAEQYDDbcPwWASIAAFEw/AoAI+gQaDBY+UP8CgAj6BBoMFj5T4kQ -jREBhATz+yhvgAQ64AAAAP8CAAv/CVfQY/4NAAAAAAD97MQSAABZ8PpMAA//EGAwWPkzHuy6/AoA -IGEANqBkwEDH3/P/Om//EGAwxJGZEPP/i2D/EGAwAP0KASAAEGAw+3wAAgAAUTBY+SXXsPvsqxIA -ACKw+xYDIAAQUDCaFGP/IMff8//Yb/8QYDAAAAAA/wIAC/9xctBj/t1+u59j/58AAAAAAAAAbBAE -GOyu0w8kghdkQPn57HcQABA4MG0IMyqSbSaC56p6CaoRqmaGZ4Zu9CAiYAICOfArYqr8Yq0gLggS -8LDMLGatLYIX/wIACgBf6dBj/8X+CgEv7gA04CViuixiu4sxijAMvAz1pQwAABB4MPXvOAAAECAw -/OQ4AAAQaDD8YrwuABOn0I8yJWK9hDP8/wwAABBgMA/sOAVEDATtOH3ABy1ixrDdLWbGJWLKLGLL -9aUMAAEQcDD8vAwAABAgMPzkOAAAEHgw9e84AAAQWDD/AgAAABBQMP8CAA//tCfQL2LNJGLMjDKN -MwTMDA/dDA3rOAzqOP8CAA//pV6QKmLWsKoqZtZj/zoA0Q8AAGwQCCQiGBzsayMgB/1ABCAFEFAw -/kIAIDAQWDD/QAUiIAEcMFj4TSlABSgKcnmDA8Ag0Q8c7GEAZY6PSC1ABI5A//IAIAUQUDDzFgAg -MBBYMPYWASTgASgwWPhA+iwAAAgQWDD9HBAiAABg8FumO/3sUxBBADagjCDA4P6mASwJAGswnKAb -7E4MORH4EgQoACBecPiWACMyADkgiif7CgEgABBgMPqsICABEGgwW5vrwCDRD9og/OxDEgAAWbBb -pgnAINEPAAAAbBAIE+w/DwIAJzKLlxYnchb87DwQBRBQMPsKMCIAAGnwWPgc/wIAAAEKJeCEFvUs -OCAAEDAw9RYFIMACITD0FgQgABAoMPAAUm//ECAwx40I2AGYMok4ypX87CsQBRBQMP0yACAwEFgw -WPgKizmNOJ2wjDj7xgEgABBQMJo4mjmIL/8SBSBAAnDwnoCfOJg5ni+xVf8CAAYAoC3Qihb6ohUg -AgJZMFubeRjr2xPsFSiCbiMyhaqICYgR/OwUEgAgRPAvMAX6MgoiAAAisI4wiDyKoJoQiTL5FgEg -MBBYMPkiACIAAGkw+RYCKAABQDD4FgMgBRBQMFj35486/jAFIMgIE/ApCpZ54VkqCpd64VMrCpp7 -4U0sCpn84V9wAgIxsC0KmH3hVC8Km3/hfI0yZN8i/wIAA/+Me1AY6/X/AgAP/4puEGTQ68CwbQgQ -LvoAfeAwDY0U9NBCYBACWvBj/+gAAAD9MgAgBRBQMPzr6hAwEFgwWPfHY/8XAAAZ6+eZO2P/qMeg -faAMDU0U8AAGYAgCWvCxuw0dFGXf9/o8AA//EGAwW6JbY/6qizz/AgAB/77+0IoUKzISWzEELDEr -KjYTHevW/TYLIAYCYzAMLBIsNSpj/1YAAIkV+CIOIAUQUDD8688QMBBYMP0iAiABEHgw+YgMAAAQ -cDD4/jgCAAB5sFj3pGRgQBvrx4oie6AECixTycYrIAfaIPsLQQABEGAw+7wYIAAQaDBbo6nRDwAA -AAAA8/9kYAAQWDAsLDicFfP/k2AAEDAwwKX867cQMBBYMP0iACAEEHAwWPeO+iIQIAQQWDBYICbR -DwBsEASKN6VC+qIOIAAQYDAsJAAjQADDmvsKLCYAlEzQZDE6BEUCbQgQI1ABsVfVcPkxCXIAAEHw -yDFj/+gpcAEsdAD7kSBwABAYMMmYwDBtCBEnUAKxM/txDHACAilwyHRvNQJj/+eoO/y0ASBVADTg -+QpgIAAQODD1jAAAehBgMPsKOSAvEEAw0w9tOjAjUAFziwxzswnwABlhoAIY8AAAc5sMc8MJ8AAJ -YVICGPAAACM8yQd3CvN3CQACAilwYAABwHD9CgAgABBgMPAAHGAuEFgwLpAA9JwAAAICQnD8XAIE -BQByMLHdaNQ9I0AA0w8PAgD0P+9tgAQ7IPsxOnAAEEAwwFBtCB31VQoAAgJCMPNVCQgAICYwI5AA -9D+0YaACKXB7Maxj/9ssppImrQL3ZSIgABAQMNEP2UDz/5RgABAoMCVAASxEAPtRI3IAABswyVvY -QPP+82IAACkwJUABLEQA0w/7UQdyAAAbMGVf4vP+8mIAAEEwbBAEG+tRCzsLI7CA2iD7siEiAABg -8FjuKhjrTP3rTRvQBD0g8joIBAAgQvD0QIAgPRBgMCykAPqsASoAIG7w+7LBIgAAYTBY7h6kOfKb -CAAAEFAw+rQCIAQCEnDRDwAAbBAEhiDwMQQAARAgMABDGnYwBMAg0Q8ABjMC8yYAIgAAEPDRDwAA -AGwQFoI3GerVHOsxgi7wCQcAQAJAcABIYSQtBCktAy+Qfi6QfS2QfCuQf/sWACEAAiEwKkAAmhEo -QAGYEitAAvsWAyEAAhpw+EADIAUQUDD4FgQgMBBYMFj29BzrHS9ABi5ABS1ABChAB5gQK0AImxEq -QAmaEilACpkT+EALIDAQWDD4FgQgBRBQMFj25ykw2CkUNPQw2iBwAlBwWzJ+Khw4/AoBIGgCWHBb -MikpLQUpnIAqkF78CgAgGQA2oG0IDCqQX7HM9KAJYAICSnBj/+wAACstBfocOCG8AlrwWzIc+y0D -IgAAYTD6HDggtgJa8FsyF/ocOCBAAlhwWzHJ+woQIEACUHBtug8soAArMPyxM/vJC3ACAlKwwCDR -DwAAAPyzBn//EFAwwKFkr+vAovzq6RAwEFgwWPa8wCHRDwAAbBAGKCAAw5D5iSdyAABY8CogAcXI -8+qFFgCU5pAtCnj/AgAGAI9ukMTifqEKLwpif6EExirRDwAsIAL2CgAiWwA3IMPt+xYCJgFf9xAZ -6tL6zAAAABAoMPkWASAAEHgw95AAIAAQIDAKDUf9cS9wABBQMBvqyvuwgCIAAGLwDwIA0w/TD20I -EvSwmmACAlKwK8CB/bEHcAICYzBj/9+JEamp9JCAaxAQaDADmQytmWaQc/r/EQACAilw/58CBFwB -OWCIEv+JFAAGAiEw/4QCK/ABeDD6hAAgABAoMPmEASAGAkIw+BYCIAAQeDCxZqJrLLAC+swAABwA -NyD/AgAP/7TzEMjMfqkdKrAD9a/2YAICWvBkUYX/AgACAQMBYP8CAAIBBwVgxkr6CgUgMBBYMPzq -nBIAAGkwWPZr0kDRDwAAACwgAvTqlxIAADLw/SwCKzAQUDD5CgAgKgA3IG0IDC4gA7GZ9OAJYAIC -ELBj/+wAAAkIQAgPBgmPO/8CAAAAkofgwCAr0ADLvP9AgCIAACtw8hYAIAAQODALDkf/4V9wABBQ -MPtAgCIAAGEwbQgS9LASYAICUrArwIH+sSFwAgJjMGP/5sYq+goFIDAQWDD86nYSAABosFj2Q9EP -AAAA86kICzAQcDB+kdpmr9crUAFkv9FqqxTwABNh9AJisAAuujB+Mb8rUAFkv7ncoPsORwIAACsw -/+FOcAAQUDD7QIAiAABhMA8CANMP0w9tCBL0v5JgAgJSsCvAgf6xCXACAmMwY//fAADzqwgLMBBg -MP8CAAf/uWbQZq9qaqsU8AATYfQCYrAAAC66MP8CAAf/q/TQ3KAMWBH3EgAoACA18AjIAviUACAC -AhCwBycMDXUJK1AAZb8CY/8twEDGmgSUOGP+gyLQAC5AgPLhOnAAEGAw30Bk7w4u8IGxzPLp9HAC -Anvwo87/AgAH/35XkPbO9GACAmtwassY8AAVYfQCYzAAAAAAAAAA/wIAB/9tVNCx3fy0ACACAjLw -8/6HYAEQEDAAAAAAAAD6zAACAABYsPUKACAAEHgw8/3pYAAQIDCJEg9IFCiUAPP/cGACAiEwixIP -KhQPrBQstAAqtAHz/1pgBAIhMAAAbBAIIyIY0w/TDy8wBSQKcv4yACoAPnkQ+goFIDAQWDD86hES -AABo8Fj13S8wBSgKc/TxFHAAEBAw+Qp1JgB3R9D/AgAGAH5P0MCl/OoHEDAQWDD+MgAiAABo8Fj1 -0cosgzjTDyUyGBzp5CIwB/1QBCAFEFAw/lIAIDAQWDD/UAUiIAEQMFj1xihQBXhDPNEP/SIAIAUQ -UDD86fUQMBBYMFj1vy8wBfkKcCHIBCPwefHc+jIIIAAQWDD8CgAgAhBoMFuIZNEPAAAAAAAc6cyP -WC1QBI5Q//IAIAUQUDDyFgAgABBAMPgWASAwEFgwWPWs+jwAAAgQWDD9HBAiAABgsFujp/7pvxBR -ADagjTDAwPymASwJAHdwnaAb6boMKRH4EgQoACBecJiQ0Q+COC8KdC80BS8kBS8wBfP/DGABEBAw -iTgoCncoNAUolAUvMAXz/vZgARAQMADaMPzpqxAAEFgwW6Nx0Q8AbBAOKCAFKQqV9QoAJgCxzhAp -MhL56lEAKACucPnLUQAAuAag/wIAAAC0BuCEJ4ROJk0CJWQRhzD3RocgARBIMClkEiwgbhfpsPZs -gCAAY4cgiSwa6Vr8IhEvkhBoMC0kBSwmFPzpqh/9EFgw+K3mKABAXnCZLCqidylycCiAwfVkkSuQ -BDqg+ulQGAAgVnD+IgAgBBB4MPwWBiAeAH4wKZ0B8AAKYQACSnApnQMpnICaEPjpRB+ABDug+BYC -LgkAe7CeES2QByuRKQ0NQQDdEQ27Agy7ApsUCuowlRcpTQKaFfcJFgBAAlBwAMqKBAmIAIqKAgmI -AEqKAAmIAAqK+iwAAgAAWHD8CgQgAhBoMFuUm9EPKkKQ0w9krzQc6VmLNwyqKPoWECAgAlrwKxYR -Wy6FLBIQHejfHul2jzD6EhEiAABasFsuPB/pQS4yEo03D+4C/jYSICACG3DaMFskDPMWEiH/dp6g -8AA5YgAAUPCKJyqsEFua34ssx871JTUqAEBm8JssY/6HAAAAAAAAAPo8AAAAEFgw/AoAIAIQaDBb -LnzRD1skGhPpWwuoEfSgNmIAIETwDOowKzKFi7Cwo/y7CAIAAFDwWPdPKnKY8DEEAAEQWDAAuxoL -qgIqdphY94Vj/msAAAD6CgcgARBYMFubgywyfyw2g2P+VGwQCpIY9RYJIAIzJSDyCgAgABAwMPAA -SGA9EDgwAAAAAAAAAP8CAAYAlj7QwNAmbOr7+uogLAIhMPwKACAAEHAwjxkqEggLawz7OwgOACB8 -sFswn6KiZiEd/wIAAACNJSCjbyvwAGS/uv8CAAYAc77QakG32/D9CgAkACB9MG0IGi6wAbHa/awA -AAICYvD7zAAADwA3oHfhCnXKBGP/3gAAd+mJKMABwLD7xAAgAgJysPSDn2ACAmMw/wIACAGrp5DA -4G0IE7HureuvuSmQAfSQCmACAlLwdKoEY//lsboEqQwJujj5rAEgABBYMPlEDAgAIHqw+4QAJgAg -MnD7nAAD/6AnoGTigC/AAGTyehXo8yVS25kQ+lAAIAFdJ6D/AgAOAVl6kPUWAiAAEFgwbQgfC+kM -+7wBIAEohmCKEmqSN6y19VAAKgAgUvAqoAB1qTZj/9ko8AH5CgAgAgJj8Pn0ACMBADYg/wIAAgEU -oSDz/05gABBoMNEPihKstfVQACoAIFLwKqAAixD/FgcqAApVUPWjDnABEHgw8AAGYAAQeDDH/2Tx -4RXozSVS18Dw+lAAIAAEp6CIF3ihBIUXYAAplRYP6Qz//AEgAN+GYPoSBiIA9yJgrPX1UAAqACBT -8CqgAP/pDAG+BFFwelMP9aMOcAEQeDDwAAZgABB4MMf/ZPGFFei2JVKdwPD6UAAgAASnoIgXeKEG -hRdgACsAAJUVD+kM//wBIACwhmD6EgUiANYiYKz19VAAKgAgU/AqoAD/6QwBvgRRcHpTD/WjDnAB -EHgw8AAGYAAQeDDH/2TxJxXoniVShcDw+lAAIAAEp6CIF3ihCIUXYAAtAAAAAJUUD+kM//wBIACA -hmD6EgQiAK8iYKz19VAAKgAgU/AqoAD/6QwBvgRRcHpTD/WjDnABEHgw8AAGYAAQeDDH/2TwxxXo -hiVStcDw+lAAIAAEp6CIF3ihCIUXYAA1AAAAAJUTD+kM/wIAAAICe/D/AgAAAEyGYPoSAyIAhCJg -rPX1UAAqACBT8CqgAP/pDAGuBFFwelMP9aMOcAEQeDDwAAZgABB4MMf/ZPBfFehsJVKfwPD6UAAg -AASnoIgXeKEIhRdgAC0AAAAAlREP6Qz4kTlgAgJ78PoSASIAciJgrPX1UAAqACBT8CqgAP/pDAG+ -BFFwelMP9aMOcAEQeDDwAAZgABB4MMf/Zfy3xy/RDwAAAAAAAAD/AgAAAG4FIMCRr577nAEgABBo -MP3kACYAIDLw+0QMAAAQcDDz/IVgABBoMIoWrPX1UAAqACBT8CqgAGP+GAAA8/2wYgAAK/CKFaz1 -9VAAKgAgU/AqoABj/lqKFKz19VAAKgAgU/AqoABj/qiKE6z19VAAKgAgU/AqoABj/v4AAATrDAuu -ONng/5gIAAICWnD7RAwAABBwMP6EACYAIDLw8/wJYAAQcDCKEaz19VAAKgAgU/AqoABj/yIAAAAA -AAD06wwCAABLsAupOGP/uQAAaEEU8/8zYAEQSDDAINEPAPP/JmAAEEgw8/8eYAAQSDBsEBQoMAQs -MAUkIhD7MAYpgAQ6IP0wBygJAGIw+kIHKYAEOiD56B0YCQBaMPwqACmABDog+qIOKAkAajD6Fhwo -AEBKMPgWGyAAEFgwWOrpKDAB9jAkIEcQcDD4CUEG5wFEMPhrQAQiAUQw+YckcgAAeXArFhr5Fhkh -jwA14MCi/OgHEDAQWDBY88zwAL9gRxBwMCsWGvkWGSFvADXgZFKf/wIAAAFQhWD5EhsmAFD30Coi -EgP7EQuqAvomEiA5ADZg/JwAAgAAULD9EhwgYAJY8Fv+qvegIGIAAEqwwKL85/AQMBBYMFjztPAA -YGBHEHAwAAAAAADAkPkWGCJcADWgLPqH+yIYIACFBaCOQP0iACACEFAw/LR0IgAAebD85+EQMBBY -MFjzpP0SGiIAAHHw/BIZIgAAeXD8FgAgAhBQMPzn2hAwEFgwWPObxOcd53sq0ncp0oAY53z93eYr -kAQ6oPoSHCgAIFZwLdDBH+fI+60CIAQQYDD+tBEgHgB/cCmdAfAACmEAAkpwKZ0DKZyAi0Ad52yf -HvgWCCuABD7g/RYKKgkAZvCbGSiQBy2RKQgIQQCIEQjdAg/dAp0cDOownB35rQIgABBYMPsWDyCA -AlBwBgmIAMqKBAmIAIqKAgmIAEqKAAmIAAqK+xwgIgAAUTD8CgQgAhBoMFuSwNogW/2GwCDRDwAA -8/6cYgAAeXAAjSCOQPy0dCACEFAw/OejEDAQWDBY82TzIhggARBwMC5GEv5GEyAAEGAwLEYQ/EYV -L5UQaDAtRAUvMAUsRhT8RhEgchAgMP4yACoAnvkQ+goFIDAQWDD854USAABo8FjzUi8wBXTxFSgK -c/8CAAYA/8fQKQp1/wIABgEFT9DAIMCl/Od7EDAQWDD+MgAiAABo8FjzRWQg64U40w8iUhgc51gj -UAf9IAQgBRBQMP4iACAwEFgw/yAFIiABHDBY8zooIAX/AgAKAF7BEBznTo8ojiAtIAT/8gAgBRBQ -MPMWACAAEEgw+RYBIDAQWDBY8y76XAAACBBYMP0cECIAAGDwW6EpZKHKHOdAi1DA0P2mASoJAGbw -m6AZ5z0MOBHyEgQoACBKMPKGACAAEBAw0Q8AzJxj/gdkngT/AgAB/wCGYC8SGWP9UQAA+iwAAAAQ -WDBbk6csIhIM2UH/kmNiAABasC4SHC0SGC2lCp6k/udNEIAQUDD+tgIqCQBTMComEo8iZPE7wCDR -D/0iACAFEFAw/Oc5EDAQWDBY8wMvMAV08eIoCnB48dz6MgggABBYMPwKACACEGgwW4WpwCDRDwAA -/wIAA/6qHmAa5zcuIhgt+ov95HQqCQBTMComEikwHC0wHfowHimABD5gDZkC/TAfKYAEPmD6QhIo -CQBWcAiZEQ2ZAnqZBLGsLEYSLjAgKDAh/zAiL4AEO6D4MA4uCQBDsPkwDy+ABDug/zAjLgkAe7D9 -QG4pgAQ6IPjuEQgJAEow+EYQLgkAe7D+RhMgTgC3YMefmbQvIhL+5xQQgBBAMP62Ai4JAEfwLyYS -Y/8QizgqCnQqNAUqtAUvMAXz/gBgARAQMI04LAp3LDQFLNQFLzAF8/3qYAEQEDAAiif7CgQgABBg -MPqsICAEEGgwW5Z++kwAAgAAWLBb/S3AINEPANog/AoEIAMQaDAL4ADAINEP2lD85s8QABBYMFug -lcAg0Q8AAGwQDB/m6BzmkI40jTYrwoAqwncozeb4gMEtYAFsMP0WDC4AQHuw/hYJK5AEOqD/hw96 -ACBasCmtASmcgJkaYAAHLa0DLdyAnRolEgwkwm8nMQ/2MgkkACApMPUyCCWQBDkg9RYIJAAgIvD1 -QgkgABBwMC4mDi4mDy4mEC4mES4mEi4mEy4mFC4mFS4mFi4mFy4mGC4mGS4mGi4mG1uJ2Rzmyo0g -/aYAIDAQWDD+IhIiAABCsPgmByAFEFAwWPKBG+ZSLCAHH+bBjhr9UAcgABBAMCglGygkIihQDP7h -BywgAWww/1ANLAAgf3At0IAuJQcoJAz/JA0g+xB4MP/MAQ3gBD9g+BIILAkAazD8JAcg/BBoMP3m -sBwAQGswKlAHliwmJhSYK5gp+CYVIBgQeDAvJAT/5qcaIAFQMPyyqSoJAGKw9iYTIAgQcDAuJAX6 -JAcqAAZj0AxuDK/uLiYULtJ9L7KrGOadLNKA+dKCLgAgd/D+DgYB/gJ78PDABA4AQHuw/g4bAAIQ -YDD/Cv8uAQBjsPfMQw4DAEuw+MwJDgMAe7AuJCMswa4szNj+Fgst4AFgMPwlGiAaAGHwLMz0DAxP -LCUa+1AWIIAQcDD15oQeGAC7IP4lGiCAEGAwKyQWKNJ9+FU2ACYEevAKCkFbUdksIRoKVTYMVTcM -WCwIyBz4JRsiAABQsFuT7oUYHOZ1jScuIAQvIAWKG5oQ+SIKIDAQWDD5FgEgBRBQMFjyJhzmbY0c -LhIJJCYY8kYIIHACULAqJg76Jg8gcxBIMClEBSkkBS8yBCYWACUWAShABScWA/gWAiAwEFgw+CIA -IAUQUDD4FgQu+AF8MFjyEvzmWxAFEFAw/UIQIDAQWDBY8g2KJ8Cw+qwgIAEQYDBbmTeMTRvmU/um -ACAPADcg+0IQIgAAULALwADAINEPAABsEASKJyqsEFuXro4syeH6LAAAABBYMPwKACACEGgwC+AA -wCDRDwAAbBAEiyspIDktIRv0tgxwBBAwMPAABmoJADJw2pD45dgQHgAG8ATVEfAABmQJAEVwwFAc -5jYvIAwuIA36C0cAKhBIMPC7EQAAEFAw+TUDL4AEP+D6NQIuCQB7sP67AgAFEFAw/OYrGgkAZvD7 -NgAgMBBYMFjx25Uy+jwWIHQCELD8CgYiAABYsFjo2StAESxADSlADC1ADypADihAEP2qAggJAGZw -+4gCCAkAVnAJiAL1gBRgIAJQ8PvmFxAGEGAwWOjLYAAMAAD8CgYgGAJZMFjoxxvmER/lYvY0IyAG -EGAwLDQiLkIA/UICIAEQSDApNB//NCEgABBAMPg0HiAIEFAwKjQcKzQdLTQvLjQlDY0UDo4ULjQk -/TQuIAgQcDAuNCANjRQtNC39jRQCAABYsP00LCBMAlDwWOitKkAXK0ATKEASLEAVKUAUL0AW/JkC -CAkAWjD6/wIICQBKMAj/Asj8Kjww/AoGICQCWTBY6KCNQS00OQ2NFC00OA2NFC00Nw2NFP00NiAA -EBAw0Q8AAGwQBhrldC6ibSqigMCw+e4RAAUQYDD+qggCAABocFjwMMDj/iQBIAAQaDD9JAAgARBg -MPwkAyAAEFgw+yQCIAgCULD7HAAABhBgMFjohcAq0Q8AAGwQBo0hiyD8CgEgABBIMP3JOAAAEEAw -C8g4eYAYiDGPMMDg+M44AAAQUDAPyjj/AgAIAFbykBrlhimiF2SQnyii1P+i5yAAECgw+Y4IAAIQ -YDD5ihEPkAQ7oP+qCA4AIHuwj6fTD/ryDiIAABKwbcpaKKK+/woBIAAQYDAPAgD5CgAgQQA2ICii -uyaiug8CAA2IDAtmDAb5OAj8OPiivS4AEmZQhjCHMSyivAeIDPbMDAAAEEgw+Pk4AAAQQDAM+Dh5 -iB/6rEAgAgIpcCotAfqsgCAAECgw/qmHcAIQYDDAINEPABzllS4gOY8glhL7FgAgBRBQMP0WASAw -EFgw9xYDIgAAa/BY8T2VQNEPAAAAbBAgHOWK/TESIAUQUDD+IhAgMBBYMFjxNSoxEvflARAAEFgw -9eWEEAEQIDD2KoArYAFQMPzlfxIAEiKgesIcKSIQDwIADwIA8rwAA4gANmAtkRsNDUsK3QwNkjj+ -UocgDwC0oMDA8AAqYBYQIDAALuIrDwIADwIA/wIAAACl/5CJJ4meL5LWLJ0D9PFWYEACYzDBQB3k -9ylSgSrSdy3d5i3QwQmqEfAHBwgAIFZw/9cKegAgMnAqnQEqrID+5O4QwAJIcABJYQBJYQBJYQBJ -YQBJYQBJYQBJYS2hKRXk6B/k5vgK4SYATndQiaD/FhogBxBwMPUWGCmABD5g+BR4KAkAdnApFhko -oAf55N0YIAFAMACIEQjYAgmIAigWHAXqMPUWHSAwEHgw/xU/IGcANOApHH/yAxYAAgJKcABJYwAD -hgBJYfQUliArADcgLcETLRSgiyv+IRsgDgAG8C4VUYvDKxYricIpFiqIxSgWLY/ELxYsKxxg/AoH -IAIQaDBbkCzApfzlLRAwEFgwWPDX0kDRDwAY5LwoFiD9IgAgABB4MC8WI/8WIiAFEHAw+xSVLYAE -P2D9FiQsCQB3cC0WIWP/gQAAAADApfzlHBAwEFgwWPDG+woAIAAQYDDz/rRgJhAgMCqSyXynEy2S -zi3c/A20OWRB0/P+mmAAECAwLpLOKRY0/BY2IADHp6Avkr75FjQkAOSD4BjkjomCioGLgJsQmhGZ -EoiDmBMAB4uME44SjxH4EgAgIAJocJjQn9Ge0vzWAyBAAkhwAElhAElhAElhAElhGOR2GeSCGuSA -mRkpEjSaGCiC7C6Sy54bLZLKLRYK/JLNIEACWHD8Fg0iAABQsPmSzCAFEGgw+RYMIAIQYDALgAAt -EjQZ5F8t3QOIkY+S/pIDIIACYHCew5/CmMEtFjWJkPnGACIAAFCw/dkqICACWHBb7twkFFv8EjQg -ABB4MC8UWi8UWS8UWC8UVy8UVi8UVS8UVP8UUyD/EGgwLRRQLRRc/RI1IAIQcDAuFFH/FFIv/xBw -MP7VKiwAIDMwK8C2KsC3KhRfKxReLMC1/BRdIKACSHCIkf+SAiCAAmBwn8KYwY6TnsOJkPnGACIA -AFCw/dkrICACWHBb7ropEjQsEjUrktTHr/rFKyAAEEAw+JbJIFYAOuCNJ4/eALEEL/0ELvAAAEsa -CrsDC+sBCwtH+/QAIAcAN6BksGYqltTAgCiWztogW3JMwLD8EjYs8AC2oCkSNCmcEAwDhgBJbQoD -hgBJawgDhgBJaQYDhgBJZwQDhgBJZcAg0Q8AAAAAAADz/IRiAAAS8CqSvSuSvCySuy2Sup0QnBGb -EpoTY/42AAAAKtwQW5XrKRI08/+Mb/8QUDAAbBAGKCA41CD15IkWAFQCICIKZBvkFSxADCuydypS -gay7CbsR++QSGgAgWrApoSn84/oQ+gRacI5Hju4Y5A4f5Awt7QL15F0QoAJbcPAMBwIAAErwAElh -AElhAElhAElhiUD/5pYgBBBgMPXmmimABD5g+OaUKAkAZnAp5pUooAcvoSkICEEAiBEI/wIF/wL/ -5pgg4AJLcAIDhgBJYwADhgBJYdnQ8pSGIAIQaDBbj17RDwAAKjESCgpL++RbEgALIqB6sg4iIhDN -IPP/RWACEBAwAADz/ztgFhAQMCQmESxBGCtBGipADChAOS9BGy5AFi1ABylADSkkDS8lGy4kFi0k -ByokDPslGiB0AlCw/CUYIHQCWTD4JDkgBhBgMFjm9R7j4o1LDt0C/SYLID4AF3AY5EEvIRsI/wEv -JRsoMRIICEsI/wIvJRtgAAUpMRIpJRuKJ4auJm0EK2AAJzqA9LAKYQACMbAqrBBblY3AkClkgChS -hyiCK3+PCPP+lGAAEBAwANogW47XhieGbipivmqiSihiwGiARMCl/OQoEDAQWDBY79CKJyxiwI2u -8MEEDAAgP3D80IAgARBYMPC7Gg//EEgwCbsDC8sBCwtH+9SAIAcANyBksK7H7y5mwCdtAyggOikg -PCogOy0gPSwgPisgPysUDywUDv0UDSAAEHgwLxQHLxQGLxQFLxQELxQD/xQCIIAQcDAuFAEqFAn5 -FAog/hBQMPoUDCACEEgw+hQAIP8QcDD+FAsoEQBKMCgUCI4QjRGMEosTK2a9LGa8LWa7/ma6IEAQ -UDD6dQMgARBAMPhmvi//EEgw+WbDIAAQWDD5ZsIgARBgMP91AiIAAFCwW3EcY/7yKqwQW5VFY/9H -AAAAbBAWHOPq/TESIAUQUDD+IhAv/xBAMPgWBCAwEFgwWO+MKjES/ONYEgAAILD149sQBBAwMPoK -SwAAEFgw9yqAIgAVoqAd49R60iApIhBklgcukRsODksK7gwOmzjUsMxJ1CDwACpgFhAQMAAvUoeC -Ry/yK/IiDiIAWv/QwKX848wQMBBYMFjvcvzjPxAmEBAwG+NRLUAMK7J3KlKBrbsJuxH5400aACBa -sCihKXmBeY1Hjd4Y45wu3QL/40gQoAJbsPAMBwIAAErwAElhAElhAElhAElhjEAZ40Io1pr/1pYt -gAQ7IPnWlCwJADMwLNaVKaAHL6EpCQlBAJkRCf8CCP8C/9aYIOACS7ACA4YASWMAA4YASWH33ggA -BBBgMPLkBiACEGgwW46b0Q8AKjww+zw4ICACYHBb/cf/EgQgKwA2oHSpAmjxIBzjm/6gOSAwEFgw -/UIAIAUQUDBY7z0c4wnz/ytgYxAQMC8i1sr9HOOSLSLKLiLLLyLMKSLNmRD4ItYgBRBQMPgWASAw -EFgwWO8wHOL88/73YBAQEDAf4wiLPYo8/AoBIAAQQDD7yDgAABBoMArNOPzjAx4A9sdQIhYgiD+C -Pv0KASAAEEgw+Nk4AAAQQDAC2DjyEiAuAOXOEIlHiZ4qkr7/AgAEAliCoBri8Y2ijqH4ogAgMAJY -cJiwnrGdsoqjmrMe4t7+Fh8gMAJIcIqTjZL4kgEgUAJYcJixnbKaswAOi4mQ+bYAIHACSHAASWEA -SWEASWEASWEY4tWcH58eLiLLKILsLhYRLSLKLRYQ/CLNIgAAUTD8FhMgcAJYcPkizCAFEGgw+RYS -IAIQYDALgAAe4sEtLQMtFh6J44ji/+IBILACYHCfwZjCmcOO4P7GACIAAFEw/dkqIFACWHBb7T39 -Eh4gAhB4MP8UaSD/EEAwKBRo+BR0IAEQSDD5FHMgABBQMCoUaioUayoUbCoUbSoUbioUbyoUcCoU -cfoUci//EHAw/tUqLAAgOLArwLYrFHYqwLcqFHcswLX8FHUg0AJIcIiR/5ICILACYHCfwpjBjpOe -w4mQ+cYAIFACWHD92SsiAABRMFvtGykSHioi1MfP/JUrIAAQWDD7JskgeAA6oItHjr4u7QTwoQQB -AAJzsP3ggCABEFAw8KoaD/8QYDAMqgMK2gEKCkf65IAgBwA3YGSjTcePKCbULBIf+y0DIAAQUDAq -Js70DAcAUAJa8ABLZSqVIxziefP87GAAEBAwLTIRKTIQ/AoBIgAAQ3D9yDkCAABycPwtAy4FAEsw -+DAoLgkAQ7D4xSMgQAA3oC4yEiIWICIyE8CB/o45AgUAEjAC7gLyEiAgIgA3oC0m2ykm2i4yEy4m -3fsyEi//EFAwKibeKybciz2KPCgiyikiywioDPm5DAABEGgw+dk5CAUAQ3AJiAJkgi4pIs7/AgAC -ANKiYIlHiZ4qkr7/AgAEAR0CoBriWo2ijqH4ogAgMAJYcJiwnrGdsoqjmrP54kgQMAJAcIqDjYL+ -ggEgUAJYcJ6xnbKaswAJi4iA+LYAIHACSHAsFh4ASWEASWEASWEASWGfHhjiPh/iSZ8fKILsLiLL -LhYRLSLK/RYQIgAAKzD8Is0iAABRMPwWEyBwAlhw+SLMIAUQaDD5FhIgAhBgMAuAAB7iKIvijeH5 -4gMgsAJgcJnDncGbwo7g/sYAIgAAUTD9WSogUAJYcFvspsDy/xRpIP8QQDAoFHT4FGggARBIMPkU -cyAAEFAwKhRqKhRrKhRsKhRtKhRuKhRvKhRwKhRx+hRyL/8QcDD+VSosACA8sCzQtivQtysUdywU -di3Qtf0UdSDQAlBwiaGIov+iAyCwAmBwn8OYwpnBiqD6xgAgUAJYcP1ZKyIAAFEwW+yFLBIeKSLU -x9/9xSsgABBYMPsmySB4ADpgi0ePvi/9BPCRBAEAAnvw/vCAIAEQSDDwmRoP/xBQMAqZAwnpAQkJ -R/n0gCAHADegZJDmx58pJtTAoComziotAvqs8CIAAEjwDgmGAEpvDAmGAEptCgmGAEprCAmGAEpp -BgmGAEpnLSLJiz0rJsuKPComyok/KSbN+DIOL/8QeDAvJtP/JtIgARBwMPgmzCABEFgw/ibOIgAA -UTD+xSIsCQA3cP0mySABEGAwW2+FwCDRDwAAAAAAAPP6AmIAACLwKSLNKiLMjj6IPwruDAmIDPjY -OQ4FAHNwCO4CZe2yY/0bK5K9LpK8KJK7+pK6IDACaHCa0JjRntKb02P9xiuSvS6SvCiSu/qSuiAw -AmhwmtCY0Z7Sm9Nj+08AKrwQW5OTLBIeY/8MAAAAACq8EFuTjykSHmP8pQAAbBAIHOI4/TESIAUQ -UDD+IhAgMBBYMFjt2CoxEvfh7BIAACCw/TIKK2ABUDD74iQSABUioHqyIikiEGSSkiyRGwwMS/rM -DAAAECAwDJQ4zEjUIPAAYGAWEBAwhUcmctT5cucggBBQMPVSDiAgADdgKHIXCWYRqWZtiRCLZ4u+ -K7Kq/wIABgBnbtCqZiZSrdMP+1KrIQUANaAc4hQtUqouUqv/UrEgBRBQMPYWACAwEFgwWO2xwSAb -4ZEsQAwrsncqcuesuwm7Ef3hjhoAIFqwLKEpfcF7jkcY4YyO7h/hihzhcy3tAvXh2RCgAltw8AwH -AgAASvAASWEASWEASWEASWGJQP/mliAEEGAw9eaaKYAEPmD45pQoCQBmcCnmlSigBy+hKQgIQQCI -EQj/AgX/Av/mmCDgAktwAgOGAEljAAOGAElh2dDylIYgAhBoMFuM29EPHOHmLmA5j2CdEfsWACAF -EFAw+wowIgAAa/BY7YJkbxf/AgAH/4olkI0g/mA5IAUQUDD84doQMBBYMFjtevP/ImBjEBAwAIo6 -ZKEOijv8UrEgDgRS8CpWq4o8/lKqIA4EUzAqVrGNOg8CAA8CAP8CAAYAe/dQ+l0CIAEQMDD2VpAi -AABI8PwJFgHgAlKwAEptCgmGAEprCAmGAEppBgmGAEpnBAmGAEpljDqKUCoWBvwWBSACEFgwK1aQ -/OG5EDoQWDBbb3/7rAAAGgA2oJaojxX9EgYgABBwMJ6qn6kt0gJk0M2MR4zOJlaRK80EKrAAwI/7 -vIAoAD1GkPkKACAEEGgwbdoKAJAECg4bf+cDsZnHn5kUCZgJAJEEAG0aDIgKL40D+I0CLAkAbrD9 -tIAgZRBwMC71gy71gv/hmxAAEGgwLYaC/4aDIFgANqCOFP5WqSAAGa+gwCDRD8DwL1aqL1arL1ax -L1al8/33YAAQEDDHj5gUY//UAAAAAAAA8/16YAAQIDD6CgIgMBBYMPzhhxIAAGiwWO0j8/3HYAwQ -EDAb4Q/84Q8SAABRMFjpgGP/mooW/AoKIAMQaDBbLA5j/yFsEAgc4XotIgD+IAQgBRBQMP8wFSAw -EFgwWO0SHOD2F+D0FeFFKCA4G+Dw9ODwElAQMDD/KoAicBBoMPq88CYAToIgJgpkKCAMLrJ3KqKE -qO4J7hGuqimhKf8CAAYAi6ZQjieO7hngzCvtAiu8UPAJBwIAAErwAElhAElhAElhAElhiCD15pog -BBBIMPzmlCmABDog9+aWKAkASjAo5pUpoAcooSkJCUEAmREJiAIFiAL45pgoACBvsAIDhgBJYwAD -hgBJYf/pCAAEEGAw9pQGIAIQaDBbjDTAINEPLjAV/wIAAABMh6D/AgAEAFSHoPgKCSYAXIeg/wIA -BgEFx5DAi/kKDSYAXUeQeeEv/OE5EAUQUDD9IgAgMBBYMFjs0RrhNfzgtBJwEGgw9goWIoAQeDDz -/xBgIAJasAAA+iwAAgAAWPBb+4zWoMCl/OErEDAQWDD9IgAiAABxsFjswhrhJRzgpP0qcCKAEHgw -9W7WYCACWrDAINEPAAAAAPosAAIAAFjwW/7Z8/++YgAAMrAAAAAAAPosAAIAAFjwW/0d8/+mYgAA -MrAAAAAAAPosAAIAAFjwW/xy8/+OYgAAMrAc4Q/9MRIgBRBQMP4iECAwEFgwWOykKzES0w/7C0sA -ABBoMPqyIWIAAFCwHODve8IWKSIQZJIVLpEbDg5L++4MAgAAU3AOmjhkoemMp4zOK8wQ+xYCIgAA -SPAMCYYAS20KCYYAS2sICYYAS2kGCYYAS2cECYYAS2X/ogcgARBAMCjGGSggPi4gP4ugj/747hAJ -AAQ6IPsJRwroAVww+LsRCAkAcjD9xhooCQBaMP79BCgJAEowKMYcK+AA/BYAIA8QQDD+7IAoALtG -0PkKACAEEGAwbcoKAJAECwgbf4cDsZnHn5oR8JEEAAEQQDAAiBr5FgMoCQBC8CjkgAmeCQ/uCvzt -AyBlEEAwKMWDKMWCLu0CHODLLeaCLOaD/JwAATYANuCJEIoRLJYb/ODGEl4QWDBbboP7rAAA5AC2 -oPAA7GAMEHAwAAAc4MD9IgAgBRBQMP4xEyAwEFgwWOxSKSIQKjET+iUaIAcANmAqlRob4C4sIAwa -4LArsncqooSsuwm7EauqK6Ep/wIABgBFJtCNJ43eHOARptvwDAcCAABK8ABJYQBJYQBJYQBJYRzg -IokgJdaaLNaU+JkRAAQQYDD31pYoCQBmcCnWlSigBy+hKQgIQfCIEQAAEHAw/N0CLgkAR/D1/wIC -AABI8P/WmCDgAmMwAAmGAExhDgmGAExvL90D//yAIAQQYDD+9AYgAhBoMFuLdfP9cWAAEDAwiBGI -gokS+aYIIDwANiDA4PP9WWIAADOw8//0YBYQcDCaEfP+4G//EGAwAAAAAAAA8/33YAAQUDAb4AIc -4AJY6HSME2P+v4oR/AoKIAMQaDBbKwFj/7cAAGwQBoQnhE4uQqn9IgAgxAgbsBzgcf5CkCAFEFAw -/0KRIDAQWDBY7AIrQpBkscH8380QAN8G4Brf3xXf3xbgMvff3xAAEBgw/d/eEAQQcDD/4FcSagA6 -4P8CAAIAdIbgI0aR80aQIgAAEPDRDwAAAAD6CgUgMBBYMPzgWRIAAHjwWOvrwCDRDwArQpH/AgAE -AMES4IVA9kKGIgAAY/D7CjogAhBIMPlGkCIAAFFwW24H+6wAABUANqCWqfOmCiABEGAwnKiKUmSi -cownLUKRjM6x3S1GkSvNBCqwAMDf+7yAKAEb7pD5CgAgBBBoMG3aCgCQBAoOG3/nA7GZx58f4CwJ -nQnwkQQAARBwMADuGgzdCvbdAi4JAHKwLrSA/d0DIMkQQDAo1YMo1YLzZoIiAAAqcP9mgyHuADag -+UapIAAQEDDRDy8gDCuidyqigK+7CbsRq6ovoSn/AgAGAEKv0I8nj/4l/QIrXFDwDAcCAABK8ABJ -YQBJYQBJYQBJYYIgJvaa/faUI4AEOKD39pYiCQBwsCL2lSygByihKQwMQQDMEflccCgJAGIw8k0C -KAkAMjD49pgh4AIQsAIChgBJYwAChgBJYfn9AyAEEGAw+ApiIQACSnD4lAYgAhBoMFuK5SNGkfNG -kCBiEBAw0Q8AAAD9IgAgBRBQMP5NAiAwEFgw/N/4EeACc7BY64nAINEPAAApTQMqQogrQocoQoaY -EChGqitGq/pGsSEgAkpwKUal+kIAIgAAY/D6FgEgOhBYMFttovusAAAZADagjBH+EgAgARBoMJ2o -nqqeqYzCZMDrG99VLCAMGt/WK7J3KqKErLsJuxGrqi+hKf8CAAYARy/QjSeN3hzfNyvdAiu8UPAM -BwIAAErwAElhAElhAElhAElhGN9HgiAm1poo1pT4IhEABBBAMPfWliIJAECwItaVL6AHLqEpDw9B -+d0CLwAEP+D5nHAuCQB7sPJNAi4JADOw/taYIeACELAGAoYASWcEAoYASWUp3QP5nIAgBBBgMPOU -BiACEGgwW4qaI0aR80aQIAAQEDDRD8ev+kapIAAQEDDRDxvfMfzfMRIAAFCwWOei9UapIAAQEDDR -D9pQ/AoKIAMQaDBbKi5j/XyKEfwKCiADEGgwWyoqY/8DAAAAAGwQBoMngz4oMr4lCgH6gkJv/xAg -MCgywGiANyoKBfzfghAwEFgwWOsqiyctMsCMvgDRBCzNBCrAAABZGgSZAwmpAQkJR/nEACAHADag -ZJCRJDbALiA6LCA/KCA7KSA8KiA9KyA+KxQOKhQN+RQKIP8QaDAtFAv4FAkgAhB4MPwUDyCAEGAw -/BQBLhEAe7AuFAj+PQMg/hAQMCIUDPIUACAAEBAwIhQHIhQGIhQFIhQEIhQDIhQCiBCJEYoSixMr -Nr0qNrwpNrv4NrogQBB4MC/lAyU2viQ2wyQ2wiLlAtEPAAAqvBBbkKlj/2QAbBAIHN9lF97YKjAI -iDApcm36FgUiAABosPdygChgAUAw+BYEKAAgSjD+0gApkAQ6IP/QBCYAIEXw9HILIgAAETCTEPIW -ASAwEFgw9RYCIAUQUDBY6uQc31H+cgAgBRBQMP9wBCAwEFgw9BYAIgAAafBY6tz530sSAABA8NMP -bSkFAAiGAElhHN9HGN9HLTAJ/jAKIAUQUDD1hhQgMBBYMFjq0CkwCY57+t7nEAkANmAK7gKee4sw -jBX43rIQABAQMPq2D3AGEFAw+ME6YBAQWDBowl906Rcu+o1+IQzaUPs8AAIAAGCwWOjawCDRDwDd -QPoKBSAwEFgw/N8sEgAAeLBY6rhj/88vcDiMFPj2ZGAAEEgwKXQ4+OoCAgAAWPD6dgsiAABR8Fi3 -oI578/+kYgAAErCNcAjdEZ0zLHA4acaSc+aPjBQf3xr6dDgiAABR8P/uAQwJAFsw/nYLIgAAWPBY -t5GOe/P/amIAABKwAAAAAAAA/wIAA/+uD5CMFCp0OPP/kmwJAFswAAAAbBAGEt52KCJthTHyIoAo -YAEkMPzfBRgAIEow9YVHCZAEOiD1BQYCACBAsP4gOSAFEFAw/yA4IDAQWDD0FgAiAABpcFjqhche -2iD7PAACAABhMFi3ctEPACggOLGIKCQ4Y//kAGwQBoMngz4c3vAtIgD+MhkgBRBQMP8yGiAwEFgw -WOp1KDIZ997bH/8QaDD1CgEgABAgMPkKDyGtADYgjieO7ivtBCqwAPZMAAAEEEAw+7yAKADFzpBt -igoAYAQKCRt/lwOxZsdvBmgJAGEEAFkaDogK/I0CKAkATrAptID4jQMgZRB4MC+Fgy+FgiTGgvfG -gyRWADagKDIZJjYb0w/23jcSAKyCICgyGf8CAAQA2AIgKTIZ/wIABADxBmAqMhn7CgAoAfkCoC0y -Gv3cASBjEGAw/TYaKgAmaxD83rwQBRBQMP0iACAwEFgwWOpCGt6mG94iH93WjickNhokNhkv8MEr -sncqooT+4g4rkAQ+4P/3GnoAIFqwKq0B8AAVYQACUrCNImTT0cAg0Q8AACqtAyqsgC2hKXbR7R/e -EvyiAC/hEEAwKOSo/+YmIBYQeDD43g0dgAQ7IPjmJCwJAHswLOYlKaAH/N4JGCABTDAAmREJ2QIM -mQL55iggkBBYMAjqMP3tASAgAkjw+OYpISAQeDD/5VcgbhBAMPjkxiFgAmtwAgmGAE1jAAmGAE1h -LTEajz4v5jSMPy3lbPzmNSoAIF+w+TIQIBYQYDD55jcgAhBoMFuJWcAg0Q8AAAAA8/61YgAAM3AZ -3nstNhuIKwmIAfgmCyAAEBAw0Q8AwKX83nYQMBBYMFjp+8Cl/N5zEDAQWDD9IgAgIAIo8P8gOSIA -AHFwWOn02iD83lsSXhBYMFtsGMCQ+6wAAAEQUDD7qTgABgA24JW4/QoBIAAQYDAJ3DhlznJj/s8A -AAAAAAD33mAQBRBQMPzeXxAwEFgw/SIAICACKPD/IDkiAABxcFjp3dog/HwAAl4QWDBbbAH7rAAO -lgA2oJWoY/4sHN5S/SIAIAUQUDD/IDkgIAJw8P4WASAwEFgwWOnPHN5M/SIAIAUQUDD+Mh8gMBBY -MFjpyiQ2GiwyIioyHykyGy0yIPsiByAIEHAwLjYZLTarj74AkQQqNqoo/QT8NrEhAAJCMC6AgPBZ -Gg//EGgwDZkDCekBCQlH+YSAIAcAN6BkkhEa3hob3ZYtNhssMh8oMiIuMiAoNhAY3UaeP5w+KIDB -K7J3KqKECbsR/4cPegAgWrAqrQHwAAphAAJSsCqtAyqsgC2hKf8CAAYATbdQGN2G/qIAL+EQYDAs -9Kj49iYgFhBAMPzdgR+ABDug/PYkLgkAQ7Au9iUsoAcMDEEAzBH93XscCQBjcPkSASwJAGsw/PYo -IJAQWDAI6jAo9ikk9Mb9/QEhIBBwMC71V/YJFgFgAmtwAE1nBAmGAE1lKTEajD4s9jSIPyn1bPj2 -NSoAIF/w/jIQIAIQaDD+9jcgFhBgMFuIzoYwHN3b/TKqIDoQWDD9FgAiAABRsFtrnvusAAARADag -laiPEJ+qn6mOYmThEy0yHWTc88Cl/N3uEDAQWDBY6W2NJ43eK90E/jIdIQACWvAqsID/CmQgDxBA -MP/uKAgAVkaQ9goAIAQQeDBt+goAYAQKCBt/hwOxZsdvBm8JAGEEAFgaDf8K+f0CKAkAQrAotID/ -/QMgAgJjsCz1gyz1giSWgveWgyBxADag9jYbIAAQEDDRDwAV3cr83c4QBRBQMP0yHSAwEFgwWOlL -2iD8XAACXhBYMFtrbvusAAxLADagKDwQmKhj+94b3TH83TESAABQsFjlomP7nNog/AoKIAMQaDBb -KDDAINEPx5/5NhsgABAQMNEPABvdJfzdJRIAAFCwWOWW9jYbIAAQEDDRDyq8EFuO348nj/7z/eBv -/xBoMNpg/AoKIAMQaDBbKB5j/ttsEAaLK/RCACXcEEAwKBUA+SA5IBwAEvDApPAABmoJAFJw2pD9 -IRsgJAAG8BjdBgTVEfAABmQJAEVwwFAc3WUuIA0vIAz5Kk4q4AFUMPC7EQAAEFAw+TUDL4AEP+D6 -NQIuCQB7sP67AgAFEFAw/N2NGgkAZvD7NgAgMBBYMFjpCfU2AiAgAlDw/CpOIAAQWDBY4BPHfyc0 -ECc0Efc0EiAsAlDw9zQTIAYQYDD3NBQgdAIosPc0FSIAAFlwWN/+JzQxGtyYEtyW94sUAEQQeDD/ -NDMgABBwMP40MiBDEGgwLTQ1KzQw8jQlIkAQQDAoNCH6NB0gCBBIMPk0HCACEDAw9jQgIAAQYDD8 -NDQgARAwMCY0OvY0OyAGEGAw/DQ8IEAQSDApNCQpNCb4MB4gAhBQMPo0NiAREBAwIjQnC4sUKzQv -+4sUAGgCEPD7NC4grAJQ8PsqLChgAUAw+YgCAPAQSDD5CgUoAEBKMPs0NygJAEow+DQeIgAAWXBY -3876PD4gBhAoMPwKBCDAAlkwWN/JG91GKj0B+qwmIAQQYDBY38UmJPf2JPggNRBoMP0k9iA3EHAw -LiT5LEAXeMcIJST6YAAHAAAAwPUvJPomJPv1JP0gAxBIMCkk/ChAFyk9AfKcMiAcAGIwwaH6lDIg -ZgIScMHa/SQAIAIQKDD1JAMgHBBgMPwkASA5EFgw+yQCIAgCULD7HAACAABhcFjfphvcciuyqC6w -APoKACAZADeg2bBtCAwskAGxqvTAB2ACAkpwY//s+iQHIDwQaDD9JAYi4AFUMPw8AAAQAlCwWN+V -oj4n5Aj1RhUgABAQMNEPAABsEAaLK/RCACXcEEAw+BUAIAQQMDD5IDkgGAAS8PAABmoJADJw2pD9 -IRsgJgAG8BjcaQTVEfAAB2QJAEVwAMBQHNzILiANLyAM+SpOKuABVDDwuxEAABBQMPk1Ay+ABD/g -+jUCLgkAe7D+uwIABRBQMPzc8BoJAGbw+zYAIDAQWDBY6Gz1NgIgIAJQ8PwqTiAAEFgwWN92x18l -NBAlNBH1NBIgLAJQ8PU0EyAGEGAw9TQUIHQCELD1NBUiAABYsFjfYSU0MS0wHhjb+Rzb+vWPFABA -EHAwLjQkLjQmLzQw/DQdIAgQWDD7NBwiQBBQMPo0ISACEEgwKTQg+DQlIAIQSDD5NDYgARBAMCg0 -Ovg0OyIsEFAw+jQ3IAAQWDD7NDQgQxBgMP+PFAIAAFiw/DQ1IAYQYDD8NDwsYAFsMP80LywJAHdw -/48UAPAQcDD+CgUsAEB3cP80LiAREHgw/zQnLAkAd3D9NB4gRBBwMP40MyAAEGgw/TQyIKwCUPBY -3zEqPD78CgQgwAJZMFjfLhvcqio9AfqsJiAEEGAwWN8p9wq4INACWTDyPQEgARBoMP0kKyA2EEgw -+SQtIDUQYDD8JCogAxBAMPYkLiAEEGAw+CQsIgAAULD6rC8hcAIQsFjfGSYkfPwKBCDYAlkw+j0B -IDIQcDD+JHsgagJSsFjfEQEbAvo9ASA5EHgw/ySBIAIQYDD8JIIgdgJSsFjfChvb1iuyqCiwAPwK -ACAZADYg2bBtCAwtkAGxzPTQB2ACAkpwY//s+j0BIAMQMDD8JIYgPBBwMP4khSLgAWAw/CwAAH4C -UrBY3vejL6f/JfSH9kYVIAAQEDDRD2wQBiYgB4giGtvj9SIQJiABMDD1gkJrwAQ9oP3b4RoAIFbw -KLI6DW0K/dKXJAEbxiAssjkY3Ar9xAEOARTvECciEo8p/iIKJggBPDAnFgAIdwoncoD/7gwAYAJZ -8P8CAAoA+F+QKyAWKAr/eLEN+iAHIAAQYDBbk4Jkof8tIQce28sNDUr43E8dwAQ/YPvbxhwJAHdw -nUCKIP7bZhAFEHgw+0YCIEAQYDD8RgMpgAQ+oPwSACgJAH5wmUEtIhL8jjgMSgFsMPrMEQ1gBD9g -+9w+HAkAazD224YcCQBzMP0hGigmAWQw+9w5GAAgXnApkID8RgcsgAQ/YJ1G+XkMCgkAWrD6RgQg -YAJKcJlFiCkuIhX8CgAv4BBoMPeICABAAkkw+IwwLgAgO7D4JgkgYAJzsP4mFSYAke0Q8AYHAEYQ -WDAASWEASWEASWErRCAuMBIsRCb8RCIgABBQMCpEJfxEJyCAEHgw/EQjIAAQSDD5RCQuCQB7sC5E -IfAmFwBQAkkwAAmKLTAS/jEIIA0AN2AuRDUOjhQuRDSKXgyLFCxEN/tENiACAlKwml5bJxMqRDMK -ihQqRDIKihQqRDEKihQqRDApUhEpRDsJiRQpRDoJiRQpRDkJiRQpRDgoIhYoRD8IiBQoRD4IiBQo -RD0IiBQoRDzwBgcAgAJ5MABPYS4gBw4OQR/bZAzuEf/uCAAFEGgwLeY5KyAWLAr/fLEK+iAHIDAQ -YDBbkumKJ/sKBCAAEGAw+qwgIAQQaDBbiyArIhIs+n8MuwH7JhIgABAQMNEP2iBbkyxkrgvAINEP -AAAAAAAAAPtsGCIAAFCw/AoBIAUQaDBbk2DAINEPAPtsEiIAAFCw/AoBIAAQaDBbk1rAINEPAGwQ -DCciEBLbzSZwb4h3KyIVLCBQnBj4gg4mAQEwMPYWDSYAIBkw+BYFIgAAUbBY3kIc28OLHYoYHdtk -/bsRAD0QKDD6aggMACBi8PWkACoAIG7wK7LdLMCA/BYOIAICUrBY3jWOGI0ert323wgAABBwMC70 -AixwbysiF/wsQAAEAmtw/BYNJAAgaTD8IFgmACAZMPwWCSIAAFGwWN4nihkc26iLHapqJaQADLsL -LLCALBYO+7IhIAICUrBY3h+PGY4e+yIZLgAge7D25ggAABB4MC9kAi1wb/wgYCAEAnOw/BYKJAAg -cTD9PUAGACAZMP0WDSIAAFGwWN4Pihoc25CLHapqJaQADLsLLLCAnB77siEgAgJSsFjeCI8ajh77 -IhsuACB7sPbmCAAAEHgwL2QCLXBvLCBo/BYLIAQCc7D9DUAEACBxMPNGCAAcAmtw/RYGIgAAUbBY -3fiKGxvbG4wW/dsYGgAgUbAlpAD7ywsN0AQ7IPuywSwAIGswLMCA/BYHIAICUrBY3eyNG4wX+yId -LAAgazD2IHAuACAzMPzMAiAAEGgw/eQCJAAgYTD8bAAKACAZMFjd4BLbYvjaqBQAIDEw+BYMJgAg -GTAlZAD7cTQiAABgcPAIBwIAAHhw8A+gAAoQcDD/FgQv9hBoMMCQbQge2rAOuy0NvyivqqoqKqAA -+sQAIgAAUzD0sAlgAgJjMGP/2AAA+aQBKgAW0FDbEG0IIC2wAC7N/yng//3k/yH+AlKw+bQAIAIC -WvD6uwdx/gJjMGP/2C8QAPUKACAZADfg2RBtCAwokAGxVfSAB2ACAkpwY//ssWr7HAACAABhcFjd -sbFb+9sxFAAgWTAPAgAPAgAlsHijSrGq+7IfIgAAYXBY3aj/EgwgAgJZcPkSBSQAIFkw80YIAD0Q -UDAqZAD5ko8iAABgcPIPBwIAAHBw8A6iAAoQaDD+FgQv9hBYMMDgbQge35ANmS0LmCio/68vL/AA -/8QAIgAAUzD0kA1gAgJjMGP/2AAAAAAAAP6kASoAFtBQ2xBtCCAusAAvzf8t8P/+9P8h/gJSsP20 -ACACAlrw+rsHcf4CYzBj/9goEAD1CgAgGQA2INkQbQgMKpABsVX0oAdgAgJKcGP/7LFq+xwAAgAA -YXBY3XexW/va9xQAIFkwJbCgo0qxqvuyKSIAAGFwWN1wsVn/EgwkACBJMPNGCAA9EEAwKGQA+XIY -IgAAYHD0DwcCAABwcPAOpAAKEGgw/hYEL/YQWDBtCB7akA2ZLQueKK6qqioqoAD6xAAiAABTMPSQ -CGACAmMwY//aAMCA+KQBKgAW0FDbEG0IIC2wAC7N/yng//3k/yH+AlKw+bQAIAICWvD6uwdx/gJj -MGP/2C8QAPUKACAaADfg2RBtCAwokAGxVfSACGACAkpwY//sALFq+xwAAgAAYXBY3UGxW/vawRQA -IFkwJbCoo0qxqvuyKyIAAGFwWN06sVn/EgwkACBJMPNGCAA9EEAwKGQA+XIXIgAAYHD2DwcCAABw -cPAOpgAKEGgw/hYEL/YQWDDA4G0IHtqQDZktC58or6qqKiqgAPrEACIAAFMw9JAJYAICYzBj/9gA -AP6kASoAFtBQ2xBtCCAtsAAuzf8p4P/95P8h/gJSsPm0ACACAlrw+rsHcf4CYzBj/9gvEAD1CgAg -GwA34NkQbQgMKJABsVX0gAlgAgJKcGP/7AAAsWr7HAACAABhcFjdChvai7Fc9bCwJAAgYTCjSrGq -+7ItIgAAYXBY3QOxVv4SDCQAIDEw80YIAD0QeDAvZAD5chYiAABgcPgOBwIAAGhw8A2oAAoQeDD9 -FgQv9hBwMPoKACIAAFhwbQge2JAPmS0OnSitiKgoKIAA+MQAIgAAazD0kAlgAgJjMGP/0gAA+tQB -KgAa6tD63AACAABYcNMPbQggL7AAKM3/LoD//4T/If4CUrD+tAAgAgJa8Pq7B3H+AmMwY//WKRAA -8goAIBkANmDZEG0IDCqQAbEi9KAHYAICSnBj/+yxavscAAIAAGCwWNzRpCKyItEPbBAOIhYRJCIQ -lB0S2lCHRyRAbyYgSCsiE/dyDiIAAFDw/GwABAYBIDBY3MQV2kb82ecb0AQ9INMP9xYFJAAgKvD0 -QIAqACAw8PUKPSoAIGbw9aQAIAICUrD7st0iAABhMFjctisiFfZKCAAAEDgw9BINJgAgGrAnZAIm -IFD0QG8gBAJSsPoWByoAIBqwmh78bAAEBwEgMFjcqRvaKooeC0sLJLCApqr1pAAgAgJSsPuyISIA -AGEwWNyhjR4qEhH8Eg0kACAxMPgSBywAIG0wJ9QCLMBuqESyRPqiEiMLADcg+yIXJgAgGTD8IFgu -PAFQMPwWDyAEAnOw/hYIIgAAUbBY3I4d2bKLGIof/bsLDdAEOuAd2a2qaiWkAPuywSwAIGswLMCA -/BYJIAICUrBY3IOIH48Z/hIRLgAgR/D7IhkmACAz8CdkAi7iEiwgYPwWECAEAnvw/u5RBAAgeTDz -RggABAJzsP4WCiIAAFGwWNxzKhIQG9mWLBIK/dmTGgAgUbAlpAALywsrssENzAsswID8FgsgAgJS -sFjcaC0SEIwbrcz2IGgsACA3MPsiGyAEAmMw99QCJAAgYTD8bAAKACAZMFjcXhLZ4PnZJRQAIDEw -+RYMJgAgGTAlZAAuIADwCQcCAABAcABIYZgU9xQBIAAQKDD+FAAgGQA3oNkQbQgMKpABsVX0oAdg -AgJKcGP/7LFq+xwAAgAAYXBY3EixW/vZyxQAIFkwJbCwo0qxqvuyLSIAAGFwWNxBiRX/EgwgAgJR -cPoKPSQAIFEw+Z0BJgAgGTAqZAACD4v5FgYiAABgcPmRlCIAAHBw8A6iAAoQaDD+FgQv9hBYMG0I -Ht6QDZktC58or+6uLi7gAP7EACIAAFMw9JAMYAICYzBj/9oAAAAAAPekASoAFtBQ2xBtCCAtsAAu -zf8p4P/95P8h/gJSsPm0ACACAlrw+rsHcf4CYzBj/9gvEAD1CgAgGwA34NkQbQgMKJABsVX0gAlg -AgJKcGP/7AAAsWr7HAACAABhcFjcDhvZkrFcDwIA9bC4JAAgYTCjSiqsAfuyLyIAAGFwWNwG/xIM -IAICWXD5EgYkACBZMPNGCAA9EFAwKmQA+ZGVIgAAYHD0DwcCAABwcPAOpAAKEGgw/hYEL/YQWDBt -CB7ekA2ZLQufKK/uri4u4AD+xAAiAABTMPSQB2ACAmMwY//a96QBKgAW0FDbEG0IIC2wAC7N/yng -//3k/yH+AlKw+bQAIAICWvD6uwdx/gJjMGP/2C8QAPIKACAZADfg2RBtCAwokAGxIvSAB2ACAkpw -Y//ssWr7HAACAABgsFjb16QisiLRDxzZWhvZWigSEQyqAQuqASqGEmP84wAAAGwQIoY3hm4uYo4c -2VP6CgUgMBBYMP5+UQIAAGlwWOTDEtlP+FEPYAAQODDBiHhRQ9Jw0Q8AACUgLPMKPSIAAFEw+yIM -IgAAYXBY27wmINT7IjYqACApMCOkAPxsAAACAlKwWNu2pWKkKPeEAiAEAhCw0Q8AFdiPiD0pUnEl -UoCpiAmIEfsiEiQAIEVwhVcsIEQsFjf1Ug4iAABRMFjbpysSN/tOCAA9EBgwI+QALVACJRY4+tFD -YgAAY3ApXQL5nIAiAABTsG3ZEiyQSPykASACAkpw9MAUYAICUrAlFjgsUAJgABQAAAAAAAAA9RY4 -LgAgb7An9AEsUAIa2FkobQMqFjTwCgcAQAJIcABJYfkWDCIAIFsw+4BYIQACSjApFjX7FDQigBAo -MPiAeyBwAlBw+BYvIAQCELAPAgBbIBYqHDj8CgEgaAJYcFsfwioSOKWpK5Ao/AoAIBcANuBtCAwt -kCmxzPTQB2ACAkpwY//sK60D+hw4IVACWvBbH7b7bQMgcAJQcPwSLyD4AlrwWx+x+hw4IEACWHBb -H2Ic2PEvECIuECEtECAoECOYECsQJJsRKhAlKhYCKRAmKRYD+BAnIDAQWDD4FgQgBRBQMFjkVxzY -5C8QKi4QKS0QKCkQK5kQKBAsmBErEC2bEioQLpoT+RAvIDAQWDD5FgQgBRBQMFjkShvY1iywTCwW -LvuyFCoAICCwWNtJLBIuGdhz/CIIADAQeDD0KggAeBBwMPOkACACWAKgL6QBLqQC/RwgIgAAYrD4 -ChAgfhBYMA8CANMPbYo1/wIAAgI+RuAv0AAPDkP+nggOZAF8MP7ggC4AIH5w//CAIAQCYzD/xAEh -/AJa8P7EAiACAmtw96QjICMQQDAoFjYtEjb82LQQBRBQMPwWMyAwEFgwWOQgKRI2ZpRV+goFIDAQ -WDD82KwSAABqcFjkGiwSNitijsCj/MwBKxcBXDD8IggOAhbekPPYpRD+AlBw+qwRIAEQSDAI6jAM -6jAIyDgc2KAd2J8Mjy0N+ygV2J772J8YACBaMAX/KAuIKKj/o/gPjzoI6jAO6jAI6DgMji0N7Sj1 -7igIACBqMAuIKKjuo+gOjjoI6jAN6jAI2Dgd2IwMjC0FxSgNzCgMiAgLiCj+iBIEACBFcAjuA/+I -EgoAIB1wBbU69Y0SDhEAR/D/SBIMEQAvcP5YEg4RAEfw+O4DDkABfDD9/xEOQAFwMP1fEg4JAHuw -D90D/u4RDCABbDAO3QL9pAAh/gJKcPWfN2ACAlKwLRCQ+9hoEAEQcDD82EscAQB3cP4SNSzgAWww -LRSQJcYTLeTYLLA0LBYx+7IOKgAgILBY2tQsEjEf2FX6EjQgChBwMPkQkCIAIGCw9CwIAD0QWDD7 -xAAg/gJocPIKBwBCAkNw8AiiAEICa3D4Fiwv9hBYMNiQDpktC5ooqoio+CiAAPjUACIAAFNw9Z/l -YAICa3ArHH8rvCH3pAEqABXS0G0IIC+wACjd/y6A//+E/yH+AlKw/rQAIAICWvD6uwdx/gJrcGP/ -2CocfyqsISugAMCQ0w/5FjAgGwA24G0IDCugAbGZ9LAIYAICUrBj/+wAKRYw+swBIP4CWHD8EjAg -QgJa8FjanywSMBvYJtMPscz8sDwiACBgsPwWMioAICCw+7IQIAICUrBY2pYsEjL9EjQgAgJjMPlt -AyIAIGCw9CoIAD0QWDArpADwDQcAtgJKcABJYQBJYQBJYQBJYQBJYQBJYQBJYQBJYfoWOSAAEEgw -8hYtIBAQaDBt2tIK6jAM6jAd2BEb2A8V2A0e2AwKyjgFqC0OjCj7iCgKACBisA2qKKqIo4wIyDoE -6jAK6jAEpDgFQi0OKij7IigEACBRMA1EKKQioyQCQjoM6jAP6jAIihIChBIM/DgFxS3+XigCEQAg -sPJaEggRAFIw+1UoDAAgczD9zCgOACA2cC/9A/xVCAIRAFCw+EsSBAAgGXD1RToCQAEQMPWOEggR -AFow+AhCDhEAK7D+VBIJ0AQ6IPgiAg4RACOw/iIRDiABcDD//IAuCQATsP702yACAkpwKhI5Hte5 -LRI19eYTIBAQcDD+1NogAGeCoC/Kpf8CAAYAeH2Q+ddvEDAQYDD/3AAAeBBYMPukAiIAAGqw/KQB -IH4QWDDTD23qMG6zPyjw2wgOQ/6eCAhkAUAw/uCAKAAgQnD4gIAgBAJrcPjUASH8Alrw/tQCIAIC -e/AnpCPwABNgIxAYMAAAAAAAAAD31AMv6hAYMPoKBSAwEFgw/BIzIgAAaPBY4x9mMEH6CgUgMBBY -MPzXshIAAGjwWOMaIhItsTioItEPAAAAAAAA98QDL+oQSDApFjZj+7LGqioWNmP7qgAA8/+sb+oQ -GDDApfzXpBAwEFgwWOMLxy/RD9EPwKX816AQMBBYMFjjBscv0Q8npAHz/31v6hAYMABsEAgmIhAU -1uGWFIVtJ0JxKGIHJEKA99eVFAAgPXAmYG74gg4lkAQ9YPVwJCQAICkwJEIHKBYB+3IKIgAAUPD0 -Qg4iAABhcFjZ9B3XF/zXFRvQBD2g9go9KgAgKPAmpAD8vAgKACBu8CuywSzAgPwWAiACAlKwWNno -ihLAsPVwhCoAICqw+hYDKgAgGrD7pAIgBAJSsPtyIiIAAGFwWNnejBOlxaNaJqQCK0AI/AoAICAA -NuDZQG0IDC2QCbHM9NAOYAICSnBj/+wAAAAAAAAA+qwDIBACWTBY2c8uQAj5CgAgGwA3oARKAm0I -DC+gCbGZ9PAIYAICUrBj/+wApZXzWggAABBAMCikBChA6PSBC2AIAilwK3CMarEvo1z6ciQiAABL -MG25Ei2gAP2UACACAlKw9NAJYAICSnBgAAwAAP4KAC4AIF8wLvQAq1XzVggAPRBIMClkAChA6PwK -ACAbADYg2UBtCAwqkOmxzPSgCWACAkpwY//sAAArTQH7vOggAgJRsFjZpCtA6PkKACAaADbg2kBt -CAwsoOmxmfTACGACAlKwY//sALKdrVXzVggAABAgMCRkAI4ULuBuaOFpKCISInDc+3I4ID0QGDD4 -l1ICAABRsPd8BiIAAGCwWNmOHNaw+9axGgAgEbAjpAAMfAsjwID7ewsAAgJSsPuywSIAAGDwWNmE -ojj1gggIACAyMPSEAiAEAhCw0Q/AQPP/lmYAIBlwAAAAACtyMCxwvPwWACIAAFGwWNl3jxCKEf9V -CAA9EHAw/a0CJgAgGXAuZAAp0HDAwPSQG2EAAmtw2dBtCAwokPGxzPSACWACAkpwY//sAACdFSut -Avu8cCACAlGwWNlliRUrkPD6CgAgGQA24N2QbQgMLNDxsar0wAdgAgJrcGP/7LKtrVWjViRkAGP/ -C2wQDCYiECggBxnWSItnCAhBDIcR+7IOJgAgTfApcjorFgz71kUQQhBQMPgWCCoCLNZQKXI5C4sK -K7KXHNYT+5QBDgIi3lAsFgvwDAcCAABBMG2qAgBIYSwxCvoKMCAbADcg+zIEIKACUTBY2T4sMQrw -AAVgYAJTMAArIhIPAgD73kEABxB4MPgKACIJADeg/wIAAAEYh6DVgI4p/SIKJAAgLzD1CkEAYAIZ -cAo5DLSZ/t0MAgUAVnD/AgAKAOAfUCsgFioK/3qxEvogByAAEGAwW43R/woHI80ANqAc1rgoIQcZ -1hgswID61p8ZQAFAMP4hGinABDog/DwMCAkASjCYQIkg/EYFIEMQaDD9RCAgIAJY8PtGAy6ABDug -nkaLHP3WqBBeAnDwDk4UnhmdR/qaAgmABD5g+kYEKAkAT7CZQRrV/5pC+CISIIAQYDD7vQMgABBo -MP1EIiCBEEgw/UQjKC0BQDD4nDgApAJa8P/PAgH+AkIw+Pw4AFACUTD8FgogBhBgMFjY9yliEIpu -KUQvCYkUKUQuWyF+KkQzBY8U+ogUAAEQYDAsRDUoRDIPjhQIiBQOjRQoRDEIiBQoRDAoYhH4RDsg -ABBgMCxENAiIFIwaKEQ6CIgUKEQ5CIgUKEQ4KCIWJUQnLUQk/EQhIAAQWDD7RDcgABBIMClENi5E -JShEPy9EJgiIFI8bKEQ+CIgUKEQ9CIgUKEQ88A8HAIACcTAATmGNKSwiFaPd/SYJLAAgGzAsJhWL -GSt2OSsgFioK/3qxCvogByIAAGDwW41Fiif7CgQgABBgMPqsICAEEGgwW4V8KyISLPp/DLsB+yYS -IAAQEDDRDwAAAPosAAIAAFjwW42F/woHLjUANqDAINEPAI0cLd0DJdBZC55W9FCcYQACa3D/AgAP -/vZ30P0WByA4AP1wwdh12Efz/dliAAAqMGTAlPP9zmIAACow22D6TAgAARBoMPzMICIAAFCwW/zR -jhct4NklCv4F3QEt5Nn8MQogBxB4MPP9m2IAACqwAAD6TAgCAABZsPzMICIAAFCwW/zEjhct4Nkl -Cvf13QEA7xAoMAXdAS3k2fwxCiAHEHgw8/1gYgAAKrAAAKpL+7wgIgAAULBb/oD8MQoiAAAqsPP9 -QWAHEHgwqkUrXCD7FgUiAABQsFv7ry1gbvysAAAAgIdgGNYTLoCAauEupar7giEiAABCsG3pEi+w -AP+EICACAlrw9PAIYAICQjBgAAsA+AoAKAAgdrAolCAb1gb/1e8QPRBoMPwSCy4AIHMw/hYGJAAg -L7D9VCAiAABAcPIMBwIAAFBw8AqiAAoQcDD6FgQv9hBgMNmwDrstDLooqpmp+SmQAPmEACIAAFIw -9b/lYAICQjDAsPukASoAFFBQARsCLbAALo3/LOD//eT/If4CUrD8tAAgAgJa8Pqz43H+AkIwLxAA -/AoAIBMAN+DYECmAAbHM9Z/3YAICQjCcHfscAABCAlFwWNhCiBaFHahVslUsMQrz/CxgBxB4MAAA -APsSBSIAAFCwW/nr8//jYgAAKrCJImWeAosY+iwAAAAQYDD7vBggQhBoMFuNPMAg0Q+LGPosAAAA -EGAw+7wSIAAQaDBbjTbAINEPAABsEAYrIhImIhD51cAQATuy0Ig0KiAH9QoAIAE3AiCJIv3VExC+ -Ajlw90cUCiABUDD1klBtwAQ6oP/VEBwAIGswLsI6mhAPrwr/8pcqAWg/kC7COfzVOBoIAVww/+QB -DgFe/5CJKYgqKxYBDLsKK7KA+YgMCgAgLvD7FgIgYAJa8P8CAAoA+d4QKyAWLgr/frES+iAHIAAQ -YDBbjK/91PQSlQA2oC4hBx/U9w4OSvjVex/ABDug+9TyHgkAe7CeQIogH9SS+0YCIIACYXD8RgMp -gAQ+oPwSASgJAE3wmUEuIhL8jzgOSgFwMPrMEQ9gBDug+9VrHAkAczD4EgIsCQB7MP4hGigmAWQw -+9VmGAAgXnApkID8RgcugAQ7oJ5G+YkMCgkAWrD6RgQgYAJKcJlFjykuIhXGwPrUpB4AIEfw//ww -LgAgQ7D/JgkgYAJzsP4mFSBAAkkw/goAJgCU5RDwCgcABBBgMABJYQBJYQBJYSlAISsKgPxEICgJ -AF5wKUQhKGIRKEQ7CIgUKEQ6CIgUKEQ5CIgUKEQ4LyIWL0Q/D48UL0Q+D48UL0Q9D48UL0Q8iTT6 -FgMgABBgMP8yBSAAEEAw+YsUAABsAmApRDcuRCcuRCYrRDYsRCQoRCUvRDMLiBQPjxQvRDIoRDUP -jxQIiBQoRDQvRDEPjxQvRDAuRCMOixQrRCLwCgcAgAJJMABJYSggBwgIQQyIEa2IJ4Y5KyAWLwr/ -f7EK+iAHIGACYXBbjByKJ/sKBCAAEGAw+qwgIAQQaDBbhFMtIhIsYhH7YhQvfxBwMA7dAf0mEiAC -AmMw/GYRIAICWvD7ZhQgABAQMNEPAAAA2iBbjFj91HweCAA2oMAg0Q8lkICxVQUIQQhfDLT/8/2F -ZAUAR/AAABzUtf3UXhD/EHAwLkQ0LUQ1/EQ2L/8QWDArRDeKbrGqmm5bIAMqRDMKjBQb1RAsRDIM -jBQsRDEjsIArsiH8jBQAoAJRMPxEMCIAAGDwWNdtHdRfihP+CgAoACAZMP6EUCACAnjwL0QnD48U -L0QmD48UL0QlD48UL0QkY/7hixD6LAAAARBgMPu8GCIAAGnwW4xowCDRD4sQ+iwAAAEQYDD7vBIg -ABBoMFuMYsAg0Q8AAGwQBCggBSkKkHmBSfzU7RAFEFAw/SIAIDAQWDBY4EmDJ4M++9ToEgAAULBb -gNgpPQIY1OX4pgQgABBYMPumBSBAAmKwAgmGAExjAAmGAExhm6aJIsiaiicqrBBbhenAINEPiKL8 -CgQiAABasP0KAyIAAFCwC4AAY//bAABsEASJKsifipjIq8Cw/AoAIAIQaDBY4HfRDwAAbBAOHNTM -jSAuIAUvMgAoMAX0FgEgBRBQMPgWACAwEFgwWOAiH9QGHtQEHdRVG9TCjDf3GoAgkhAoMPoKkCBl -EDAw/MIOIKYAPSBvRCJuQh8kMAUPAgB6QWYtMG7+CpUgAIoHYP8CAAYA6nUQwCDRD2lE+C8wbmnx -8igwBXWJ7Iwo+jwAAAIQSDD5xGQgARBYMFgIocAg0Q8AJDAF/wIABgB2VRAtMG5p0cF1Sb6OKMCx -++RkIgAAUPBYCJfAINEPKrJ4iD0S048psn76socoACBSMCIgwQmZEfmrCAmQBDog/ycPegAgQrAp -vQHwAAphAAJKcCm9AymcgKfLJrSRiKD+FgYgBBBYMP8WBCmABDog/RYKKAkAWjCYFSuQBymRKQsL -QQC7EQuZAg2ZApkYCOowmBn5zQIgABBYMJsb9wkWAGACWHAAy4oECYgAi4oCCYgAS4oACYgAC4or -HBD8CgQgAhBoMFt/IsAg0Q8AAAAAAAAA/wIAD/92KRD6PAAAARBYMFgIZMAg0Q8AKrJ4iD0S01wp -sn76socoACBSMCIgwQmZEfmrCAmQBDog/ycPegAgQrApvQHwAAphAAJKcCm9AymcgKfLJrSRiKD+ -FgYgBBBYMP8WBCmABDog/RYKKAkAWjCYFSuQBymRKQsLQQC7EQuZAg2ZApkYCOowmBn5zQIgABBY -MJsb/wkWAGACWHABy4oMCYgBi4oKCYgBS4oICYgBC4orHBD8CgQgAhBoMFt+78Ag0Q8AAAD6PAAA -BRBYMFgINMAg0Q8AbBAE+ApmIgAAULD5CgEgABA4MPaXOABeEFgw/NQ1GgUAPjBbYbb7rAAARwA2 -oPalESBWEEgw/wqHIE4QQDD/pRAoBQA6cJip9AMWAFACcrAATmXyBBYAcAJqsABNY/AFFgCQAmKw -AExhiiLIpsAg0Q/ALNEP2iD8CgogAxBoMFsebMAg0Q8AAGwQBBTTCxXTxiRAwShSePJSgSIAAEiw -+YgRAAEQWDD/RxVyACBAsCIiJ4IuIiIQ8AAPYf4CELAAIiKngi4iIhCwImQgViZSh4ZmAioLBqoL -8AAKb/8QYDAmLEh6YT3ybAAACQA2YChgJsqIZD/pLSAmwGD50eFgEBBwMG3qFKJn93AQKAAgGbAo -gAD3iRFwAgIxsNEPiGR5idDRD8Ag0Q/4cwZyAAAzMNawZW+qY//pbBAUHNPuAHWOJCIJLSIALiAF -+CIbJuABKDDzIAcgBRBQMPgWACAwEFgw+CIcIgAAefD4FgEiIAEcMFjfOykiCicWGvMWGSIBil5Q -LkIHLuIOIyISJyITK+Kr+3wBCgBAXPB8sQIj4rH54qsgMBBYMPzT1BABEEAw/woAIgAAaPD5egEI -AEBM8PqZDAIAAHHw+Y84AAUQUDBY3yP1CgAgJwA04Po8AAAAEFgwW/+p+hYbIgAASrD1rAADqgA2 -oC6REGAAAwAAx+/6CgUgMBBYMPzTvRIAAGlwWN8T01AX07r1CgAhAAC04CsiG/8CAAIBQMbg9SYb -IgBiAaCKJ/xcAAABEFgw+qwgIAEQaDBbgsZgAKcY067+dQIoCQBD8JhwKCITmHIoIhKYcygiHCt1 -A5p1+XYEKAkAYjD4dgcgABBAMCgWFxnSmCoxEZUYLjAonhn9Fg8gARBgMPwWECAGEFgwmx0b0qyV -HCUWEfkWDiAUBFqwG9OXC6sCLUEYKhwg/QxGDkgBaDD57hEMBwFsMPDdEQwJAHMw+xYLLAkAazD8 -FgogoAJYcFt9rWSiHcCl/NOJEDAQWDBY3tuNL8jb2iD7IhAgARBgMAvQAIwuyMn7IhAgABBQMAvA -ANogWINawCDRD48q/BIZIgAAULD4GgAgQBBwMPj/AQAoEFgw/RwQKgUAf7BbjMj3rAACqwA2oI8q -+9KnEQAQSDD/jFIAARBwMAyeOf8CAAgBF3bQwKDwAApgDRBoMAC4Gn6KCbGq8KEECf/6V1Ad02Qp -QRovFhYpFhj90d8iNAA2YN+Q/ZM2cAAQWDAd01z7CgAgDhBIMPAAE2AEAmtwAAAAAAAAAPjzFHAO -EEgw+NHfIAICWvD7m+xwBAJrcCgSFikxEP9BGCrgAVAw9LsQCuAEOqD07hEKCQBasP8NRgnABD5g -+iAHKAkAVnD/O0MN8AQ/YPuZAgzgAWww9NsQCiABUDD+uwIL4AQ6oPvTPhoJAFqw/yILL4AEOyD8 -0zkaCQBysP4hNSgJAF5w+yE0If8E3hAoIheYcygiFphyKCIZmHUoIhiYdBjTMCcWF/8iEygJAEPw -mHCfdygiEph2LyIVKCIcn3kvIhT/dggoCQBiMJh9LnUCK3UDmnuZemP96dpA+yxIILACYLBbHrTz -/XFiAAAasBzTHv0iACAFEFAw/iAFIAICSvD5JhsgMBBYMFjeZ4Mn+tJ4ECACGPBbF6Yc0nUd0gEe -0xKPIPusAAIAAFDwWxdeiCf3JgwgIAISMNogWw0w/wIAAACDhqDAINEPiyoqEhX5EhQgOgBe8C0S -FwCEBPqcGA6ABDqgnt+c3o14jnlgABQAhAT6nRgOgAQ6oP12CC6ABDugnnkc0vovEhT4EhUgBRBQ -MPgWACAwEFgwWN5CKwpyKyQFKhIZG9JYDKoR+RIEKgAgWrD5pgAiSgA5oIon+woBIAAQYDD6rCAg -ARBoMFuB9dKg0Q/z/exgABBQMMAg0Q/aQPzSjxA6EFgwW2BVyK6XqvOmCSABEGAwnKiLQsm7KRIb -ZZw0Y/w5L1rc+woAK/8C79Bj/csAAAAAAAD8CgoiAABasP0KAyIAAFEwWx0RY//MAAArEhr80sMS -AABQsFuL+8Ag0Q8A2iBbDQwS0k0LqBH0oD5iACBAsAzqMCsihYuwsKL8uwgCAABQsFjgQRzSwCrC -f/AhBAABEFgwALsaC6oCKsZ/WOB2wCDRDwAAAAAAAAD6CgcgARBYMFuEcywif/wmgyAAEBAw0Q9s -EAQU0hIPAgDyQhciAABQsGQgiImhJULULULn+KIAIIAQYDD5VREKACAVcPm7EQQAIG1w/QoCKgAg -bvCOV/XiDiIAABFwbdpJJlK7JFK6LlK8+WYMAAEQaDD4RAwAABB4MPTfOAAAEBgw9tM4AAAQODDz -8BpwABAwMISig6MvUr0E7gwO1jgD/wwP1zh3aBAlXECsJftZo3ACEGgwwCDRDyhSxrGIKFbG0Q8A -bBASHNJ3JyAHhCmNIPgiGyBxEHAw/iQFIAUQUDD4FgAgMBBYMPgiHCAAEHgw+BYBJiABPDBY3cUp -IgoPAgD/AgACAHbeUIxHjM4jIhImIhMtwqv9bgEMAEBs8H7RAiPCsS7Cq8Cl/NJfEAEQaDD+bwEO -AEBw8P/uDAAAEHgw/t84ADAQWDD9PAACAABxsFjdr/UKACAnADTg+jwAAAAQWDBb/jT6FhkiAABK -sPWsAAOlADagLpEQYAADAADH7/oKBSAwEFgw/NJIEgAAaXBY3Z8W0kbzCgAgzwC1YCsiG26zYSMm -G40vZNANAioC+yIQIAEQYDAL0ACMLsjJ+yIQIAAQUDALwADaIFiCGP8iACIAAHCw/NJCEAUQUDD7 -CjAgABBoMFjdicAg0Q8AAAAA2kD7LEggsAJgsFsdyPP/kWIAACqwHNIy/SIAIAUQUDD+IAUgAgJ6 -8P8mGyAwEFgwWN17gyf60YwQIAIY8FsWuhzRiR3RFR7SJo8g+6wAAgAAUPBbFnIjIgcPAgAPAgAj -PBD2JgwiAABQ8FsMQv8CAAH/th6gYAMMiSr9HBAiAABQsPwaACBAEEAw/JkBACgQWDD5izkCAABh -8FuLYPasAAMpADagjCr70T8RABBwMPyPUgABEGgwD+05/wIACAEv7tDAoPAAC2ANEHAwAAC4Gn2K -CbGq8KEECf/6V5Ae0fwpQRopFhgu4d/+FhYiaAA2YN6Q+RIWIgAAQnDAsPwWGioAG84QHNHy+woA -IA4QQDDwABFgBAJjMAAAAAAA+eMUcA4QQDD5wd8gAgJa8PuL7HAEAmMwLBIaLkEY+VEQKuABUDD0 -uxAK4AQ6oPuqAgrAAXQw/j5DCcAEPmD6IAcoCQBWcP+7EQgJAHZw9N4RDOABXDD02xAKIAFQMP67 -AgvgBDqg+9HUGgkAWrAI/hH/IgsqCQBysP4hNSgJAF5w+yE0ILAAXzAuZQIoIheYYywiFpxiKCIZ -mGUsIhgY0cecZCwiE/xmBygJAEPwmGAoIhKYZiwiFZxpLCIcKCIUmGgY0bwrZQOZavpmCywJAEMw -nG3wADViAABRsAAc0bEM/AKcYCgiE5hiLCISnGMsIhyaZRrRsC5lAitlA/lmBCoJAFMw+mYHIAAQ -UDAb0Lce0JwsURGTGClQKJkZ/RYPIAEQQDAoFhCTHPMWESAGEHgwLxYN/hYOJgB8XxAb0Zr6Fhcq -CQBfMC1BGCocIP0MRg5IAWgw+e4RDAcBbDDw3REMCQBzMPsWCywJAGsw/BYKIKACWHBbe7DIrsCl -/NGLEDAQWDBY3N5j/Q2OKioSFfkSFCA8AF+wKBIXAIQECp8Y/4YOKoAEPqCbj41ojmlgABQAhAT6 -nRgOgAQ6oP1mCC6ABDugnmkc0YAvEhT4EhUgBRBQMPgWACAwEFgwWNzILApyLCQFG9DfDHoR+RIE -KgAgWrCZoGP8xgAAAAAAAADz/bxgABBQMADaQPzRHBA6EFgwW17iyK6WqvOmCSABEHAwnqiNQsrW -KRIZZZw5Y/w+KhYXY/8QACha3PsKACv+7nYQLBYa8/2eZdwQcDAAAPwKCiIAAFqw/QoDIgAAUTBb -G5tj/8EAANowWwubE9DbC6gR9KBJYgAgRPAM6jArMoWLsLCj/LsIAgAAUPBY3tAc0U8qwn/wMQQA -ARBYMAC7GguqAirGf1jfBWP8Etog/NE8EAAQWDBbinRj/AIAAAD6CgcgARBYMFuC/ywyfyw2g2P7 -62wQBIs899DtEAAQIDD6MAUvkBAwMPwKkiAWAHrwx40IuwGbPPUKlSYAXGaQ+QqQJgBYLpD7CpMg -HARKsHuhBsAg0Q8AAACJOCJyivwyCSAOADZgmcCNOJzRlDiUOShydIo3hTD6og4gABBYMPhVDAVo -EGAwWNN8lDiUOZQ6lDuUPJQ9lD6UPyQ2ECQ2ESQ2EiQ2EyQ2FCQ2FSQ2FiQ2FyQ2GCQ2GSQ2GiQ2 -GyQ2HCQ2HfQ2HiIAAFlw9jQFIAAQYDD6IhQgARBoMFt/oisiF7C7+yYXIAAQEDDRDwAAAAAAZC9b -LSISft47fKFc9DYKIEgIKrB/vxz6PAAABRBYMFgE5o48wPgP7gL+NgwgABAQMNEPAMAoArIC8jYM -IAAQEDDRDwAAiicqrBBbge8e0EMtIhIqMAUsCpL7MgwsAEB3cP0mEiFOCGKwf7efijfHzgy7Afs2 -DCAgAlKwW4HjiTjycoogEAA2YI05mdCOOJ3hlDiUOShydIo3hTD6og4gABBYMPhVDAVoEGAwWNM3 -JjQFJDYeJDYdJDYcJDYbJDYaJDYZJDYYJDYXJDYWJDYVJDYUJDYTJDYSJDYRJDYQlD+UPpQ9lDyU -O5Q69DYJIgAAWXD0NgggABBgMPoiFCABEGgwW39cKyIXsLv7JhcgABAQMNEPbBAOHNDCjSAuIAWD -Jy8hNYgs8zIOIAUQUDD4FgAgMBBYMFjcBY8s9tC6EAEQKDD0CgAgAhA4MPsiCyIAsffQKiE1taoK -Ck/6JTUiAS770CuxGf8CAAoAlVLQiicqrBBbgaUc0KyILCU9Avr6ky/+EEgw+iQFKABASjD4Jgwg -MBBYMPdUEiACEFAw/SE1IQACKXBY2+kYz8sfz8wbz8gc0BspYnMqsncrveYrsMEkVJH+IgArkAQ6 -oP+3D3gAIFZwKZ0B8AAKYQACSnApnQMpnICYFp8U/woEL4AEO6D8FgouCQB7sJ4VLZAHK5EpDQ1B -AN0RDbsCDLsCmxgK6jCUGyk9ApoZ9wkWAGACUHAAyooECYgAiooCCYgASooACYgACor7HBAiAABQ -sPwKBCACEGgwW3sSjywPAgAPAgD0JTUgdABz8CogBfkKkiAYAHvwx40I+AEoJgz7CpUgPgRKsPwK -kCAuBFqw/wIABgBQZpAtCpP/AgAGAErukMAg0Q8AJSYSJCYQJCYVJCYRJCYT+iwAAgAAWPBYBbvA -INEPiifTDyqsEFuBUyQlNfzQWxAFEFAw/SIAIDAQWDBY25+DJ/rQVxAgAhjwWxTeHNBUHc85HtBT -jyD7rAACAABQ8FsUliMiBw8CACM8EAM6AlsKaP8CAAAAZ4agiCwFiAL4JgwgABAQMNEPAAAAAACJ -KPNieSAQADZgiimZoIsomrGUKJQpKGJjiieFIPqiDiAAEFgw+FUMBWgQYDBY0o4kJh4kJh0kJhwk -JhskJhokJhkkJhgkJhckJhYkJhUkJhQkJhMkJhIkJhEkJhCUL5QulC2ULJQrlCr0JgkiAABZcPQm -CC+QEGgw/SQFIAAQYDD6MhQgARBoMFt+si4yF7Du/jYXIAAQEDDRDwCNIP4gBSAFEFAw/NAcEDAQ -WDBY21/AINEPAADaMFsKUhPPkwuoEfSgPmIAIETwDOowKzKFi7Cwo/y7CAIAAFDwWN2HKmKbADEE -AFsaC6oCKmabWN2+jCwFzAL8JgwgABAQMNEPAAAAAPoKByABEFgwW4G5jSwuMn/+NoMsCQAvcP0m -DCAAEBAw0Q8AAGwQBBnP7igiCvoiCCAAEFgw+YgCAAAQYDD4JgogAhBoMFjbg9EPAABsEAT0IM1g -lRBAMCswBSoyBywKkPqiDiAwBELw/QqTICAEYvD/AgAGAGJu0MAg0Q8bz2kvIhgdz8Ypoo748gog -ABAgMCQmFv0mFyQ8AUww+exRClkBSDD3qhAMIAQ7IPRVEAg3AUww+ZkQCFgBQDD8VQIJYAQ6IPpV -AggJAEow8yYQJAkARXD1JhIvihBwMP70dCIAAFCwW3ujLCIS+iICIgAAWrD0tQoggBBoMPS2BCwJ -AGsw/CYSL3MAtqCIstog/AoEIAMQaDALgADAINEPAAAAAPoKAiAwEFgw/M+8EgAAaPBY2v3AINEP -ACoiGFv/usAg0Q8AAGwQChrO2SiigCmidyqt5iqgwfIWBymQBD5g9RYBKAAgSjD/pw9wARBIMC2N -AS3cgJ0SYAAHLo0DLuyAnhIazt8SzraLF5ITgjSSGIu3IiAHGM7ei777FgYiIAEQMJIQK70CKxYE -+bQSL+AEPKD8IhEOACBH8P8WBSIAIFCwjheO4vXhwGHAEDAwhTYvIjr5EgUkAwA1cCZcTwZGFPmS -lyoAujfQKCI5ihP5hwEOALPOEPAKBwIAAEHwbWkCAEhhixgtsAcssQf8DEoMAgFsMPrdEA3ABDsg -/c+AHAkAazD/EgIsCQBrMJxwi7D4zrkf4RBQMPp0GCBgAklw+XYDK4AEPuD4dgIqCQBdsJtxKPAH -L/EpCAhBAIgR+M6XHgkAR/DA4P52BS4JAEfwn3QN6jCLNZ11LDIH9XUPIIACUfD8XAAKACBm8FjR -q4g2jzeOFgWIDPg2Bi4AIC/wnzcl5oEtMCjI3WWACxvOrynigAuZAinmgPgSBCBAAknwAgiGAElj -AAiGAElhJiY5LDIGZc7r+hIIIAAQWDBbeySPGC7yEigKgAjuAi72Ei0wKPusAABDADdg+M9JEAAQ -SDAppBIppQiYoooYiqLLp4sRCwtHaLIaiheKp/sKBCAAEGAw+qwgIAQQaDBbfj/SoNEPwCDRDwAA -GM68jDmNOJ2knKWYomP/wIoY/AoEIAMQaDALgABj/7aLEPoSByAAEGAw+7wYIgAAabBbhn6NFxzP -Lf4SACAFEFAw/dIAIDAQWDBY2mnAINEPjxGNFxzPJvT/GgAFEFAw/dIAIDAQWDBY2mHAINEPAGwQ -BBjOQCwgBymCgCqCdyiN5iiAwf0iAiuQBDqg+pkICiABZDD6nQEgGAB+MPAACmEAAlKwKp0DKqyA -yNLAINEPHs5FDL0R+M5HHAAgd3Au0joIuAr4gpcqAGOnkC/SOQwpQAqZEPj+AQ4AW0fQLyEH+M8A -H0ABfDAM/xEJ/wII/wKf4IsgsEn4zjkZwAQ+YPnmAyuABD7g+OYCKgkAXTCb4RvObJvmL6AHKaEp -DwpBAKoR+pkCAAAQeDD/5gUoCQBecJnkCOowmOX/5gcgQAJTsPsKAiBAAkjwbboFAAmGAEphBQhH -9NY5IkIAOiCKJ/sKBCAAEGAw+qwgIAQQaDBbfd/wAARiAAAisIw0yMn7MgUiAABQsAvAANJA0Q8A -APosAAIAAGkw/AoAIDACWvBbhiLAINEPAGwQNiMiGIM3gz4qIhAoMB8uMBwsMCAvMB0tMCH4zBEP -gAQ7oP8wHi4JAHuw/TAiLAkAazD4zBEPgAQ7oP8wIy4JAHuw/TAALAkAazD4zBEPgAQ7oPjuAgwJ -AHsw/sgMDKABbDD6gChgPxB4MCuiEvvoDAAUBHLwZoACLqYSK6IT0w/7yQwAFARi8GaQAiymE/oK -IywBKgNg9QoAJgEs11D7CiQggBAwMPwKJiYAXN9Q9woBJgDQ51DD4n7REn/Re8Ci/M6iEDAQWDBY -2d/RDwAvMBgkMBn4MBovgAQ/4AT/AvQwGy+ABD/gCP8CCP8RBP8Csf8vJhYpMCQkIhD0n8xgkhAY -MP8CAAABEoZga5S8apK5wKX8zo4QMBBYMFjZyihAbmmBpilABXOZoCckZPpMAAABEFgwWAJf0Q8q -MBgrMBn8MBorgAQ6oAuqAvswGyuABDqgDKoCCKoRC6oCsaoqJhbRDywwGC0wGdMP/jAaLYAEOyD0 -IhAsCQBrMP0wGy2ABDsgDswC+0BuLYAEOyANzAKxzPwmFi80ALbg+85tEgAAUTBbejopMAQrMAX+ -MAYpgAQ+YAuZAv0wBymABD5g/M3ZGAkAdnD1pgcpgAQ+YP48MCgJAG5w/qYFKABAZnCZpigwAfKm -BCgAQDIwKKQoLzAUKDAV+TAWL4AEP+AI/wL4MBcvgAQ/4An/Agj/EQj/Ap+oLjAQLzAR+DASL4AE -O6AP7gL/MBMvgAQ7oAjuAgjuEQ/uAp6pjUL7rAAOjgC3YIiiwMT9CgMiAABRMAuAANEPACowGCsw -GSwwGvQiECuABDqgC6oC+zAbK4AEOqD3QgcqCQBisAiqEQuqAvdyDiACAkqw+SYWIBQIUnArrAIr -JhYsMAJlzjHaIFvjgi1Abv8CAAH/Ex9gK30C/BpQIgAAUHBY0GgezUQp4oAq4ncu7eYu4MHA8v8U -ECuQBDqg+pkIAAB2/5DwAOtoACA2cAAAAAAAAPosAAIAAFjwWxeP0Q8vMBgoMBn5MBovgAQ/4Aj/ -AvgwGy+ABD/gCf8CCP8RCP8C//wBIgAAULD/JhYiAABY8FvlWtEPAADApfzOChAwEFgwWNlDKEBu -0w/TD2iBZykiEniXIIon+woEIAAQYDD6rCAgBBBoMFt8+isiEiz6fwy7ASsmEi4iGPvN9B+MEGgw -/eR0IgAAULBbecQlpBIoIhKPItug9aUIKAkAMjD4JhItNQC34IiiwMT9CgMiAABQsAuAANEPKUAF -0w9zmY/6TAAAARBYMFgBvWP/gSmdAymcgB3NVBvNAyUUEY9AGs0A+hZWIAQQQDD7FlQvgAQ/4P0W -Wi4JAEfwLxZVLpAHLJEpDg5BAO4RDswCDcwCLBZYC+owKxZZJRZbKh0B+qxwIgAASHAGCYgAyooE -CYgAiooCCYgASooACYgACoraQPsdASAEEGAw+7xQIAIQaDBbeFHRDwAAbBAEiTcomRQlkglkgY0u -UgP9UgIgBRBQMPzNvRAwEFgwWNj2LSAHG8zrDQRBDEoR/8zsGgAgWrApojoPTwr/8pckALFCYC6i -OfnM5xwCAWww+M2nHKAEP2D/7AEOAKP/kC4hBw4OSgzuEfvNGR4JAGuw/1EILgkAQ7D+xgAtAAQ9 -IPgiACAAECAwlMX0xgcsCQBv8PnGAiwJAF9wncT7xgYgBBBoMPiIEQAwEFgw+8YDKAkAajD4xgEg -QAJLMAIFhgBJYwAFhgBJYR/Nkp/JHM2S/aY5IAUQUDBY2MiIOMqEHM2O/TIAIAUQUDD+MAUgMBBY -MFjYwYk5lDuLOJuQijiZoZQ4lDmMPP36myAmAH8wLTQFji4vLDh/4VfAINEPHszSiDf7MgAvwBBI -MPXiiy+WEFAw+jQFIEACejD0NgwuAEBP8PSFFCCAAnvwn4mfiC7ic/pSFSAAEGAw/rsMAAEQaDBb -e+8rUhawuytWFmP/oQAA/M1rEAUQUDD7CjAgAxBoMFjYnfoiECADEFgwWAE2wCDRDwAAAAAAAPP+ -bmAAECgw/M1gEAUQUDD9IgIgMBBYMFjYkYwiZc9f+0wYIgAAULD8CgEgBBBoMFuEmsAg0Q8AbBAG -kxL09RoABRBQMPzNURAwEFgw/jIAIgAAaPBY2IIWzHgTzHwXzUyNEhjNTJgQJNIT/dEqIeACQjCY -EWP//8Cl+wowIAQQKDD8zUUUAwAvcP9MAAIAAHFwWNhzLiAHDg5B+cxqG8AEP6D2uwgLoAQ5YPiy -OiBeAmqwDU0UCekK+ZKXKgCs7hAosjmLEPmPAQ4Apk4Ql/AEWBQKXhSe8pjzjCAIzBEM3AKc8fAL -FwAgAkvwAAmK+xIBIB4CSrAJSRST9pr38AsHAEACQ/BtmQIASGEsIAcMDEGKEiuhKgpYEfhECA3A -BDsg9bsMDAAgMzD9xjkt4AFcMP2lKi9CALdgiRKJmPUKACAUADZgihKLqZWrmbCMqJvBlaiVqY8S -Fsxdjfz0YosvlhBwMP70BSIAQP9QihKIpyn6wPWmDCBAAnow+6IALgBAT/D1hRQggAJ78C+GCC+G -CS5ic/pCFSAAEGAw/rsMAAEQaDBbe3gtQhaLLv3c/yBwAmCw/UYWIB4EYvDAINEPAAAAAAAAAPzM -9RAFEFAw+wowIAQQaDBY2CH6IhAgBBBYMFgAusAg0Q8r8hIuQioLikT6qg8AABBgMP6qCgABEGgw -+qIAK/ABXDBbe1+MEiXGEmP/Vo8iyPqCEvQmEyAAEBAw0Q/aIPwKASAwAluwW4QaghL0JhMgABAQ -MNEPAGwQDBjL6CmCgCuCdyiN5iiAwfm7EQIAAFCw+5kICgUAGPD8nQEgGAB+MPAACmEAAmMwLJ0D -LMyA/cvHEBIIGrCLO2AAAce/8A0HAgAASHAASWEASWEASWEASWHyBBYAQAJIcABJYwAEhgBJYR/L -0RnLz4igmRL/FgAgBBBwMP/MHRmABDog/xYGLAkAdjCdESnABy3BKQkJQQCZEQndAg/dAv0WBCIA -AGOwCeowmRUlFDErFRz9CgIgABB4MP8WBygJAGow+BYJIgAAWHBbdyXRDwAAbBAEE8uzKCEMJDJx -IzKAqEQJRBGkM4Q3KCAThE7JjvVMCCAAEFgw+lwAAOAQYDBYztbaUPwgEyBAAliwWM7HKCASDwIA -ZIAjJE0B9EzoIAAQWDD6TAAA4BBgMFjOyystAfwgEiIAAFEwWM68KPqF+DQFIgAAEPDRDwAAAGwQ -BBTMFykhDChCdSNChKmICYgRqDOKN/RCiiAAEFgw+qIOIzAQYDBYzrgqQhMrIQz8CgAgARBoMFt6 -7xzLh/wlDC//EFgw+zYLL4UQUDAqNAXRD2wQBBXMAiRSiipCEyihAiMhDMiJ2zBbewfIp8Ag0Q/A -INEPAPpCEyIAAFjw/AoBIAEQaDBbetsYy3IognEkUoSoNQlVEaVEhUcsIBOFXmTACvssICAQAlFw -WM6MLCASyMwqXQH7LQEh0AJSsFjOhykhDYpAmiUpRRgpIQ70kBxvhhBYMJNLKUUZIyUM+0QFIgAA -ETDRDwAAAAAAAPNGCyAeEGgwLUUZ8yUML4YQYDD8RAUiAAARMNEPAAAAbBAE8kkIAgAAMLDTD21K -EiUwAPVkACACAhjw9FAGYAICMbDRD8CAKJQA0Q9sEAgXzAT/zDMQARAwMPsiCiAAECgw/ct+H44Q -cDD5PAAAlRBgMPSwQmGAEBgwirj/AgACAAAisP8CAAAAQAZg/Kw4InYAOmD/AgACAVAGYP8CAAQB -UAJg/wIABADlhmD/AgAGAJUCYNEPAAD/AgAAASoGYPRcAAIBG4JgY//IiK58gSeKTomg+6IBIA4A -NmCZsI2gm9GVoJWhiE7A8fyIDAAAEHAwCP44ZO/XnE78Rg8iAABRMFvfEtEPACuiEimiGPqiAioA -QH7wK0YSLpR0/CQFIBMANqD/AgAAAJh6kMfNDKwBnEItQhL/AgACANU7UIgqHMv5ioiNIC4gBS+i -ACqgBSoWACmCACkWAfiABSAwEFgw+BYCIAUQUDBY1x2DJ4M+LT0C+z0DIQACa3D21JMgAxBgMCzU -kiW0WSYmEiYmEyUmECUmFfUmESIAAFCw9SYUIgAAWfBbd6D5PQIiAABasPIJFgBAAmKwAExjAAmG -AExhlaaIImWO64iiLAoE/QoDIgAAULALgADRDxzL04i4jSAuIAWPgCiABZgQirCaEfmwBSAFEFAw -+RYCIDAQWDBY1viJJ4me2iD5FgQoACAecPaUkiIAAFnwW3eDiRQpnQL2CRYAQAJisABMZwQJhgBM -ZZWmiyL1pgQhZwA24B3LJyxCEi76kv4kBSwJAGswLEYS0Q8AAAAAAP8CAA//a9dQZKFs/PoAIAAQ -WDDwAE1v8BBoMIMn+suTECACGPBbEBscy5EdynYey6mPIPusAAIAAFDwWw/TgycjPBDaMFsFpv8C -AAAApgagiCwGiAKYLNEPCooU9KAeYBACWvB6yPF60A0KShTwAAdgCAJa8ACxuwoaFGWv9/pMAA// -EGAwW4FgY/5OikcqrBBbfGwcysErQhIMuwErRhJj/kKNXsPI/wIABgBq51Dz/cViAAAhcAApUhIo -Uhj6UgIoAEB+cClWEi6EdPwkBSBwADagfqdV8/35YgAAIXAA2kBb3oTRDwCKR/sKASAAEGAw+qwg -IAEQaDBbemMpQGT/AgAAAEIGYP8CAAIAQgJg/wIAA/6kHmD6TAAAABBYMPwKACACEGgwWHw30Q96 -0Afz/tRiAAAhcPP9n2IAACFw8/2XYgAAIXCIovwKBCIAAFqw/QoDIgAAULALgAAdysksQhIu+pL+ -JAUsCQBrMCxGEtEPAAAAAADz/wJgABBYMPP9HGIAACFw2kBb4JfRDwAqQhhb+zzRD9owWwVxE8qx -C6gR9KA5YgAgRPAM6jArMoWLsLCj/LsIAgAAUPBY2KYcyyUqwn8AMQQAaxoLqgIqxn9Y2NyMLAbM -Apws0Q8AAPoKByABEFgwW3zZjSwuMn/+NoMsCQA3cJ0s0Q9sEASKLPkKlSACEGgw9QoAIAQQYDD8 -rwICAHn2kIsnjr6fLC7tAi3kECggBf8CAAYAeM4QiSojkggrMhIo+o34lHQiAFi60BjLIYQn0w/6 -ywgYAEBC8Pg2EiAgAiEwWw+OHMsDHcnp/ssDEgAAWrD/IgAiAABRMFsPRYQnJEwQ2kBbBRj2CgEg -AHwGoIssiiooMhL0CoAqCQA28PsmDC+MEEgw+aR0IEoAYjCKN/sKBCAAEGAw+qwgIAQQaDBbefMr -MhIs+n8MuwErNhL7yu4SAABQ8Ft2vyWkEi4yEo0y26D1pQguCQAjsP42EiCCADdg0Q8AijcqrBBb -e9McyigrMhIMuwErNhJj/zsA/SIAIAUQUDD8yvIQMBBYMFjWG9EPKrwQW3vIgyeDPvvKuBIAAFCw -W3aoKT0ClaX4yrUSAABasPimBCBAAmKwAgmGAExjAAmGAExhlaaJImWfkoiiwMT9CgMiAABQsAuA -ANEPiKLAxP0KAyIAAFDwC4AA0Q/aQFsE+BTKOAuoEfSgNWQAIEEwDOowK0KFi7CwpPy7CAIAAFEw -WNgtHMqsKsJ/AEEEAGsaC6oCKsZ/WNhjY/7LAAAAAPoKByABEFgwW3xhLEJ/LEaDY/6zbBAIF8rB -Jj0BKDKOLHIUJmxA9XLqIgDJrhD6ctEgJgA3IC5y5P0KgCuQBDqg+zKTKgAgcrBtyQuMp4zOLsKq -e+EJrarwAAxgABBQMC3CrbHdLcat1KAqUhEuoQL/UhIhRQA3oC/xAmTxOpQWW3TmGMmyKIJvJHLk -qoj6FgQpkAQ6IPpSEiQAIEEwW3TfHMqhHcqfixYvQAf6yqAcACBusC6wB/tGCSD8EEAw8kYQLgBA -R/D9FgUuIAFwMP/KlR4JAHuwn00uRAeOFJ5LKWI+mk/9RTUhABB4MPxGDiB0AC5wjkoqPQL9PQIu -CQB7sP5GCiCQAmtw8g0WAJACYTDwDKIAsAJSsPAKFgCwAkkwAElhYAAOAAAAKWJCKUYSKGJDKEYT -gxQcyn0vYYItsAf+sDkgBRBQMP9FNCAwEFgw/ywADCABbDBY1ZscynUtUhGIFS5yFS3RApQQ+UIA -IgAAePD5FgEgBRBQMPgWAiAwEFgwWNWQ9QoCIC0ANSAvIG7+CgEiAABRcA/qOfwKACAAWQag8/rq -IgB1gqDLMdpAWHoN0jDRD8As0Q8qPQIqrFhb94Mcylz7CjAiAAAisP1CACAFEFAwWNV7Y/6KAAAA -ABzKVv1CACAFEFAw/kIHIDAQWDBY1XQoIG6UKvlCCiDGADYgGspOCpoCmkqOLPxtAS4JACuw/iYM -L4gQaDAtRHQrwCkuwCr9wCsrgAQ+4A67AvzALCuABD7gDbsCCLsRDLsC+0YcIgAAUTBb94vSoNEP -L3Lqi/8v/Dx/sUCIsY2wnYCJsJiRnLD8tgEgUQA24Ci9/4NAI4Y+jkovvPj/RgcuCQBTsJ5K8/8g -YAAQGDAAAAApcuqLnSmcNHm5C/P/Cm/0EBgwAAAAAI2xj7Cf0I6wneGcsPy2AS+3ALbg8/7qYAAQ -GDCZSmP/QAAAAGwQDBjJHh7JcRzJHimCgCuCdyiN5viAwSIAAFCw/ckaG5AEPuD0NBEoACBecP+H -D3AEEFgwKZ0B8AAKYQACSnApnQMpnICIoJ0Q/BYCKYAEOiD+FgYoCQBaMJgRL5AHLZEpDw9BAP8R -D90CDt0CnRQM6jD8FgUgABBYMPsWByBAAkhwBgOIAMmKBAOIAImKAgOIAEmKAAOIAAmK2xD8CgQg -AhBoMFt0ZdEPAABsEAQTyXYlMoooUhQogQIqCob2MoQgIAIg8PSBVmDAAjlwKSIFKDJ1qYgJiBEI -ZggpYAUPAgB6kQUq+oYqZAUqUhRbdBckQnAjMoSqRAlEEaQzhDcfyJ6ETv82DiABEHAwLjYT/jYS -IAAQaDAtNhQtNhGMKPzsUQIAAFqw/DRuIgAAUTBbGcYcydAuYRn9YRgv/xBQMJo/iSX5Ng0gMBBY -MPY2CyAAEEAw+DU1IAUQUDBY1Ob2CgAiAABYsPpNAiFQEGAwWMvlKkKI0w/TD/SmEnACEGAwKzBv -0w8PAgAMuwIrNG/zpg1wBBBwMC0wbw7dAi00b/KmE3AIEEAwLzBvDwIADwIACP8CLzRv/AoDLPgB -VDD1pg1wARBYMCkwbwuZAik0b/kyAC4AB+8QLjBvwfAP7gIuNG8sTQEtwZItNTQswZMsNhYrQosr -NhgqQowqNhcpRoYocDgoNHAiUheWOPY2CSACAhCw8lYXIgAAEPDRDwDAINEPbBAGKCAhFMhL+4gR -AAcQSDAJiAIoRsHA4S5GwvvISRAyEGgw+shGEAAQYDD8RsMgABB4MFt60vagRGIAABKw+8hAEAAQ -YDD9CjIgARBwMPrIOxADEEgw+UbDIAAQeDBbesj2oCxiAAASsCpCwioVAGYgCSsRAAsrQJsw0Q/R -D8Ck/MgwEBQQWDBY1JJnL+Nj/+rApPzILRAUEFgwWNSNY//NAABsEAYkICHzyCIQABAoMA8CAPtE -EQAHEEAwCEQCJDbBJTbCG8ge/FwAADIQaDD6yBoQARBwMPU2wyAAEHgwW3qn96BUYgAAErDApPzI -FRAUEFgwWNR3ZiB6JDbBJTbCG8gP/AoAIDIQaDD6yAsQARBwMPU2wyAAEHgwW3qY96BhYgAAErDA -ofzICxAUEFgwWNRo0Q8AAAAAAPvIARAAEGAw/QoyIAEQcDD6x/wQAxBAMPg2wyAAEHgwW3qI96AW -YgAAErDApPzH9xAUEFgwWNRYZy+E0Q8pMsIpFQBj/3YAAAAqGQArKgALqgIqNsIbx+v8CgAgMhBo -MPrH6BABEHAw/jbDIAAQeDBbenT3oBFiAAASsMCh/MfpEBQQWDBY1ETRDwAAbBAGjjP+5RNv6hBg -MPpcAAIAAFjwWNJYwCDRD/zJHBAFEFAw/TICIAAQWDBY1DeIMIYyGckX9DIDIABZKhB2mwrz/8hv -6hBgMAAAAP8CAAIAiIUgiycAQAT6IHcgDRBgMAwMG/uyDiABEEgw/8cNcgAAOrAtIA0PAgBl38MA -QQQAnBr8FgAgbQA1oAtPC/zJARgJAFMw+CR3IgAAaTD//QUgAgJxsP716CAEEFAw/vXpIAAQWDBY -1BUbyPcLSworsn/Is9ogC7AAZHBv3UD+bAAABRBQMPzI8RAAEFgwWNQL8/8oYAAQYDAAAADz/x1g -ABBgMN1A+goFIgAAMzD8yOgQABBYMFjUASogd8e/C2sDC6oBCgpH+iR3L8YANeBlr77ApfzI4BAA -EFgwWNP3iicqrBBbeaRj/6YAACsgd2S/icCl/MjZEAAQWDBY0+8bx938yNYSAABQsFjQTmP/awBb -eWRlruzAovzI0RAAEFgwWNPmY/7JAGwQBoQnFcjNKSB39EIOIAAQGDAAMAT5ChsAABAwMPRNBSAF -EDgwf6dyK0HoDwIAsLsLC0/7ReggZAC24PkWAiKIADzgLCAN+iAMIHQAtyD5FgIrgAQ6oBjIuvqK -FAjgAVQwCJgKKIJ/+hYAKeAEPmApFgELgAAKCUH4kV9iAABasGiTWihSf9ogC4AAKkHo0w8PAgD5 -EgIgfAA2oPVcBCAQAjGw9EwIIAICGPDwMAQB/gI58PkKGw9uALXgYABzG8hYiiArsn+ZEguqDPiq -EQABEFgw8/9/agkAWrB8pxmNJ43e+RICLAAgN3D93QYgARBgMCzVaGP/ohnIkogRqYgogn/HnPoS -ACoAQE7wC4AAY/9wACogd/AxBAABEFgw8LsaD/8QYDAMuwMLqgEqJHdj/2gbx4b8yH8SAABQsFjP -98Ag0Q8AAABsEARj//wAAGwQBMCi/Mh8EAAQWDBY043Aplt50sAg0Q8AAABsEASJJ4meKZ0GKJI9 -ZIAEwCDRDwAVyHLAsSuWPSpSIvbHYxAAEBgw9woAL+QANqAkUtwoYnssUtOLIKg4CYgR/LsMBAAg -QTAqQh1bduXIqClAb8mRsZoqRG8rUiKxM3szzWP/qgAAAPoKAiAAEFgw/MhdEgAAaPBY02snRHAr -QF/8QC0iAABRMFg53ilAb2P/wgAAbBAEiSeJnimdBiiSPWiBBcAg0Q8AABTITcAwI5Y9KkIiFsc9 -9/r/L+gANqAlQtwoYnssQtOLIKg4CYgR/LsMBAAgRXAqUh1bdsHJrylQb7CZCQlH+VRvIBUAtmAn -VHArUF/8UC0iAABRcFg5wSpCIrEzejO2Y/+XbBAEwKT8yDUQABBYMFjTQxrG2SigwXuHQx7G7cCw -/PrnIeAQaDD+4oQgFBBIMG2aDy+hcAz/Af3xCXAEAlKwsbsr+vsAsAT+CBkAAhBQMPgIQAABEFgw -CLo5WI/TwCDRDwAAAGwQBMCk/MgdEAAQWDBY0yrAINEPbBAEHsa+HcgZLuKEH8gZ/dJ/LjgBcDAP -7gou4n//CmQsAAtrkIIngi4iLQb/JXQgABAQMNEPAMCh/MgOEAAQWDBY0xjApVt5XYIngi7yLQYg -ZBB4MP8ldCAAEBAw0Q8AbBAEGsgF+qJ/IgAAWLD8PAAAARBoMFt2VdKg0Q8AAABsEAQax/0qon/b -IFt2dNKg0Q8AAGwQBBTH+MAx9EJ/IAAQEDAoQQMkQQIIRAwEMjjRDwAAbBAIlBGSEPvH8BIAAHjw -/lwAAgAAabAsGkAsthArshFksiAXxpL3twEAARAoMPcWAyIBC0LQwJD6CgAgABBgMPAAlGAAEBgw -9wogIowANOD8dwwAABAgMPcWAiLMADXghhInCgDwcQQAARAQMPBTGgH+AjGwbWkP8CEEAAICELDw -UxoECQAZMANDAoLwAMEE9xIEJgBAQPAAZhoGIgLz4gAmAEA48JLwAGYaghL2EgAiCQA08JPgACAE -BwcZghEIAxkjZgD3JgAgABAYMCys4P8CAAgAuAZgAJEEAFYa9rYBACAQODD+bHZgAgJKcIgT/wIA -CgBONdAm0CVkgcUnCv//AgAOAKc5kCbQJLKq+AoRJgD0gaD3CoUmAPRFkPgKAS4AmLmQmBTAg2Sv -ovISBC3/i5Kgg/AAwQSM4ACGGvAiGgIJADTw8/YALAkAEzD85gAgARAYMPP/dWIAAGKwAG5kUPcS -AyQATJWgItAcKNEW9HGUZgQBEDAJZxEHiAL4FgQgEAJSsPP/n2D/EEAwAPgaAC6IAT2g/wIACgBe -NhCH2PcIQgZDATwwlxTz/3pgBgJSsG5icP8CAAP/ipWgh9j3aEIGSQE8MJcU8/9bYAYCUrAAAAAA -APgKgC4ATkGg/wIAC/92NhCH2PfoSAcXATwwlxTz/zJgEgJSsAAAAAD/AgAAEBBAMP8CAAoAQkWg -/wIAC/9eNhAo0Ccn0CaXFPP/BmAQAlKw/wIAAf9SHaCH2PfIQAYNATwwlxTz/upgAgJSsMAg0Q9/ -vwf/AgAB/vPa0MYq0Q8AKCoA/wIAD/84wZAn0Bz3aEAGBwE8MJcU8/62YAICUrD/AgAN/yodoCjR -DSfRDJcU8/6eYCACUrD/AgAJ/x4ZoCbQHCfRFAZYQACIEfjRFSYJAEXw+qwRJgMBMDD3FgQnAAQ5 -oPP+amgJADIwJ9Ak9xYEIgAAQbDz/ldgEAJSsACGEIRgAMEEAIca9xIBJAkAOTCCFJRghnAAIhoC -ZgKWcGP90cBzlxRj/iXAgpgUY/4eAGcRB4gCmBQo0RcCJ0D6rBEnAAQ94PP+B2gJADowAPP9X2AA -EBgwbBAE+Uz9ILAQKDDyCoAiAAAwsPNSOAARADWgKCxACYI40Q8AAAAAAPItASH6Alkw8izAIgAA -ULALojjRDwAAAGwQBBXHFtMPJFIdJVLi+BoAJfAEOSD1RQsOLgC9IPSIDAAAEFAwbYkHKVEAcpED -uFXRDypVAZpRKlUA0Q8AAABsEAQfxwYp8h0u8uLA0PsaACnwBD5g/poLDswAvmAJuwxtuRwroQDc -oPKxQ3IAAEJw9LAzaOABTDD6zAggAgJKMP7WCwA7ADdgImUAIvLiAtILIyUBLPLiLVQADNwL9MYB -IAEQEDDRDw2dOGP/ygAAI6UBlKH5VAAgABAQMNEPAMcv0Q9sEAQXxuXTDylyHShy4voaACnwBD5g -+JgLDjAAvmAJqgxtqQ0rgQBysQv5nAEgEAJCMMcv0Q8jhQGUgflUACAAEBAw0Q8AAABsEAQaxb2M -NCuievqigC1gAWAwrLsJuxGrqiigBPiLBmAAEBAw0Q/A0C2kWCswFC6iFRjGyP/FbxAQADbgLOEA -CMwBD8wCLOUAjKIrohQpohP9phMv/hBwMP2mFCwAQHMwnKL8CgAiAABScFjP3cAg0Q8AAGwQBCgh -BxnGtwgISgyIEQmIApgwhyD2xocXgAQ94PnFsxYJAD0wlzHwBhcAEAIQ8AACipU1+TYEIDACEPDR -DwBsEASLM/oiByAAEGAw/CQnIgAAaTD7BksD8AFcMPtMAABAAlKwW3Vm+iAmIAEQODD4xZoQ4QA0 -4AylEfvFmhQAIEVwLFI6C6sK+7KXIgBpxyApUjkfxpQcxmf7lAEOAGFeUC4hBw4OSgzuEQ/uAp5A -jSD43REAAxBwMA7dAp1B8AwXABACSTAACYr4xYgQGBB4MC9GBfhGBCIAAFCwWxj+/SEYL38QcDD8 -IhEowAE0MPE4EAjABD5g+yISKAkASjD4RgcuBwE0MPtGCy9QBD/g/S0UAAAQWDD7RgkuAEBxsPvG -ch4JAHuw8O4RDQAEP2D+RggsCQBrMPxGCioJAF6wm0aKIgeqApoiwJP5VjkgABAQMNEPJyRYY/8c -AAAAAAD7rBggABBgMP0KAyIAAFCwW31yLCAnyMLAINEPiifAsPqsICABEGAwW3xvHsZYnqCMIAA9 -EfvGVhwJAG2w+6YCLYAEOyD9pgMsCQA7MJyh9yQnIAAQEDDRDwAAAGwQBMAh0Q8AbBAEwCHRDwBs -EATAIdEPAGwQBMCl/MZGEDAQWDD9LAACAABw8FjRRWQwiGkxRiMiBw8CACMyDgvqMBrGPiiif/8C -AAoAiEbQHcTTK6Z/KqJ+/dIxIAAQYDBY0cL8CgAgZBBoMFjSgS4gOCS9AfRMyCYWADug0Q8AAAAA -APzGLxAFEFAw/SIAIDAQWDBY0SsuOoD9CgIgDxA4MPQKACACAikwKjK+aKEgsUTzPEAj6AI5INEP -/SIAIAUQUDD8xiAQMBBYMFjRHdEPjyfTD4/+/Ta+KgAgd/AqsIB3qlb2CgAgBBBAMG2KCgBgBAoJ -G3+XA7Fmx28GaQnwYQQAARBgMADMGg+ZCvidAiwJAGKwLLSAKZ0DHMYLJZWDJZWCJIaC/IaDIBgA -NqAmNsBj/3kAAAAAAADz//Bv/xAwMBvE7vzE7hIAAFCwWM1fwNLz/9hjgBBwMC2ifrHdLaZ+Y/7o -AGwQBCsgBxzE6wsLQQy6EayqKKI6/wIAAgCaQiAdxOksojkNvQot0pf9wwEOAJJvEBvEuPALBwIA -AEjwAElhAElhKCEHGcTiCAhK/cXmGcAEOiD/xN0YCQBKMJgw/CIAIAgQcDCeM/82AiACEEAw+MkR -DAkAazD8NgQoCQBGcPk2ASFgAnrwLvJ/KyEJ+KY5IAAQYDD68oAh/gJzsP72fyABEGgwW3QXiicu -IgL7+sAgQAJKsPuZAQAAECAw9KUUIIACSnAppgn5pgggXgA3oPzFxhAFEFAw/SIAIDIQWDBY0L+K -ImSgXMCw/frwLwAQYDBtCA16wA0KihT0oB5gEAJa8GP/63rQDQpKFPAAB2AIAlrwALG7ChoUZa/3 -+iwAD/8QYDBbe02KJ8fPLKYAJCYHW3CuJCQE9CQFIgAAEPDRDwAAAPP/1GAAEFgwwCDRD9Iw0Q9s -EAgoIAXDrg8CAP8CAAYAylYQiycqJAUPAgD0+sAgQAJK8PSZAQAAEBgw87UUIIACSnCZufm2CCAg -AlLwW3ZB+wqEIgAAULBYANODKWQxH/7FkhBWEDgw/hYFIE4QMDCKNy+pFIU7KzAF9KIJICoAN+B3 -sSr/AgAGAGO20PY0BSAgAlKwW3YvGcWFKJF/focWzUZgAKkAAPP/1mAAECAw2jBbcSdgAJdkQJSM -FYgwjkKNQ4lAKkAHLzEInxf6FgQo+AFMMJkW+RYBIDIQWDD4FgAgBRBQMFjQbBvFcCuxf4oX/Dr/ -IKgAdvD9EgYgvgRisGjWKGRAR/o8AAIAAFkw/BIEIIQQeDD/RQggARBwMP40FSAAEGgwWHOHYAAh -jRSKNywKAPvcAABAAlKwW3QW8//BYgAAIrAAACs6/3uhDPNcAA8cALVggylgACKNN/76wCBAAmNw -/goALABAczD+1RQggAJjMJzZnNhj/9EAAPsiCiAvADTgyrwpsgsPAgAPAgDInm0ICfmSCyIAAFpw -yJFj/++Tu/s2DCAAEHgwnynRD9EPAAAA8yYKIAAQQDCYKdEPbBAGKiIHFsU4DwIAhakoqRQpYX/7 -ClYhFAA2IP6XB3IAACFwZFD8KSAF0w8PAgD/AgAGAJVeUMTP/CQFICACUrBbddP0UNxj/xBgMCsh -CCNVCC1hf45Q91AHIHIAd3D/AgAGAGzm0A6OV/8CAAYAfIOgykbccPtMAAIAAFCw80UIIAEQeDD/ -JBUgABBoMFhzPWAAB/8CAAYAUmbQ+yINIFAQYDAsJAUqYlwJuxGrqoqqyaIrrGD8CgQiAABQcFjH -CYoQYAAFABrD8ZoQGMPwjicfxQb6ijgD6BBoMA2tLA/dKP0WACAgAlOwW3WphBCDJ/pMAAAgAhjw -Wwk5/cOUEgAAYTD+xPsSAABasP8iACIAAFDwWwjvgiciLBDaIFr+w2ihX9EPAAAAAAAA/p80cAAQ -KDDz/u9gABAgMIkn+/rAIEACQnD7iAEAABBQMPqVFCCAAkIwmJmYmGP/PtogW3CL0Q/RDwCKJ/t8 -AAAAEGAw+qwgIgAAafBbc5bz/vJiAAAisNogWv7JEsQJC6gR9KA5YgAgQLAM6jArIoWLsCKs//y7 -CAIAAFCwWNH+KmKE8CEEAAEQWDAAuxoLqgIqZoRY0jPRDwAAAAAAAPoKByABEFgwW3YxLCJ/LCaD -0Q8AAAAAAGwQBiIWASIgBxjDTw8CAPTEwBIgARAwAiIJDCIRBCQI9EJ/IgAgQLAiLR8iLHD/AgAG -AG0VEPfEtx8QEFgw8xYAIAAQcDCPEY/wbQgiq0UoWYgmUjzzUkMgGgA2IIkxCYkUB5kBefEa9GwA -BgBQNJBj/9aK4QqKFAeqAfr56XAAEBgwjTP+MgIgBRBQMPzEohAyEFgwWM+WLVI9L1I8n9AuUjyK -Ef3mASAAEGAwLFY8/FY9IgAAWPD9EgAgARBgMFhz0xrElsAw+1I6IAAQYDD6on8gARBoMFtyz/z6 -wCAwAlkwDLsB81WIIIACWvArVkP7VkIh8AJRMFtvgf4KAC8QEFgw9GwAD/+fMJDAINEPbBAGiifF -sPskBSAgAlKwW3UjFcR/iy0qUmsJuxGrqoqqyaMrrGD8CgQiAABQcFjGcIoQYAAGAAAaw1iaEB/D -Vo0nHsRt+vo4A+gQYDAMrCwOzCj8FgAgIAJTcFt1EIQQgycPAgD6TAAAIAIY8FsIn/3C+hIAAGEw -/sRhEgAAWrD/IgAiAABQ8FsIVYInIiwQ2iBa/ilooQLRDwDaIFr+RhLDhwuoEfSgNmIAIECwDOow -KyKFi7Cwovy7CAIAAFCwWNF7KlKT8CEEAAEQWDAAuxoLqgIqVpNY0bHRDwAAAAD6CgcgARBYMFt1 -rywifywmg9EPAGwQOisgBcJk9AonJgO8NtDCpfqxKnAAEGgwwob/AgAGA4tG0PMKKCYD5ibQ9Aop -JgP2HtB0sQjaIFgSfMAg0Q+JKoOaZDRMKRZWLh0B+sP0EP4CYHD6FmggiAJYcPsWXyCoAlJw+hZg -IAoCYzAsFmX7xCwQdAIzsPYWWiBgAjuw9xZZIFACQ7D4FlggegJ7sP8WWyCoAkBw+BZhIHACenD/ -FmQggAI6cCcWYvsWXiC4AjBw9hZjIIgCc7D+FlwgyAJycC4WZva8sCBgAmLw/BZnIaACWvArFlfw -AA9gPxA4MACOOPPsAAOsADegLTAFhDfDhg8CAPRCDiYCJz9Q/wIABgIjR1ArQH77vP8gPhBgMPoK -/ijgAVww+0R+IYoIUnAtMAV82Qf/AgAGA0O/UCwSZy0gBy4wTi8wT/gwUCAEEFAw+BYAIDIQWDBY -zugcwskoMAwlMhYrMRkUw/L5wsQQGhBQMCo0VCoSaCmSdyRCifsWXSWQBD1g8AoXCAAgSjD5iBEE -ACAtMPgcfyQAIEEw+IxBIBAQSDBtmgIACIorQSkPAgDTD/8CAAYBOObQLTIALjAF/BJXIAUQUDD/ -MFQgMhBYMFjOyRrCrPkyACDhEFgwKxTY+hYyIAgQUDD7wqcZgAQ+YPsWMCgJAFZwKRYxLDAHKkEp -+8KiHCABYDAAzBEMqgILqgIqFjQI6jApFjkoFjUfw8X/FjggQBBwMC4Vby0wVC0U8SwwBSwU8isw -MPsU8yABEHgwLxTw+jIWIP4CSHD6Fj0gwgJKcI6UjJKLkY2TKh0BiJWYpZ2jm6Gcop6kLB0BLh0B -iZCZoCodAYgwKBZGLTBUKB0BLeQdLh0BKzAFK8Qg+TAwIAAQaDAt5B4vhBz5pCEgaAJY8PoSWCAI -EGAwWMWVKhJZ/AoIIHgCWPBYxZIrXHL6ElogBhBgMFjFjioSW/wKAyCcAljwWMWLKhJc/AoIILgC -WPBYxYckEaIoEaMqEl0oFZEoMgz6jEAMCQFUMPoVFAgFASQw9N9ABAkALrD6/xEEAAEsMPuZEQWQ -BD1g+DhUBAkATXD4HQEkCQBFcPWEJC2wBD9g+TBoLcAEOyD+MGkkDgEgMPlEEQwJAGsw+m1ADgkA -J/D+ZEAIAwFMMP51QAnQBD5g/lURBfAEOSD1RAIOCQBP8P5eQA4JACfw/wowLgkAe7D/7gIOCwFU -MP7dEQ+QBD/g/oQlLgoBUDD7MgwvoAQ7oP/uAg4HAVQw/swCDgUBUDD6SkAP0AQ/4P/uEQwJAH9w -+xVQCBABXDD7mREFoAQ9YP3MAgoSAVww/qoCC5AEPuD8qgIECQBdcPkKCCQJAE1w+oQnJAkATXAl -hCYpMgckmRQqnCD7kgkkOAA1IGS0MCscf/u8QSAIEGAwW3VhFcNCKDIWJVKJ+YgRAgAAUPD4VQgA -hxBYMFv+cYo3KqwQW3PahDnLRiUWaYVL/UIAIgAAYbD+MgAgBRBQMP9ABSAyEFgwWM4i+wqHIgAA -UTBb/er0XAAP1AC1YIQ5JRJp+TILIFUANSD8CgAgMAC2YJQ7YAA7AAAA+iwAAAUQWDD8CgAgAhBo -MFsWhWWihMK1KyQFG8MfY/uBAAAAjZvI2/3SCyIAAEtw0w9l3/KUm5lM/DYJIAAQIDCNWvPZDXAA -EHgwhDmNOJ1aYAAjydWO2NMPDwIAc+EM/ewAAAkAN6CO6HPp8sjXJDIJLjIILtYI/zYIIAAQcDD9 -MAUgQhBAMP00MCKYADUgKDQFKhJWiqeKrimgcCugcQiZEQuZArGZKaRxCYkUKaRwY/ubLBJeLSAH -LjBOLzBP+DBQIAQQUDD4FgAgMhBYMFjN4fkSaC4AEFgw+xZUIAAQUDD6FlUgIAJAcPAJFwAVEEgw -0w9tmgIACIoqHQEtElb+wuYQnAJY8P4WBCADEGAw/dIAIAQQcDD+FCggABB4MP8UIyALEHAw/zr/ -LYAEP2D/FRAsCQB3cP0WBSCgAlKwWMTJ+h0BIKICWPD6rFQgAxBgMFjExPsdASAAEGAwLBRBLBRC -/BRDIAQQaDD9FEAgoAIq8C1QAv9QASCoAlrwKLAC+rABIFICSHAqlAEolAIqEl8lUAArsAArlAD7 -EmAgWgJwcC/kAS3kAvXkACAQEGAwWMStLhJiLRJhK+ABLOAALNQAK9QBKeADKuACKtQCKdQDL+AF -KOAEKNQEL9QFLOAHLuAGLtQGLNQHLRJkLBJjKtABK9AAK8QAKsQBKNADKdACKcQCKMQDLtAFL9AE -L8QELsQFK9AHLdAGLcQGK8QHLBJmKxJlKcABKsAAKrQAKbQBL8ADKMACKLQCL7QDGMElLcAFLsAE -LrQELbQFKsAHLMAGLLQG+rQHIAAQeDAvFGQvFHT/FJQgIBBIMCkURC8SVikURSgVJC7yCP0KAiIA -AFDw/uEZIAsQYDD+9SkgIAJYcFhTdmP51sAg0Q8AAACKJyz6wPWiDiBAAlqw9iQFKgBAZvD9pRQg -gAJa8Jup+6YIICACUrBbcxItUR6DKrHd/VUeIBYANODCXS4wBdMPDwIAdeEogzllP+8mJAX6LAAA -ARBYMPwKACACEGgwWxXJZa+UJCQF8/iWYGQQWDDaMFhXroM5ZT/BY//Ojjhj+SwAjztl/WbEg3jR -KRrCWIswLqJy+qKMIAAQYDD+uwwAARBoMFtwjhzBtIvO/jIIIf4CWvCbzic0BWP9NAAA+iwAAgAA -Y3D7CgEgAhBoMFsVrWWvJCMkBfP4JmGQEFgwAAAAAAAAAPosAAIAAGNw+woDIAIQaDBbFaNlrvwk -JAXz9/5hkBBYMAAA2jD7HH8gCBBgMPu8QSACEGgwW2x3Y/vEAGwQBvYsAAFRADUgKixI+hYBIIAC -YLD8FgIgoAJYsPsWACBwAjiw8sItH+8QcDD7wiwQABAoMPAASm/9EGAwAGiVaWmaMS0wBC8wBfgw -Bi2ABD9gD90C/zAHLYAEP2AI3QII3RH/3QID6BB4MA/dLP1kNiIAQFiwLzABpfXz8woKAFkhUCkw -AP8CAAAATIZgaJJsaZSptDnzCRYCAABB8ABIivAASWIAQHCwAAAoMAIpMAP6+t8gCgJY8PoiAQmA -BDog+hIAKAkASjD4ZRggAxBgMFjD9I0R+8ICEBACePDxDxYP/RBgMPIdHg/vEHAwKjABpaXzowoL -/8YlUGAAMooS/AoGL/sQWDD7PAIiAEBYsFjD5PvB8x/9EGAw8//Pb+8QcDAtMAMtZDTz/0liAEBg -sMogGsF7i2AuonL6oowgABBgMP67DAABEGgwW3AbwrQrZAXRD9EPEsHhY//VAABsEAT6Cg4v/RBY -MPskASAAEGAwKiQALCQCKTAAKSQDKDABKCQEJDACJCQF0Q8AAABsEDorIAXCZPQKJyYDtDbQwqX6 -sShwABBoMMKG/wIABgODRtDzCigmA94m0PQKKSYD7h7QdLEG2iBYEALRD4kqg5pkNEYpFlYuHQH6 -wXsQ/gJgcPoWaCCIAlhw+xZfIKgCUnD6FmAgCgJjMCwWZfvBshB0AjOw9hZaIGACO7D3FlkgUAJD -sPgWWCB6Anuw/xZbIKgCQHD4FmEgcAJ6cP8WZCCAAjpwJxZi+xZeILgCMHD2FmMgiAJzsP4WXCDI -AnJwLhZm9rywIGACYvD8FmchoAJa8CsWV/AADmA/EDgwjjjz7AADpwA3oC0wBYQ3w4b0Qg4mAiS/ -UP8CAAYCIMdQK0B++7z/ID4QYDD6Cv4o4AFcMPtEfiGQCFJwLTAFfNkH/wIABgM+v1AsEmctIAcu -ME4vME/4MFAgBBBQMPgWACAyEFgwWMxvHMBRKDAMJTIWKzEZFMF6+cBMEBoQUDAqNFQqEmgpknck -Qon7Fl0lkAQ9YPAKFwgAIEow+YgRBAAgLTD4HH8kACBBMPiMQSAQEEgw0w9tmgIACIorQSnTD/8C -AAYBOGbQjTAuMAX8ElcgBRBQMP8wVCAyEFgwWMxRGsA0+TIAIOEQWDArFNj6FjIgCBBQMPvALxmA -BD5g+xYwKAkAVnApFjEsMAcqQSn7wCocIAFgMADMEQyqAguqAioWNAjqMCkWOSgWNR/BTf8WOCBA -EHAwLhVvLTBULRTxLDAFLBTyKzAw+xTzIAEQeDAvFPD6MhYg/gJIcPoWPSDCAkpwjpSMkouRjZMq -HQGIlZilnaOboZyinqQsHQEuHQGJkJmgKh0BiDAoFkYtMFQoHQEt5B0uHQErMAUrxCD5MDAgABBo -MC3kHi+EHPmkISBoAljw+hJYIAgQYDBYwx0qEln8CgggeAJY8FjDGitccvoSWiAGEGAwWMMWKhJb -/AoDIJwCWPBYwxMqElz8CggguAJY8FjDDyQRoigRoyoSXSgVkSgyDPqMQAwJAVQw+hUUCAUBJDD0 -30AECQAusPr/EQQAASww+5kRBZAEPWD4OFQECQBNcPgdASQJAEVw9YQkLbAEP2D5MGgtwAQ7IP4w -aSQOASAw+UQRDAkAazD6bUAOCQAn8P5kQAgDAUww/nVACdAEPmD+VREF8AQ5IPVEAg4JAE/w/l5A -DgkAJ/D/CjAuCQB7sP/uAg4LAVQw/t0RD5AEP+D+hCUuCgFQMPsyDC+gBDug/+4CDgcBVDD+zAIO -BQFQMPpKQA/QBD/g/+4RDAkAf3D7FVAIEAFcMPuZEQWgBD1g/cwCChIBXDD+qgILkAQ+4PyqAgQJ -AF1w+QoIJAkATXD6hCckCQBNcCWEJikyBySZFCqcIPuSCSQwADUgZLQoKxx/+7xBIAgQYDBbcukV -wMooMhYlUon5iBECAABQ8PhVCACHEFgwW/v5ijcqrBBbcWKEOctGJRZphUv9QgAiAABhsP4yACAF -EFAw/0AFIDIQWDBYy6r7CociAABRMFv7cvRcAA/UALVghDklEmmJO2RAU/wKACAzALZglDtgAD4A -AAAAAAD6LAAABRBYMPwKACACEGgwWxQNZauZwrUrJAUbwKdj+4kAAACNm8jb/dILIgAAS3DTD2Xf -8pSbmUz8NgkgABAgMI1ac9kKhDmNOJ1aYAAgAADJ0I7Yc+EM/ewAAAkAN6CO6HPp8sjXJDIJLjII -LtYI/TAFIAAQcDD9NDAgABB4MP82CCKYADUgxIIoNAUqElaKp4quKaBwK6BxCJkRC5kCsZkppHEJ -iRQppHBj+6YAAAAsEl4tIAcuME4vME/4MFAgBBBQMPgWACAyEFgwWMtq+RJoLgAQWDD7FlQgABBQ -MPoWVSAgAkBw8AkXABUQSDDTD22aAgAIiiodAS0SVv7AbxCcAljw/hYEIAMQYDD90gAgBBBwMP4U -KCAAEHgw/xQjIAsQcDD/Ov8tgAQ/YP8VECwJAHdw/RYFIKACUrBYwlL6HQEgogJY8PqsVCADEGAw -WMJN+x0BIAAQYDAsFEEsFEL8FEMgBBBoMP0UQCCgAirwLVAC/1ABIKgCWvAosAL6sAEgUgJIcCqU -ASiUAioSXyVQACuwACuUAPsSYCBaAnBwL+QBLeQC9eQAIBAQYDBYwjUuEmItEmEr4AEs4AAs1AAr -1AEp4AMq4AIq1AIp1AMv4AUo4AQo1AQv1AUs4Acu4AYu1AYs1ActEmQsEmMq0AEr0AArxAAqxAEo -0AMp0AIpxAIoxAMu0AUv0AQvxAQuxAUr0Act0AYtxAYrxAcsEmYrEmUpwAEqwAAqtAAptAEvwAMo -wAIotAIvtAMYvq0twAUuwAQutAQttAUqwAcswAYstAb6tAcgABB4MC8UZC8UdP8UlCAgEEgwKRRE -LxJWKRRFKBUkjvj9CgIiAABQ8P7hGSALEGAw/vUpICACWHBYUP9j+dwAAACKJyz6wPWiDiBAAlqw -9iQFKgBAZvD9pRQggAJa8Jup+6YIICACUrBbcJwtUR6DKrHd/VUeIBYANODCXS4wBdMPDwIAdeEo -gzllP+8mJAX6LAAAARBYMPwKACACEGgwWxNTZaixJCQF8/imYGQQWDDaMFhVOIM5ZT/BY//Ojjhj -+TkAjztl/WbEg3jRKRq/4oswLqJy+qKMIAAQYDD+uwwAARBoMFtuGBy/PovO/jIIIf4CWvCbzic0 -BWP9NgAA+iwAAgAAY3D7CgEgAhBoMFsTN2WoQSMkBfP4NmGQEFgwAAAAAAAAAPosAAIAAGNw+woD -IAIQaDBbEy1lqBkkJAXz+A5hkBBYMAAA2jD7HH8gCBBgMPu8QSACEGgwW2oBY/vMAGwQHCgwIhW/ -wQiICQyIEahTJTJ/+iwAAEIANWASvwwtoAwuInsqIoSu3fndEQIAAFlw/aoIAAEQYDBYoRfCbfwa -gCAmEDgw9EARYP0QaDBoQwn/AgAEAFmFINEPrDsusHl/5/UN7AEstHmKp/IagCAgAlKwW3BAoj4t -4HkiMoP4+v8g+xB4MPjkeiwAQH9w/eR5ICkAtKBj/78AAPo8AAABEFgwWFUjIzIJyDkpMAV2memD -OWU/9IIpZC+dKiIVdan0KyAFd7HujSf00g4vwBBwMPckBSBAAmNw/goALABAczD+1RQggAJjMJzZ -/NYIICACU3BbcCAvQR2DKrH//0UdL6oAtOBj/60vPQIv/IAr8Hn+Cv4qAEBu8Pv0eSoAQHbwK/R5 -iqcqrBBbcBMiMoNkLyYdvy/9Fi0gMgJgcPwWLiA6Alhw+xYsIHACUHAqFi9gAB/6PAAAARBYMFhU -9SMyCcg5LjAFdunpgzllP/SCKWQu5S8iFXX59CggBcKVeYFBjCf0wg4vwBBoMPckBSBAAlsw/QoA -KgBAbvD9xRQggAJa8JvJ+8YIICACUzBbb/IuQR2DKrHu/kUdL6gAtOBj/6sALyAEwYzzIgomAKPH -0PkSLS4AEFgw+xYqIAAQUDD6FisiAABAcPAJFwAVEEgwbZoCAAiKGL9GKBYA/TIAIAQQcDD+FBgg -ABB4MP8UEyALEHAw/zr/LYAEP2D/FQgsCQB3cC0WASwwBP8CAAQAVIcgKhIu/AoDIKICWPBYwSMq -Eiz8CgMgnAJY8FjBHxS+fygyFiRChAmIEahEikfDvys0BYquKaBwK6BxCJkRC5kCKZwBKaRxCYkU -KaRw+hIvIAUQSDD5FDAgABBAMCgUMfgUMiCAAlkw+BQzIAgQYDBYwQr7TEgg/gJQcPqsLSADEGAw -WMEFLhIr+jwAAgAAWHD+jhQACxBgMP4WDSACEGgwWFAAY/6pKhIu/AoDIJACWPBYwPokHH8kTCkq -QAL5QAEgOgJAcCmEASqEAiRAAPSEACArEHgwLzQF8/9vYgAAIPAAgzplMLJj/mUAAAAAACoSLvwK -AyCiAljwWMDnKhIs/AoDIJwCWPBYwOQUvkMoMhYkQoQJiBGoRIlHKgo/KjQFKZIOKJBwKpBxCIgR -CogCKIwBKJRxCIgUKJRw+hIvIAUQSDD5FDAgABBAMCgUMfgUMiCAAlkw+BQzIAgQYDBYwM77TEgg -/gJQcPqsLSADEGAwWMDJLhIr+jwAAgAAWHDTD/6OFAALEGAw/hYNIAIQaDBYT8ODOGQwnP8SLSAA -EEAw+BYrLgAQSDD5FioiAABAcPAPFwAVEEgw0w9tmgIACIoevseeEPsyACAEEGAw/BQYIAAQaDD9 -FBMgCxBgMP06/yuABD7g/RUIKgkAZvCbESowBP8CAAX/e56gKhIu/AoDIJACWPBYwKQkHH8kTCkq -QAL5QAEgOgJAcCmEASqEAiRAAPSEACArEHgwLzQF8/8JYgAAIPAo6gAoFipj/Q8AAGwQDBu9ci2y -fSyygKLdCd0RrcyMx5If/MIOIj0ANSCcFS/NAijwFfMWBiAAEFAw+hYNIQACe/D/FgsiBQA2IAIs -Efe+mRAAEDAw/76WH+AEOKD9vpMR/gJQsP0WCiABEEgw/b2DEAAQQDD6mDgOACB7sP4WCCYFAEHw -9hYHLAAgazCcGfAA628AEDAwAACxRAsbFGW/94waiR/4vUwaKAF0MPK+gh/gASAw/hYOIAMQUDD4 -gnsgABB4MPoKBS4FAF6w8iKCICQQWDD5iAgCAABqcP8WDCmQBDog9RYAIgAgQLBYyVmKH44eJSUx -iRzw4QQAARB4MAD4GigkYBi+bfkkYS4AIBOwKeBGKICA+hQRIAMQYDD0FBIgBBBQMPkUECoDAUQw -+C1ACAABRDD5vmEWBQBP8P8KAigBAUAw/uBOJgUAR/D+FBMmBQBvMPunOQD+BElwGr5YelFWjBsr -Eg0swJXzPAYgAgJa8PsWDSoAaOLQKzEC9TEAKuABXDD+MQEgRgA24CQKAG0IDXtgDQuLFPS/AGAQ -AiEwY//rx8D/AgAP/3bfEAtLFPP+4mAIAiEwaHIt2iD8HBAgBBBYMFh8kGP/lQAAAPP+ymAAECAw -ih/8HBAgAhBYMFh8E2P/egAAAIwe/RIPLeAEOyAAwQSMF4kY+goAIAQQWDDwuxoKBQBrMKuqKpav -ixnAgPq2jCAAEFgw+ZKvIAgQUDBtqhfwgQQKIgFIMPCqGgAEAkIw+UkUCgkAVvCNGSvWi2P/dI8V -L/0BLvGNwCTyEgYuCQATsC71jdEPAMAg0Q9sEAQYvNslgn0mgoD4gnskACAVcAlVEQVlCPVSBygA -IBIwCYgRCGYI9VIOIDsANSDdIP4wACAFEFAw/L4JECQQWDBYyOraYPwwACABEFgwWC9eKl0BKaGN -wLILmQL5pY0iAAAQ8NEPwCDRDwBsEAT3vf0RGBBQMAoqKKenJ3KXBHcoB3cK+DroJ/AEPeAIdywY -vfYbvfYcvGsCKQvzmQkHwAQ44PyqCAnABD5g+HgCCAAgXnD4lgAmACBRsPdmwCCcECgwJWa/0Q8A -AABsEAgWvKjZIPNifSIAABDwwLD1YoAgABA4MPhieyIAIEzw+TMRAAEQUDDzUwgADxB4MPMyBygA -IEow9mz4KZAEOiD4CggkACBFcPMyDiDLADUgIhYE/CIAL/8QIDBtiiP7XQwOYAFgMPxMFAYAUn+Q -LtRNJ9RdCy4MLuAL/tRVIAICWvApIAzAiA8CAP9SACgFAEpwKFReJmJ9JAoA9vYMAP8QEDDaYPtM -AAAAEGAw/QoAIAAQSDD5FgEgABBwMPkWAiBkEEAw+BYAIAAQeDBbZnBmoCWlTCzATg8CAPLKDAIA -AFkw+nw4AgAAUbBbZkL2oAdgAgIhMGlIqS49AS3hjcDx8hIELAkAf3At5Y3RDyrUXSTUTWP/XMAg -0Q8AAABsEARoQRn/AgACAEcBIP8CAAIAg4Ug/wIABACLASDRDy4tAvq8WBAFEFgwKzQIL+AXK6J9 -KqKAr7sJuxGrqiigNf7smCAAEEgw/awwIIgCYrD0gBVgsAJasCigSfIKASAKADYgKKBdCCk5CZkR -KTQJKeB+ZJBDaJF7aJJUKrAML9AMLsAMDP8R+O4RCgkAerAOqgIqNQXRD2RQtP8CAAH/v51g2iD/ -CgEgEAJY8P80CCAIEGAwWC250Q8ZvXKp+SmdASmQQGiTLGiXKWiSAmmXqiqwDCjADC/QDB685vz/ -EQmABDog+O4CCgkAerAOqgIqNQXRDyqwDCjADC/QDB68hvz/EQmABDog+O4CCgkAerAOqgIqNQXR -D9og+AoDIBACWPD4NAggCBBgMFgsytEPJTQL+zwIIgAAULD8CgggBBBIMPk0CCIAAGlwWCxw0Q/a -IPwKCCAAEFgw+zQIIBACWPBYLfXRDwBsEAwavAYron0pooCiuwm7EauZiZeJnimdAiiQFSmcgPkW -CiAA6KYgHr0z9L0zHeAEPKD8vS8R/gJYsPwWCSABEEAw/LwfEAAQODD7hzgAABB4MPIrEQ4FAD0w -/xYGLAAgd3D9FgcqACBm8PsWCCAEAijw8ACWYAAQIDAdvSN9aWlpclmMHQ7MEQDBBIwWKRIH+goA -IAQQWDDwuxoKBQATMAuqCCqWrysSCCgKAPq2jCAAEFgw+ZKvIAgQUDBtqhfwgQQKIgFIMPCqGgAE -AkIw+UkUCgkAVvAtEggr1ouKHvwcEiAEEFgwWHtZ2iD0DEcABBBYMFh7wo4aLuCV8zwDIAICITD1 -XAMoAHZ1ECocEP8wASIAAFlw/xYLIAIQYDBYvuGMGY8bGbu9+Lz0EAUQUDD2EQggJBBYMPmSeyIA -AGiw+IKCLkUBeDD+Fg0uQAF8MP/8/ygAIBZw9hYAKZAEPmD/FgwoACBKMPgWDi7gAXwwWMfJih2O -HokcAKEE9uUxIAEQeDAA+Boo5GAYvN355GEuACBysCngRiiAgPoUFCADEGAw8hQTIAQQUDD5FBIq -AwFEMPgtQAgAAUQw+bzRFgUAT/D/CgIoAQFAMP7gTiYFAEfw/hQVJgUAbzD7pzkP/01JkNog/BwS -IAIQWDBYeqJj/vXRDwBsEAQYu4gPAgAlgn0kgoACVQj8vMUVkAQ9YPiCeyQAIC0wJVIH+goFICQQ -WDDyiAgCAABosPVSDimQBDog/jABJAAgQTBYx5b6XQEgAgJY8PqsTiABEGAwWL6V2kD8MAEgARBY -MFguBdog+woDIAAQYDBYe2XRDwBsEAoZu2r2kn0gIAJQcPU8ASAEEGAw95KAIAgQQDD+MAAmACAR -sP2SeyeQBDmg9nYIAAgQeDD2YgcuQAFwMP7+OAwAIBdw/ug5DZAEP2D2Yg4mACBt8Ph0XiIAAFlw -WL529hYJIDACUHD1FgggGgJY8PIWCiAIEGAwWL5vhhT1HBggABAgMPR5DAHwAkFwmBsEOAzwADxg -ABAQMGjyGvy8hRAEEFAw/RIKIAcQcDD07gwAJBBYMFjHXI8b9kYUAAICITD0OAwB/gIpcPR5DABY -BHlw8pRdKmABMDAqlE0ogAwolFUvUAdl/7DAwfyUXS//EFgwK5RNY/++AIoZixgqrQH6rA8gExBg -MFi+Sxi8X4RwKIJ99RIKIAAQGDD4RAwA/xAwMNpA+zwAAAAQYDD9CgAgABB4MP8WASAAEHAw/xYC -IGQQSDD5FgAgABB4MFtlEmagJac8LMBODwIA9sgMAgAAUTD4LDgCAABY8Ftk5PagB2ACAhjwaTip -2lD7CgIgABBgMFh7AtpQ+woCIAEQYDBYev/RDwBsEASIMCowCCswCfgCQwAAkKYQ/goNJABclSD7 -C0MAIAJo8PoMQQpEAVAw9MEJYfoCQzD/CgIgARAgMPj0OADxADag/wIABgB0lqAEpgwGBkemuf8C -AAwAbMuQZGBZGLww/7rwHyAEOKAOvgr47ggCAABJsP/vCAIAAFtw+LwAAABphmALugIAD4vwC4AA -IAJzsPEKFgH8Akpw8woWACACe/DyWB4AIAJa8PIYHg/OALZgDWsRDb0KBA5HZOBZ+iwAAAIQWDBY -AChgAEsAAAAA+bwVEBoQeDD/SVRyAABY8AIoEfkKDSgAIEow0w9tmhQpgrD6grEgEAJCMPm2AyAQ -AlrwmrD6LAAAAhBYMP08cCADEGAwWAAUwMD6XAACAABY8FjE9sAg0Q8AAAAAAAAA8//mb+oQYDD0 -CgAv9AA2oP+n7G4AIFbw/+LkcgAAMrBj/woYu/Wo6CmCgJmwKIKBmLFj/0wAAABsEAQYu/DHnPiC -gCAQADUgdJgIGrvfaDEHaDIExirRDwAqoIAAIAQKCht/p+79u+YSAKCA4Be75QgDQWQxPP8pEQAA -oYTgwMEfup0bu+H+u9wQAEB9EMAk87wACAAgefD2PAAAAH+EoNowAAiL8AOAACACOfDxChYB/AIQ -sPMKFgAgAkIw8lYeACACGPDyFh4PzwC0oPnGCQvwBDsg+5gKCgAXslCDUPJSASACAjKwBhYUbWka -ioCjo5NQh4B3OwOxIpJRhoGiYvJWASAQAkIwuFX+R3pyAAAa8P/UCAAEEBAw+CFuYgAAOPDYMAIE -i/ADggAgAmtw9QgWAfwCELD3CBYAIAIhMPLXHgAgAhjw8pceD88AtKALlAoJzQn/yhEKABfqUINQ -8lIBIAICcrAOHhRt6RqGQKNjk1CPQH87A7EiklGIQaKC8lYBIBACITDAINEPrtovooCfMCqigZox -Y/+zrnImIoCWMCIigZIxY/8iHbuYF7uYY/68wJDz/sdgBBBgMBu7lQIqEauqiqDAgPqtqyAEEEgw -Cok48/6oYAIQYDBsEASIMCowCf8KGi/qEGAw+AJDAABwJhD3ChYkAGgVIPgwCCAgAmjw+gtFAAIQ -cDD6ekAAARAgMPgMQQhEAUAw9ME4YfoCSzD55DgBKAA2IPr3OAYAkBYgBIYMBgZHpr7/AgAKAIh1 -0GRgaB+6K/hKACVAEHAw97txHgUAUjD+vgoJIAQ4oKju9+4IAgAAW3D/7wgCAABBsPm8AAAAgAYg -C7oCAA+L8AuAACACc7DxChYB/AJCMPMKFgAgAnvw8lkeACACWvDyGR4PzgC2IA1rEQ29CgQOR8jp -+iwAAAEQWDBb/17wAAxgABBgMP27SxAsBHkw+lwAAgAAWPBYxDzAINEPAAAAAiwR/cwIAgAAWPD5 -ChciAABDMG2aFCmCgPqCgSAQAkIw+bYDIBACWvCasPs8AAAcEEgwbZoVKcLQ+sLRIBACYzD5tjEg -EAJa8Cq2Ltog/T0CIAEQWDD93JggAxBgMFv/PfP/iWAAEGAwAAAAAADz/3xv6hBgMPQKAC/0ADYg -/4fsbgAgQvAK9zh+c+Hz/tViAAAyMAAAH7sgr+8o8oCYsC/ygZ+xY/8fAABsEAQXudkZuyIYuyL3 -NwgPABBoMA8CANMPbQhP+FFRYgAAUTDbQAwCACyCQC6S0g3mAQYmAiaW0i+S0gAHiwAEYS6W0vyS -0iAgAiEw8QsWACACGPDzCxYAIAI58PJaHgH8Ailw8hoeAAcANWBj/6TRDwwCACqCQC+S0g33AQcn -AieW0iaS0hW6+aU1JlKAlkElUoGVQC+W0i6S0tEPAAAAbBAEKzIALPrq+3pAAABkptD+ueMSAGDB -IP+5qxCAEDgw9rrqFABWFSAkMQQEzUL00LlkgAEgMP8CAAAQEGAw/wIABgBUl2AoChH6yDkIACAn -cP8CAAABEGAw/wIACgBHThD5CgAjABBAMPrJOAAgAmDw+briFgUATjD3SgsCAIdi0CkgDBu63AaZ -EQmpCAuZCA+aCPvMAAAAqgdg3sAACovwDIAAIAJKcPEOFgH8Amtw8w4WACACUrDyWx4AIAJjMPIb -Hg/PALdg8AAJYAAQYDDAiXhBHfpcAAIAAFjwWMOwwCDRDwAAAAAAAADz/+Zv6hBgMPi3OXAQAmDw -wKD5ur8QCBBYMG26Dy2SpQ0NUvTQumAQAlKwuJnAoC/if44gp6v/6gwAEBBoMFv/jWAAUAAqIAwb -urMGqhGrqv+rCAAQEEgw/wIAAgAAazD/AgAAAEYGYN7AAguL8AyCACACUrD1DhYB/AJKcPcOFgAg -Alrw8t0eACACYzDynR4PxwC2YPP/UWAAEGAwAAAA+woAIAgQeDDTD236DyiSpQgIUvSAHGAQAlrw -uJnAsC7ifykiAP6aDAoAIFbwW/9pY/8JK5KkCwtJ8//ia+AEPuAqkqQKCknz/0Rr4AQ6oACmqy2y -gJ3AK7KBm8Fj/5UApp4v4oCfwC7igZ7BY/7NAGwQBCggBGiGA8Ag0Q8Uun6MLitCfwnMEay7i7eL -viiwEi6wGiqwGCmwHC2wGSywHfiZEQuABDqg/bAeKgkAarD8sB8oCQBmcPiqEQmABD5g/bAbKAkA -bnD+qgIJgAQ+YPiqEQgJAGZw/JwBKgkAarD8jhQKAAPLECqsAS+wNSq0Gy60Hiy0HwqNFCywFC20 -Gg6OFCqwEC60HQ2NFC20GQ6OFC60HA2NFC6wEf20GCACAnvw/bAVLYAEOyD/tDUrgAQ6oP+wFyoJ -AHKw/rAWLAkAazD9sBMrgAQ6oPiqAg2ABDsg/jELLAkAczD4qhENgAQ7IP2qAgwJAHsw/OwIAAAQ -aDD8jxQKAANzELGqKrQTCogUL7QWLLQXD48UjC0otBIvtBUIiBQotBEPjxQvtBQIiBQotBArQn8J -zBGsu4u3i74psB0vsBwqsBgssBn4sB4vgAQ/4PiqEQ4JAE/w+bAfKgkAYrD8sBovgAQ/4PiqEQ4J -AEfw+LAbL4AEP+D8qgIOCQBP8PmwWSuABDqg/PwBKgkAQrD4sBUqAAN7ELGqL7ARLLQfKrQbDIwU -CooUKrQaLLQeCooUDIwULLQdKrQZDIwUCooUKrQYLLQcKrAQ/LAUIAICSnAptFkpsBL4zBELgAQ6 -oP+wFioJAHqw+LAXLAkAQzD4qhENgAQ7IP+wEywJAHsw+aoCDYAEOyD4qhEMCQBDMP+qAgwAIGOw -/ssGegAgU3Cxqiq0Eyy0FwyOFAqNFC20Ei60Fhy59A6OFA2NFC20ES60FQ2NFA6OFC60FC20EP0h -NiACEFAw/iE3IDIQWDBYxLSNN/4yCSACEFAw/LnnEDIQWDBYxK8vIAXEhf8CAA/+wcPQxZX5JAUg -ABAQMNEPAABsEAYmIAcYuDz0ua0WIAEwMAZjCQwzEQQ0CPpCfyIAIETwIz0fIzxw+jkIcAAQaDDA -INEPiaGMoJyQi6AoqRCZsZ2g/aYBIDEANiCMp8rHH7nIi8EeuZwv8n8LixT+uwEB8AJysPscAAuQ -BDrg/1wACgAgU/BbVjYsQn9zwbGNImXfrPtsGCIAAFCw/AoBIEAQaDBbcJLAINEPAABsEAYTubcC -JAkMRBGkMysyICQwfyYwfvUwfCEvADbgGrhaLTCELqJ3KqKADt0ICd0R/aoIAAEQYDBYmuktoAf/ -CvsuAgEoMP7uEQwAQH9w/Ar8LAkAd3D1CUEMAEBncPakFiwJAE9wLaQH+jIpIgAAErAroAcMuwEL -mQIppActMH0GD0f8uZgSAABxMP0oQAAyEFgw+BYAIAQQUDBYxFkjMiRkMKQVuZDwAAllMAQ5IIM5 -ZDCUjTAoMRn+IAciAABhcP8gFiAEEFAw/zQWKWABQDD+NAcoCQBBMPg1GSAyEFgwWMRIizrMtmP/ -w4u5ZL++KTAHLDAWLTEZirottSkstBb5tAcgDgC2oGP/3gAAiqhkr9cusAcvsBYosSmJqSilIi+k -Fv6kBy/mADZgbQgWLaAWLKAHLqEiLpUiLZQWLJQHiZtkn8dj/+LRD2wQBvOKQgCAEEgw+TkBAgCg -4NAlrQElXID/AgAOAJ2RYPSRi2hIARgwLiAMKiANFrgD8wxGDgC9Q5AvIFErIFD0oRxqACB+8P1i -gCH+Akrw+2J4IUAANqAXuVKn5ydw3Qp3CAe3CAl3EQfXCPkWACEAAjnwKHIAC4gMeMEa+RIAKgCX -/xD/AgAKAJPLEMulHbhuK9J8LdKE+LlCEMkANqCo6CiA3aqIqLgJiBGo3S3cgInQC5kMeckM8AAV -YAAQWDAAAAAAAMDR/8sMCgUAU3CrqyoiE1tnZv64XBDUADagKGJ6LuKEpYUJVRGl5Ru5LR+3v/AP -BwIAAErwAElhAElhAElhAElhErhdGrknGLe78UwQCCAEPSD8mQIABRBoMPiC2yoJAFDw+iasIAEQ -GDDzmQICAABRcPkmrSIAAGDwC4AAIiKtAoJHyijRDwAAAPP+zWTAARww8/7FYgAAKrCbEGP/Fyli -d66ZCZkR8/9AbAAgT3AsUCYbuQcMzAkMzBGsuyqwfSwK/QyqAQOqAiq0fdEPJ2J3rnf5FgAnkAQ9 -4PP+z2YAID9wAAAAAAAAAPP/OWAAECgwbBAKJiAMFLj5GLeKJSANmBjwCAcCAABJMABJYQBJYQBJ -YQBJYR248x+49Re48xi3hfm4NRAAEHAw/nTBIgAAULD4gtgiAABZMPl1XCAEEGAw/3YtKYAEPaD8 -PxEICQBNcP90wCgJAG5w+XYsIAUQaDALgAApci0JiUfIk9KQ0Q8AGbjgGLc1K3FcAz0JHrjcHLiw -nhn83RELYAFcMPsWCiwAIGNwnBcrxn8qzQIlpAEmpAD64AEmACBKMPzgACYAIDNwLGQAKmQBKOAD -KeACKWQCKGQDLeAFLuAE/mQEIgAAULD9ZAUgARBgMFiZ/IsZHLi7KCEJLiAMLyAN9SEHIgAAGrAt -MCYpIQgqIBQN3QkqNBQpNQgoNQkuNAwvNA0lNQf1EgotwAQ/YP4SCCAQEHgw/zQELAAgbzAt0H/w -DgcCAABJMABJYQBJYQBJYQBJYRK3Ohm3QPwKBClABDtg/HYtIgkAEXDydiwoCQBKMCh1XC5gAS9g -AC+0AC60ASpgAy1gAi20Aiq0AyhgBSlgBBa3LSm0BCi0BSZi2QM6Av0KBSIAAFkwC2AALXItDY1H -9NJkYgAQeDD/AgAKAS4DYPncAA64ALdgjBcauI8rEQAszQL5oQIgEAJwcCnlAivFBIqgKuYAKDAm -Hbh8KRIICIgJDIgR8AkHDAAgR3D90H8iAABJMABJYQBJYQBJYQBJYSJ2LCzhACnhARi4fP23DRtA -BD9g+BYGIAQQeDD/di0iAABQ8P/hAioJAG7w+3VcIXQCQjD5hQEgBRBoMPyFACIAAFkw/4UCIAQQ -YDALYAAtci0NjUdk0mj/AgAKATKDYPncAA4HALdgGrhljxb5oQIgIAJgcCnFAoqgmsAoMCYduFOJ -GAiICf627xnABDog8AkHDAAgR3D90H8iAABJMABJYQBJYQBJYQBJYSJ2LPjBAilABD9g/cEBIAQQ -UDD6di0iAABZMPzBAC4JAHJw/nVcIXQCS/D9lQEiAABQ8PiVAiAFEGgw/JUAIAQQYDALYAAtci0N -jUdk0nf/AgAKAToDYGXRt44YFrbL8A4HAgAASTAASWEASWEASWEASWH4YtoiAABQ8Pm4NxIAAFkw -/Lg2EAEQEDDydi0gBRBoMPx2LigJAE1w+XYsIgAAYLALgAAtci0NjUdl0WKOGPK2tRAEEFAw/AoB -IAAQWDDwDgcCAABJMABJYQBJYQBJYQBJYSgi5Bm4Ih24Iix1XCp2Lft1XSIAAFDw+0wAAAQQYDD9 -djAoCQBNcPl2LCAFEGgwC4AALXItDY1HZdEBjhjwDgcCAABJMABJYQBJYQBJYQBJYSgi5/m4DxIA -AFDw/rgOEgAAWTD+di8gAhBgMPx2LSgJAE1w+XYsIAUQaDALgAAici0CgkfRDyhxXAgISfgVACv+ -z0PQihjwCgcCAABJMABJYQBJYQBJYQBJYY0XLd0CLdyAL9CFKdCDLtCCKNCG/NCEKYAEPmD90Icv -AAQ7oPiIEQ8ABD/g+P8CDgkAS7D+zAIMCQB/cPJ2LCwRAGswDM0U/cwDAAEQWDD8bRQAABBQMP63 -5hwRAGsw/nYtLKABYDBYxLnAxP0KBSIAAHKw+3YvIgAAUPD+di4iAABZMAtgAC1yLfP89mzoAWww -0tDRDy9xXP4qAC8gAXww/wIAC/7Ie5CIGPAIBwIAAEkwAElhAElhAElhAElhLBAKLhAILxALKBAM -KRAJLRAN+IgRDwAEP+D4mREPAAQ7oPnuAg4JAEfw/90CDAkAczDydiwsEQBrMAzNFP3MAwABEFgw -/G0UAAAQUDD9t7kcEQBrMP12LSygAWAwWMSLwMT9CgUiAABKsPt2LyIAAFDw+XYuIgAAWTALYAAt -ci3z/PJs6AFsMC9xXP4qAC8gAXww/wIAC/7A+5CIGPAIBwIAAEkwAElhAElhAElhAElhLBASLhAQ -LxATKBAUKRARLRAV+IgRDwAEP+D4mREPAAQ7oPnuAg4JAEfw/90CDAkAczDydiwsEQBrMAzNFP3M -AwABEFgw/G0UAAAQUDD+t4wcEQBrMP52LSygAWAwWMRfwMT9CgUiAABKsPt2LyIAAFDw+XYuIgAA -WTALYAAtci3z/ONs6AFsMGwQBokwLCAMG7YSGLc1jTInsncqglwogX/8dwgM+AFsMPkGQweQBD3g -9IBnZgAgVfB6lh37snskWgE5oPAAFGAWEBAwANpw/BIBIgAAWbBYAI3AINpQ+zwAAgAAYLBYwDbA -INEPHrct9mwJCgAgNvD8zBELkAQ+4PurCAoAIHMw+aH/IBYAN2DyChYgAGiHYGP/wAAStfBj/7mZ -EZsS+hYAIDUANmAJmwL6fAAAARBgMFiYfYkQLZ0BLdD5/wIAAAC3+1AqkoNkr3ouoAXC9X/hBIip -ZI9t2nD8EgIgBRBYMFh1aIki/wIAAAC3flApcgcrmRQSt0L0sXlgQAJScCuSCWSxbiywAC0KKn3J -BS6yAnLhQ/sKACACEGAwW2z9GLc4G7blm6CJcJKik6X0pBwv/xB4MPWmBiACEFgw+KYEKYAEPmD/ -pB0oCQBecPmmASIAAFFwWMAgwCDRDwCcE5sS+hYAIN8ANmAZtxkYtW6CE6mI+CIIAEACUPD8CgYi -AABYsFi41o84AEQEiDn/SRQAUAJQ8Pk2CCBQECAw9DQgIgAAWLD4/xgAABBwMP82CSzoAXww/jQn -LAkAN3D9NCYgBhBgMFi4xY86AEQEiDsPSRT5NgoiAABYsPQ0KCA0AlDw+P8YD4AQcDD/Ngss6AF8 -MP40LywJADdw/TQuIAYQYDBYuLaLENpw+7H/IAEQYDBb/YAKAk9lLjnaYFv9Ktpw/BICIAYQWDBY -dRZj/iTacPwSASIAAFmwWAASY/4SAAAAAAD6fAACAABZsFv93woCT2Ut/mP/ENpw/BIBIgAAWbBY -AAjz/etgABAQMAAAAAAAAAD7CgAgAhBgMFtowGP+nAAAbBAIF7Vy/LbKEIAQSDD5RQECARdhEASJ -QiqdASqsgP8CAA4BEpKgmhX0UMth4AIx8C8gDASNQvQDRg4AXuvQLiBRKSBQLSAN+3KAKAAgdnD0 -0flh/gJScJoR+nJ4IlQAN2Cs+CiA3a2IqKgJiBGouCiMgJgSiRKJkAqZDHkxEH4zdSoSAXo7b8ra -KmJ8K2KEZNHErPgogN2tiKioCYgRqLsrvICJsAqZDHk5CfAAEmAAEFgwAADA8f47DAwFAG/wq9sq -IhNbZM78tpoSFAA2oCoSBSlyeihihAqZCAmZEQmICPgWBCAcADVgBANGbj4dKzyAmxNgABfA0P0W -BC/sALVgBINCIz0BIzyAbz7hkxP0UcRqSAEgMC8gDC0gDfQDRg4A21PQLiBRKSBQ9NEiaAAgdnD7 -YoQh/gJScJoQ+mJ8IX8AN2Cs9SVQ3a1VpaUJVRGltSVcgIhQCogMeDEZ/wIACgC69NCJEP8CAAoA -tcjQytkqYnwrYoRk0Ous+CiA3a2IqKgJiBGouyu8gImwCpkMeTkI8AARYAAQWDAAwMH+OwwMBQBv -MKvbKiITW2SU+RIDISQANqAocnoiYoSpiAmIEagiG7ZbGrTt8AoHAgAASvAASWEASWEASWEASWEZ -tlYYtOoTtYn6LAAABRBoMPiC2yABECgw9TatKAkATTD5NqwiAABhcAuAACkyrfu2RRD9EGAw/RIE -KOgBTDD0kFZg/hBwMC3QJg3dCQzdEa29KtB9DKoBDqoBKtR90Q8A8/3jasABIDCZFWP925kRY/4s -mRBj/wcAKHJ3r4gJiBHz/kBqACBG8Clyd6+ZCZkR8/8ZagAgTvAvICYP/wkM/xGvvy7wff0SBC4A -QGOwBe4CLvR9LdAmDd0JDN0Rrb0q0H3+Cv4qAEBisA6qASrUfdEPKHJ3r4gJiBGouJgSY/2zJXJ3 -r1UJVRHz/opkACAu8AAAAAAAAADz/ulgABAQMMCAmBRj/gUAbBAKijAbtiIoIgL5tekaYAFQMAqq -Cfe1zRvABDqg+pkIACoQYDD2kf8gbgA2ICkiBy2ZFPTTaGBAAlJwLZIJZNNdLtAA+NICIA4IY7B7 -gUD7CgAgAhBgMFtr0x22DRu2DZegjCCTpSSkHJWmm6T9pgIv/xBIMPjMEQACEGgw+aQdLAkAazD8 -pgEiAABRcFi+98Ag0Q8AAAD5FgEggBBYMPtuAQIBdmGQBopCKa0BKZyA/wIADgFxkmCZGPTg6WhI -ATAwKyAMLCAN9gdGDgBtwtAtIFEpIFCbF/TC5mgAIG5wG7SAsJiYEyqyePuygCMiADcgGLXViReo -mSmQ3ayZqakJmRGpuSmcgJkViRWJkAqZDHlxHP8CAAoAR+3QihP/AgAKAELR0MvCG7TxKrJ8K7KE -ZMKXGbXEiBepiCiA3ayIqKgJiBGouyu8gIiwCogMeHkJnhnwABZgABBYMMCB/XsMDAUAYjD+Fgkq -ACBfMCoiE1tj6R+0W/4SCSLsADagKxIIKvJ6KfKAC6oICaoRCpkI+RYAICIAN6AGB0ZufiMqfICa -FmAAHf+0TxAAEFgw+xYAL+YAt6AGh0InfQEnfIBvftuXFvTilmpIATAwLiAMLCAN9gdGDgFEU5At -IFEpIFD0weJoACBucBu0wbCYmBIqsnz7soQiLQA3IBm1k6npKZDdrJmpqQmZEam5KZyAmRSIFIiA -CogMeHEc/wIACgEgbdCJEv8CAAoBG0nQys4btLAqsnwrsoRkwaUYtYOo6CiA3ayIqKgJiBGouyu8 -gImwCpkMeXkH8AAQYAAQWDDA4f17DAwFAGOwq8sqIhNbY6pkoeoYtBuJFhe0nSiCeidyhKmICYgR -qHcbtXAZtAHwCQcCAABK8ABJYQBJYQBJYQBJYRm1axiz/x60ntpw+ILbIAEQYDD85q0oCQBNsPnm -rCAFEGgwC4AAHLSWLMKtiRD6tVoc6AFgMPTBFGD9EFgwJpAmBmYJDGYRpqYvYH33Cv4uAEBf8Af/ -AS9kfYknjhEtmRT2tWQQABA4MPwiAiBAAlpw9+aDIJcAN2D6kgkhIgA3IGSgiiigAMKaeYkUHbVY -jKL/AgAGAH/vEP8CAAf+qDcQ2rD8CgIgABBYMFtrFh61Uhi0/ZigjyCWopOlJKQc9aYGIAIQQDD3 -pB0vgAQ/4P6mBC4JAEfw/6YBIgAAUXBYvjrAINEPAAAAAAAA8/0laMABNDCaGGP9HQAAAPsKACAC -EGAwW2caY/ysAABkwI/asPwKAiAAEFgwW2cVY/+OmRNj/UyZEmP+ThizxYkXKIJ3qYgJiBHz/W1q -ACBG8Cnyd66ZCZkR8/5iagAgTvAtcCYN3QkM3RGtrSzQffvMAQABEHAwDswCLNR9Y/7QGLO0iRco -gnepiAmIEai4mBVj/OUp8neumQmZEam5mRRj/d0AAAAA/wIAD/+LMxBj/E3aUPs8AAAAEGAwWL3f -2iBYtVzAINEPAAAAAAAAAPP+JmAAEDgwwKCaEGP9LQBsEAYmMAgnMAn2CUEGRAEwMPgyACDNADWg -+go4JgBilaD7CnggAGemEP8CAAQAWpUg+LTqEACjYdAas3qYEPAKBwIAAFIwAEphAEphAEphAEph -CZoJGbT2DKoRqpkikh9kIH4as4QtkIAuoncqooCu3fndEQIAAFiw/aoIAAEQYDBYlhIYs2wbtOz9 -tOoYoAE8MPmc/y1ABDmg9LY/KAkAZnAptYD4gt4sCQBssP22PiIAAGEw+xIAIAUQaDALgAAYtN4o -gj/5PBAo6AFAMPyMAABxADYgCAwG8AAIbeABYDAAHLTW+lwAAgAAWPBYvZvAINEPe4bqLTIBcdbk -+bRJFcIAvSAoIARogUj/AgAEAF4CIGmFywcIRQhvCA3/Ef/8+CBAEHAwf+O3A4gL8AkXAAoANaBt -aQIACIrz/6ZgABBgMBi0v21pBQAIiAAJimP/kgAABwhFqGsNuxErvPj/AgAL/7/ekAOIC/AJFw/N -ADWgbWkCAAiKY/+/KCAEaIFw/wIABABQAiD/AgAF/6qeIAcIRahtDd0R/dz4IEAQYDD/AgAL/57v -EIoniq76iAsAIAJI8PRvgmHwAkIwbWkFAAiIAAmKY/9xAAAHCEWobA3MESzM+P8CAAv/hGbQA4gL -8AkXD1YANaBtaQIACIpj/0gHCEWobQ3dES3c+P8CAAv/cO6QiieKrvqICwAgAkjw9G8mYfACQjBt -aQUACIgACYpj/xUHCEWobA3MESzM+P8CAAv/V2bQiicqog76iAsAIAJI8PRu8mHwAkIwbWkFAAiI -AAmKY/7hAAAAbBAKG7Lx8iAiIAAQMDAmNB8mNB4mNB0mNBwmNBsmNBomNBkmNBgmNBcmNBYmNBUm -NBQmNBMmNBImNBEmNBDyCwcCAABQcABKY/ALBwAoAkhwAElhiDD6FgQgIAJQ8PkWCSAuAKYwBVoC -+zwAAAAQYDBYvSPAINEPABSynAIoCQyIEahE9E0fIAYQYDD0TFogLhBAMPg0DyIAAFkwWLYBjDQA -RASNNQxOFP42BCBQEDgw9zQQIgAAWTD9zBgAMAJQ8Pw2BSjoAWQw9jQXKAkAFnD5NBYgBhBgMFi1 -8h60RBqyjBu0QYk2AEQEjDcJTRSdNic0GPyZGA+AEEAw+TYHLugBTDD4NB8uCQAX8P80HiAoAkBw -loCWgZaCloOWEJYR9hYCJ9AQeDCfE/sVAyAfEEgwKRUF+hUCIAoQYDAsFQH+FQogARBoMP0UISD/ -EGAwLBUELBUO+xUNIgAAUHD2ChYAQAJI8ABJZ/QIFgBgAnjwAE9lY/7uAGwQBIgw/wIAAABULhCJ -MWeQnhSz0CpCjCuhAmSxZVtd1B2zL4zescz81g4gAKwCoBiynCiCaSRCif2yhRgAIFIwCYgRqETw -DQcAQAJJMABJYQBJYQBJYQBJYQBJYQBJYS8gDC4gDS0hBywgBysgFikgfPoiCiAEEEAwKEQEL0QM -LkQNLUUHLEQHKURV+0QWID8QWDD7RAUg9AA2oCmiCMmfbQgJ+ZIIIgAAUnDJkmP/78HG+lwAAgAA -WPBYvLPAINEPlKj7RDAgABAwMJZJ9kYIICACWPD1CxYAaAJRMPKaHgAwAkjw8wkWAHgCQTDyWB4A -QAJ48PEPFgC4AnEwAA6KjTv9RhkgYAJg8PAMFgDQAlkw8AugAJwCUTD5IgAgAxBgMPlGFiAaAljw -WLWAKkxR/AoDIJACWLBYtXwYs4GOQCshKSoiEykgUIxHKURM+kYSL8AQeDD7RSIgQAJrMPjuAQwA -QH9w/jYKIIACa3CdyZ3IJsUU8/85YAAQYDAAAAAAAADz/ytgDBBgMJQqY/8yAAAAbBAMFLNqG7O3 -iTD3stAQKxBQMPwyASAcEGgw+5sBAA4ALnBmwX78s7AQnAAqcIgyL8LpBIQBCUQR/SIAJAAgI/D+ -Qh4gQAJY8Pk8KCBwAnjw/Ew4IIACUTD4TFQmAI93UMHG+lwAAgAAWPBYvGLAINEPAAAAAAAA/sLT -IOoAJnCLMgS7Af3CFioABPLQrt17084kwukJuBH4RAgAJAJQ8PtMbCAGEGAwWLU7KEw49QgWADAC -ePDynx4AgAJxMPMOFgBAAmjw8l0eAKgCYTDwDBYAUAJY8PALoADIAlEw8QoWAHACSPAACYrz/3Zg -ABBgMGS/bIkxizIuwtP/AgAB/7EGUPTC6SoAQCbw+bkRCgAI8tAvwhau//8CAAv/ot/QiCqpRP8C -AAf/nKYQK0AF/wIABgIC1tAsIAT/AgAOAStrEIZKyGjaYFhOoYZoZW/1+kwAAAAQWDBYSKnaQFhI -Z/pMAAIAAFiwWEhHLXIQsN0tdhDz/uxgABBgMC08GAoNiAFMiggLiAEKigIJhgBIY/cPFgDIAnEw -AM6K8/7EYAAQYDAAAC4gBPuy/RYA/m+QKrJgL6ECZPHmW10IKHIQsYj4dhAgAO2CoBux0B2y9Ciy -aibSXPmxuRgAIFIwCYgRqGbwCQcAQAJJsABJYQBJYQBJYQBJYQBJYQBJYS8gFi4gByohCCkhCSgh -BycgDSwgDCxkDCwgDCdkDShlByllCSplCC5kB/9kFiAFEHAw/mQEICsQeDAvZAUrsncq0lyry/m7 -EQABEGAw+yIVKgAgWrBYlESLKvesAALWADbgibn6vAAADwA2YPmSCSIAAFJwZZ/0lqmSaIggLyEZ -/iA2IAAQSDCZaZlqmWsuZEsoZh7/ZSkg5AJRsP0gUyCAAliw/WR8IAYQYDBYtLscsw0fswv4CgAg -IAJYcJiwmLGYspizmBCYEfgWAiAfEEgw+RUFJ9AQUDD6FgMg/xBwMC4VBP8VAyABEGgwLRQdLhUM -LxUL/BUIIAoQeDAvFQEYsUL4FQIiAABgcPQMFgCoAnGwAE5l/QsWAMgCabABjYqKKpwY+xYJICgE -MrAbspyKYCuyRguqDJodYAADwMCcHR2yly3Rf/uxJxDmAHdwaFVrjjLyPCAgMAJQ8PoWDCIAlqeQ -xbHxChYAcAJBsPIYHgAAEGAw/wIWAIACebDz3x4CAABRsFhCM4lgBJkBmTLz/NNgABBgMNpAWE4O -Y/2zAAAAAPP8v2AMEGAwijL/AgAP/wFVEPP8rWAWEGAwInAmG7EIAi0JDN0R/bsIAAYQYDD7vR8g -MAJQ8PoWDCC0AlrwWLRujx2INgBEBIk3CEsU+zYGIFAQUDAqNBgJiBj4Ngcu6AFAMP80Hy4JABOw -LjQeInAmG7DzAi0JDN0R/bsIAAYQYDD7vR8gQAJQ8PoWCyC0AlrwWLRZjxyJHYo4AEQEizkKThSe -OPuqGABQEGgw/TQgIIAQYDD9EgsoCQBmcPo2CSjoAVAw+TQnKAkAEjAoNCb1DxYAcAJxsACOivMN -FgCAAmGwAEyKY/77LHAmnBoMzAkMzBGsuyu9H/u8WiAGEGAwWLQ9jhqPHYg2AEQEiTcISxT7NgYg -UBBQMCo0GAmIGPg2ByzoAUQw/zQfLAkAd3AtNB4ncCYbsMIHfAkMzBGsu/u9HyIAAFCw+7xaIAYQ -YDBYtCmKHABEBI44jzmNHQ5LFPs2CCBQEEgw+TQgIIAQQDD/7hgMCQBHcP42CSzoAXAw/TQnLAkA -OzAsNCZj/i+WKmP9PwAAAPpMAAIAAFiwWEdR8/scYAAQYDAAbBAEKDIA+YYScBYQYDAqIAT4oRhg -HBBIMHmhEPpcAAIAAFjwWLsgwCDRDwAAKCEWKDURLyEYLzUQLiEZ/jQkIBQCUPD9IDYggAJYsP02 -CiAGEGAwWLP9KTwY+ixIIHACYLDzDBYAIAJY8PJbHgADEGAw8QoWAKACWLDyGR4ASgJQ8Fiz8ikg -Uyk0MSohF/CnCnBAEGgwDZkCKTQx8acKcCAQcDAOmQIpNDH9pwxwABBgMMHwD58CLzQxKCA0KDUE -Y/9YAGwQBBywvh+yNYgwLMJ3KfI++lwAAgAAWPD+etAtkAQ7IPmGaXgAIGZwLZLHGLDBKDUGKDUH -jd4oNQUuNQQesOON1J00LOITnDUp8kFkkEgpkQIJyQws8sqZt/kKACBCADcgKcECCdkMmbYt4hWd -uCziFJy5KeLsyp0pkQIJ2QyZuini68qYKZECCckMmbvAwFi61MAg0Q8A8/+5YAAQSDBj/8QAAAAA -APP/02AAEEgw8//YYAAQSDBsEASKJ9MPDwIAKqwQW2Jb9CIHI+gQQDAIMyjTD/o8AAAgAiEwWvXp -/bBEEgAAYPD+sf4SAABasP8iACIAAFEwWvWfgiciLBDaIFrrc2ihAtEPANogWuuQErDRC6gR9KA2 -YgAgQLAM6jArIoWLsLCi/LsIAgAAULBYvsUcsUQqwn/wIQQAARBYMAC7GguqAirGf1i++tEPAPoK -ByABEFgwW2L5LCJ/LCaD0Q8AbBAEKiBT+yAWIgAAYPBbaAXRDwBsEAQWsHUFBUf8UxECAABA8Pqw -dBIAIDTwJjI6+loKAgAASLD6opcqAChFkCcyORuwQfp1AQ4AIVXQ8AsHAgAAEXBtiQIAQmEukQcf -sGkODkoM7hEP7gKeUI2QHLBk9FYDLYAEP2D8VgIsCQBuMP1WASIAABFw0Q/AINEPbBAEKiBT+yAW -IgAAYPBbaA7SoNEPAAAAbBAEjjLAi/mxtBoAD8OQCekKiZAKkAD6LAACAABbsP1cAAAYAmDwW0Lv -wCDRD/osAAIAAFjw/EwAAgAAaXBYQAzSoNEP+iwAAgAAWPD8TAACAABpcFg9t9Kg0Q/6LAACAABY -8PxMAAIAAGlwWAHd0qDRD/osAAIAAFjw/EwAAgAAaXBYBFHSoNEP+iwAAgAAW7D9XAAAABBgMFtC -0sAg0Q8AAABsEC4XsJWGLS5yhAlmEabmjGeMzo8uK8AwLcAx+f8RC4AEPuD9uwICACB7sPoiByAC -AlrwK8QxC4sU+8QwICACUrBbYdCDKcszFbF7hDv9MgAgBRBQMP4iACAyEFgw/zAFIgAAYXBYvBj7 -CooiAABQ8Fvr4PNMAA/UALUggynAQPsiCyAVADTgZbPXkytgAAYAAACTu5s8lCkpIAwqIhYbr+sY -sNb4FlAgGhBgMCwkVCVyhCuydwAIjfmqEQIAAEBw+loICAAgXnD6Fk8pkAQ+YPkhGSQAIE1w+RZO -IBAQSDBtmgIACIoer9otUSnAcfMsTiYBJHdQHLFRLSIA/iAFIAUQUDD/IFQgMhBYMFi77h6v0f0i -ACDhEHgwLxQY/hYCIAgQYDD+r8wdgAQ/YP4WACwJAGdwnREoIAcuUSn/r8cYIAFAMACIEQjuAg/u -Ap4UC+owmxWdGfqw6xBAEEgwKRUPmhgoIFQoFDEvIAUvFDIuIDAnFDAuFDMtIhb9Fg0gQAJocIvV -itSJ04jS/9IBIIACcHCf4ZjimeOa5JvljdCd4IsgKxYWKiBUKhRdKSAFKRRgKCAwJxRc9BReIGgC -WLD4FGEg0AJQcFiywyoccPwKCCB4AliwWLK/KxJPKhx6+7xyIAYQYDBYsrsqHH37PAAAAxBgMFiy -uCUKCPssXCD+AlBw+qwFIAgQYDBYsrIqEUMqFTEqEk4KTUD6XkAKCwFUMPpoQAgHAVQw/ZkRCeAE -OiD5iAIICgFUMPm7EQmgBD5g+xFCKAkAXnD6HBQP8AQ7oPysAgwJAHdw/AxADgUBWDD5zBEPsAQ7 -oP4iDCwJAHMwCo9A/P8RCgkBUDD7qhEOkwFwMPr/AgwJAHMw/BRkLgkAT/D+IGgqDQFYMPkgaSoO -AVww+qoRC5AEPuALqgL5a0AOAwFwMPl8QA/QBDug/swRC/AEPuD8uwIKCQBysPlZQAoJAFqw+gow -KAkAVnAKmQIpFGX4IgwuCQBH8AgOUPgcUAgSAUAw+swRCZAEOiD77hEMCQBDMP/dAgwJAHMw/RRn -LAkAKzAsFGaOJyvpFPSxWWBAAlOwi+lksU/7HAAACBBgMFtikBuwwSoiEywgBSQlGfuqAQA5ECgw -+iYTIBQEKzALrAEsJhMpElAoHH8ojBHwCRcAFRBIMG2aAgAIih6wZv4WJCCiAliw/SIAIAMQYDD6 -HH8j/xB4MPQUoyALEHAw9xSoLYAEP2D/FVAsCQB3cP0WJSBUAlKwWLJK+hx/IgAAWPD6rC4gAxBg -MFiyRSQUwSQUwiQUw/wKECADEFgw+xTAIP4CUHD6rEUgqAJZsFiyPPtsQCD+AlBw+qxVIAgQYDBY -sjf7bDgg/gJQcPqsXSAIEGAwWLIy+h0BIMgCWbD6rAQgCBBgMFiyLicViCQU5CQU9PosAAALEGAw -/x0BIAIQaDD09BQg/xBwMP4VhiAgEEAw+BTEIP4CWHD4FMUgIgJa8FhBISUkBdEPibvTDw8CAGSc -JW0ICvmSCyIAAFpwZJwXY//uAAD6LAACAABYcPwKCCACEGgwW1peY/6kAABsEDYTsD+LLS0yf/yv -MRABECgw+iAFK5AEPuD+sGQaACBfcPuyByAAECAw9zr/IXYCUrD+rgoAEhBAMPuyDioABMKQjuAK -4ADaIFtbp8Ag0Q8AABywV40g/iE2IAUQUDD/ITcgMhBYMFi68YYuIzJ/CWYRpjP2PE4gCgJQcPwK -AyIAAFmwWLHuGK+3G7BK/AoDIBUQSDDwCBcAMAJAcG2aAgAIipsWiiD8FCsgCxBYMPUUMCuABDqg -9xUUKgkAWrAqFgcpMAT6HDEkAN0GYCs8UVix29tg/AoDIGoCUHBYsdglFEgkFEkkFEokFEskFE77 -HBgiAABQsP0KAiAJEGAw/BRNIAsQYDBYQM/aIFtbdsAg0Q/aIFtbdMAg0Q+KJyqsEFtgcoguGa+P -IzJ/8AkXCZAEOiD4HH8iACBE8PiMQSAVEEgwbZoCAAiKG7Ab+xYwIAMQYDD9Ig4gogIw8PocfyAL -EHAw9RTYILQCUrD3FWgtgAQ/YPQU0ywJAHdw/RYxIgAAWbBYsa77PE4g/gJQcPqsXiADEGAwWLGp -JBTxJBTyJBTz+2wAABIQYDD8FPAg/gJQcPwKAyDsAlKwWLGg2jD+IgAgCxBgMP4VfCACEGgw/iE3 -IP4CWHD+FX0gggJa8FhAmcAg0Q+JLgmZEanZiZeJniiQO7GIKJQ7L7Bfsf//tF8iAABQsFv+V8Ag -0Q8AAIknKpkUyKCEmSjCRPosAAAAEGgw/EAHIgAAWTALgADAINEPLx0B+q/hENACW/CUsJSxlLKU -s5S0lLWUtpS3KhZaiSD4wkQgAhBwMP1MAAIAAGOw9fR8KYAEPmD09HsoCQB2cPkWWyIAAFCwC4AA -wCDRDys8SFixbrUbLbAB/rAAIGoCYHAuxAAtxAErsAIrxAJj/joAAGwQCi0hKSsgFiYgBxyvc/Ui -CCIAAHFwKiB8iScnUgcKrwn5kg4g/xBAMPkWBi/ABD/g93IOLAAgezD8wn8mIAEwMPwWCCAuBELw -LRYHAM6OW2X+jBj9EgcinQA2oBiuQAxkEQ8CAPquQRQAIEEwK0I6CmoK+qKXJgEIQuApQjkbrg/6 -kwEOAQFWUPALBwIAAEjwAElhAElhAElhAElhAElhAElhKCEHGa40+AhKAEgQeDD8C0YJwAQ6IPmI -AghIAWQw+DYAKYAEPmD5rnoaCQBO8PoiAC4HAWAw/zYDL1AEO6D/riQQBhBgMP82AiuABDqg/d8U -CgkAYrD6NgErQAQ7YP2vhR9ABD/g+CB8LgkAe7D+uwIAABB4MP81CiA0EHAwLjUL/aoCCQAEOiD6 -NgYoCQBaMPo8ICgJAEow+DYEIOQCWLBYsRT6PCYg2AIwsPwKBiIAAFmwWLEPHK2qH69v/zQtIIkQ -cDD+NCwgEBBoMP00LiACEEAw+DQ4ILACWXD4NDkgBxBIMPw0NyABEFAw+jQzIAYQYDD5NDUgAxBQ -MPo0MSAAEEgw+TQ0IAAQUDD6NDAggBBIMPk0NiB0AlDwWLD1BmsC/AoFIIQCUPD8NEEgCxBoMP00 -QCAGEGAwWLDuKjxJ/AoDIJACWLBYsOr6PEwgBhAoMPwKCCCAAliwWLDljBYrwCAtwCH+wCIrgAQ+ -4A27Av3AIyuABD7gDrsCCLsRDbsCsbsrxCMLixQrxCILixQrxCELixQrxCCKcIlxKqw4+nYAIAIC -SnCZcYgnwJD/+sAgQAJCMPVGOS4AQH4w+YUEIIACe/CfgJ+BKyAWLgr/frFf+iB8IDQQYDBbZUXA -INEPAIwiZMBSjiD4ryQQCRB4MJ8S+O4RAAEQeDD4FgAuCQB7sJ4Rjif9+sAgQAJTsP2tAQAAEHgw -/+UUIIACa3D95gkiAABYcP3mCCABEGAwW2DZwCDRDwAAAAD6LAAAMAJZsPwKASAGEGgwW2W0Y/+W -iCJlj5ErIHz6LAAAARBgMPu8EiAAEGgwW2WtY/94bBA6KCAF+q5oEC4QSDD7ygAuAm1KECoWY/sW -ACAQAkBw8AoXABUQSDBtmgIACIr0rqIQQgJgcPwWWiALEEAw9BYCIJACULD6FmQj/xBIMP8iACB4 -AlBw+hZbIAAQIDD0FBsgARAoMPUUIC+ABD/g+RUMLgkAR/AvFgMtIEkuIEguxAAtxAErIEorxAIk -FDkkFDr0FDsgAxBIMPkUOCCoAliwKxZcKBAALRAC/xABIEoCcHAv5AEt5AL45AAgEBBgMFiwcBOt -3RauqhitOy8gQSkgQBuuo/sWZSCYAjhwJxZdKXQAL3QBLiBCLSBDLXQD/nQCIKgCaHAtFl8qIEUs -IEQsdAQqdAUvIEcpIEYpdAYvdAcsIDkuIDgu1AAs1AEqIDopIDsp1AP61AIg+AJIcCkWYS8gPScg -PCfUBC/UBSwgPy4gPi7UBizUBycgZSogZCqUACeUAS4gZy8gZi+UAi6UAy0gaCwgaSyUBf2UBCCA -AmCwLBZeKiBqJyBrJ5QHKpQG966jEHACULD6FmAgyAJIsCkWYviC+CD/EHgw/xVCJBAQcDAuFUEu -FSEkFFwkFGwlFUT0FIwgIBBoMC0UPC0UPY0nKBZmKSIA/dIOIAQQYDD2Nq0iAABQsP0WZygJAD5w -+TasIAUQaDALgAAqMq0pEmcKikf9risTDgA2oC6QOi+QOwjuEQ/uArHuLpQ7Do4ULpQ6LxJj+doA -IP4CQHD5FiwgcgJCMPAPFwAVEEgwbZoCAAiKKxJkHq5vLhYu/SIAIAMQYDD6HH8j/xB4MPQUyyAL -EHAw9RTQLYAEP2D/FWQsCQB3cP0WLyCkAlKwWLAEJBTpJBTqJBTr+AoDIP4CcHD4FO8gYhB4MP8U -6CBiAkOwLYAC/4ABIKwCc7Av5AEt5AIogAAo5ACJICwiBysSZSgSZvzCDigJAD5w+TasIgAAULD8 -FmggBRBoMPY2rSAEEGAwC4AAKjKtKRJoCopHZKKeK5A6LJA7CLsRDLsCsbsrlDsLixQrlDotEmMs -qgD8FgAgEAJAcPANFwAVEEgwbZoCAAiKLBJaGK3nKBYCLyIA+xJkI/8QSDD0FBsgCxBAMPUUIC+A -BD/g+RUMLgkAR/AvFgMtsAEusAAuxAAtxAErsAIrxAIkFDkkFDokFDsrElwoEAEuEAL5EAAgAxBQ -MCoUOPoSWyBKAnhwKfQALvQC+PQBIBAQYDBYr70vEl4uEl0s8AEt8AAt5AAs5AEq8AMr8AIr5AIq -5AMo8AUp8AQp5AQo5AUt8Acv8AYv5AYt5AcuEmAtEl8r4AEs4AAs1AAr1AEp4AMq4AIq1AIp1AMv -4AUo4AQo1AQv1AUs4Acu4AYu1AYs1ActEmIsEmEq0AEr0AArxAAqxAEo0AMp0AIpxAIoxAMu0AUv -0AQvxAQuxAUr0Act0AYtxAYrxAf1FUQkEBBQMCoVQSoVISQUXCQUbPQUjCD/EEAw+BVCICAQSDAp -FDwpFD2JIIQnKxJl+BJmIAUQaDD0Qg4oCQA+cPk2rCAEEGAw9jatIgAAULALgAAuMq0Ojkdk4XIv -QDooQDsI/xEI/wKx/y9EOw+PFC9EOoonwrz7JAUgIAJSsFteH4Mn+q3XECACGPBa8bAcrdQdrAse -rdSPIPusAAIAAFDwWvFngycPAgAjPBDaMFrnOv8CAAAAyAag+iwAAAAQWDD8CgAgABBoMFv9+8Ag -0Q8AAAAAAAAbrWMqMrYo0msLqgEJqhH9rcIYACBSMIuMHK2+DbsBDLsCm4wqkG4rkG8IqhELqgL4 -FlggAgJSsCqUbwqKFPqUbizLADYg2xD6jE4gAxBgMFivSvoSWCAQAlhw/AoLIAIQaDBYPkcsElgt -wAX9xDAgMRBYMCvEBWP8kgAYrUUerUcvMrYu4msI/wEJ/xH7raIeACB7sIjsGq2hC4gBCogCmOwv -kG4okG8I/xEI/wL+FlkgAgJ78C+Ubw+PFP+Ubi0zADeg+uxOIP4CWHD7vDEgAxBgMFivKSoSWfsc -fyALEGAw+7w5IAIQaDBYPiYtElnDyCzUBWP8+igythOtKBmtJCMyawmIAQmIEfmtgxIAIETwjzwY -rYIJ/wEI/wIvNgwuQG4vQG8I7hEP7gIu7AEuRG8OjhT+RG4uYwA04Co8TvscAAADEGAwWK8M+xwI -IgAAUPD8CgsgAhBoMFg+CSkwBfk0MCBBEEAwKDQFY/4s2jBa5vQTrDQLqBH0oDViACBE8AzqMCsy -hYuwsKP8uwgCAABQ8Fi6KRytASrCkwAxBABbGguqAirGk1i6X2P+MwAAAAD6CgcgARBYMFteXSwy -fyw2g2P+G2wQCi4hGSsgFoYnJyAHKiBT9Kz4EgAAYTCcGvqpCQD/EEAw9wdBCcAEPmD2Yg4kACBJ -MPRCfyAqBELwLhYLAMWOW2OJ/hILIwIANqAYq8wMdRH6q80UACBFcCxSOgp6CvqilyQA9kMgKVI5 -G6ub+pMBDgDvVlDwCwcCAABI8ABJYQBJYQBJYQBJYSshBx2tKfyrwRAwEEgw+Ku+G0ABXDD0D0YL -wAQ+4PSHQgoJAGbw+zYAJ4AEPeD6IgAuCQA/8Pg2AiYHASQw+TYDJ1AEPeD+2RQABBBYMPSZEQuA -BDqg+6v8GgkAWrD6NgEmCQBN8PogUyAgEEgw+TULIAAQQDAoNQooNggoNgkoNgr4NgstQAQ7oPg2 -DCwJAGsw/DYGLgkAP/D4Ng0gBhBgMPg2DisABDqg+DYPKgkAerD7qgIAgAJYsPo2BCBAAlDwWK6Z -wET6PCYgsAI4sPwKBiIAAFnwWK6U+6z1EIkQSDD5NCwgABB4MP80NCAQEEAw+DQuIAIQYDAsNDUs -NDgsNDn7NC0gARBwMP40MyADEGgw/TQxIHQCUPD+qx4QABBoMP00MCIAAFnw/jQ3IIAQaDD9NDYg -BhBgMFiue49jKmICLPwBf8sCKqwBi2GNYJpi/GYDIAICWvD7ZgEgSAJjcJxgjRqKJ/RWOSAAEGAw -+qwgIgAAW3BbWyiIJ/n6wCBAAnow+QoALgBAT/D5hRQggAJ78J+Jn4grIBYuCv9+sQr6IFMgIBBg -MFti3ywgN7DM+wr+KuABYDD8JDcguARasMAg0Q+NImTQ3o8g+ay5EAgQQDCYFvj/EQABEEAw+RYE -LgkAR/CfFY8n/vrAIEACU/D+rgEAABBAMPj1FCCAAnOw/vYJICACWHD+9gggARBgMFtebsAg0Q8c -rK4tIAX+IAcgBRBQMPkiACAyEFgw+RYAIgAAeLBYtziKJyz6wPOiDiAmEGgw/SQFIEACWrD8CgAq -AEBm8PylFCCAAlrwm6n7pgggIAJSsFtc2i0xHYIqsd39NR0vSgA0oPAAGmAtEBgwAAAAAAAA+iwA -AAEQWDBYQb+CKWQvJy4gBXPp6YIpZS/0Y/8ZAAD6LAAAMAJZ8PwKASAEEGgwW2MmY/8KjyJl/wUr -IFP6LAAAARBgMPu8EiAAEGgwW2MfY/7sbBAKKCAFwpX/AgAOASRKEC0hGSsgFhesHSogUyYgB4Un -+qgJAP8QYDD2BkEJwAQ6IPVSDiYAIEXw93J/IC4EYvD9FgogARBgMFtirf0SCiMCADagGKrwDGQR -+qrxFAAgQTAsQjoKagr6opckAPZDIClCORuqv/qTAQ4A71ZQ8AsHAgAASPAASWEASWEASWEASWEq -IQcbquUKCkr2quMbwAQ6oPurMRoJAFqwKjYA+SIALUAEO2D2NgIgMBBAMPg2AyAEEFAw/dgUCYAE -PmD9rD8YCQBWcPk2AS7AATgw+iBTIAAQMDD2NQouSAE8MPY2CC+ABD/g9jYJLgkAe7D2NgouBwE8 -MPY2Cy9QBD/g9jYMKUAEOiD2Ng0uCQBH8PY2Di4JAHuw9jYPICAQSDD5NQssCQBrMPw2BisABDqg -/qoCAAYQYDD7qgIAgAJYsPo2BCBAAlDwWK29wHT6PCYgsAIwsPwKBiIAAFmwWK24+6wYEIkQSDD5 -NCwgABB4MP80NCAQEEAw+DQuIAIQYDAsNDUsNDgsNDn7NC0gARBwMP40MyADEGgw/TQxIHQCUPD+ -qkIQABBoMP00MCIAAFmw/jQ3IIAQaDD9NDYgBhBgMFitn49TilKx+3+7AiqsAYxRjVCaUvtWAyAC -AmMw/FYBIEgCW3CbUIonwLD8CgAgABBoMPdGOSBAAlKwW1pMjyf4+sAgQAJz8PgKAC4AQEOw+PUU -IIACc7Ce+Z74KyAWLQr/fbEK+iBTICAQYDBbYgMrIDewu/oK/ijgAVww+yQ3ILgEUnDAINEPjCJk -wN6OIPir3RAIEHgwnxb47hEAARB4MPgWBC4JAHuwnhWOJ/36wCBAAlOw/a0BAAAQeDD/5RQggAJr -cP3mCSAgAlhw/eYIIAEQYDBbXZLAINEPHKvSLSAF/iAHIAUQUDD4IgAgMhBYMPgWACIAAHiwWLZc -iics+sDzog4gJhBoMP0kBSBAAlqw/AoAKgBAZvD8pRQggAJa8Jup+6YIICACUrBbW/4tMR2CKrHd -/TUdL0oANKDwABpgLRAYMAAAAAAAAPosAAABEFgwWEDjgilkLycuIAVz6emCKWUv9GP/GQAA+iwA -ADACWbD8CgEgBBBoMFtiSmP/Co8iZf8FKyBT+iwAAAEQYDD7vBIgABBoMFtiQ2P+7GwQBiggBSsg -B8GU+wxBDgBSyhD+qiQU4AEsMPzKEQIAUplgkxCNNviqIhoAIHKwLqI6+MgKAAEQGDD4gpci1AE7 -oC+iORmqHPj+AQ4ALkfQLCEk/6uNGAIBWDAKiBD7IQksCQBDMPghIiwJAHswnOAcquT/IgAoCQBK -MJjim+P4/xEAAhBYMP3mBS4JAF/wn+HwDBcAMAJLsAAJivumOSJSAjlgwCDRD4kiZJBuaFIxwCDR -D/osAAIAAFlwW2BL0qDRDwCNM2P/XYon+0wAAAAQYDD6rCAiAABpMFtZvNKg0Q+KJ8Cw+qwgIAEQ -YDBbXSQeqvGeoI0g/BIALYAEP2D7q2McCQAfcJ2hjMaco/umAiAAEBAw0Q8AAAAA+8wYIgAAULD9 -CgIgARBgMFth9mP/egAAbBAE9KqyEAEQQDD5qfsVgAQ84PkmACQJAEVw9SYBIBACGLDwBBcAIAIQ -sAADitEPbBAE/atKEAIQWDArNAAqIBUeq0cYqaL4SREKQAQ6oPqaAgAFEFgw/6tDGgkAWrCaMfAI -BwAgAiDwAERhAERhAERhAERhKCAHCAhB8FURCSAEOiAIVQKVNoUg/zYOIAAQIDD0NgggAxBYMP02 -ECCgAiDw/jYPLbAEOWD8NgcgPAA1oC0gFcDh9zRZLEAEP2D+NFgsCQBucP40UCwJAHdwLTYVDOow -CFoR/EUFKgkAWrD6RgMgwAIQ8NEP0kDRDwAAbBAE8yICL/EQIDAEMwGTItEPAABsEATaIPw8AAAB -EFgwWLMn0qDRD2wQCIdEAZQEiUaLSC9BC45H8kEKIgAAULAPTxT+kxQCAABg8PLoQAYsARAw++1Q -Ch8BXDD6uxEHcAQ5oPvdEQnABDog8DMRCAkAajD+nhgMDwEUMPmZVg1QBD9g8xYGIgMBFDD/MxEG -CQBpsP4WBSwCARQw8g5BDAkAH3D+7hEGCQBZsPJCQQABEFgw+CIRDgEAX/D4ZgIILAE4MPKIEA/A -BD/g/+4CD2ABPDD+3QIODwE4MPfnQA7gBD/g/4gCDvAEO6D3ZgIOCQATsPeqChgJAHIw+arfGAkA -SjCdF/dmAgIAAGhw9hYDKAkASjD4FgQgABBwMFix/dKg0Q8AAABsEATaIPw8AAAAEFgwWLLi0qDR -D2wQCAF0BIVFjkTzQgYiAABg8PJCByIAAFCw96EHLBMBdDDzdlgP4AEsMPV4UgpUASww/qlQBBMB -LDDymRAP4AQ/4Pe7EAhABDog9hYFJ0ABPDDxdxEGNAFwMPIzGAgJAFow8xYGKhgBdDDykhQK0AQ+ -4PNmEQLgBDig92YCBhIBdDDxdxAOCQAX8P6SUAYJAE3w/mlQDAkAf3D+f1ACwAQ4oP0WByIAAGhw -8rsCBgkARfD+/xAAGBBAMP+ZEA4wAXAw8u4RCAkAfnD4dwIICQBecPlbEQVwBD1g9qqZFAkANXD3 -FgMqCQB28PuZAgAAEHAw+RYCJAkANXD1FgQgABBYMFixtdKg0Q8AAABsEAQUqo3TDypCgNsgW1iC -+KEcYgAAGrD6Qn8iAABYsPwKACABEGgwW1hX0jDRDwD6Qn8gAgJYsPwKACAAEGgwW1hR+kKAIgAA -WLD8CgAgARBoMFtYTWP/vgAAAGwQBPSqdxAAEEAwHqp2KObUHap1KNbUHKp1KMbUG6p0KLbUGap0 -KgoA+JbUIAwQSDBtmhmJKwSrCo07KbbA8zz8IfgCELD9ttQgAgJSsBiqRChGvcD1L0a8WI2U8qwA -ABkAtqAoQuXHL/iJQwAeAH4waJMHIkLo0Q/RDwDRDwAAbBAEE6pWKjJ/W1Pz1KD4IQxiAAAqsNKg -0Q8AAAAAKjJ/W1Pt+kkycgAAErBgAEwAAAAAAPoyfyIAAFlw/AoAIAEQaDBbWBn6Mn8iAAAosFtT -4vpBJnIAABKwsVh4KdT6MoAiAABZcPwKASAAEGgwW1gP0lDRDwAAAAAAAPoyfyIAAFlw/AoAIAEQ -aDBbWAfHJNEPAGwQBBKqMSIifyIhAtEPAABsEAQVqjQlUID3qaAVgAQ9YAUERw5EEadHJ3J/BYYU -BmoCC3AACglBaJEH/wIAAgBOnmAYqikPAgAISAgogn8FWgILgAAZqEFkoIAJKQL5pgAgAhBYMPum -ASB2ADSgaCEcbyQZ/iIWYBACSrAEA4gAiYoCA4gASYoAA4gACYraYAtwACL6/PKiAQAKEEAwCCIC -HKgoLMKu/MYHcAQQQDAIIgIYqg6oSCiCf/pcAAABEFgwC4AAGKl2qEgogn/6bAACAABYsAuAAMAg -0Q/HJNEPDugwnqIN7jCdowzAMJykC7Ew+6YFIAAQSDCZppmnY/+MAAAAbBAIGKhHJBUE+6n4EAIQ -aDCdEZsQLiAMLCANCO4R8AgHDAkAczAsFQUpIQmbEP0WASIAAFCw+RYDICACQHD0FQQjEAQ44PAI -oAIJABFw8hYEIAAQYDD2FgUiAABYcFha8tEPAGwQCPuoQBACEGgwnRGbEI8yDw9fLxUELiAMLCAN -CO4RDswCLBUFKSEJmxD9FgEiAABQsPkWAyAgAkBw8AMWAAAQYDDwCKACAABYcFha3tEPbBAGGanO -jSAuknsrMgAskoT+3QwCAAAw8PUWAC2gBD9g/cwIAAEQKDD6zCAgtgAq8C4wCfs8ECIAAGqw/n9A -DgYBcDACC4YATWMAC4YATWErwAEtCuANuwErxAEoMAj9Cr8oCQBaMPr7EQgAQGow/QrfKAkAWjD7 -6xEIAEBqMAuIAijEASsyAPenxxCiACbwI8ABj2HboPf/AQgHARgw825ADIABHDD9ZAgvkAQ7oPGI -EAIFARww+jMRDgkAR/D/ZgEuCQAbsP5kCSAgAmmwBguGAE1nBAuGAE1li2B7tmQuwAGIYSsKgP5t -QAgFAXQw+P9QAgDGAhAep93wDgcCAABysABOYQBOYcCAKMQBLiBQ9AoAIDAAN6CcEpYTE6mI8J4R -DPAEP2D+qK4cCQB3cJ0RHagPYADNAAAAhhP8EgIgARAoMC/AAPj/DHABEBAwKMABeI8BwCDzEgAi -AABTMFsSDB2ni/peFA6AAVQw8PEED+AEO6AO3Qwt3Rwp0n/wXBoP/xBwMP7MAwIAAFmw8CoaCABA -ZnD6mQIAABBgMPnWfyIAAFDwWLH/wCDRD6tmCqkCB2wCLNazKNK0JRIBDwIAA4gB+FUCAAAQYDD1 -1rQgEBBAMG2KHfUKACBcADfgJZEADlUC/cgKAAQCSnD1hrUgAgJjMCbWsykgULFE/wIAC/+cyRAp -IA3KTiwgUcCB/EwICAUATjAJyQwsIAwIyBEImQILmQL5hkIBBgBicPP/fWbAAUgwAGP/qhapQGSf -ySwgDCVig/ZieyAgADZgGKj0qMgogN2pialpCZkRqVkpnICJkAaZDGP/shmnlCmSd6yZCZkR8//p -aAAgTXAAAA4IRguIAijEAWP+gWwQBBqpK4sgLKJ7iTAqooQMuwz6uxEAARAgMPqWNnoAIFqwLaAA -/KABICACSPDyCRYCAABasABLYwAJhgBLYSswCC4K4A7eAfykASoJAHbwK6QAiTD8qRgQhgAmcCig -AI8xCqkC+AtECAcBQDD8/wEIEAQ6IPs0CC4JAEfw/zYBICACWPAGCYYAS2cECYYAS2X5MgAgABBY -MCs1CPuWJnABEBAwjDEdp072wHdiAABKsCugAQANiwBJYQBJYSukASmgAGAAAimgAHifBy6gAXjv -AcAgWxGMGKcL+lkUCoABUDDwoQQJ4AQ+YAmIDCiNHC+Cf/BKGg//EGAw/KoDAgAAWPDwKRoOAEBX -8Pn/AgAAEGAw/4Z/IgAAUXBYsX/AINEPAAApoAD7CoAowAFMMAuZAimkAGP/kGwQBPkiACIAACCw -k5GIIZOAAASIAAOKkiCSIdEPAAAAbBAEKSANKiAiwLH4qhEIBQBO8PioOxIJAFJwAgNHDjMRqDgo -gn8CihQLgAAKCUFokQJpkx4YqMWoOCiCf9ogC4AA26D6LAAAABBgMFixXNEPAAAA8//sYAAQWDBs -EAbIMsAg0Q8sIRP0qHUcACAjMPwWAC/tALTgE6cZZXB1c2EyjCz6LAAAABBYMPxsCAAAEGgwWLBY -ZqD+jhAsQX/6LAAAAhBYMP7MCAAAEGgwWLBRZqA3/wIABgBhHVCMLPosAAAAEFgw/FwIAAAQaDBY -sElmoMeDEPosAAACEFgw/QoAIgAAYPBYsENnoI/SoNEPAAAAAPTMAAIAAFCw+woBIAEQaDBYsDtm -r+FzUS76LAAAAhBYMPxMAAABEGgwWLA1Zq/ILCIM+iwAAAAQWDD8XAgAARBoMFiwL2agV3NhrByo -PyzBf/osAAACEFgw9MwIAAEQaDBYsCdmr5CMLPosAAAAEFgw/GwIAAEQaDBYsCHSoNEPAAAAAAAA -gxD6LAAAARBYMP0KACIAAGDwWLAZ0qDRDwDSoNEP0qDRD9Kg0Q9sECKIIhemzfQyBCIAADEwlxSX -Ff8CAARdASAw/wIAAgUKKiDaIPYWLCAHEFgwWK9ox+T2rAAGBT/2kP2oNRAAQS6giDAvMQYmMQf6 -MgEgDgAuMGahni4gDfoxBSCXADeg+hY5IA4EO/Bk4SefFP8WOyAOBDmwZOEtlhUmFjpkQIvAYAb6 -UPkKASAAEEAwCpg4yowqMgDTD3qmCWRBxsBgZmAdijD9pwEOAAdukIsx/wIAAgCKBtDIdokxCdxS -ZMDy2iD8Cv0gABBYMFiwhC36jX2hAgamONog/BIsIgAAWPD9XAACAABxsFiH2tKg0Q8AAAAA/wIA -AARWqSAYppAoFjn/AgAP/7G70GP/XiYhEikSOQ8CAA8CAP8CAAoE27JQ+iIKIgAAWnBbVhD9p/kQ -BOYqoCoSO3ehGYYr/wIACgTuMpCKLSsSO1tWCP2n8RAE9yqgLBI6/wIAB/+TPxCGK/8CAAoE/DMQ -+iINIgAAWzBbVf79p+cQBQOqoC8SO/8CAAYFDb/QGKgPKIB9ZY7wYAovAIksCfkMKRY7+RYEL/9r -OZBj/tGKLApqDCoWOpoVY/7J/wIAAgPmDlD/AgAB/4CSUGAKGywSOf0SOyIAAFCw/hI6IgAAWTBY -fTT2ruBiAAAysBinyocw8/7JZgBARfAAAAAvMRP7MRsgABBQMPYcECAAEGAw/8Y4ACgCSHD7qTgH -nQA1IBimSSgWOcBgZm6dZEfYLiANZOfgKhI5iRT5FjsgDgQ6cGTn1SYSO4sV+xY6IA4EOvBk588p -EjosNQQqNQUpNQcmNQbz/jRgABAwMAAALhI5LCET9U4vbAAgczCOMYk4+iANLhwBcDD571AGHwFI -MPpmEQ+wBD/g9v8CBhoBSDDz7hEHIAQ5oPYxCi4JADOw+blQAAEQWDAKuTn5mREILAEwMPgWKylw -BDog+YgCCA8BNDAFmREJ7gL4MgQuCQBDsP/uAg4kATQwCP8R+P1ACiwBQDDyqhAM8AQ/YP/dAg9g -AUQw+OhADuAEP+D/qgIODgE0MP2qAg/ABD/g+P8CCAMBMDD2LUAJ8AQ6IPgxCywJAEdwCEgU9gZB -CAEAWjD+ZhEJwAQ6IAhmAvYyBiwJADdw8ZQECNkBMDD4MgcqCQBCsP0WDy4JAHuwnhsdp4gImRT4 -ZhgAABBwMPYWDSIAADMw8JkRCgkAarD5Fg4gQAJocPoWDCIAAFCwWK6j/adfEAReLqCINPYWOCB2 -AA4wHqZFKxIr+SAHLgAgcvAu4IAsCvv8mQEP4AQ7oPwK/CgJAHZw9hY4KABAZnD2JSkoCQBecCkk -By8SO/asAAYBYr/QLzEKDw9B/iANIgQ1Q+AqEjv7MggggAJocPkiDCABEGAw/s45AAAQQDD7S1MM -0AQ7oPy7AgIAAHIw/BI4KAAgVnD7FhciAABQsPkWLyADEFgwWK559qyBYgAAMrAuEi+POPsyASig -BDug/q4UBjwBeDD/KUAKAQF4MP/9QAwMAXgw+8tQDOAEOyD3uxAM8AQ/YP6qEAjQBD5g/GYQCAkA -VnD4ZgIAABBQMPoWHygQAXgw+WYCAgAAULD/30AJAAQ6IPjdAg7QBD/g/7sCDAkAN3D9Fh4qCQBm -8PwSOCDAAmhw/goAKgkAdvD7Fh0gAhBYMFiuUfar4WIAADKwJhI4GKc3KzIKLzIIKjILKhYxLxYt -KxYw+IB8LAoBeDD8FjIoCwF8MPgWNijQBD5g/xtACVAEOiD5MRIoCQBKMPsWNC4AAXww+CANJgkA -QbD5Fi4qRwFIMPoWMyhEAUww+RY1IA8ANiAZpdn5ZgIAARB4MIkxKCEHLDEKAXQEKhIxLTETKxIw -Cp4U/t0RDuAEO6D6uhgMCQB3cP4SNisXAVwwKxYlKhYm/l8UC8AEP+D/poEaCQB+8CoSLfnJUA5Q -BDug8JkRDgkAe7D4D0oIAwFQMP2IAg8QBD/g/+4CDgYBVDD6jUAO8AQ/4P+ZAg4JAVQw/d0QDsAE -P+AP3QL6f0AKJAFQMP7/EAswBDqg/hIuKgkAcrD/3QIOLAFkMAL/Ef48QA5AAXAw+cwRD3AEO6D6 -EjIuCQBTsPwSMy4JAGfw9MwQChAEOqD8EjQqCQBisPkKAiwJAE9wCYgC+BYnLFAEOyD8EjUqCQBi -sP+lCxwJAH9wLRYi/Rx/LHAEOyD8pUYaCQBisP/uAgACAmtw/hYkIAAQcDD8qgINsAQ5oPwSLyoJ -AGKw+woAKgkAWrD6FiMiAABQsFit4R2mnPaqHGIAADKwLhI60w8PAgD/AgAH/PW/kIcsHKbCJiAN -/zIMIgAAULD8wT8gARBAMPgSOiYFADIw/09TBtAEOaD2EjguCQA38P0cQCADEFgw/xYXIAAQcDD4 -dwgMACAzMFitx/apuWIAADKwizz9EjggABBwMA8CAP8yASgPAVgw+ypADAEBWDD7CVAGDAFYMP/P -UAbgBDmg9/8QCQAEPmD+zBAK0AQ6oP+IEAoJAGKw/KacGAkASjD5MggqDQFcMP4WHyrQBD7g+/8C -AAAQcDD8wT8qoAQ94PnJUQ4JADfw96YUCMAEPmD2/wIICQBecP8WHSACEFgw+iwACAkAVnD5iAIM -ACBrMPgWHiDAAmhwWK2b9qkJYgAAMrAuIA0oEjgZpoCLP488jD4sFjAvFikrFjEmkT/5kHwqCwF4 -MPkWNioKAXww+xYyKtAEOqD4MRomACBBsPgWKilQBD5g+pkCCgEBeDD/D0AGCQBJsPoWNChHAUQw -+RYzKEQBQDD4FjUgDwA3oBqlIvpmAgABEHgwiTEoIQcsMQoBdAQqEjEtMRsrEjAKnhT+3REO4AQ7 -oPq6GAwJAHdw/hI2KxcBXDArFiUqFib+XxQLwAQ/4P+lyhoJAH7wKhIp+clQDlAEO6DwmREOCQB7 -sPgPSggDAVAw/YgCDxAEP+D/7gIOBgFUMPqNQA7wBD/g/5kCDgkBVDD93RAOwAQ/4A/dAvp/QAok -AVAw/v8QCzAEOqD+EioqCQBysP/dAg4sAWQwAv8R/jxADkABcDD5zBEPcAQ7oPoSMi4JAFOw/BIz -LgkAZ/D0zBAKEAQ6oPwSNCoJAGKw+QoCLAkAT3AJiAL4FicsUAQ7IPwSNSoJAGKw/6RUHAkAf3At -FiL9HH8scAQ7IPykjxoJAGKw/+4CAAICa3D+FiQgABBwMPyqAg2wBDmg/HwACgkAYrD7CgAqCQBa -sPoWIyIAAFCwWK0qHaXl8/cgYgAAMrAsEjktEjv+EjoiAABZMPosAAABEHgwW/1I8/cgYgAAMrAo -IRMIqAwoFjlj91CKKiuhAvkWKCJKADbgW0+SHaXTKhY3+hY5IBYANaCKLdMPLKECZMI6W0+LHaXN -mmAuEijTD2ToNIotL6ECZPI9W0+FKBIoHaXGmoBj+B4uEjksIRPz+B1sACBzMMlFY/gchizz+Cdm -ACBJsIks8/gtaAAgXnArEjkqIRPz9/9qACBasIknDwIALJkUFKWq9MBhYEACUnArkglksFYtsAAu -Cip+2QUvsgJ08UP7CgAgAhBgMFtbZRml2BylTZygiyCUopOl9qQcL/8QQDD1pgYgAhBgMPmmBCuA -BD7g+KQdKgkAZvD7pgEiAABRcFiuiMAg0Q/7CgAgAhBgMFtXbmP/tAAAAAAmEiz6LAAAHBBYMPwK -ACAgEGgwW1xJY/9kAAAAAAD8pb4QAhBQMP0gDCASEFgw9hYAIgAAenBYsDEdpYjz9Y1v6hAwMAAA -HKW1LSAM/iANIAIQUDD/EjkgEhBYMFiwKB2lfmP/1gAcpa4vEjsuIA39IAwgAhBQMPYWACASEFgw -WLAfHaV2Y/+zHKWmLSAM/iANIAIQUDD/EjsgEhBYMFiwFx2lbmP/lBylny8SOi4gDf0gDCACEFAw -9hYAIBIQWDBYsA8dpWVj/3IcpZgtIAz+IA0gAhBQMP8SOiASEFgwWLAHHaVeY/9THKWRLxI6LiAN -LSAM+BI7IAIQUDD4FgAgEhBYMFiv/h2lVGP/LhyliS0gDP4gDSACEFAw/xI6IBIQWDBYr/YdpU1j -/w8ALBI5LRI7/hI6IgAAWTD6LAAAABB4MFv8sPP0wWIAADKwGaPOKRY58/YSYgAAM7AAACsSN4oq -/AoAIAEQaDBbUy4dpTvz9fVv9BAwMMhri2D8CgAgARBoMFtTKCsSN4oq/AoAIAEQaDBbUyQdpTBj -/9Lz9EhiAAAysPylZBACEFAw/SAMIBIQWDBYr9EdpSjz9Cpv6hAwMAAAbBAgiCKHMCQWG/8CAAb4 -ATww/wIAAgSKqiD6LAAABBBYMFisTMfE9qwABgR25pD0pMsQAYguoCgyANMP+TICIBQALjAuMgFm -5BIuIA2KK/cWEygAQCZw95wAA5AAN6D/AgAKBJ7R0PoiDSIAAFnwW1Mg/wIAAASpKqDAoPasAALD -ALagijD/AgAAAU0qkC8hE4syjjQtIA39Fh8tdAFYMPQyByoAQCbw9BYkJ+ABcDAPaAwPyQwNnDgN -hjiJNhilLSkWI/0yBSzABDsg/LsCDgBAQ7D7NgIuCQAzsP42BCr4AVAw9iIMIBIQQDD9DE8F4AFw -MPwWHiQAICPw+KEQdgAgObDCgXihBgtJW6n5mRX0FhwoGAFwMPgWJioWAXQw+xYoKBkBdDD5FiUu -FwF0MP8WJygTAWwwKRYqGaSE+zIBLhoBdDD/FiwoEgFwMPmRfy5UAWww+BYrKhwBXDD7FikgGBBA -MP17Ug4AFE4QKxYVLxYU8hY0IdwCSrD4WiAlQBAQMAmCOCIWLSISNGAADwAAKxYV/xYUJUAQQDAo -Fi0OS1H5ChEuUAFsMP49UAYBhs6QwYL7FjEmAm3GkMGD/wIABgGvxpDCkf8CAAYEDc6QKhYiLhYh -JBYgKRIjCXhYmB0oEiQBdAQImRgImBSZHv7JEQjgBDog/BIrKAkARnD4EiwoCQBPcP4SFS2wBD+g -mR/5EhQrMAQ+4PHMEAggBDog+BIiLAkAQzD07hAIcAQ+YPnuAgjgAVQw/hItLAkAczD8mREMCQBr -MP2kyxgJAGZw/xIcLXAEO+D+ThQI4AFAMP2IEQ4AQGuw/RIgLxAEP+D+WkIO4AFwMPXuEAgJAFIw -+hIqKAkASjD5EiUs4AFsMPgWCy1ABD9g+BIhK5AEOqD9zAIM4AEkMPyZEA0gBD9g/aoCCGAEOiD9 -HCAuCQBDsPgSKS4JAHuw/xImKgkAdvD+EicqCQBm8PwSKCkABDog+xYMIAAQWDD9/xAO4AQ7oP+Z -AgzwBDsg/pkCDAkAQzD8mQIAABBwMPqZAgIAAGGw+RYKIgAAULBYq6LWoGZgIIowGaRc+asBDgAH -TpCKMf8CAAIAcYaQyLaJMQnbUmSwtNog/Ar9IAAQWDBYrMT3pEgfjRBgMPkiAiAOBGKwBqY4jCcP -AgAtyRQrzCD6wgkgZgA3YGSQzP8KKiBeADagLqAAf+kLGKQ4LKICeMF6d8FA2rD8CgIgABBYMFtZ -+BmkNSwSGx2j352giyCXopOllaYmpB0spBz4uxEAAhBgMPmmBCoJAGbw+6YBIgAAUXBYrRzAINEP -ZJBq2rD8CgIgABBYMFtWAWP/tIcsB5cMY/xrAAAAAAD/AgACAxkOUP8CAAH/n5JQYAY/d8mRY//C -AAAAAAAAAPwSEyIAAFCw+3wAAAEQaDBYebXHhPasAAYCfUaQZq8KHKQXizDz/vhqAEBm8ADaUPs8 -AAIAAGGwWKzU2iBYpFHSoNEPAIotLaECZNYCW03Jhi/6FgQgEhBYMPpmCAYAtl3QwYP/AgAGALFF -0MBg9xYTLrkAtaAsIA0dpDqLLIcUiTL3uwgCAABR8Py6OAgAQG5w+zYDKAkAVnCZMvP7zGAAEDAw -KCBcDgRRAEAECAgb/wIAAAIifhAmJQkZotv6IA0gABBAMCgkFPgkFSABEHAw/hYhKgUAU7D+IgAo -ACBNMCmQgPoWIiABEFAwKhYg+hIFKeAEPmD6JQgoCQBNMCkkB/P8vGABEFAwGKOKiS8qIFz4gkAk -MAFwMABABPoKGwgAID5wCJkK+ZIAIAHmfpApFhArFjEvFjItFjMYorsuIQcqIAwmlQkqlAz+lQcg -ARBQMPoWICABEHAw/hYhKAAgQTD4gIAv/xBwMP6ULCAIEFAwKpQEjhUqEh8qlA3+lQggABBQMCoW -IiqUBSqUBvqUFCngBDog+pQVKAkAQTAolAeIl46QLhYvKIkUKBYd+pYCIAEQUDD6FjAknQC2IMCI -KJQFY/v6AAAAKyISKbECZJTs2rBbTWccojMuIhH6o1USAABqsCvCdfqiXC4AIGuwrrv+IA0rkAQ+ -4PsKEioAIFqw/aYKJgD43dD6Fi4iCgA3oB6jSI0gLuJUDt0MHqHWDV8UDv8RD+4MLu0H/uIlKIAB -aDDwgQQAARB4MPD/GgAFEFAw/+4BAAEQWDD8o8YeBQBy8P4WFyAAEFgwWK4wKRIuLxIXL5R5GKMy -KIJACGgKmYBj/fQAAAAAAC8WMos4GKMshC8tFjP4gkAoHgFcMPkWGiwfAVww/RYZK3ABXDD7Fhgk -ACA5MPhECgIAAFCw9EIAIAEQYDBYhJAtEjMvEjIrEjH0FhAiLwA2oIQ0KSBcBARRAEAECQkb/qAm -IAEM/lAqIQcoEhApIA0sIAwshAwqhQf5hA0gABBQMJqCKoQVKRIYKoQUKoQG+oQFLEgBSDD4zBEK -BwFIMPkJRgtQBDqg/KJBGgkAYrD6ofUYCQBWcCmFFykSHPmFCCwAIGEwLMCA+qCAIAgQSDAphAT5 -Eh4t4AQ7IPpqFAwJAGEwLIQHjDcKmQwKmRH6MgYsACBicPaFCSoAA0sQsaopEhCamPyWCSABEFAw -KhYhKCAN/pQsIAAQcDAuFiD+kgAoBQBCsCgWIoiXKiB2KpQWKIkU/hYvIAEQUDAqFjD4FhYjHAC2 -ICyQBygSGiiUdSgSGSiUdPSAdmwgAWAwKJIaZIC4GKGy+JYcIAgQYDAslAWMNfP5zm3gAWAw+hYu -IB0AN6AowngdosyPIC3SXQj/DAr/Ea/dnaxj/fIAHqNULSAMLuCAANAE/g4bAAUQUDD8o1AeAAFw -MP4WEiAAEFgwWK24KRIuLxISL5R5Y/4cKBIaZY+HKJIa+BYRL4cANiAokhsiFjQjFjYMwgoTo0Is -EhGcgAMiCiOSGiwh3vg2ASAAEBgwI5Yb85YaIf4CYzAsJd4jEjYiEjRj/0YjFjYTozYiFjQMwgoD -IwooMd/0FjcgARAgMPShMRgRACIw9CIKCeABQDACiAsojRgojKCCgSScaJQgKJYaIpYblIEiMd70 -EjcgAgIQsCI13iISNCMSNmP+7QAAAAAAAPP6C2/qEDAw+iwAABwQWDD8CgAgIBBoMFtZkownKMkU -9IDsYEACUzArwglksOEpsAAsCip8mQgeosgtsgJ+0Uf7CgAgAhBgMFtYiRuiwykSGx+jCxyicJyg -iCCTpZWmL6YEKaQc+6YCIAIQSDD4iBEP/xBYMPukHSgJAEow+KYBIgAAUXBYq6vAINEPAAAAAPyi -/RASEFgw/SAMIgAAefD6FgAgAhBQMFitX/P2vW/qEFAwAAAAAAD8ovQQAhBQMP0gDCASEFgw/iAN -IgAAefBYrVVj/9QAACggXA4EUQBABAgIG/8CAAH/jH4QwJD5FiIgABBAMPgWISABEEgw+RYgIAAQ -UDDz98BgABBwMAAA+woAIAIQYDBbVHBj/yyMLPosAAAAEFgw98wIAAEQaDBYqmXz+PxiAAAysIws -+iwAAAAQWDD3zAgAABBoMFiqXvP432IAADKw8/oaYgAAMzAcosspEh3+IA0iAAB58P0gDCAAEEAw -+BYBIAUQUDD5FgAgEhBYMFitKCkSECsSMY6XKhIw/DIFL8AQQDD/7CAgABBoMP3lFC4AQEfw/RIz -IIACe/Cf6Z/oLxIyLhIv8/sFbeABYDAAAPwKACIAAFqw+iINIAEQaDBbUF3z+Zdv9BAwMByiq/4g -DSIAAHnw/SAMIAAQSDD5FgEgBRBQMPgWACASEFgwWK0IKRIQjpcrEjH6EjAvwBBAMP/sICAAEGgw -/eUULgBAR/D9EjMggAJ78J/pn+gvEjIuEi9j/IwAAGwQCBWhBw4tEaXULkKEDg5LCe4R/wIADgDA -B6AfoeEs8iJkwWobogrAkPuwgCAAEFAwbckRAJAECwwb/8cGcAICSnCxqtMP/wIAAACipqAXooH2 -CgAgABBYMPxylyAIEEAwbYoQALAEDAkZCQlD+dEUcAgCWvD3fAQgAgIxsPpp2HAAEFgwZmD+G6C4 -K7J7KvLcq2sJuxGrqiqhNCqtLyqs4BiibQZnCah3K30BL7A8A/YcwNoNZi35sD4mAQBRsPbmDACA -EGAw+RYEIAB6LaAq+pwKmh2qavkWBCAAci6gL7A9Dz8cDf8tD6o2//qAIP4CUrD/qgEBgBB4MPZ5 -EgoBAHqw+noSCQAEPmD6mQILwAQ4oKWvKfbptK2l3SnW6birpbsptum8qKWIKYbpGKBEKIDA+6JK -EkQBOiApPH8LmQEJ6QxmkLcdoEMqnQEqrIAKehINqgIqRpItQogNDUsJ3RHL2S48fwvuAf7eDAQA -EHgw+u38LAA9+5AKfxL/RpcgABAQMNEPGqI2Y/8TAADz/vVgABAwMMAg0Q8AAAAA+goEIBgQWDD8 -oi8SAABosFisjQZyEvJGlyAAEBAw0Q8coir5fQEiAABosPgSBCEAAkpw+ZC9IgAAcPD5FgAgAhBQ -MPgWASAYEFgwWKx/xyTRD6x0L0C8JEC+lBRj/8AArHQvQLwkQL6UFGP/sgAAAGwQBhagVg8CAChi -fSZigKKICYgRCGYIKmIHKqIO+woBIAAQYDD6rQIgYAIxsPqgFyEAAhqwWGBC+GwoIgAAKbD4FgAg -KAI5sPcWASB4AjmwKVAFy5H6EgEgpAQxcPsSACB0BFFwe1kfLDCV+sEZYAAQIDDaIPQMRwAEEFgw -WGAwLTCVsUR9QuolXBR3WcEpMJbLk2iRAmiSS9EP2iD7CgMgABBgMFhgJmP/3Nog+woCIAAQYDBY -YCLaIPsKAiABEGAwWGAfY/+/GaFlKjCXqakpnQEpkEBok79ol7xokghpl7ZgAAIqMJf7CgEgABBg -MFhgE9EPAAAAbBAEwKH3oEQf/xBIMBOfz/gsgCIJAByw83azLpgAuKAocrQIyFIdoVUCXBQNzAov -woQCDkQA4QQAqxrw4QQKEQBO8PBTGg4AQH7wA/8CL8aELcKIAOEE8E0aCgBAbvANuwIrxohj//8r -crQdob4cob7A4PTsOQoAQG7wDLsCK3a0AIEEInazAKQa9GAiYhEASTApcq8JKQEJSQIpdq8VobMG -gxH1MwgAAxB4MJ8w0Q8qcq8KKgEqdq/RDwBsEAaDIBWhqywgXvkiCCABEFgw+lLSIAAQQDD0Utgs -BwFgMAy4OPozDAmQBD5g9IFFZAAgSTAvQF4uQF8PAgD/AgAKAJD7kC1S4i3RAmTREy7sAS5EXypS -4ltLB/oKgCIAAHqwCvgCKCReLFIdDwIADwIAZMEFKcz/+csBDgAPzxBtCA/9vP8iAABi8P27AQ4A -BO8QY//pAAAPzBEYn4IMiCwoJRoI+BwoJRkuUhx+OxYbn70rsnopUtijuwm7EfAAB2gAIF5wAMCQ -HKDMwODD2i3GEC7GEcO7K8YQ+6F3GgkAU/AKCkYIqhELqgIqxhGMwB2hchigwfg7EQwAQGsw+8wC -ACAQaDANzQKdgBuhbBihbR2gugvMAgjMAfzWACAIADZgmpqem40gKyEZmxAqIRqrqvyhZRH+AlKw -mhEpQF/5FgIiAABw8PhAXiAaEFgw+BYDIAUQUDBYq7LAINEPAAAAAP08AAAFEFAw/KFYEBoQWDBY -q6vAINEPAPP/HGABEGAwbBAIFKD+iTD0mgEAIAAucIgx0w//AgACAXUGEMBg96FLEAkANqCNMWbR -nsBA/wIAAgBJqlB5lnorMQTaIPsLSwABEGAwWIIN8qwAAywANqAtMQwroCYPAgAH3QH9NQwvwAQ+ -4C80ECyhGsDhDOw4DcwCLDUM/KBcIBQCaPBYqiz2oC9iAAAisCowEdMP+woAIB8ANqBtCBIuIED0 -4BFgAgIQsLG7ersHb7QEY//mAAArNBHaUPs8AAIAAGEwWKmTwCDRDyYxBPYGSwIAAFCw/AoBIgAA -WbBYgelkoporoDb/AgACAUp20CwwECggXQxMQwDABAgIG/8CAAABPv4QH5+qr8//8IAiAABrMPyk -JiACEEAw/gqAKAkAQvD4pDYv4AQ/4P/PAgwAQHGw/6QHKAAJcZAGi0IrvQHwAAdhAAJa8AYLRi8i -EyjxAy/xAnjxS/LZEQ4mATrgGKD9LbyA8AAWaAAgRnAAHaD7C14UCekK+w1ECAAgbnAWoG+mlihi -gPDRBAABEHgw8P8aD/8QcDAO/gMOiAEI/wIvZoDKxBifTiygDB2e2Q29Ai2GsymCtB2g6fTMEAgA -QG5wDJkCKYa0K4aziTBj/mokMBAvIF35MQQkZAEgMABABA8OG/nJQgAAz3+QKiITKKEC+RYEIBUA -tiCUFfAARG/0EGAwAAAAAAAAAFtKO/kgDSEpADagLCBR+yAMIAEQaDD6zAgIBQBPcAnJDPi2EQAA -EGAw+AqAJgkAMnD0FgUmCQBBsPTMAAFiALcg2iD7bAAAABBgMFiBivesAAFpADagW/8IKHA2wJH2 -dS0oCQBKMPh0NirAATAwWHFyixX8EgQiAABR8Px0XCAUAmjwWKmp9qFZYgAAIrAdoK4sMQwfn1Yu -MQT/7gEMAEBrMPw1DC4JADOwLjUEK3Ea+jARIAEQaDAL2zj8uwIAABAwMPs1DCAnADagGp7VKqKH -LqECyeZbSganbCrEQCswEbFm+p7PGgAD2ZBuZN8XoJYmNBGJMGP9LgAAAAAmMQT2BksCAABQsPwK -ASIAAFmwWIFZ/KwAAFwANqD6LAACAABZsFh2X4kw8/ztagBAInBkntcdnrsrIAwq0nj90oAgIAA2 -YBygD6y8LMDdqcmpqQmZEanZKZyAiZAKmQxj/sAZnq8pknermQmZEfP/6WgAIE9w8/03b+oQIDAc -oHcuIA39IAwgAxBQMPQWAC/qEEAw+BYBIBoQWDBYqsBj/9MtIAwuIA36CgMgGhBYMPygaxIAAHkw -WKq5Y/zy/KBpEgAAebD9IAwgAxBQMP4gDS/qEEgw+RYAIBoQWDBYqq9j/5EAABygYI8ULiAN/SAM -IAMQUDD0FgAgGhBYMFiqp2P8rAAAbBAGbj4J8AAJYQACWPAAAAMLRBWerxmeO/cKACABEDAwALEE -8GoaDAkATLD9VsUv/xBgMPCxBAoRAGKw8HsaDiYBOOAuUsYOrgEOvgIuVsZgABEDWBQFiAovgscP -rwEPvwIvhsciVsWXECNAJpcR+iwAAgAAWHD9PAAACAJgcFgFVB2gOIwR+xIAIf8QSDD9LQsKACqW -UC7SgSnSgAAxBABvGv6yF2IAABpw/v4CAl4BOyAH+RDwACRoCQBM8JIS9/IQD/8QGDADIgPz+AMI -AEAWcPISAigAQEOwDI44LtaBKdaAYABIACg6A/I+EQoAmJIQH6AdGZ4H8AAgbgAge7DEkB+gGgAx -BA6eCv+eAh4AIHuwAGkaAJkRD5kCH5+Er+7/4oAiAIlC4An5AinmgB6gEPCqEQmABD8gCpkCCbkC -CQpH+9KAIPsANqD/AgAAAEceoBqgCAkPX/q6AQ5YALvgGJ3oCPgCKFazJVK0BcVSCFURBfUC+AqA -JAkALrDwABBkCQBFcAAAACX8gAhVEQWlAijiIfwKACA7ADYgG55I855HFoABfDDwcQQBAAJD8PBn -GgtVAUgw8IEEC+AEOqDwYxoKACAasG0ITm7+MiiywngwMinSgSnWgSXWgPpCDiIAAFiwW02ayaH6 -Qg4iAABYsPwKACABEGgwW01xwCDRDyiiw3h4zCniIfqtQCACAmMw+71AK//fSxBj/6oAAC8q//8C -AAv/bRfQCjkRCSkMKZ3+Y/7LAGixPPP+7mAAEEgwHJ33H5/MDCsRr7sstoPHryq2giy2gSq2gCfW -gdsg99aAIAAQYDD64t0gARBoMFtNU2P/ZgAZn8Hz/q5oAEBP8AAAAAAAbBAEAQQEBDkY+goAIBcA -NmBtCAywmAiZAfSQB2ACAlKwY//sBAtP+QoAIBcANuBtCAywvAy7AfSwB2ACAkpwY//swNH+ndUf -/xBgMBifqQxfEaj/LvaDLPaCLvaBLPaADDsDK/aDDEgDKPaCI/aBJPaALhwgjuD/n5kYAAFIMPoL -QAmABDog8OEEC3AEPuDw2BoKCQBG8P9fCwgJAFowKPaBA2sR/u4JCEgBODDw4QQOwAE4MPC7GgmA -BDog+4gCCgcBPDD4nYQeCQBDsAm7EQvuAgjuAv72gC4cATig8AAJYQACGLAAAAIDRBad1xmdYwAx -BADUGvxDAwgJAE1w+WbFLioBOKAqYsYKOgEKSgIqZsYlZsXRDwJcFAbMCivCxws7AQtLAivGxyVm -xdEPAGwQBBye0CkKAPidwxAAEFAw+8wIIIAQODAIkgoiIsfLIy2yeibCgqrdCd0RrWZtCCF/JxMv -MAwuYAwlMA30YA0gDgh7sHVBGwISFPQgB2YAIDmwY//XsZn6rCAlegI6YMAg0Q/AIdEPbBAIHJ9Q -GZ9W+56TECYA4PADhkImbQHwAAhhAAIxsAADBkYMKAsogoD6CgEgAJHOEPednx4gATmgLGyAAMEE -AKwaYAAIBg1EANEEAKwaHZ0lDS4C/nbFLjABOaAocsb4zAEAARB4MPAAGWwFAGPwAAZdFAfdCi3S -x/3MAQABEFAwDKw5ZMDI+lIOIgAAWLBbTOgrQQGOQRyfMP8qACAAEGgwbfoaKMKB0w/TD3i5CCnC -gA8CAHnhB/zMECACAmtw29D83AAGAFWXUJoU/RYGIf8QaDD8FgUqAFRbUBefIi5yfy7hAhmfHvue -XBBkADeg2iD7bAACAABhcFv+uSpyf1tIcoxBK0EB/kEAIgAAePD4UCYiAAASsPpsAAIAAGiw+BYA -LkwBcDBb/0+PFMj8+lIOIgAAWLBbTL5koSoZnwgoQQAJiAECiAIoRQDRDwAAKkEA/EoAKgBAWrAM -qgIKCk/8Ov8qAEBKsAyqAipFANEPHJ45K0EADLsBK0UA0Q8A8xIGIgAAULD7bAACAABhcFv+k/IS -BS4qATmgLWyA8NEEAAEQYDAAzBpgAA0GDUTw0QQAARBgMADMGhmcygk5Avl2xS4uATmgK3LG+8wB -AAEQUDDwABhsBQBisAZeFAfuCi7ix/7MAQABEGgwDNw5ZMBYbm4H8AAHYQACWbAGC0QpdsXwsQQA -ARBYMPC7Gg//EEgw+bkDDigBOaAscsYMnAEMvAIsdsZgABIABl4UB+4KLeLHDZ0BDb0CLebH2jAj -dsUrUCZYBDlj/t8Ynr4IOAsrgoErhoEvgoAanKcK/wIvhoBj/4sAAPpSDiIAAFiw/AoBIAEQaDBb -TEkZnrkoQQAJiAECiAIoRQDRD2wQBB6clf2dBxAoAODwA4tCK70B8AAJYQACWvAAAAMLRvw6/SAB -EHgw853oEM4IYLAqQQGHQRyepfkqACAAEBAwbZoXKMKB0w/TD3ipBSnCgHlxB/zMECACAhCw/wIA -DgDSQuAqvIAAoQQA+RpgAZwLWBQNiAoogsfTD/iZAQABEGAwCck5ZJGfKSoA/wIABgDMzJAanokK -KgssooD/AgAAAMRPEPi8gC4aATrgAIEEAPkaYAAICwlEAJEEAPkaDi4C/tbFLi4BOuAo0sb4CgEo -AEBGcPAAGGgFAE4wC1gUDYgKKILH+AoBKABARnAJiTlkkTT/AgACAKpTEG6+DCm8gACRBAD5GmAA -CQALDEQAwQQA+Rr+1sUuLgE64CzSxvyZAQABEEAw8AAYaAUATjALXBQNzAoswsf8mQEAARBAMAmJ -OWSQuv6+DGAAEBgw8AAHYQACYvALDEQu1sUAwQTw+RoP/xBAMPDBBAgRAEZw8DwaDigBOuAr0sYL -mwELywIr1sZgABIAC18UDf8KLvLHDp4BDs4CLvbH/Jx2H/8QSDAi1sUdnkkMKxGtuyy2gym2giy2 -gSm2gCOmgRieR9sg86aAIAAQYDD6gn8gARBoMFtL0PosAAIAAFlwW/7LZKBZ+mIOIgAAWLBbS+7J -ofpiDiIAAFiw/AoAIAEQaDBbS8XRDwsIRACBBAD5Gg4qAvrWxS//LkLgKNLG+JkBAAEQYDAJyTll -nl8pQQD6SgAoAEAecAqZAilFANEPKlErsKoqVStj/5oAAAAAAPosAAIAAGGwW/2/Y/95AABsEAgb -nXwqQQGIKCeygvZCASRIARww9V0BKZAEOiD8snomACBF8PlyACABEHAw+HANICYA4PDwAA5hAAIp -cAAAAAAAAAADBUb8mQwAFwA2IC2ygwqfEa/dLNAA/wIAAgEpYxAcnFkfngL4KgAgABBoMG2KEyny -gXmpBSjygHhhB//8ECACAmtw+Rr/IgAAU3D23AAKAE5uUPoWBC4gATlgK1yAALEEAOkaYAAIBQ1E -ANEEAOkaH5vRD68C/8bFLjIBOWAqwsb6mQEAARBAMPAAGmgFAE4wAAAFXRQM3Qot0sf9mQEAARBY -MAm5OZ8V+AoBIgAAGnD0kKZiBQBOMPoKASAAEEgwA6k4ZJB5KkEAHZx1/Dr+KyABVDD9rQEAtARi -8AbdAv1FACAAEBAw0Q8ALnEqKnEr+KwBKgDVcpAodSsqsoQvoQJk/8FbRyaMQStBAf5BACIAAHjw -+SAmIgAAMrD6XAACAABpsPkWAC5MAXAwW/4DY/+T+iIOIgAAWbBbS3FkoOESnFcvQQAC/wEG/wL/ -RQAgABAQMNEPAAAAAPoSBCIAAFnwW/5BHJwHjhX/CgEg3QA2oG5eDChcgACBBAD5GmAACQAFCUQA -kQQA+Rr+xsUuLgE5YCvCxvuZAQABEFAw8AAYaAUATrAFXhQM7gou4sf+mQEAARBoMAnZORidl4cU -+HcLAJMANmDbUPoSBCABEGAwWANmihQrICZYAwkqQQD8ICYqTAFQMC1ygSlygC12gRudkgzMCfDB -BAswBDqgAKoaAMEE8LsaD/8QYDAMuwMLmQEKmQIpdoBj/pwAAAAAAAAA+iIOIgAAWbD8CgEgARBo -MFtLDxKcGi9BAAL/AQb/Av9FACAAEBAw0Q8rcSuxuyt1K2P/Gy5ygS52gSxygB2bWg3MAix2gGP/ -WwIdiwoPPwYOPwANiwwIRAAvY/8CAAv+zkfQG5ypKUEAGp1sC5kBCpkC+UUAIAAQEDDRD8ck0Q9s -EAqKQY1A+gpHD/8QWDD7qgkJYAFoMPgWBygaATqgxirRDwAAAAAA9KIkY/4QeDCUEJIRhRf0qAsP -fxBYMP6cZxYHAWww/hYEJ1AEPeD4FgggABBwMP4WAyjAAWgw/jr9JABAXXD8iBAECQA9cPgWBSUA -BD1g9RYGIBACOTDwADhiAAApMAAA+Rr/ILQEcbB2kxr7EgciAABRsPx8AAIAAGjwW/3j/jr9I/4Q -eDCKGLhV93wIJgDK1VApUQT5pEEAAMVCUPkGSQJ+ADkgaEM3/wIABACf+ZArUQX8UgMvqQC24GXP -odpg+xIHIgAAYfD9EgEiAABw8Fv+cC46/fP/rGP+EHgwAAAtMCb6m10WAJT1kCxRBYlT+woBIRkA -NyAsNhEpNhIrNFn5m1gdwAQ7YPs0WCwAIFMwKMI6JzYVCdkK+ZKXIgCJRiAowjn5ggEOAIPOECkx -Bx2cTQkJSgyZEQ2ZApkgiDCKFPiIEQADEEgw/BYCKAkASjCYIfAKFwAQAkiwAAmK+5tDEBgQUDCa -JfsmBCIAAFDwWu65iRUsMhIrMhEoMRiNFp0oHZw5nCv5JgcgABBIMPgqFAwJAG6w+SYJKwAEOqD9 -JgYqCQBS8JoqiDIpCgH+Ov0oCQBKMPg2AiP+EHgwLRICLAoDLNY5/wIAA/9uGSCMEB2cIyvBBA27 -ASvFBCpRBAoKSSpVBGP+mwDaMPsSByIAAGHwW/6+/jr9I/4QeDD6FgMh/0EyoMAg0Q8AZZ7nwIAo -NhEoNhIoNFnz/uJgARBYMIIT0Q8AwCDRDwAAAAD73BgiAABQ8PwKACADEGgwW1MeKTAnLjr99Z97 -Y/4QeDCKN8Cw+qwgIAEQYDBbUhoYnAOYoIww/RIHI/0QcDD9pgMgARBYMP2b/h2ABDsg/aYCLAkA -WzD8pgEj/hB4MPs0JyP/CpkgY/81AABsEAqUF/abBRABEFgw8xYIIAAQKDD9EggogAEcMPkWBCAB -EFAw81kUDgwBOODAsPwSCCBAECAw9p8KAIAQcDD/FgYgARBIMP/MgC4MALtgwKD/FgUgABB4MPr+ -OQIAAEPw/hYDKAUAWzAoFgKKFwBRBACXGvuiAyHAAkFw+qICLCgAuWD3twEAARBgMPAAFWYFAD8w -AIEEAJca96cBAAEQQDAHhzkjICaKL/ozEQIAAFlw81MIAgAAYfDzPQIgARBoMFtKEiwgJhucfALM -EfxcCgABEEgw+8wID+AEOWAam+eqzC3CgP8CAAAAbS9QjhidEZwQ/BIFLg4BO6BgAAGMFI8YAMEE -GppSAJsaAMEE8HwaDAkAVPD9ZsUv/xBoMP27Aw4mATvgLmLGDr4BDs4CLmbGYAANiBYvgscL/wEP -zwIvhsfaMCNmxSsgJlgB0goLR/ixGmABEEgwZLBbGppBiBH6EgAoCQBSMCimgGAA7hycVPoSASvw -AVQwL7yACP8R/KoBDlIAuuAemjEOvgIuZrMtYrQNzVII3RENvQL8CoAqCQBqsPAAB2oJAGKwD6oC -iBAqhoBgAKWLEMCgKraAYACbAPwSCCCXADXg+xIFLg4BOyBgAAGLFB2aHfCxBAwJAGzwLWbFjRjw -mhoP/xBgMPCxBAoRAGKw8HsaDiYBO2AvYsYK/wEPvwIvZsZgAA2MFijCxwqIAQi4AijGxyNmxS8g -DCsgJooTjBIAsQQAnRr4/xENAAQ/YPK7EQwJAH9w/ZpyHAkAazD8m70aCQBisKvrrbv7vQQqCQBi -sJqwsET1TgdgAgIpcBuaP4gXLCAmLbJ7iIErsoD6ICQsACBrMAnMEfmGFnoAIGbwZKBHKbAq+pwS -EKwAPmDJntEPZK/tKbAq+Zz/IAAQUDD6JCQo4AFMMCm0KmP/1wAALCAmAswRqswdm26tzCvCgB2b -yQ27ASvGgNEPLbAqsd35JCQo4AFsMCm0KmP/pwAALyAmAv8Rqv8Ym2Ko/y7ygBiZ1QjuAi72gNEP -AGwQDJIVkxqUHBiahh+b8ykwJiqCfARdFCiCgfUWBCgAIFZw+ZkRAgAAKTD5iAgN4AQ/YPgWAS4a -ALkg8AAIaAAgf3AAGZvmFpozF5m//ptJEgAQQDD1A0QAARBQMPAxBAAAEBgw8KQaDgAgcnBtig0H -OQIpZsUo4oB4SASxMyMqACsa//8CAAoAoh7Q/l4GYgAASXDAkJ0b+RYAKAAge3CYE2AAQCIa//M8 -AS4AicFgGZvLH5sw+ioAIAAQaDDzqwwOACB+cG26EqPeB+kCKWbFKPKA+EgGcAICa3Cj3vPsAAoA -cXSQihoSm7CKrgIyCyIWAvIigCIAAFjwW0lmiBTIgWihn8mjihr7PAAAABBgMPqiDiABEGgwW0k6 -GJuoDD8RqP+fFi7ygY0aLdIR//KAIBYAN2B+2Q6NGi3SEsjW/wIABgDg79B0L00amjb7PAAAABBg -MA8CAPqigyABEGgwW0ko8hICIgAAUPD7XAAAABBgMFgBYY0WHpm6LtaDx88s1oIu1oEs1oDAsCsm -gSsmgGAAEAAAAADaMPwSCiIAAFlwW/soIhr/+xIFIgAAUPBb/BJkoQ3/AgAP/3qRYIkTY/7u/RIL -IAEQUDCPGihcgACBBC/wJvCiGggAIDdwmRjyFgcvoAQ/4C/9Av8WCSAAEBAw+RIHLg4BOWBgAAHZ -QIMZoyMHOAL4ZsUuMAE5YCpixvqZAQABEEAw8AAVaAUATjAAjBgswsf8mQEAARBYMAm5OWSQQYoa -+ywAAAAQYDD6og8gARBoMFtI7tow+1wAAAAQYDBYASmNGi3QJhubVALdEQ0tCqvdGZrCqd0s0oBw -zyLA4C7WgLEi/wIADf+6nKCOGizgJMDQ/eRdILEANyBgABEAAIsa+7AmIgAAUPBYALtj/9GIES+A -KrD/Dw9H/4QqIB8AN+DAIPLkJCAAEBAw0Q+KFSmhK7CZKaUrY/7kAAAt4Cb+mz8SAABbsALdEa7d -Hpqkrt0s0oAemv8OzAH81oAgABBQMPq0JCAAEBAw0Q8AjRWPGv3QDCABEGAw+xIAIAAQcDD+9hEi -AABT8P72Ei2ABD9g/bsCAIAQaDD+9FkqCQBu8FgCNmP+CMAg0Q8AbBAEFpqZiTCKKCZif/kISwZI -AUww930BK5AEOqD4jwx2ACBRsPAAB2EAAjnwCQdGKCA20w/+jxx/6hAgMCkgWGSQVSUmE/MmFCIA -AFFwWKOVwCDRD4kwwED6MgEgDgAucGagS3qW1YsxeLYP23D6LAACAABg8Fv+T2AADtpg+ywAAgAA -YPBb/ZrUoCwgNsDUDcwCLCQ2Y/+j2lD7PAACAABhMFijWMAg0Q8AAAAAAAD6bAACAABYsPx8AAAB -EGgwW/7+iTDz/5tiAAAisAAAAGwQBh+ZO5QRkxAUmT0WmMkGJgImRsUCXhH4CoAgABAoMP0KACH/ -EBAw+goAIAEQYDD+FgIgCBAwMPtCxi4AIHuwbWojAFEEAMkaebAS8owAAAICUrAv4sIPAgB58AGx -3fVcASACAkIw/woAIAAQGDAE+worsscuEgL4PAAF4AQ/4PYKICA7ADbg9ZkZHgAgcXD1CgAuACAr -sG1qIwBRBADJGnmwEvKMAAACAlKwJuLDDwIAeWABsd31XAEgAgJCMLH/8zwgJVQCO+CJEIgRmpCd -gNEPbBAEG5kKGpkFF5iVBycCJ7bF8lwRAAAQEDD1CgAgCBAYMPeyxigAIFMwbToOwZ8CmQx5dQSx -VS2CwrEiKQoAC5cKJ3LH/pYRAAAQEDD8aAgAIBBoMPRwFmgAIFIwbdoOwd8C3Qx9dQSxVS6Cw7Ei -sZlplMoMQgr+UhliACBQsCMtBIMwFZh79C0EIgkALPCTQNEPACQtBIRAGJqP9S0EJABAQTCUUNEP -bBAG2iD7HAAAABBAMPgWACAIAmBw+BYBIgAAaPBb/5mMEfsSACH/EEgw8pNGcAEQcDAfmnkPLwsp -8oEAMQT98oAv/xBAMPDuGgKUATrg+ekCAuQBOyAH4xAD0wIp9oH4yBEDAAQ6oAgiAvP2gCIJABLw -0Q/5mmoTAxBAMPI9EQoALhIQHphR8ACFaAAgT3AAAAAAAPjiAwJwBD+g+DMDAgBAEnD8KTgMAEAf -cCn2gfjIEQMABDqgCCIC/faAIgkAEvDRDyn2gfjIEQMABDqgCCIC/faAIgkAEvDRDxiaUR+YOvAx -BAL/EEgw8O4aCgAIFlAKOREJKQwpnf5gAAHEkP2ZCg8ABDug+JkIDgkAe7AfmbWvmf2SgCI4ATrg -DtgC+M8RAwAEOqAPIgL4loAiCQAS8NEPaLEWwND4yBEDAAQ6oAgiAv2WgCIJABLw0Q8emjgO3QH4 -yBEDAAQ6oAgiAv2WgCIJABLw0Q8AAGwQBPiYhR4YATjg8AAHYQACOPADB0QVmA3wcQQAARAwMPBm -Gg//EEgw8HEEBhEASbDwRBoECQAssPWGxS4qATjgKYLGCWkBCUkCKYbGIobF0Q8DWxQIuwoqsscK -agEKSgIqtscihsXRDwAAAGwQCBaZghWYafeaDx4UALjgLCANYAABwMAtIAz6mhcQgBBIMP4gJiAA -EFgw+N0RDgwBOODZsPDhBAABEEAw8IgaDAkAbzD53QIJAAQ6IPkgXSgJAGowCogC+BYAIDcANSBk -kTcuICT5mCQQJwA3oCskJCsgJiqSeymSgKuqCaoRqpkokCqwiAgPR/iUKiD2ADfg0Q/zWhQPzAA2 -YCskXfWqCgBAEGAw/BYFIQACaPD9FgIugAEYMJ4R+hYDIAAQIDD6Ig8iAABZMFtHmP8CAAAAEFgw -/wIAAABBBqAqICb9EgIvIAQ6oP5OCgugBDqg+koIDgAgO7D6rQIuDgE44GAAAY0RGZe38NEEDAkA -SrD8VsUgARB4MPD8Gg//EEAw8NEEDBEAQzDwvRoOJgE44ChSxgyIAQjYAihWxmAADY8TKfLHDJkB -CdkCKfbHKlbFpukskoBwzxcrloCNFfRMASH+Amtw/RYFL1kAt2Bj/vTbMP0gJiIAAGEwW/8B8//a -YAAQWDAYmboCvxGo/6b/LvKAGJl7CO4BLvaA0Q/zWRQAARBwMP4kXSyAARww/RYBIQACYPD8FgIg -QBBQMJoUBZkK+RYDIAAQIDD6Ig8iAABZMFtHVv8CAAAAcQagLCAm+soRDSAEOyD8TAoKACBRMPqt -AiwAIDsw+5d6HAAgMzAvwoD7qwIAzAAv8PwSAi4OATjgYAABjBErVsXwwQQAARBgMPDMGg//EEgw -+csDDiYBOOAtUsYNvQENzQItVsZgAA+PE9MPLvLHC+4BDs4CLvbH+lbFIgAAWPD9ICYiAABhMFv+ -x2AAUgAAAAAA/RICLg4BOOBgAAGNEStWxfDRBAABEGgw8N0aD/8QSDD52wMOKAE44C5SxgvuAQ7e -Ai5WxmAADgCIEy+Cxwv/AQ/fAi+GxypWxYgQKMaAiRT0TAEh/gJKcPkWBC8BALZg8/2UYAAQWDBs -EAYYmWn/l0YQABBYMPogDCABEEgw/SAmIgAAYTD3mVQcBQAicPiuEQCAEFAw9d0DLSAEP2D1XAQs -ACA/cPyPOQ4MALjg2bD5ujkAARAwMP/pAg44ALjgLiAN8wxEDgkAS7DwABFuCQBysAAAAAAA/DyA -LgkASrAZl5gflyQAwQTwahoP/xBAMPDBBAoRAEKw8EwaDgkAfXD/lsUuJgE44C+Sxg+vAQ/PAi+W -xmAAEQNfFAn/CijyxwioAQjIAij2xxOYnSWWxaPZKJKAZoAELpaA0Q8iICb7FgAiAABRcPsWASAI -AmBw+xwAAgAAaLBb/jn6EgAh/xBIMP86AyoAHK5QHJkaDFwLKcKBACEEjhH9woAv/xB4MPBrGgJm -ATqg+bkCAgBPQ6AHvhAO3gIpxoEuxoDRDwDyKxEKABor0ByW9/AAXWgAID7wAAAAAAD/ugMIcAQ6 -4P+IAwoAQFJw/qk4CABAQ3ApxoEoxoDRDx6ZAR2W6vAhBAL/EEAw8GwaCgAILhAKKREJWQwpnf5g -AAHEkPuZCg0ABDsg/pkIDAkAazCjmfuSgCIiALqg+KEZYAAQaDAtloDRDwy+Ai6WgNEPKcaBLcaA -0Q8fmO4PvwEvloDRDwAAbBAE+iAmIAEQODD4lyUQ2QA1IAymEfuXJRYAIEGwKGI6C6sK+7KXIgBk -RiApYjkfmB8cl/L7lQEOAFveUC4hBw4OSgzuEQ/uAp5QjSD43REAAxBwMA7dAp1R8AwXABACSXAA -CYr4lxMQGBB4MJ9V+FYEIgAAULBa6oouIRgtIhH7IhIoEAQ9IPMMRggHARgw//p/KVAEOiD/PwEM -wAQ7IPyYBBgJAGZw+1YLLgkAR/D5VgcgABBYMP4uFA8ABD/g/1YILwAEO6D7VgksCQB3cP1WCiwJ -AGKwnFaKIgeqApoiwJMpZjnRDyckWGP/JAAA+6wYIAAQYDD9CgMiAABQsFtPAC0gJ8jQ0Q+KJ8Cw -+qwgIAEQYDBbTf4el+aeoIwgAE0R+5flHAkAbPD7pgItgAQ7IP2mAywJADswnKEnJCfRDwAAbBAE -GpijHpijGJZ0HZgEFpf2KI0aKIJ7F5gLJmKC/JbnGAAgIjD5iBECAABY8PhmCACAEBgwGZZuDwIA -DwIACSkCKcazL8K0GJiU9bwSLgBAR/APXwIvxrQixrMZltQCSBGpgisiwf8CAAoAXKrQKWA0ZJGn -+JfaGgAgHrD2IsEqACBdMCuwvAhICiiCrywKAfYGTwAAz/7Q+EgUCCIBRDDwkQQAABBYMPDKGgAG -EEgwbZoS+EgUCCIBRDDwkQQKCQBW8ADKGsAg+QofIgkAVvACmQx5PTSxIvkk9GAfEEgwGpY+KqDB -/wIAAgCBdpDwWxEAAH59EAQcFAfMCi3C9A0NTw27AivG9NEPAPosAAIAAFmwW/WhZ6+8Y//DAAAA -AAAAAP8CAAoAYtlQ2kBYCXD1rAAAuAA2oC1gNNMP0w9k0QAemFP4l6YeACAbsPYiwS4AIHEwLuC8 -+woAIAEQYDD4SAoH4AEwMPiCryAAe/+Q+EgUCCIBRDDwkQQAABAQMPDKGgAGEEgwbZoS+EgUCCIB -RDDwkQQKCQBW8ADKGvkKHyIJAFbwApkMeT0usSL5JPRgHxBIMBqWCSqgwX2vLPBbEQCEAH0wBBwU -B8wKLcL0DQ1PDbsCK8b00Q/7bAACAABQsFv1bWevwmP/ydEPBB4UB+4KLeL0H5fyD90BDV0CLeb0 -0Q8EGBQHiAovgvQZl+wJ/wEPXwIvhvTRDylgIgKZEa6ZrZklloAlZRlj/kQAAAAA+kwAAgAAWbBb -9Vdj/p4AACpgIhuYEwKqEauqG5dzq6olpoAlZRlj/uUAAAAAAAAA+2wAAgAAUTBb9Utj/0QAAGwQ -CooyjjD/IDYggBBoMPoEXwwuAVAw+qdBCWABdDD5FgcqLAFUMPqKQQAkAOJwDoZCJm0B8AAHYQAC -MbAOBkb4l/oQHgB78PmX+RoAFiYQdJsk/PrqIAAQIDAqIFlkoVZkQVMlJhPzJhQiAABRcFiga8Ag -0Q8AAPmWCBAEEEAw/woAKAkAQ/D4JDYgAJUrkJ0WnBWbFJoT/xYJJgCXTRDaYPwgJiIAAFkwW/84 -KCBZ+UwSIAEQcDD5JRgg7AA2ICsgJhyWCQy6Ef4kWCoAIGKwLKI6/wIAAgHgRyAflgYtojkPvwov -8pf/1AEOAdZ/UCshBxyXAAsLSgy7EQy7AptAKSIAGJbQ+JkRAAMQWDD6FgIoCQBecClGAfAIFwAQ -AkkwAAmKHZX1/UYEIBgQYDD8RgUiAABQsFrpaxiW7ysiES4iEvohGCIAAEqwjBf+RgsvfxB4MPoq -FAgJAEZw/H1ACMABYDD13REMAEB7MPlGBisABDqg+RIJKgkAUvD6RgoowAQ6IPhGBywJAGsw+UYJ -LQAEOyCcSIkiwOEOmQKZIogSwPMvhjnwACBgARAgMAAAAAAAAMDA+lwAAgAAWPBYn+7AINEPAAAA -wECJFf8CAAIAz0Jg/ZeWEgB0EeCPFhiXjSkgJvkWCCABEFAw/hIIIgAAWfD5nQMtIAQ6YP0gDCwA -IGsw8OEECgUAPrD+lWAeDAC5oMCg+BIJLgUAWjDAsQC7GvqPOQuABDtg8LsRCgkAcrD/bhpgARBw -MCggDQYNRP+/AggJAFIw8AAPbgkAR/AK/wL9bIAuCQB+8BqVugDRBPDrGg//EEAw+JVEGhEARvAA -0QTwfRoICQBCcPimxS4qATmgKKLGCLgBCNgCKKbGYAATAAAGWBQKiAongscHtwEH1wInhscdlr+Z -Gymmxa3KK6KA/wIAAgBsLtAvpoCOFP8CAAIAXEOgjxP/AgACAEsT4IkTG5V2GJdWjhmMIC2C3R+V -/fm+OAuQBDsg+YLXLAAgV3CK2iiCIYvb+cwMCgBAerD+qgIKAAhDEPAACmIAAEtwAAAAAMCQHZZy -w/ov1hAr1hHD6y7WECrWEY3QHpcdH5Zs+MgRDABAd3D43QIAIBBwMA7eAp7wGJcXH5cYHpZlCN0C -D90B/eYAIAgANmCampub8/zvYAAQYDDckPosAAIAAFmwW/29Y/5V3OD7bAACAABQsFv9BGP/OwAA -AIcZlxCXEYcb2xD9ICYgCAJgcP0WCiIAAFHwW/wm+hIKIf8QQDD7EgAqAC6+EB2XBw19CynSgQCh -BPrSgCABEHAwAO4ajxH+shliAAA6sPnpAgJWATvgB+oQ8AAgagkAUfAAAMd/9+sQDBEAO7D3uwMM -AEBicP/JOAoAQFqwKdaBKtaAY/6oKDoD8q0RCgAJOhAalu8ZlNnwADlqACBTcCwq/3fDCwqpEQl5 -DCmd/mAAAcSQHJTRHpbm8KEEAAEQeDAA/xr9mgoJAAQ/4PyZAgoAIHKwHZZQra360oAiGgE64Amq -AirWgGP+RGixB/P/82AAEFAwHpbb8//oagBAcrAAAAAAAPosAAAwAlrw/AoAIAMQaDBbTSgvICdl -/PaKJ8Cw+qwgIAEQYDBbTCYdlg+doIsgHJYO/KYCIAEQcDD8EgcrgAQ+4PymAyoJAHbwm6EuJCdj -/L0AAGwQBhmU6YYoLZKA8iAmIgAAULD3knsnkAQ5oP+SdyYAIGmwLmAMoncJdxH31wgOACB7sPnu -EQABEHgw+D8SfAAgd3ADg0IjPQHwAAdhAAIY8AMDRm4+DBuWvQY5EauZiZBgAB8elhcDXBQOzAor -woQswogDDkQA4QTw+RoKCQBm8AuZAfxxGCoAIBWw/rB4IA4ANmBlQKFlUJ5gAAMAZEEKKGAM8hYB -IAAQeDAPAgD4jPkgARAQMPgvOAAAEEAwDyg48hIBIC4ANiD0kHFh/gJDMCh1GCmweLCZKbR4KNB0 -KaA2KIz/+NR0IPcQQDAImQEppDb6CgEgABBIMA+pOMmVKXEYZMBdZJCnKbB4ZOBj/RYAIKgANmAr -YAz4tx1gARBwMC7QdPo8AAIAAFiw/EwAAgAAaXBb9IPRDwBj/+axySl1GCiweLGIKLR4KdB0KKA2 -sZn51HQgCBBIMAmIAiikNmP/jmSfocCjKnRtY/+ZAAAAAP0WAC+gADZgHpUOi2DTDy7ie/pyHSAB -EGAwDwIA/rsMAAEQaDBbQ+z9EgAgARB4MC90LmP/bQAAZV7xY/+GwIEodG1j/08AAB6U/otgLuJ7 -+nIdIAAQYDD+uwwAARBoMFtD3Y0QY/87bBAEKiBf/JT0EIwEGrAoICaOKCTChCnCfwnuEfzCey4A -IHEw++IAKAAgSjAJiBGoRPy7DAAGADTgyqgo4HWwiAgPR/jkdSALADfgIyRf0Q/RDypCHvwKACAB -EGgwW0PEIyRf0Q8p4HXAwfpCHiACAkpw+eR1IAEQaDBbQ70blj0qQCLTD6urK7DgZL+9W/P4IyRf -0Q8AAABsEAYpIDb8+uogBBBQMPqYAgASAPpwYAC7AAAoJDaJMB+URf4yASAAEGgw+QRLAABSLlAr -ICYn8nuJKCbygP0kJyYAID7w/s1QB5AEPeD3ZggJkAQ+YPYWASYfAXQw/uZQAgBBC5AsIF8o8nv6 -8oAgoARrMJMT8/J3LgAgTrD78gAoACBC8AmIEfO7DAoAIEKw8xIDIBwAD7CaEP0WAiFEADcgLvB1 -0w8PAgCw7v0WAizgAXAw/vR1IRcANyAtJF/6LAACAABZMPx8AAIAAGmwW/8uwMD6XAACAABY8Fie -T8Ag0Q8iMQSOEfyV/RAYEFgw/uAoIgAAaLBYoC0flA8PAgAPAgD0lCcQjgR4sPQgZWEsEEAw+RIB -KgAXlhApkCX6EgEg9AA2YCqhE3ojB4wRDysRK8UTjREt0h+N3GTQ6fP/kWAAEGAw8/+Jb+oQYDCL -ESuwKGSw5IwRsb0NDUf9xCggBBBQMPyV3xAYEFgwWKAQY//KAIsRK7AoZL/JjBEtvP8NDUf9xCgg -BBBQMPyV1hAYEFgwWKAGjhEu4Chl756IEcCk/JXREAAQeDD/hCUgGBBYMFif/okRKZIfipxkoKuL -nWSwpooRC7AAY/9wACqiHvwKACABEGgwW0M/jRJj/tku8HXAwfqiHiACAnOw/vR1IAEQaDBbQzeK -EBuVtyqgIqurK7Dg/RICLrEANuBb83GNEmP+pIwRwNH9xCUr8AQ8oCvFE2P/C48RLvAiAu4RpO4u -4oIu9Gdj/wKPES/yH4/8yfOLEcDQ/bUTIAEQYDAstCUrsChj/vyPES7wIgLuEaTuLuKCLvRnY//X -jxEo8CIv8GcCiBGkiC+GgmP+vgAAAGwQBPosAAIAAGEw/QoDICACWPBYRlXSoNEPAABsEAYoICH0 -k1oQChAoMPuIEQAcEEgwCYgCKEbBG5NY/AoAIDIQaDD6k1QQARBwMPVGwyAAEHgwW0Xh1qDzk1IQ -AFmuoByVgylCwiogL/zCACosAUww/BYAKgAgDvArsADA0vwK5yABEHAw/KoBC9AEPuD6JC8qCQBa -sPokLyAUAG5wLSUgYAACLiUgLyAhDwIADwIA+/8RAAUQQDAI/wIvRsEbkzb8CgAgMhBoMPqTMhAB -EHAw9UbDIAAQeDBbRb/2oEBiAAAqsMCA2YAaky8tQsIcky79q0AMCwFsMP3JOQgFAFqwCYgC+CUe -IgAAEXDRDwDApPsKFCIAAGDwWJ+E0mDRD9ww+goEIBQQWDBYn4DSUNEPAAAAbBAEwLDyAkcAHxBg -MP0KASIAAFCwWHPDykj9MQAiAABQsPsKACATEGAwWHO++iwAAAAQWDD8Ch8gABBoMFhzucAg0Q8U -kwX7KREAExBQMAqZAilGwRqTAhuTA/wKACAyEGgw/goBIAoQQDD4RsMgABB4MFtFjGagCStCwis1 -AGP/qgDApPyS+RAUEFgwWJ9aY/+ZAGwQBiogIfscAAAAEGAwW//X+ZUlEAASLqD4EQAgTgI84AmI -ASgVACogIfscAAABEGAwW//O0qDRD9Kg0Q8AAAAAAAAA+hEAIg4AOOBpM9f+OxEKAEBKsAuqAioV -AGP/xmwQBNQg/AooICYQWDD4PBZgJRBQMHoxLXsxW/8CAAYARuTQxirRDy0gQ/8CAAYATGdQ/wIA -BgBVX1D/AgAGAG9XUMAg0Q8qICH9ks4QABBYMPwKACAAEHAwWALBwLD6ICEgFxBgMP0KCCAIEHAw -WAK80qDRDwAAwLD6ICEgGBBgMP0KASABEHAwWAK19qCIYgAAErAqQCH7CgAgEhBgMP0KICAgEHAw -WAKu0Q8qICH9krMQABBYMP6SsRAAEGAwWAKowCDRDyogIf2SrBAAEFgw/AoAIAAQcDBYAqHAINEP -KiAh+woAIBgQYDD9CgEgABBwMFgCm/agHmIAABKwKkAh+woAIBIQYDD9CiAgABBwMFgCk9Kg0Q/R -DwAAKCEYzYQqICH9kpgQABBYMP6SlhAAEGAwWAKKKkAh+woAIBcQYDD9CgggABBwMFgChdKg0Q8A -AABsEAQclL39ICIgBRBQMP4hHSAYEFgwWJ7mKCAhE5J9wHoLiBEoNsEaknz7knwQABBgMP0KMiAB -EHAw9zbDIAAQeDBbRQb2kncSAAAisPUaACAAxi6gLjLCKSEd/5KHHgkAK7D+Dk8AFABecA/uAg4O -T/ogISAAEFgw/AoAIAAQaDBYAmJmoDEqICH7CgAgBBBgMP4KACPgEGgwWAJcZqAYKiAh+woAIAkQ -YDD+CgAhABBoMFgCVmegA9Kg0Q8kIR3ApfySfRAYEFgw/SAiIgAAcTBYnrf6ICEgABBYMPwKBCAA -EDAw/mwACAABIDD9GgAuBQBBcFgCRWavvcCw/SoAIAkQYDD6CgIiABBIMPpKAQIAAHGw+iAhLgUA -UnBYAjtmr5UlIR3ApfySYxAYEFgw/SAiIgAAcXBYnp0F7ED0bAAEABBYMPy0OQAUAEFwHZI4DUQC -JSAh0w8PAgD7VREABBBAMAhVAiU2wRuSLPwKACAyEGgw+pIoEAEQcDD3NsMgABB4MFtEtfagYmIA -ADqwKTLCJTbBGpIoCpkBCUkCCQlPKTbC+5IdEAAQYDD9CjIgARBwMPqSGBAJEEAw+DbDIAAQeDBb -RKX2oD9iAAAasGagL/YkQiIAABKw0Q/ApPsKFCIAAGGwWJ5y0kDRD8Ck/JINEBQQWDBYnm33f9Ri -AABR8NKg0Q8AAAAAwKH8kgsQFBBYMFieZvP/tGIAAFDwbBAEKyAhGZH60w8LuxErlsEakf4qlsIa -kfgbkfj8CgAgMhBoMP4KASAJEEAw+JbDIAAQeDBbRIH2oCpiAAASsArqMBmR6SmSMQqZCgzqMAyc -DGrBDm0ICArqMAqaDGqhAmP/8NEPwKH8ke0QFBBYMFieR9EPAAAAbBAE2iBb/+BnoATSoNEPAPog -ISAAEFgw/AofIAEQaDBYcogTkdUWkdn2r95gChAoMCggIfuIEQATEEgwCYgCKDbBG5HQ/AoAIDIQ -aDD6kcwQARBwMPU2wyAAEHgwW0RZ96EZYgAAIrDApPsKFCIAAGGwWJ4p2kBmr5D6ICEgABBYMP2T -+BAfEGAwWHJuZq96KSAhJwoYDwIAC5kRB5kCKTbBG5G4/AoAIDIQaDD6kbQQARBwMPU2wyAAEHgw -W0RB96D2YgAAIrDApPsKFCIAAGGwWJ4R2kBmrzD6ICEgABBYMP2T4BAfEGAwWHJWZq8aKiAh0w8L -qhEqNsEbkaL8CgAgMhBoMPqRnhABEHAw9TbDIAAQeDBbRCv3oSBiAAAisNxg+goEIBQQWDBYnfva -QGau1yogIfsKACAeEGAw/QoDIAAQcDBYAYtmrr4qICH7CgAgEhBgMP4KACCAEGgwWAGFZq6l2iBb -/wD2rp1gABBYMPskICIAABKw0Q8ALTLCHpGGwLD9DU8AExBgMPogISwJAHdwWHIrZq5v+iAhIAAQ -WDD8Ch8gABBoMFhyJmauWdogW/91Y/69AB+RjS0ywsCw/90BAAMQcDD+3QIAGBBgMPogIS3gAWww -WHIaZq4q+iAhIAAQWDD8Ch8gABBoMFhyFGauFCggIQuIEQeIAig2wRqRX/uRXxAAEGAw/QoyIAEQ -cDD1NsMgABB4MFtD6feheWIAACKwwKT7ChQiAABhsFidufP+nmIAAFEwH5OKLTLCKwoADwIA/gpg -LABAf3D+3QIAABBgMPogIS3gAWwwWHH4Zq2j+iAhIAAQWDD9k38QHxBgMFhx82atjfogISAAEFgw -/AoSIBIQaDBYce1mrXf6ICEgABBYMP2TdRAREGAwWHHoZq1h+iAhIAAQWDD9k3AQEBBgMFhx4mat -S/ogISAAEFgw/AoSIAAQaDBYcd1mrTX6ICEgABBYMP1KIiAREGAwWHHXZq0f+iAhIAAQWDD9k2EQ -EBBgMFhx0matCfogISAAEFgw/AoSIDwQaDBYccxmrPP6ICEgABBYMP2TVxAREGAwWHHHZqzd+iAh -IAAQWDD9k1IQEBBgMFhxwWasx/ogISAAEFgw/AoSIAgQaDBYcbxmrLH6ICEgABBYMP2TSBAREGAw -WHG2Zqyb+iAhIAAQWDD9k0QQEBBgMFhxsWashfogISAAEFgw/AofIAAQaDBYcatj/ZQAAB+TOy0y -wsCw/90BAAQQcDD+3QIAGBBgMPogIS3gAWwwWHGhY/0TAGwQBCkgIROQ6/uZEQAdEFAwCpICIjbB -GpDpG5Dp/AoAIDIQaDD+CgEgChBAMPg2wyAAEHgwW0NyZ6AYwKT8kOIQFBBYMFidQ/agVmAAEBAw -0Q8AACkywiI2wSv6APuZAQAhEFAwCpkCCQlPKTbCGpDTG5DT/AoAIDIQaDD+CgEgCRBAMPg2wyAA -EHgwW0NcZ6+1wKH8kNMQFBBYMFidLWP/pdEPbBAEKSAhE5DC+5kRAB0QUDAKmQIpNsEakMAbkMD8 -CgAgMhBoMP4KASAKEEAw+DbDIAAQeDBbQ0lmoDwpMsL6ICEgPAB+cPsKACAdEGAw/Qr/IO4QcDBY -AK3SoNEPAAAA+woAIB0QYDD9Cv8g7xBwMFgAptKg0Q8AwKT8kKoQFBBYMFidC2P/tWwQBCJ6w9EP -bBAEKgoF/JLkEBgQWDD9ICIiAABw8FidAiQgIRWQmQtEESRWwfuQmRAAEGAw/QoyIAEQcDD6kJQQ -ChBAMPhWwyAAEHgwW0Mh96AWYgAAErDApPyQkBAUEFgwWJzx0Q8AAAApUsIkVsEbkYsakswdkswD -DED9mQEKBQBi8AqZAgkJTylWwvuQgRAAEGAw/QoyIAEQcDD6kHwQCRBAMPhWwyAAEHgwW0MJ96AU -YgAAErDAofyQfhAUEFgwWJzZ0Q8A0Q8AAGwQBCkgIRSQbfuZEQABEHAwDpkCKUbBG5Bs/AoAIDIQ -aDD6kGgQChBAMPhGwyAAEHgwW0L19qAOYgAAErAqQsIKKkCaMNEPwKT8kGEQFBBYMFicwtEPAABs -EAQoICHzkFcQChAoMNMP+4gRABoQSDAJiAIoNsEakFP7kFMQABBgMP0KMiABEHAw9TbDIAAQeDBb -Qt30kE8QACQuoCkywnSXA8Ai0Q8qICH7qhEABRBYMAuqAio2wRqQQ/uQQxAAEGAw/QoyIAEQcDD1 -NsMgABB4MFtCzWagGCIywgLSQNEPwKT7ChQiAABhMFicnMAl0Q/cQPoKBCAUEFgwWJyYwCXRDwAA -bBAEHJJ2/SAiIAUQUDD+IR0gGBBYMFickCMgIRSQJwszESNGwfuQJxAAEGAw/QoyIAEQcDD6kCIQ -ChBAMPhGwyAAEHgwW0Kv9qBHYgAAErApQsIjRsEakmIImTIPAgAKmQIpRsL7kBcQABBgMP0KMiAB -EHAw+pASEAkQQDD4RsMgABB4MFtCn/agF2IAABKw0Q8AwKT8kA0QFBBYMFicbtEPAMCh/JAPEBQQ -WDBYnGrRDwAAbBAGF5AA+SwAAgAAETD0MExlsAQ6YJIRA0kCKXbBInbCGo/6G4/7/QoyIAEQcDD/ -CgAgABBAMPh2wyIAAGIwW0KE96DAYgAAErDApPyP8hAUEFgwWJxTYABLAAAAAAJLAit2wcCqKnbD -G4/p/AoAIDIQaDD6j+YQARBwMPIWASAAEHgwW0Jy96AVYgAAErDApPyP4RAUEFgwWJxCYAAGACxy -wiwVAPgRACAALSygx58JVQMFhQH0MFdl4AEsMANKAip2wYkRKXbC+4/REAAQYDD9CjIgARBwMPqP -zBAAEEAw+HbDIAAQeDBbQln3oGxiAAASsMCh/I/MEBQQWDBYnCnRDwDRD4IRwLMrdsNj/1gAjhHT -Dw8CAA5OAi52wQVtAi12wsDJLHbD+4+5EAAQYDD6j7YQMhBoMP4KASAAEHgwW0JD96ARYgAAErDA -ofyPuBAUEFgwWJwT0Q8ABWgCKHbCwPEvdsNj/74AAGwQBMCl/JHvEBgQWDD9ICIiAABw8FicCPog -ISAcADTg/Y+mEAAQWDD+j6QQABBgMFv/mNKg0Q8A/Y+gEAAQWDD8CgAgABBwMFv/kvagRGIAABqw -JiAhFI+QwFr3j5QXsAQ5oCZGwRqPjvuPjhAAEGAw/QoyIAEQcDD1RsMgABB4MFtCGGaghihCwnSP -1S4hHXfvBNIw0Q8A/JHJEAUQUDD9ICIgGBBYMFib4yIgIQsiESJGwRqPe/uPexAAEGAw/QoyIAEQ -cDD1RsMgABB4MFtCBWagSilCwiJGwRqRuQiZMgqZAilGwhqPbhuPbvwKACAyEGgw/goBIAkQQDD4 -RsMgABB4MFtB92evh2AAIsCk+woUIgAAYfBYm8ci+rnRD8Ck+woUIgAAYfBYm8PSMNEPwKH8j2QQ -FBBYMFibv9Iw0Q8AAGwQBhqPnSktAiuQFyyifSqigKvMCcwRrKoqohrykNcQgBB4MPmQFiA9ADag -jaEsogL6ogAgEwA2YGiRGMqZaJECaJI3xirRD68urr4u4MBo4wJp5+ZoRjloRzj4SEVv6hAQMNEP -wCDRD68urr4u4MBo49Bo581o4gJp58doRiz/AgAGAFyFIPhIWW/qEBAw0Q8A3cDyCgAgXAC3YNEP -AAAAAAAA8//sYgAAarBkwD8FWQkMmQnLliyRAf2RACADEFgw/pECIAAQUDD+NAQsKAFgMP01AyoF -AGLw+jQFIAAQEDDRD8inBVkJCpkJZZ/HwCDRDwD1WwkCAABQcPvbCAACEGAw8rABIAQCWvBYkn3y -DkIORQEUMPDxBAH+AnOw/jQFIAEQaDAA3RotNAQsEQD8NQMgABAQMNEPAABk368FWQkNmQlj/2xs -EAQWj0siLQInIBcoYn0mYoCniAmIEahm9mIVIAgQeDD8kIMQBBBwMPsKgCACEGgw8iAWIEEANaCJ -YYhi9mIAIBMANKBoIRjKLmghAmgiQ8Yq0Q+ryqp6KqDAaKMCaafmaEZJ/wIABgBchSDy+uooAJAB -INEPwCDRD6vKCnoIKqDADwIADwIAaKPEaKfBaKICaae7/wIABgCzgSD/AgAGAOgFIPL66igBGgEg -0Q9kgGsigAECd0DyHBIEAEBwsPI1EgYAQHiw/lUBDABAezDyVRIMCQArMP9mEQQAQG1w/UQRBAkA -PXD2RAIMCQArMP0lAQIAARAw+1URA5AEOKD0zAICCQAosALMAiw0ASuAAAsLQ/s0ByAAEBAw0Q/A -INEPZJ/4KJABCHJA+BcSCgBAcjD4OxIMAEB6MP67AQYAQH3w+FsSBgkAXfD/zBEKAEBu8P2qEQoJ -ABbw/KoCBgkAXfD9iwEIAAFAMPu7EQmQBDog+ncCCAkAWjAIdwInNAEmkAAGBkP2NAcgABAQMNEP -ZG+JJGABBHlA9BISBABAdTD0NxIIAEB5MP53AQIAQHiw9FcSAgkAOLD/iBEGAEBt8P1VEQYJAE3w -+FUCAgkAOLD9RwEEAAEgMPt3EQWQBDkg9SICBAkAOTAEIgIiNAEsYAAMDEP8NAcgABAQMNEPZIBp -K4AAC3VA+xoSDABAcvD7MhIEAEB68P4iAQoAQHqw+1ISCgkAErD/RBECAEBosP3MEQIJACiw9MwC -CgkAErD9sgEKAAFcMPsiEQuQBD7g/KoCCgkAFvALqgIqNAEpgAH5NAcgABAQMNEPAMAg0Q9kn/gm -kAAGe0D2FRIGAEB1sPY4EgoAQHmw/ogBBABAfXD2WBIECQBFcP+qEQgAQGow/XcRCAkAWjD6dwIE -CQBFcP1oAQYAATAw+4gRB5AEOaD3VQIGCQBBsAZVAiU0ASSQAfQ0ByAAEBAw0Q9kb4wrYAALdUD7 -GhIMAEBy8PsyEgQAQHrw/iIBCgBAerD7UhIKCQASsP9EEQIAQGiw/cwRAgkAKLD0zAIKCQASsP2y -AQoAAVww+yIRC5AEPuD8qgIKCQAW8AuqAio0ASlgAfk0ByAAEBAw0Q9sEAQajnkpLQIrkBcson0q -ooCrzAnMEayqKqIQ/o+zEAgQaDD5kBYgABAQMPSgQmCAEGAwhaEiogL2ogAgEwA2YGiRGMuRaJEC -aJI/xirRD6zqqroqoMBoowJpp+ZoRkX/AgAGAFSFIPhIbW/qEBAw0Q/RDwAAAAAArOqquiqgwGij -yGinxWiiAmmnv/8CAAYAWAEg/wIABgByBSDy+uooAF8BINEPZCBdLCAA/AxCABACUPD8zTkACgJY -sP00ByAIEGAwWJFrKjwQ/AoIIBoCWLBYkWjAINEPymouYAD+DkIAEAJQ8P7tOQAKAlmw/TQHIAgQ -YDBYkV8qPBD8CgggGgJZsFiRW8Ag0Q8AAGRf9i9QAP8PQgAQAlDw//05AAoCWXD9NAcgCBBgMFiR -Uio8EPwKCCAaAllwWJFOwCDRD8skKCAMuDr4jTkACAJYsP00ByAIEGAwWJFHwCDRD8lmKWAMuDr5 -nTkACAJZsP00ByAIEGAwWJE/wCDRD2Rf+CxQDLg6/M05AAgCWXD9NAcgCBBgMFiRN8Ag0Q8AbBAE -Go4SKS0CK5AXLKJ9KqKAq8wJzBGsqiqiEPKPTBCAEHgw+ZAWID0ANqCNoYyi/qIAIBQANmBokRnK -mmiRAmiSOMYq0Q8AryqquiqgwGijAmmn5WhGNGhHOPhISW/qEBAw0Q/AINEPryqquiqgwGijz2in -zGiiAmmnxmhGPGhHWfhIRG/qEBAw0Q8AZcBawCDRD2Tf+LQ6/AoEIAICW3BYkQ3AINEPZO/ktDr8 -CgQgAgJbsFiRCMAg0Q/JyIvA+zYBIAAQEDDRD8jqjOD8NgEgABAQMNEPwCDRD2Tf+I7Q/jYBIAAQ -EDDRD7HL/AoEIAgCUPBYkPjAINEPbBAEGY3vwrP3j9YZIAQ4oPmICAAiEFAw+Y/RHABkgWD2CmAg -QARRcPtRaH/qEBAwKoKFG4/MC6oBKoaF0Q8AAAAAAADyCgAgCBBgMNMPbcowACAEAw0bf9clAhVA -8gRABeAEPWDyLxQECQApMPL/EQWABDkgpP+n/47xBu4CnvGxIsAgI4KFFI+3BDMBI4aF0Q8AAPIK -ACAIEDAw0w9tajIAIAQDCxt/tycCH0DyDkAP4AQ/4PItFA4JAHuw8t0RD4AEO6Cu3andLNKABswC -LNaAsSLAICOChRSPogQzASOGhdEPABSNmiZCeyRCgKJiCSIRokIiIEN6KVDAIPkKCC+fEDAwbZow -ACAEAwobf6clAh5A8g1AD+AEO6DyLBQMCQB3cPLMEQ2ABD9grcynzIvBBrsBm8GxIi2ChR6Nhw7d -Av2GhSAAEBAw0Q/7KUR/9xAwMPIKACAIEHgw0w9t+jIAIAQDBBt/RycCHEDyC0AN4AQ7IPIqFAoJ -AGbw8qoRC4AEPuCrqqmqJaKABlUBJaaAsSItgoUejXAO3QL9hoUgABAQMNEPAGwQCCUgIhqNaCMk -XyQkLS2ieyqigPyNIRwAIC9w+Y9oHZAEP2D/jlUSACBusCgxIP4xHSDAEDgw+40aEAAQMDD6MR4g -QBAgMP/tAQgAQEow+DUgJgCEX1Bx5xBxpw3wABJiAEA7sAAAAAAAAPIKACYAhn9Q/2wADABAP7D9 -vzkADgBnsAz/ApIRHI9O+hYAIAgQWDD9XAAABRBQMFiZYfoKgCA2AGSwKTEgKDBwJzAtBJkCKTUg -8AAGZgBARfDAcPgnIHAAEHgwKTEgKDBwLzAtCpkCKTUg8AAJbgBAR/AAAAAAAP8WBCIAACPw8jBf -IAQQUDD8jzUQGBBYMP1cAAIAABnw9xYAIgAAcLBYmUX0IFRvABB4MByNTQJbEay7KLKCD4gBCEgC -KLaCLrKED+4BDj4CLraEKrKAHI2tHY0h8tY5CgBAYrAGqgL6toAgABAQMNEPAAAAAAAAAPIKgC3/ -fH6QY/8MhBT9CgEiAAAZ8AfTOfP/n2QFACNwD6gB/wIAD/942hDz/ulgQBAQMABsEAQejS78jTEf -IAQ8oPuMvBAAEEgw+AoAL/8QaDDwAAlgARBQMLGZaJRJD5UKrlUlUsPyCgAv7wA1YAuWEW0IMAAh -BACnGndQGgtkAiTGsyPCtNMP/XQDA6ABHDD0VQEIAQAaMLEi/yy7YAICMbBkX7Nj/8iu9SVSwvIK -ACBAADVg9gqAIIcQSDBtCC0AIQQApxp3UBgLbwIvxrMuwrT9fwMPoAFwMP9VAQgBAHIwsWb2kwlw -AgIQsMhRY//LIlruCII50Q8AAGwQDo0whDH7jgoQARBgMPYgIiAAEDgw9ARfADoAL3D5jtUQKRBA -MPoKAyoAecEQCUkKiZAKkAAA3HD7jZIQAFMnUIQxBARf/wIAAgB6BSDAyPTDbHAnEFAwGY31bkZh -KiAiK5J/KZKCq6oJqhH9MAgqACBScIqn+qIOJqkAN2D/AgAABAiHYP8CAAIEEINg/wIAAgQYh2D/ -AgAEBB4DYP8CAAQCVIdgwKT8jrMQGBBYMFiYxvAALG/qEGAwAAAAAAB6QS8cjq7+ICMiAABpsP8g -NiACEFAw9BYAIBgQWDBYmLvGyvpcAAIAAFjwWJbSwCDRDwAsIh8PAgAPAgCMycnB+iwAAgAAWPAL -wADz/9RiAABisPP/zG/aEGAwAAAcjpj+ICMiAABpsP8gNiACEFAw9BYAIBgQWDBYmKRj/6IuICv+ -D0AAPAB/sH7nFn3nE3znEC0hIA0KRfAAD2qABDqgAAAA/SEgIAAQUDD5CgAgDwA34H7nB33nBHzn -AcCRHo6B+HwADgcBbDD/IR4oBQB7sB6MLdZw/3dAAgAAIfD+jCkWBQA7sJ8e90wADgYBfDD/5DkO -EAQ+YA+vAvhEAg4JADfw9CAkLgkAJ/ANaED4tzkEsAQ5IPYgIyQJACHw9CAhLgkAJ/D4ZhEFAAQ5 -IAZEAvQgIC4JACfwLiAsC0QR9CEcLgkAI7AkNQb/IR0uCQB7sJ4yLzUHHo5cKCEZKDUI9yA0IAAQ -MDCWNpc3JCA1JDQSLyAvLzQTKCAirogogOD2CoAgABA4MP4SDigBAUAw/jULJgUAQfAmNBRj/oQo -IENljnz7TAACAABQsFhYJo0w8/3EYgAAYrAAKSIfhDIqIRz6FgYnAgA2YCvqAAurAvoWBiwDeibQ -HI48iBYvIDYpIR0uICOUEpgQ+RYBKKABSDD4FgkoJgFIMJgX+YhACCkBTDCZFPgWCCAFEFAw+CEf -IBgQWDD4FgMiAABpsFiYO4oZBAtF+xYKIEgIWrCMFwRtQX3JF44YBI9Af+kPBJtBZLa2iBT/AgAG -A1jeEIoW+woBJgAQSDD7JC4uAR/WUASbQWSyNIwU/wIABgEX3xAsIh8swhT7FgwjuwA3INogC8AA -ixzwA69iAABisCwiH4zJZMHt+iwAAgAAWPALwACNMPP802IAAGKwLCRt8/zIYgAAYfAqJG3z/L1i -AABh8Ps8CCIAAFCwWE4ajTDz/KhiAABisPP8oGIAAGHwKiAhKLJ9KTAIJLKCqoj7jBIZkAQ6IP+X -QHQAIEEwLTAJLzEILjEJ/U1ABF4AuqDyqBEJAAQ/4PuOCAgJAE+wKebIKeLAx479zTkIAEBGcAnZ -AinmwCkwCI4ffpdELTAJLzEKLjEL/V1ABHAAuqDyqREJAAQ74PueCAgJAEOwKObJKOLAwJH5+v0s -BQBucPmIAQnwBD9gCJkCKebAKTAILhIQfZdELTAJLzEMLjEN/W1ABHAAuqDyqREJAAQ74PueCAgJ -AEOwKObKKOLAwJH5+vssBQBucPmIAQngBD9gCJkCKebAKTAILhIRfJdELTAJLzEOLjEP/X1ABHAA -uqDyqREJAAQ74PueCAgJAEOwKObLKOLAwJH5+vcsBQBucPmIAQnQBD9gCJkCKebAKTAILhISe5ct -KDAJ3nD5MQUoAwFAMAKtEavdKdbHKdLAxv//ChAoAEB+cAj+OQ6ZAinWwCkwCHmXNCsxBx2NdfmM -1hRQADbgLkAi+rwSLyAEO6D97ggL4AFQMKnuKuaAKkUZLEQ0KUAuZJPHKUQujTDz+vtgABBgMADz -+vJv2hBgMP8CAAYBYgEg8/uMb+oQYDDAoC8aAA9IAfgWBS4AJn0QLSEfd9dBjhpk4oePGX75FIkY -/AoBIgAAWjAIyzn/AgAGAcfeUCwiH9MP0w8swhIPAgBkwZb6LAACAABZMAvAAPABi2IAAGKwjRhk -0FosIh8swhLJw/osAAAAEFgwC8AA8AAJYgAAYrAAACz62vbBD2IAAFMwLSIfLdIRydL6LAAAABBY -MAvQAPAACGIAAGKwACz62vbA6GIAAFMwH41iLiEdD+4BLiUdiRqIGXmJBIsYZLLojBXTD9MPZMLC -LCIfLMITycH6LAACAABZMAvAAPAAB2IAAGKwLPra9sChYgAAUzAejVEtIR3+EgosAEB3cP4SBywJ -AHdwBGtB/SUdIBAIW7CPGMv4GY09GIr0+rwRCABAT3D+CsAoCQBmcPkJTQIAAGHw+SUdLgBAcnD+ -jDkAFABmcBuK6AvMAgydAi0lHSkgK3+XN36XNH2XMfoWDSBcAHJwKiAiWFG0ih1gAB4s+tr2wBhi -AABTMB+NLi4hHf/uAQEAEHgwD+4CLiUdjTDz+UhiAABisCz62v0hHSIAAFMw/BYNIAF1LyAYjSP3 -uREIAEBDcAmIAiglHWP+QAAAAAAAAAD7PAgiAABhMFv89fP5sWIAAGKwACz62vbPrWIAAFMwG40U -KiEd+xIKKgBAWrCJF/uqAgomASQw+iUdICoIWnCNFYwYwOEN7Tn/AgAGAEfvEB+KtSgKwPhIAQIA -AGHw+Pw5ABQAZTAZiq4JzAItIh8t0hH7FgsgHQA3YPosAAoJAGUwC9AAixvwAAtiAABisAAAAAAs -+tr2zy5iAABTMByM6SkhHR6KoPq6EQgAQGZw/wrAKAkAVnD5CU0CAABh8PklHS4AQH5w/+w5ABQA -ZnAdipQNzAIMngIuJR0rIh+Lv2S+xdogC7AA8/7AYgAAYrAurQIv4Ber+gmqEaqaKKA1+QoAIQAC -c7D9rDAgiAJisPSAEWCwAlqwKKBJyIYooF3AIQgpOQmZESk0CSnglmSQ2v8CAAAAfYZg/wIAAgB1 -gmDAkC7QDC/ADCqwDAScEfzuEQ+ABD/g/8wCCgkAcrAMqgIqNQXz+FdgABBgMAAAAPs8CCIAAGEw -W/wy8/hBYgAAYrAAAAAAAPssViAgAlDwWI3J8/gpYAAQYDAAAAAAAPs8CCIAAGEwW/tU8/gRYgAA -YrDcQP0wCyAQAljwW/r98/f8YgAAYrCPGmTw0rD4/wIAD/6ZfhBgAMUpMQbz/DFoAAFMMIwXBGtB -/wIAB/7tXxAtIR1j/VeNFwRrQf8CAA//J9tQY/2/AAAAABmLyKn5KZ0BKZBAaJMVaJcSaJIH/wIA -B/+OnmDz/xdgAhBIMPP/D2ADEEgwAAAnRDRb/XUrQDRlu8UtQCIejFsC3RGu3R6Lu/7dCA3gAVAw -LNaAKkUZY/umHIyELyA2LiAjiRaZEPghHSACEFAw9BYCIBgQWDD4FgEiAABpsFiWh/P9N2/qEFAw -AAAA8/0sYAAQUDAcjHcvIDYuICOIFpgQ/SEdIAIQUDD9FgEgGBBYMPQWAiIAAGmwWJZ4Y//BHIxt -iRYvIDYuICP0FgIgAhBQMP0WASAYEFgw+RYAIgAAabBYlm6KHWP80QAAbBAGLjEL/SIAKHQAO6Bo -6xDAo/yMXhAIEFgwWJZlwCDRDxyMW442jzeJOJkQ+DIJIAMQUDD4FgEgCBBYMFiWXMAg0Q+ONv8y -ByADEFAw/IxREAgQWDBYllbAINEPAAAAbBAEwCDRDwBsEAQrIAccikYLC0EMuhGsqiiiOv8CAAIA -mEIgHYpELKI5Db0KLdKX/cMBDgCQbxAbihPwCwcCAABI8ABJYQBJYSghBxmKPQgISv2LQRnABDog -/4o4GAkASjAoNgD8IgAgCBBwMC42A/82AiACEEAw+MkRDAkAazD8NgQoCQBGcPk2ASFgAnrwLvJ/ -KyEJ+KY5IAAQYDD68oAh/gJzsP72fyABEGgwWzlyiieOIvv6wCBAAkqw+5kBAAAQIDD0pRQggAJK -cJmp+aYIIF0AN6D8jBgQBRBQMP0iACAyEFgwWJYaiiJkoFjAsP368C8AEGAwbQgNesANCooU9KAd -YBACWvBj/+t60AwKShTwAAZgCAJa8LG7ChoUZa/3+iwAD/8QYDBbQKmKJ8fPnKCUJ1s2CiQkBPQk -BSIAABDw0Q8AAPP/12AAEFgwwCDRD9Iw0Q9sEEQoIAT/AgAEAYgaIBWKx4kxFInaF4nd9osGEgGC -ilAjIAwoQnckQoD4IhYiACBE8PqJ0xAQEEgw8AUXA5AEPOD5hRECACAdMPVECAD+AkBw9SEZIGIC -QjBtmgIACIopMSn/AgAGAVnWUByLQC0iAP4gBSAFEFAw/yBUIDIQWDBYld0ficD+IgAg4RBAMCgU -yP8WLiAIEHgw+Im7H4AEO6D4FiwuCQB7sC4WLSggBy8xKQgIQQCIEQj/Agf/Ai8WMA3qMC4WNS0W -MfYWNCBAEGAwLBVnKyBUKxThKiAFKhTiKSAw+RTjIAEQaDAtFOD4IhYg/gJ4cPgWOSCiAnvwjPSK -8o71+fIBIP4CQHD78gMg4gJCMJuDmYGehZqCnIQqHQEsHQGP8J+AjiAoHQEuFkIuHQErIFQrxA0p -IAUsHQEppBAqHQEvIDD95AwgABBYMCvEDvqsGCBoAliw/4QRIAgQYDBYjKj6HQEgeAJYsPqsICAI -EGAwWIyj+h0BIOQCWTD6rCogBhBgMFiMn/odASCcAliw+qwtIAMQYDBYjJr6HQEguAJYsPqsNCAI -EGAwWIyVLx0BKxGa9RwUBAQBKDD9EZsoCQEoMPWHQAmwBDog/RWJJ8AEPeD9IgwsCQBhcPwMQA4F -AVgw+cwRD7AEO6D9PVQMCQBzMPh3AgwJAGsw/PQUKAYBKDD9IGgqDQFYMPkgaSoOAVww+qoRC5AE -PuD+iBEKCQBasPlrQAwDAWww+XxADdAEP2D+zBEL8AQ+4Py7AgoJAGqw+VlACgkAWrD6CjAoCQBW -cPqZAgoLASgw+fQVKAoBLDD5qhEJoAQ+YPYiDCgJAFZw9XpABgkATfD9qhEIBQEsMPYDUA4RATAw -/5kRCAkAUjD4dQIECQBJMPVEAgQSATQw+u4RBZAEPWD7MxEOCQArsPMKCC4JABuw9PQXLgkAG7Au -9BaFJy1ZFCpcIP5SCSSbADdgZOSTKxx/+7wxIAgQYDBbPHDAINEPAAAAAAAA/wIABgNH5tCEKfsK -ViAUADUgKkAF/wIABgKY3pCES2VP78Ag0Q8AAAAAKyAF+goVIEMQaDD9ucdwPxBgMPAFFwAAEGAw -/BYBLgAQWDD7FgAgEAJAcG2qAgAIihmKPpkS/iIAIAQQeDD/FCAgABBAMPgUGyALEHgw+Dr/L4AE -O6D4FQwuCQB7sC4WAy0gBA8CAPocISQC2Ydg/AoDIKICWLBYjBsqHCX8CgMgnAJYsFiMGBOKISgi -FiMyiQmIEagzizfDzywkBYu+KrBwLLBxCKoRDKoCsaoqtHEKihQqtHDAkPkUOSCAAlBw+RQ6IIAC -WPD5FDsgBRBAMPgUOCAIEGAwWIwDKzxI/AoDIAgCUHBYi/+OEfscCCIAAFCw/o4UAAsQYDD+Fg8g -AhBoMFga+vMgDCAZEEAwKCRUKUJ3FIn+AAWNKCIW9EKJIgAgTPD5MxEAEBBIMPmFEQD+AkBw80MI -AGICQjD1IRkkACApMNMPbZoCAAiKGojEKTEp/wIABgFGVlAcijuNIP4gBSAFEFAw/yBUIDIQWDBY -lNkfiLv+IgAg4RBAMCgUyP8WLiAIEHgw+Ii3H4AEO6D4FiwuCQB7sC4WLSggBy8xKQgIQQCIEQj/ -Agf/Ai8WMA3qMCYWNC0WMf4WNSBAEGAwLBVnKyBUKxThKiAFKhTi+SAwIAEQaDAtFOApFOP4IhYg -/gJ4cPgWOSCiAnvwjPSK8o71+/IDIP4CQHD58gEg4gJCMJmBK4YDLoYFKoYCLIYEKh0BLB0BL/IA -L4YALiIAKB0BLhZCLh0BKyBUK8QNKSAFLB0BKaQQKh0BLyAw/eQMIAAQWDArxA76rBggaAJYsP+E -ESAIEGAwWIui+h0BIHgCWLD6rCAgCBBgMFiLnfodASDkAlkw+qwqIAYQYDBYi5n6HQEgnAJYsPqs -LSADEGAwWIuU+h0BILgCWLD6rDQgCBBgMFiLjy8dASsRmvUcFAQEASgw/RGbKAkBKDD1h0AJsAQ6 -IP0ViSfABD3g/SIMLAkAYXD8DEAOBQFYMPnMEQ+wBDug/T1UDAkAczD4dwIMCQBrMPz0FCgGASgw -/SBoKg0BWDD5IGkqDgFcMPqqEQuQBD7g/ogRCgkAWrD5a0AMAwFsMPl8QA3QBD9g/swRC/AEPuD8 -uwIKCQBqsPlZQAoJAFqw+gowKAkAVnD6mQIKCwEoMPn0FSgKASww+aoRCaAEPmD2IgwoCQBWcPV6 -QAYJAE3w/aoRCAUBLDD2A1AOEQEwMP+ZEQgJAFIw+HUCBAkASTD1RAIEEgE0MPruEQWQBD1g+zMR -DgkAK7DzCgguCQAbsPT0Fy4JABuwLvQWhSctWRT00oVgQAJRcI5ZZOJ7Kxx/+7wxIAgQYDBbO2oW -iUooIhYPAgAmYon5iBECAABQsPhmCACHEFgwW8R5iicqrBBbOeKDKcszFYmOhDv9MgAgBRBQMP4i -ACAyEFgw/zAFIgAAYXBYlCr7CociAABQ8FvD8vNMAA/UALUggyn7IgsgSAA04M28kytgADLaIPsc -fyAIEGAw+7wxIAIQaDBbM23AINEPibsPAgAPAgBkkAr5kgsiAABacGWf9JO7mzzAwPwmCSAAEBgw -i2pyuQqDKY0onWpgACAAAMmwirhyoQz7rAAACQA2oIqocqnyyLcjIgkuIggutgj7IAUgABB4MJ8o -+yQwIEYAtOCIK8+MxJP/AgAGANZO0BqJDIsgLqJy+qKMIAAQYDD+uwwAARBoMFs3QRyIaIvOw9/9 -JAUh/gJa8PvGDiAAEBAw0Q/E4v4kBSAAEBAw0Q8AAGRK1P8CAA/9aNqQikcqrBBbOZgsMRyOMy4m -H40yLCUILSYeKzAfZLF3wMP6HQEgnAIwsPtsAACKAlKwWIriIzAfAAWN+B0BIBUQSDD4jFgiwAEc -MG2aAgAIiiodAR6JNy4WVvtCACADEGAw/KRrIAEQaDD9pHAgCxBgMP06/yuABD7g/RW0KgkAZvAr -FlcoIATTD/qscSQAb4Yg/AoDIKICWLBYisj6HQEiAABZsPqsdSADEGAwWIrD+x0BIAEQeDAvtIjz -tI0gABBwMP60iSIAAFEw/rSKIAsQYDD+tIsgAhBoMP60jiCwAlrwWBm4w4n4JAUgABAQMNEPAAAA -/AoDIJACWLBYiq8tEAIsEAH6EAAgSgJYcCq0ACy0Af20AiArEEgwKSQF8/pqYgAAGLAA2iD7HH8g -CBBgMPu8MSACEGgwWzLtY/15LEJpiyD6QoMgARBoMPy7DAAAEGAwWzbfwCDRDwDD3/0kBSAAEBAw -0Q8AAAAA/AoDIJACWLBYipEvHQEu/EUo4AH54AAg6gJ78Cn0ACj0AS7gAi70AmP/F9pA+ywAAAAQ -YDBYH0TAINEPbBAGFol8KiBBGYd5HYiSCq4J/CEHL8AEO6D+ISIsACB3cC3Sf/CqEQ1AAWAw/t8U -DcAEOyD0/xEKwAFsMP2EQgwHAWww+EQRDVAEP2D0uwIMCQB/cP2HZxoJAG7w/4lkEAAQIDD0FgAq -CQBasPuHTxwJAGsw/DYAL0AEO6D8IgAuCQB7sP42BiBMEEAw+TYCIDwQODD3NQsgBhBoMPQ1CioJ -AFqw9jYHIJACWLD6NgQgARAwMPo8IC2ABDsg+DYDLAkAazD8NgEgDBBgMFiKUSghKig0LQ8CAAiI -FCg0LC8gVy80Ow+PFC80Og+PFP80OSB4AlDw/48UALgCWLD/NDggGBBgMFiKQykgdMSi/wIABgBi -VlAkNEQkNSX0NhQgigJQ8P2JNR+BEHAw/jQ8IgAAWHD9FgAgAxBgMFiKNSsgdCs0WIonKqwQWzjd -FYg5iy0qUmsJuxGrqoqqyaErrGD6HAQgBBBgMFiKKooRYAAEGocSmhEfhxEtIgceiCj6+jgD6BBg -MAysLA7MKPwWASAgAlNwWzjKJBIBIyIHDwIA+kwAACACGPBazFn9hrQSAABhMP6IGxIAAFqw/yIA -IgAAUPBazA+CJyIsENogWsHjaKEM0Q8oMEixiCg0SGP/NNogWsH+Eoc+C6gR9KA0YgAgQLAM6jAr -IoWLsLCi/LsIAgAAULBYlTMqUpMAIQQAaxoLqgIqVpNYlWnRDwAAAAAAAAD6CgcgARBYMFs5Zywi -fywmg9EPAGwQBiciCyogBCsiCSis+wh7OPcKACBjADbgbQgyjLcpyRT/CgEgABBoMP4KACAmADZg -icmIkomTBIgMCP04BZkMCf44/wIACABn81CLu8q3Y//GAI9yiHP0/wwAARBwMPWIDAAAEGgw+O04 -AAAQYDAP7Dh9wNVgAJ0vIAcYhmr2h9seIAF8MA//CQz/Efb2CA4AIEfwnxKWESZify/9Hy/8cP8C -AAYAqX2Q+hYALxAQcDBtCDSuYyg5iMDB+woAIAAQSDD6MkMgJQA2II2iiKME3QwFiAwIyzgNyTh7 -mEAmMjz/AgAGAEm30GP/xItyjHP0uwwAARBQMPXMDAAAEEgw/Kk4AAAQQDALqDj5gM9wABBQMGAA -CNKw0Q8AAAAAAC0yPS8yPJ/QLjI8neEnNjwnNj0sIAT6FgMkAGEDIBqHrvsyOiAAEGAw+qJ/IAEQ -aDBbNej8+sAgMAJZsAy7Afc1iCCAAlrwKzZD+zZCIfACUbBbMprAINEPhhGNEiZifS3dHy3caH1p -CGAAayYyPHbRZa5jKDmIwMH7CgAgABBIMPoyQyApADYgj6KIowT/DAWIDAjLOA/JOHuQz4gQ+hYD -JKAAOiDAIJKjkqLRD49yiHP0/wwAARBgMPWIDAAAEFgw+Ms4AAAQSDAPyTj7kJtwABBQMGP/w8Ag -0Q/7EgMiAABQsP0KgiABEGAwWDa3Y/8rhBP6LAAAARBgMPtMAACCEGgwWDaxwCCSQ5JC0Q8AbBAS -LSAEG4hj9SAHIgAAcXAXiGH7sn8gPhBIMPUFQQR+ADtg/wIABABwB2Bo1gTAINEPACxyOoouiC0J -qhH8qggJkAQ6IP+iBywAIEMwLBYUjMeP/p8UjM4sFhNgACMoIhYscjoJiBH/IgcsACBDMCwWFIzH -j/6fFIzO/BYTIgAAULAmIAUsMBPEgvwvRQYCNc2Q+QotJgIxxZD4Ck4mAi3NkP8CAAYCKcWQwGD7 -FgwoAAFgMPgWFSYAUYNgmhj7FgUgrgB/MP8CAAQAbINgZPCh/wIAAAB0B+DXYP8CAAf/p4Ng+BIV -L0cANeBkjz+KJ9sw+qwgIgAAYTBbOVX3pBYgABAQMNEPjyciFhSP/i8WE/P/bWAAEFAwjTeMNp8d -mxz+FgsiAABQsFv/KfasAAOeADagixX6EgggARBAMJgWKTATCSlFy5mHFvsWBSACKAZgLSAEY/+C -AAAA/hYLIAAQYDCcFvP/12IAADCwAAAAAAAA/hYLIAAQaDD9FgYgABAwMC1gBfzcuyAREHgw/wIA -CgDPexAYiAEIyAqIgJsVCoAAZP/M+xYFIf+Xn+CJZ5sc/hYLIBUAtmBj/nCJZ5sVmxz+FgsuZwA2 -YPcKACAAEDAwK5kU9LShYEACUnCLmYwbDAxH+MIsYgAAcvCbHi2wB/wSBSAAEFgwWzWoiWcumRT0 -5M1gQAJScIuZ8AAHYgAAcvAAkxwdhdcMXBH4CgEiAAB7sP3MCA4FAHYw+MI6IAQQaDD7CggiAABL -cA+5OfkWESoC784QG4fVKcI5C1sKK7KvC5kB+5wABCgANmD5FhcgkgA3oByFyC9gByNhBxWF/xiG -h/MDSggCAXww+pkQA8AEPOD5YQgiCQBM8P8PQQIJAETw87YALwAEP+D/h8EYCQB+cINg9bYGIAAQ -QDD4tgUgMBBQMJqznLL1mQIDgAQ84Pm2BCIJAGzwk7Es4AcPzAL85gEgQAIa8AIOhgBDYwAOhgBD -YYpnJbxA9RYXIEACUrAlEhf7EgwiAABhMFs40/0SESIAAGFw9KYBIgAAGrD6bAACAABY8FgvKYpn -KqwQWzdM2mBbMkpj/iMAAMisjBSbFSvAOLG7K8Q4ixUsEhSdGfsWBSAPADcgLhITLeBcsd0t5Fwc -h5MvYTeOYI0g+BIJIAIQUDD4FgAgMhBYMFiRiolnK5kU9LL1YEACUnCLmYyw/IxXADQQcDD8FhIm -AcH3EMDw/xYQIAoQaDAqYQgrYBb4Cv8j/xBgMPyqDAAGEEgw+p04ADIEQvCMG50XKmBBAMyOWz0l -/RIHJFwANqAYhWgMXxGo/y7yOv8CAAoAo++QGoduKfI5CloKKqKv+p4BDgCZ1lAbhTUuFhifH/AL -BwIAAEuwnRcASWEASWEASWEASWEASWHwCaACAAArsPpsAAIAAFuwW/3aLGEILTr//wIABgC6bxAu -EhLD9P8CAAYAsP+QiWcomRRkgkmLmR2HVhyE8CqwBy6wEomw/RIHLAUAc3D5iVcKCQBisPkWEiDA -AmFw+rYBIgAAUbBYLtAvEhLDgvYWCiYApkfQw6X2FgomAKFX0IwajMeHFvv6wCBAAlMw+6sBAAAQ -aDD9xRQggAJa8JvJm8j7PAACAABhMFs4YmP8hgAAAI0dZNKc/wIAAAFqB2D6EggiAABY8PxMAAAW -EGgwWDVzLSAE8/vmYAAQODDcQP0KhCIAAFjwWDVtHIcqjjKPM4kgmRD4IAUgAhBQMPgWASAyEFgw -WJEewCDRDwCKYocW/RYHIr4ANqArYQgsOv//AgAGANFm0C0SEsPk/wIABgDH91CJZy+ZFPTx2mBA -AlJwi5klsAeMFfsKACIAAGlwWzTLimfbMPqsICIAAGEwWzg0jGCLZwjMEQxMAvymASBAAlLw+xIF -IgAAYXBbOC1j+7OJZ2WcL2P6hy0SEGXenIcWiR+IFyiWOS4gFi8K//YWCif/bn+QKmBB+2AWIDwQ -YDBbPHeWGmP+xAAAAIUaKlEUJVESwGD6FhYutAA2oPpywyIAAFlw/AoAIAEQaDBbNDEocsSxVQhV -LigSFrFmeGncY/6JyKiLFCmwQLGZKbRAKxIUyLktEhMs0GSxzCzUZI1i+hYIIBgAN2Da0FiP1dug -/Pr/IgAAUbBbO3WKGMTa/WQFIA4ANqCPFC7wQbHuLvRBLxIUZP0PKRITKJBlsYgolGVj/QHz/Q1g -ABBYMPP7YWAAEFgwiWJkkXdk4K/7EgwiAABhMFs702P6uAAA8/20YAAQWDDIqIsUKrA6saoqtDor -EhRkvL8tEhMs0F6xzCzUXmP8scTbLWQFY/ypxOouZAVj/KHz+zVgABBYMC8SEGX+boln+PrAIEAC -UnD4qAEAABBYMPuVFCCAAkIw+JYJIgAAYTD4lggiAABY8Fs30GP6QAAAAAAtsAf8EgUgABBYMFs0 -XvoWECA8ALag8/xxYAYQaDDz/ihgABBYMPn6wCAAEFgw+6UEKABATrD7EgwggAJKcJmh+aYAIgAA -YTBbN7xj+e7EqiokBfP8NGAKEGgwjzOOMvyGjxAFEFAw/SIAIDIQWDBYkIWLGMi4jRQs0DmxzCzU -OS0SFGTdPy8SEy7wXbHuLvRdY/0xAAAchoOOMo8ziiCaEIk3mRP4MgYgMhBYMPgWAiAFEFAwWJB0 -ixjIuI0ULNBDscws1EMtEhRk3PkvEhMu8Gex7i70Z2P86ytcGPpsAAABEGAwWzx3Y/00nh76bAAA -MAJZcP0SESABEGAwWzxximeOHvP+a2BAAlKwAADz+jBgABBIMIti9xIGLQQAtuArYEH6bAAAARBg -MPu8EiAAEGgwWzxjY/zmAAAAAABsEAiTFPogByIAAEEw9TIAIgAAIXApIAQoFgL1BUcKIAFQMPoW -AyDWAjlw90cUBAFEgmArIBYoCv94sQsAxI4qIEFbO/JkowCIExaENQyDEfqENxIAIDTwKTI6CooK -+qKXKgAJPlApMjkchAT6lAEIAAhSUIsiZLIhwCDRDwAAAPAMBwIAAEEw0w9teQIASGHaIPsSBCAC -EGAwWv8dLyEHFoQmDw9KDP8RBv8Cn0CMIB6EIfohIiCYAmlw/UYDLYAEOyD+RgIsCQBh8CxGASkg -QRiFMS0gBQmbCfy7EQBOEDAw+4gIAFAQcDD4gn8gKARzcPbRDHBWEFgw/wIADgFXW1AbhhkWhgz6 -3hQMwAFAMPiPQgwHAUQw9KoRD0AEO6D2RgcgeAIxcPZFCykABDpg+YPwHVAEP2D4/xEMCQB3cP/M -AgoJAFqw/cwCAAAQWDD6RgYoCQBiMPtFCigJAEow+EYEIJACeLDyDxYAQAJxMABOY/ohKiAAEGgw -LUQxLUQy/UQzIAAQYDAsRDUsRDYsRDcrRC77RC8gABBIMClEMPpELSAAEEAwKEQ0CooUKkQsLyBX -L0Q7D48UL0Q6D48U/0Q5ILgCWLD/jxQABBBgMP9EOCB4AlEwWIbf9hYBIMACQLDzCBYAgAJJMABJ -igAIiAAJiiwiHIsULERTDIwULERSDIwU/ERRIKgCUTD8jBQAYAJa8PxEUCIAAGFwWIbO/iB0LgAg -LTAu9FgnNjkrIBYtCv8PAgB9sQgqIEEsEgFbO0WIFCkKSCkkBSiAEg8CAGSOJBSDzyMiBwSEKPpM -AAAgAhjwWsj7/YNWEgAAYTD+hL0SAABasP8iACIAAFDwWsixgicPAgAiLBDaIFq+hP8CAAH+8p6g -YADMixP6LAAAARBgMPu8GCIAAGnwWzu1wCDRDwAAAAD6LAACAABYcP0xCCIAAGDw/goAIgAAeTBb -IUktCoh9oV6KEPYSAi2aADagiqfbMPqsICIAAGGwWzbDjBCNwJoU+N0RAgAAGrD9bQICAABY8P2m -ASIAAFCwWyB33qD6EgAgFwA3oNsw/GwAAgAAaTBYMrTAINEPAADz/P9iAAASsNog/BICIgAAWPBY -M8nAINEPjyJl/SorIEH6LAAAARBgMPu8EiAAEGgwWzuIwCDRD9ogWr5tE4OtC6gR9KBBYgAgRPDA -IQzqMCsyhYuwI6z//LsIAgAAUPBYkaEchCAqwn8AMQQAKxoLqgIqxn9YkdfAINEPxcIsJAVj/U0A -AAAA+goHIAEQWDBbNdMtMn/9NoMgABAQMNEPbBAEHYM+KS0CLpAXL9J9LdKAr+4J7hGu3Y3X+goA -IAEQKDD5MA0hMAIScP3SDiAGEEAw/zAOIYAQcDBtihStrK7MK8DdLMDXe8Mn/LNVcAICUrApMA1k -kHppkUPK8Io0i6HJvMtom6AqIH8LQADAINEPAMqSaZEnyPQtMA9p0d2KNIyinKCLNCU0DSogf4uw -C0AAwCDRDwAAyPMtMA/J28Ag0Q8pMA3Il2mR82X/rmP/zWT/6ikwD8iRaZHijTSM0ZzQizQlNA0q -IH+LsAtAAMAg0Q9k/8gpMA9kn99pkb9j/9kAbBAEhSTCgPVSBCACEBgwJFACCEQCJFQCIyQM0Q8A -AABsEASFJCgK3/VSBCAAEBgwJFACCEQBJFQCIyQM0Q8AAGwQEpIfKkAFJj0CiSonQAEnFhb3FhEg -EBBAMJgQKRYQI2AXKhYT+kIEIDAQeDD/FgIgAhAQMJIRjKWPoo2mi6eCpCsWFS0WFP2iACEwAjGw -+6IBIAAQSDD6ogMgDhBAMPdEACoADEHQGIUEmhmbGAh+Co7gnRacFQrgAAApFhJkcMn/AgAGAE8V -4GRwlv5ABSAHEEAwKBYWKRIWiEQpRAEpEhSchZ2AmYabgSkSFZmHKRITn4KShPqGAyAYCHJwKhIS -0w9koEwvIAIchOz6CgQgJBBYMP9fQAIAAGlwWI7dKCACeo8tZFZS/wIAAAM9BWBpUh8pYH36kRlg -ABAQMNow8gxHAAQQWDBYQqwqYH2xInoi6iISFvIs+SABEFgwArI50Q9od074eGFgDBBAMPeDCXAN -EHAwwJl5ex/6Fgkn/6b10IoZLkAFY/9L/kAFIAEQQDAoFhZj/z0AAC5ABSIWF/gKDSAHEBAwDoI4 -IhYWIhIXY/8hKUAH/kAFJQwANmBk5QTAkSkWFmP/Cy4gAtMP0w8OeED4Fg4gJABjsCnAAg8CAP8C -AAIChOJQ+hYJIAwQSDApFhZj/4n/FgcgBRBQMPyErxAkEFgw/TwAAgAAcXBYjqCMFY0WjxeLGIoZ -wOAuFhJj/pf/FgcgBRBQMPyEpRAkEFgw/TwAAgAAcXBYjpWMFSkSEI0WjxeJkYsY+hIJIAAQQDD4 -FhIgAgJKcClEBGP+Wf8WByAFEFAw/ISWECQQWDD9PAACAABxcFiOhRiEkw8CAA8CAAhYCiiCf9ow -+xIHIAAQYDALgAD8EgUgABBIMClEAyggAo8X+xIIIAEQcDD4WEAAABBIMPjpOAIAAGqw+UQFJRQA -NmD6EgkgARBwMC5EDGAAVgD/FgcgBRBQMPyEexAkEFgw/TwAAgAAcXBYjmgYhHUIWAoogn/aMPsS -ByAAEGAwC4AAwLArRAMpIAL6FgYoBQFMMPlEBSIAAFEwW/82jBWNFo8XixiKGcDhLhYSY/2F/xYH -IAUQUDD8hGQQJBBYMP08AAIAAHFwWI5QixUYhF0rsALAkfhYCgAAEGAw+IJ/KgUBXDALnDj7Eggi -AABQ8AuAAIwVLsACwIH+XkAAABBoMA6NOC1EAykgAo8Xixj5WUAAABBwMPmOOAIAAGqw/kQFJEgA -N6D6EgkgARBAMChEDGP/cv8WByAFEFAw/IREECQQWDD9PAACAABxcFiOL4sVGIQ8K7ACwJH4WAoA -ABBgMPiCfyoFAVwwC5w4+xIHIgAAUPALgACMFS7AAsCB/l5AAAAQaDAOjTgtRAMpIAKPF4sY+VlA -AAAQcDD5jjgCAABqsP5EBSPbADeg+hIJIAEQQDAoRAxj/u3/FgcgBRBQMPyEJBAkEFgw/TwAAgAA -cXBYjg6MFY0WK8ACjxf6EgkgABBIMPkWEioGAVwwK0QGKxIVY/w+AP8WByAFEFAw/IQVECQQWDD9 -PAACAABxcFiN/owVjRaPF4sY+hIJIAEQQDAoRAX4RAwgABBwMC4WEmP8Af8WByAFEFAw/IQHECQQ -WDD9PAACAABxcFiN74wVjRYpIAEowAGPF4sY/hIQKAEAyjD4JAAgABBAMChEBY7h+hIJIAAQSDAp -FhL4RAwgAgJzsC5EBGP7qv8WByAFEFAw/IPzECQQWDD9PAACAABxcFiN2RiD5w8CAA8CAAhYCiiC -fwM6AvsSByAAEGAwC4AA+hYGIAAQWDD7RAMgARBIMPlEBSIAAFEwW/6mjBWNFo8XixiKGWP8qv8W -ByAFEFAw/IPcECQQWDD9PAACAABxcFiNwRiDzw8CAA8CAAhYCiiCfwM6AvsSByAAEGAwC4AA+RIQ -IAAQWDD7RAMgARBgMCxEBYmR+hYGIAICSnD5RAQiAABRMFv+i4wVjRaPF4sYihlj/D0AAP8WByAF -EFAw/IPBECQQWDD9PAACAABxcFiNphiDswhYCiiCf9ow+xIHIAEQYDALgAD6FgYgARBIMPlEAyIA -AFEwW/5+jBWNFo8XixiKGWP76gAA/xYHIAUQUDD8g60QJBBYMP08AAIAAHFwWI2RKkAC+QoAIWwA -NqAJC0f5RAUgCQA24MDBLEQMKhIUixkvQAkuQAotQAgBXAqMwP0NQA4AAXAw+u4RDZAEP2D/RAIs -CQB3cC0kAliEgowVjRYoEhCPF4sYiIH6EgkgABBwMP4WEiAAEEgw+UQHIAICQjAoRARj+fYAKEAC -ZID/yJFl6gOIHyiAI/gWDCFHADYgiB8ogCJkgTrAkykWFmP55njnLXiXKilABpkdDwIA/mlAABIA -Z7CIHWSBn/kWCiGOADZgiB2JGpoZ+xYIJgCpRlCJHvoWCSpcADZgKMAC+hYJIf0o4hApQAb6Fgko -BgFwMPsWCC/9H0JQGINrnRYIWAoogn/8FgUiAABT8JoXC4AAjBWNFo8Xixj+CgkiAABJ8ArpOCkW -FmP6CADaMPsKAiAAEGAwWEEd2jD7CgIgARBgMFhBGiISFvIs+SABEHgwAvI50Q8A2jD7CgMgABBg -MFhBEiISFvIs+SABEEAwAoI50Q8qQAnAgQqJOGP+jMCCKBYWY/kAAAAAAAD6FgYiAABRMFv+DowV -jRaPF4sYihlj+zz6FgYiAABRMFv+CIwVjRaPF4sYihlj+yT6FgYiAABRMFv+AowVjRaPF4sYihlj -+wzIkWXorogcyIaIHyiAImSA6siRZeidiBz5FgsgnQA2IChAC/kWCyCSADYg+RYLIHoAN6ApIAEo -wAH5IAAoAQDKMHiRdMCFKBYWY/hnGIMinRYIWAoogn/8FgUiAABT8JoXC4AAjBWNFo8X+xIIICoA -NqDAmikWFmP45Ygd+hYJL/IAtiBj/mgA+hYJIAsQSDApFhZj+MkAAC4gAvoSCSgHAXAwmB5j/lUA -iB8pQAQogRL/AgAH/79GUIkbZJAH/wIAA/v5K6CIHPkWCyBJADYgKUALZJA+y+AoIAEpwAH4IAAo -AQDGcPgWBCBWCEowKcAAeJEgwJYpFhZj97coQAtljw7AlCkWFmP3qYkfKEAEKZESeYHCiBvIhv8C -AAP7y6ugiRz/AgAB+8aqYChAC/8CAAH7wSogyu4pIAEowAH5IAAoAQDKMPkWBC/7tUJQ+cAAIgAA -QnD4mQwACBBAMAmHOCcWFmP3TACIHylABCiBEv8CAA/7oEJQY/+8AAAAAABsEAr3MgEiAAAosChQ -NfZREiIAABDw/C0CIAAQGDD9wBcgGQA2IClQSdMP0w/6CgEgCgA2YCtQXQujORqAji6ifStQIimi -gPRQIS4AIGuw/yAAL5AEO6D4IAwoACB2cImXmBifGYmeLyABLiANKZ0C+ZBVITACYzD0VCAgnAA1 -IJQTnxeeFisWBPkWAiBYAD0g/BYFIgCMASD/AgACAKoFIP8CAAQAuYEg/wIABADdhSD/AgAGAOwB -IGhBM29FAm9CGWhFc2hGE4oT8qz/IAEQSDD6VCEiBQAScNEPwLHyCgAgARBgMPxUISIFABLw0Q+N -EnZ5AmQyHY4UZNHS/woBIFwAN6DyCgIgAxBAMPhUISIFABPw0Q8AwKX8go8QJBBYMFiMc8CR8goA -IAEQUDD6VCEiBQAScNEPjxCOEcDR/+4MAAYQYDAO3DjyzP8gARBYMPxUISIFABLw0Q8AdnkCZD9k -iBJkgXeJFGSRfXZ5AmQ/VIoSZK9PixRlv0qOF40WjBkO3TT/AgAP/59rEIkY+cgMAAUQEDAIJDjy -TP8gARB4MPRUISIFABPw0Q/ApfyCaxAkEFgwWIxOjiEbgJ8dgC38EgUgAgJzsJ4hLdJ9LMB/K7KB -rcwJzBGsu4u3i74rvQL7vIAgARBQMCq01mP+x8Cl/IJbECQQWDBYjD3AkJkhmSIpVRIoIAH4JAAg -ARB4MC9UI2P+oMCl/IJSECQQWDBYjDMbgIQvIAEuIA2MFR2AEf4WBi4BAPuwLiQALdJ9LMB/K7KB -rcwJzBGsu4u3i777vQIgARBQMP8WByEAAlrwKrTWY/5QwKX8gj8QJBBYMFiMH4sl+1USIAEQUDAq -VCOIJIkimRCYEWP+K8Cl/II3ECQQWDBYjBYdgGeOFR9/9YgkmCIv8n0u4H8t0oGv7gnuEa7djdeN -3i3dAv3cgCABEGAwLNTWY/3tAAAAAAD/AgAH/0Y10GP97AAAAAAA/wIAB/9GNdBj/dyLF4oWiRkL -qjT/AgAH/zrWUPkKASAEEGAw/FQhIAMQEDD8FgMiBQAScNEPwOH9CgIiAAATsP1UISIFABOw0Q8A -AGwQCichEiggNRV/z/kyASAAEDAw+RYJIBkANiAqIEnTD9MP+woBIAoANqAsIF0MtjksUn0rPQIt -sBcpUoD6ICIsACBrMP4wAS2QBDsg/zAAKAAgZnCJlyQgISgwDImemBgsMA35nQIhMAJa8PmQVSIA -ACkw9CQgIHAANSCfF54WnBWaE/kWAiBYAD0g+xYEIgBTgSD/AgACAHIFIP8CAAQAggEg/wIABACm -BSD/AgAGALSBIPkSCSCYAD0gb0UCb0IyaEVcaEYs9SQhIDAAPWDAQNog9EwBIgAAWPBb/v3IoWpG -7cAg0Q/ApfyB0BAkEFgwWIu08//PYAEQKDAAAAAAAAAA+hICIA4IOnBkYZz7EgMhRAA2oGSxSfP/ -qmADECgwjhCNEcDB/t0MAAYQKDANxThj/5EAwKX8gb0QJBBYMFiLoIsxGH/xGn9/+RIEIAICWvCb -MSqifSmQfyiCgaqZCZkRqYiIh4iOKI0C+IyAIAEQeDAvhNZj/zgAwKX8ga0QJBBYMFiLj8DgnjGe -Mi4lEi0wAf00ACABEGAwLCQjY/8QAMCl/IGkECQQWDBYi4UYf9YsMAErMA2JFBp/Y/sWBSoBAObw -KzQAKqJ9KZB/KIKBqpkJmRGpiIiHiI74jQIgARB4MPwWBiEAAkIwL4TWY/6/wKX8gZEQJBBYMFiL -cYg1+CUSIAEQeDAvJCONNI4ynhCdEWP+msCl/IGJECQQWDBYi2gaf7mLFBx/R400nTIswn0rsH8q -ooGsuwm7EauqiqeKriqtAvqsgCABEEgwKaTWY/5cjhn/AgAP/zW7kGAABI8Zd/kCZG5biBJkgEKJ -E2SQSooZd6kCZG5JixJkvkSME2XOP48WjhWNFw/uNP8CAA//GfNQihjAhfrZDAIAACkwCYU4Y/4c -APP+GGACECgwixn/AgAP/wc60GP/uY4WjRWMFw7dNH3BqPP992AEECgwAAAAbBAGH38bKi0CJ6AX -8vJ9IgAAMLDA0f/ygCAAEFgw9yIIAAkQQDD8rJAjkAQ4oPqgFS4AIBfw/vwwIIgCO/Dy/FgmAJ1F -UPhZHmALEEgweVFe/goaKgBYAWAqYoGxqvpmgSIAABLw0Q8UgUH6bQEiAAAQ8PqszCAVEEAw0w9t -ig8nIAAloFexqvIsAS4A0CnQKfA9KvA++PIQIRoANmD/AgAAAG+GYP3kBSAAEBAw0Q8iMAD6bQEi -AABY8PqszCACEGAw0w9tyg8vsAAsoIOxqvu8AS4A4GPQ9AoBIAAQcDD8gSQSAABRsPJ7QAIAAGlw -+3QPIgAAWfBb+570dAUgABAQMNEPsEv74wtwAxBIMAm5LGAAAgDAmPttAS7gAUgwnhAuxIX+PAAB -mAJa8G1JDyfgAC+woLG7/uwBLgBUedD7EgAgABB4MMDg+hYDIAEQODCMEy8kD/psAAIAAGlw/LwM -AgAAWLD8gQMeBQBh8Fv7gfckBSAAEBAw0Q/AINEPAMijiIFljx2eEo/knhKI8pjw++IEIAAQaDAt -5A0qwIeLsAtAAI4SwNH95AUgABAQMNEPAGSu7o/kivFkrueeEprw++IEIAEQaDAt5A0qwIeLsAtA -AI4SwNH95AUgABAQMNEPAPfzBn//EHAw3tCLEPwWASAAEHgw+hYDL0wAN6DAcfptASIAAFjw+qxs -IgAAYTBYgbqLEfuwhSAAEHgw8/8vYAEQcDAAAAAAAPdTBn//EFAw2tD8FgEuVgA2oC4WAvptASIA -AFjw+qwjIBUQYDBYgaqOEingDYwRKuAO/QoBL1IANmD/AgAB/x4eYP/iBC8aADagi/FkvxAr9gAq -wIcLQACOEsDR/eQFIAAQEDDRDwAA/8MGf/8QcDDe0GTuNsBB+m0BIgAAWPD6rE8gAhBgMFiBkvP+ -JmABEHAwAABsEAr4fmsQIAJgsPwWBCDMAlCw+hYCIHACWLD7FgMgABBIMJkXKy0CKYJ9KrAX94KA -ITACWvD7FgggABAwMPotASgAIFZw+ZkRAUwCUrD6FgEmACBN8CZ0OyZ0T/Z0YyABEEgwKXQiYACA -wcT1zDQAABBYMP3M/yABEHAw/nQ7IDwAO2DTD23KFKK9/dA4LgAgGvAu4AL7vAEuASlrkMCQy5Ma -fkOJGBh+syqifSmQfyiCgaqZCZkRqYgoggcogg7A8dMP+I0CIAEQcDD+FgchAAJCMC+E1aZWsmb2 -Bk8ABAJZcPszCAoAkSGQARoC+zwAAAIQYDBYgVElEQAFmUb1BUgAAFyGYP8CAAP/r4JgaJNnaZTB -wJH/XPwgBhBAMAj/LCl0Y/kSCCAAEFgw/Ao0IAgQQDD1zDQOAwBH8PqQfSH+AkMw/5R9IDgAOiBt -yhSivf3Qpi4AIBrwLuAC+7wBLgDl65APCUf5qQwAARBwMPP/LGgFAE+wAADAxvXMNAABEFgw+3RP -If4CUzD4oCRgABBYMNMPbcoaor390GYuACAa8C7gAg8CAA8CAPu8AS4ArmuQ8/7oYAAQSDCKFC8w -AogliSSZFpgVLyQM/jADIAgCWPD+JA0gCBBgMFiBF4sWiiT9EgUgABB4MPwiBSAkCFqw/ckKcAAQ -cDAudCJj/tUvdCJj/poAAChwNQ8CAPYKACARADYgKXBJyJYrcF3AoQumOfp8AAIAAFiwW/4O9Hww -IAAQKDD6fAACAABYsPxMAAIAAGlwW/rk8woAIB4ANqCxM/p8AAIAAFiw/EwAAgAAaXBb+tzIoWo2 -5bFV9EwUI4oCPWAscDXA0P10IyBGADcgLnBJZOBUL3BdZPBUy2WLGBp9xxl+NyuwfyqifSmSgauq -CaoRqpmJl4meKZ0CKZyAKJDVyoDyEgcgABBgMCyU1tEPZG/IihjAsfqgfyAAEGAwWD2vY/+2ghfR -D2Vv5mP/rGVv4GP/pgAA/tMGf/8QWDDAsWS9pPoSAyAEAljwWIDO8/2YYAEQSDD+0wZ//xBYMMCx -ZL6a+hICIAQCWPBYgMbz/XhgARBIMP7TBn//EFgwwLFkviv6EgEgBAJY8FiAvvP9WGABEEgwbBAI -GH/aKhqQ+X/ZEGIEQTD5SSNwChBYMPWzDnALEGgwwMn5ChUqAFThUP8CAAYAae1QwCDRDwDAINEP -AADAQPUtAiABEDgw9VyQIAAQEDAqHBD7PAAAAhBgMFiApSoRCPqZRgsAAVQw+JFGYgAgEvBrlSDw -kAQCAA6iYAQIG/CRBAIAQv4QAHgaCEQC8AAMZOABIDApUh+xmSlWH7Ii8gJPAAQCWvD2I6VyACBc -8MAg0Q8AkAQECBvwkQQAsAD+MAB4GghEAvP/0mTgASAwAP8CAAf/r82Q3UD8f6YSAABxcPooCAIA -AHmw+ICHICQQWDD4FgAgBRBQMFiJeSL6udEPaWLSY/8qKVIesZkpVh5gAAkAACpSHbGqKlYd/VCH -IAUQUDD8f5QQJBBYMFiJbCL6udEPAAAAbBAGiCskIAf5IDkgBBBQMPQEQQAYABIw8AAGagkAUnDa -kPx/iBAwEFgw/yICIgAAaLD+IgAm4AFUMPcWACAFEFAwWIlZH33J+H1OFuABKDD7f34SDAA5oIMz -/vIWJcAEPSD9IgAkACBFcCxSOtMP/uwBKgkAX3D+9hYiAF1DIBx9QylSOdMPDEwKLMKX/JoBDgBS -ZlAdfRHwDQcCAABKsABJYQBJYRR9Oxl82igxEB59Oh1/Zv6mACAQEHgw/iIALIAEOeD9uwIICQBi -MPumBCAGEGAw/6YDIHgCWPD0pgIoCQBKMPimBSACECAw/zERL4AEO6D/pQwuCQAjsP6mASA0AlKw -WIAs9FY5L4AQeDD/NCciOgA5oIon+woBIAAQYDD6rCAgARBoMFss39Kg0Q/AINEPiyJlsF76LAAA -MAJZMPwKACACEGgwWzUmaWLgiicrCgD6rCAgARBgMFswPx1+DZ2gjCAbfzr7pgIgARBoMPjMEQAw -EFgw86YDLAkAazD8pgEiAABo8Px/MhAFEFAwWIkFwCDRD8Cl/H8vEDAQWDBYiQHAINEPAABsEAQW -fMcmYn8afymGZdkg+/r/IAEQYDDwAAtqACBRsAAmLCh6YT/ybAAACwA2YChgANMPyohkP+ctIADA -YPnR32AQEHAwbeoUomf3cAgoACAZsCiAAPeJEnACAjGw0Q+IYnmJ0NEPwCDRDwD4cwZyAAAy8NbA -ZW+nY//obBAG2iDzFgAgOhBYMPx9OxIAABmwWwsB9qwAADQANqDIPAM7AvwKBiBYAlKwWH/YyHwH -ewL8CgYgZAJRsFh/1JRplWqJEJloiCLIisAg0Q/ALNEPAAAAAPosAAIAAFmw/AoKIAMQaDBax7nA -INEPAGwQBCIiqsBB8yUMAAwANKDAIAVCONEPAMAg0Q9sEBIYfJ0bfIgqgncpgoAojeb4gMErkAQ6 -oPALBwgAIFZwKp0D+qyAIBQAfjAqnQEqrID8fJISAABIcABJYQBJYQBJYQBJYQBJYQBJYQBJYSuh -Kf18jBAHEEgw/grhJgBK5tCIoBx8hi4UGP0WACmABDog/BYCKAkASjCYES+gB/h8gh4gAXwwAP8R -D78CCP8CnxQO6jD+FgUgMBBoMP0VDyBUADUg8gQWAEACSHAASWMABIYASWH2FDYgLAA04CgxEygU -QI8r+SEbIA4AB/ApFSGOMy4WE40yLRYSjDUsFhUrMgQrFhTbEPwKByACEGgwWyfR0Q8bfGWbGP8i -ACAAEEgwmRv5FgogBRBAMPUUNS+ABD/g/xYMLgkAR/CfGWP/lAAAbBAEGnxULCAMK6J3KqKArLsJ -uxH5fFEaACBasCihKfx8ORD6BEowjieO7hh8TR98Sy3tAvV8nBCgAltw8AwHAgAASvAASWEASWEA -SWEASWGJIP/mliAEEGAw9eaaKYAEPmD45pQoCQBmcCnmlSigBy+hKQgIQQCIEQj/AgX/Av/mmCDg -AktwAgOGAEljAAOGAElh2dD0lIYgAhBoMFsnndEPAABsEAooUBhogQfAINEPAAAAACtcGvwKBiAg -AlBwWH9HuEv8ChAgMAJQcFh/RPwcGCIAAFCw/35sECACcHD9PAggAhBIMPkWACIAAFtwWwljwCDR -DwBsECAqIgeLOCcyAfWiDiABEDAw9Pr/IAgQQDDwsQQGAEBF8PiwIWIAAElwLV0ELNAAAGsaBLsD -C8sBCwtH+9QAIAcANyBks5sskr79e/wUAS8DII7Sj9GI0JgQnxGeEo3TnROLExp76Y0SjhH/EgAg -IAJgcJ/AnsGdwioWNJvD8AoHAEACSHAASWEASWEASWEASWEYe+IZe+0ae+uaGCkWCSiC7I4zLhYL -jTKdGvwyBSBAAlhw/BYNIgAAULD5MgQgBRBoMPkWDCACEGAwC4AAGHvNjYOOgv+CASCAAmBwn8Ge -wi3GAyiCAPjGACIAAFCw/TkaICACWHBbhkokNRomFFsoMBcpMBb6MBUgAhBgMCwUUSoUXSkUXvgU -XyD/EHgwLxRQ/xRcIAAQWDArFFIrFFMrFFQrFFUrFFYrFFcrFFgrFFn7FFogoAJwcIvi/eIBIIAC -YHCdwZvCieOZw47g/sYAIgAAULD9ORsgIAJYcFuGLIk89DUbIAAQaDCdMfCRBABUADpgiiePri/9 -BC/8gC7wgABpGgSZAwnpAQkJR/n0gCAHADegZJJGlDwfe66dNitdAiiwBR57qxR7qfp7pxRcAD4g -yXb6LAAACRBYMFsIqylQJcCt/QoAJgB+VlApMRJkkfn/AgAAAG0GYNEPKCAMJKJ3KqKAqEQJRBH5 -e5gaACAisCihKfS88CYARE4QhSeFXiwSNCldAiucUPAMBwIAAGLwAExhAExhAExhAExhiCAvVpT+ -VpYgBBBgMP572xmABDog/laaKAkAYjAoVpUnoAcooSkHB0EAdxEHiAL5nHAoCQByMPhWmCIAAHEw -Ag6GAEljAA6GAElhLl0D+QpiIQACc7D55AYgAhBoMFsm4sDQLxI0AA+LAERhAERhAERhAERhAERh -Y/8yKJK9KpK8K5K7LJK6nBCbEZoSmBNj/Z4vEjSdNvQPBwAQAnDwAE5l0Q8AABp7YRl74xh7FCqi -dymShPiAwSuQBDqgqpkqnQP6rIAgFAB+MCqdASqsgCsSNPALBwDAAkhwAElhAElhAElhAElhAElh -AElhAElhK6Ep/wIAB/9bptAee075ogAg4RB4MC8UeP4WGCAHEGAw/ntIGYAEPmD+FhooCQBmcCkW -GSigB/l7RBggAUAwAIgRCLgCCYgCKBYcD+owLxYd/PrwIDAQcDD+FT8mAEDlUCscf/u8ASAgAklw -CAmGAEtpBgmGAEtnLApj/BSWICkANOAvMRMvFKCOK3HmBSghGygVUY4zLhYrjDIsFiqLNSsWLYk0 -KRYsKxxg/AoHIAIQaDBbJpHz/gRgABBoMJY20Q8qrBBbLOuJJ4meY/xWACqsEFss5/P9r2AAEGgw -H3scLxYg+yIAIAAQcDAuFiP+FiIgBRBgMP0UlSuABD7g+xYkKgkAZvArFiFj/2oAbBAIJiL9C+ow -F31W0w8PAgAoco//AgAKAKBG0B16uSt2jypyjv3SMSAAEGAwWIeo/XswEAAQYDBYh6UnLQQnfPT7 -FgcmAH+10Bh9R5IU+BYFIKACQjCYFmAAJAAogBj9mQwOACAb8C7gAACQBAgIGw4OG3jhXoYg/wIA -BgBkNdDybAAAEgA1YC5iAvoSByAOADugeuNBhiTIQXRp2PY/FAAAEFAwbfkcoq390BguACAasC7g -AP8CAAACAlKw/wIADgBD65D2DUIIACAT8PkKCC+LALdg0Q8AwKX8EgUgMBBYMP8SByIAAGmwWIbv -jBaNZo5nj2j4YgkgBRBQMPgWACAwEFgwWIboGn0XjCGGICqibpbAjSCLJYqk/NYBIAAQSDD5JgAg -ABBgMPkmASABEGgwWyonjBQrwvywu/vG/C//oDHQwCDRD/7TBn//EFAwwKFlryBj/2wsco6xzCx2 -jmP+uABsEBCJJxp6qImei6Iokr6MoY2g+qIDJACugiCbEpwRnRCaEx56lYkSjxOKEfsSACAgAkBw -m4CagZ+DmYLwDgcAQAJIcABJYQBJYQBJYQBJYRh6jhl6mRp6l5oYmRkoguyOM54bjTItFgr8MgUg -QAJYcPwWDSIAAFCw+TIEIAUQaDD5FgwgAhBgMAuAABh6eY2DjoL/ggEggAJgcJ/BnsKdwyiCAPjG -ACIAAFCw/TkaICACWHBbhPYoMBcpMBb6MBUv/xAgMPQ1GiABEDAw9hRbIAAQKDAlFFIlFFMlFFQl -FFUlFFYlFFclFFglFFklFFoqFF0pFF74FF8g/xB4MC8UUP8UXCACEFgw+xRRIKACcHCL4o3h+eID -IIACYHCZw53Bm8KO4P7GACIAAFCw/TkbICACWHBbhNaJPCQ1G5Ux8JEEAJIAOmCLJ42+Ld0ELNAA -AGkaBJkDCckB+QlHAAAQEDD51AAgBgA3IMqYlDyVNtEPAAAukr0vkrwokrsqkrqaEJgRnxKeE2P+ -lwD1NgYgABAQMNEPKrwQWywZlDz1NgYgABAQMNEPbBAELiL9CuowF3yVKHKQ/wIACgBoxpAvLQQv -/PT6dpAg3gRz8PAAKmAIEDAwAAAAAAAAAPqgGCgAIB1wKZAAAMAECgobCQkbepFCjuAPAgB+8Twt -4gRkQAJ02e79NRQAABBQMNMPbVkUrqv7sBgsACAasCzAAPvJWnACAlKw/QtCCgAgcXD7bAwPqgC2 -4MziwCDRD4jhKnJvi+CbgIngiqSL5fiWASAAEHgw/+YAIAEQaDD/5gEiAABj8Fspgiwi/LDM/Cb8 -IAAQEDDRDwAAAAAAAAD8swZ//xBQMMChZa9pY/+ZLXKPsd0tdo9j/ycAbBAG/nwAAgAAOPCXEQvq -MBN8Vigyj54QGnm7+zaPKgC6xtBoUCAtojH6Mo4gABBgMFiGqP16MBAAEGAwWIal+nmxFAAgXXBo -YB0rMo8tojH6Mo4gABBgMFiGnv16JhAAEGAwWIacq2b6LAACAABZ8PxMAAABEGgwW/7i86wAACMA -NqD6CgUgMBBYMPx8OhIAAGjwWIYGlTL2NgMiAAAQ8NEPwKX8fDUQMBBYMFiF/y4i/P8SACR8AT+g -y/YpIv4afCqNkSqibouQm9COkIqki5X95gEgABBAMPiWACIAAGIw+JYBIAEQaDBbKTorIvywuysm -/Cwi/G/FcxN8GyMyboo0LaECzNbwABZgABBQMFsk/Is4+qoKAgAAQrALqguYpfOsAABJADagKS0E -laKWo5SkjHGcp4wQi3Cbpopzmjn9cgIh6AJKcP02CCAoADcgLiL9LSL8k+GeMJkx8yb9IAICa3D9 -JvwiAAAQ8NEP0jDRDwAAKCL+LyL8k4CYMZkw8yb+IAICe/D/JvwiAAAQ8NEPAAApMo6xmSk2jmP+ -gwBsEASEICgwBiUwByJCEAiIEQhTAvNFGiAOADSg8yUaIAAQEDDRD8Ag0Q8AAGwQFicwAgvqMBp6 -s9MPDwIAKKJ//wIACgJgRtAdeUcrpn8qon790jEgABBgMFiGNv15vhAAEGAwWIYz+hwAAgAAMvD7 -PBAgEBBgMFh8qSUwBykwBCgwCCswCSwwBSowCvC7EQiABDog+zAGKAkAWjD0MAsrgAQ6oPDMEQiA -BD5g/JkCC4AEPuD6RAIECQBdcPhEAgQJAE1w+TADIAkANWBoUAGlZSwQAPRACWD+EGgwaEABpGT6 -Cv8mAIRvEP8CAAYAflcQeJcgZFEJ+iwAAgAAWHD8fAACAABpcP5MAAAAEHgwW/9UKTAD/wIAAABo -ZlApIskPAgD+CgIiAGF2UC8is/8CAAAAW/vQLBAA/wIAAgBWclAoCv7/AgAGAGTHEPMtAyoATKVQ -KTEj/wIAAAEQaDD/AgAOAEC6UNsQ9z8UAVACUPBt+Q8usAAtoICxqvu8AS4BsmuQLQoBBwtC/goC -IC4ANuAB+Aj4gAAoACAX8PmdAyAIEFAw+6oMAVACSnApkIAAoAQICBsJCRt5iScrMhL6e4cQAWSC -4Pa7DAoABi6Q/wIACgFUqtAlNhL0NhMgABAQMNEPyFFofT3AINEPKxABLQrADbsB/wIAD/93muBj -/+faIPscAAIAAGHwW/7ZY/8ALxABKArACP8B/wIAD/+XG+Bj/8MAACwUEC8QBigQBysQBfsUFSD+ -EFAwKhQcKBQX/xQWIP8QSDApFBsvEAEpEAMoEAIqEAQqFBQoFBKKICkUEy8UESugPy+gPCmgPiig -PSgUHSkUHi8UGimgOisUHyugO/sUGSgRAHZw+RQYICACWHD5sgEgQAJ4cJnxiLCY8Ik+iLKY8ouz -+/YDIADMpmCJp4meK5K+9qwABADyAuAaeOuMoo+h+KIAIGACWHCYsJ+xnLKKo5qz+XjZEGACUHCM -oo+h+KIAIIACWHCYsJ+xnLIACYuKo/q2AyCgAkhwAElhAElhAElhAElhGHjQGnjbGXjbKRYVKhYU -KILsjjsuFheNOi0WFvwyDSCgAlhw/BYZIgAAUbD5MgwgBRBoMPkWGCACEGAwC4AAGHi7jYOPgf6C -AiDgAmBwnsKfwZ3DiID4xgAiAABRsP05KiCAAlhwW4M5KTA1KDA2LzA3LxSPKBSO+RSNIAAQUDAq -FIoqFIkqFIgqFIcqFIYqFIUqFIQqFIP6FIIg/xBgMPwUjCACEFgw/BSAL/8QcDD+NSogARBoMP0U -iyD+AnBw+xSBIAICc7CL4o3h+eIDIOACYHCZw53Bm8KO4P7GACIAAFGw/TkrIIACWHBbgxcpMhT9 -CgEv/xB4MP81KyAAEFAw+jYJIGQAOmCMZ4vOAJEEK70EKLAA8NkaD/8QcDAOmQMJiQEJCUf5tAAg -BwA2IGSQjcfPLDYUmj4nNSMkNhMlNhKdPi01IogZjxiOG549nzqYO40a/TYMIAEQWDD6IgAgARBg -MFsGKsAg0Q8AAHurRCo2EvQ2EyAAEBAw0Q//AgAL/qOukMef/wIAC/6erlBj/90qkr0skrwvkrv4 -krogYAJYcJiwn7GcspqzY/4cAAAAAAAAAPQ2EyAAEBAw0Q8qzBBbKj3A0fP/ZmAAEFAwAAAAAAAA -+vr/IgAAS3D+kwhwARBoMMDR2tD+CgIs6AC2oGP8gwAson6xzCymfmP7OABsEAjJOvo8AAAAEFgw -W/t8ya7Apfx6rxAwEFgwWIR30Q9kQGf6CgAiAABZMFv7dGWiERd4xCdyhypyASihAmSAV1sjg4t1 -HHhOCqkKC5kL+pUBIEYANmAtwnf7woAh+AI7MCdyhyzN5izAwYpyCd0R+RYFKgAgbvD/oQIgRgB/ -MC2yJ43ejd+dFGAAG8Ci/HqREDAQWDBYhFnRDwAAAAAusqeO7o7vnhRk/+1bI2mJdogUixUKpwv5 -dwsIACBCsPh1ECAAEEgwmXD5dgEvzAA14GQxfym0ACl0JpOyk3QqvBz8CgYiAABZcFh7SCZ1EZJ8 -LiA5LnQoLFAALVABLXQ9LHQ8KlACK1ADK3Q/KnQ+KFAEKVAFKXRB+HRAL4IQMDAmdCeKKyQgB/kg -OSB4AjHw9ARBABwAErDApPAABmoJAFJw2pD8elUQMBBYMP8iAiIAAGiw/iIAJOABVDD1FgAgBRBQ -MFiEJRh4Gx94lRx6S/0iACPABD0g/vIWIgAgRPArMjoaelX+7AEsCQBjcP72FiIAdELgKTI5CkoK -KqKRG3fkDwIA+p4BDgBo1lDwCwcCAABLsABJYQBJYR94CyRxEClxEfp4ChiABDlg+Ho1FAkAQTCa -4C0iAPnlDCIAAFmw8nehEDQCU7D/5gIgEBB4MP/mAygJAEMw+N0RAgkAETDy5gUgAhAQMPjmBCwJ -ABdw/eYBIAYQYDBYevzyNjkvgBBYMCt0J/5xECIAAGnw/3ERIAUQUDD8eikQMBBYMFiD79EPwKX8 -eiYQMBBYMFiD69EPAMDxL7QA/3QmIgAAcTDyDhYAEAJq8ABNY/AEFgAgAmHwAExhY/5jiCLJhCoK -Bfx6ChAwEFgwWIPcY/+XAAAAAAD6LAAAMAJZMPwKACACEGgwWy/kiicrCgD6rCAgARBgMFsq/h14 -zJ2gjCAbefn7pgIgARBoMPjMEQAwEFgw96YDLAkAazD8pgEiAABp8Px58RAFEFAwWIPEY/83AGwQ -BPIi6CIAADCwKW0EKZygcpEv+vr/IAEQWDD2CgAgEBBgMNMPbcoUomf3cAgoACAZsCiAAPeJEnAC -AjGwcpEC0Q8AwCDRDwAAAAD4cwZyAAAysNawZG/kgiDAYPKZw3AQEGAwY//bbBAEjSeN3izdBPvA -ACABEDAw/goEIgAAULD4Cg8gABAQMPzMgCgAI0bQbeoKACAECw8bf/cDsSLHLwIuCQAhBABvGg3u -CvjtAi4JAH7wL8SA/u0DIAICSPAp5YIp5YMlhoL0hoMgCgA24NEPxy/RDxt3exx3e1h/7dEPAGwQ -BIwnis4AMQQqrQT4oAAgARBIMPCZGg//EFgwC5kDCYkB+QlHAAAQEDD5pAAgBgA2IMiR0Q8AKswQ -WykqwCDRDwAAbBAEwEJtSlMoIr4sIrv7IrogABBQMPkKACA/ADYgjjCNMYczDrsM/cwMAAEQQDAM -ijj7iTgAABAgMPqQG3AAEHgwJiK9iTIlIrwHZgwGhDgJVQwFjzh0+AYiLEDAINEPIi0DIizg0Q9s -EAgkIgf8eZcQBRBQMPRCDiAwEFgwWINZKDAV+TAUIACLniBlkQ8qMDj8Cv4kAISeoCswFi0wFyow -Ev4KwC4AfGLQDt0B/wIADgB2m2ArMBMIqhH7ChsqCQBasPWsDAoAa8ag/Mw2JgAgLPD+eX8aASri -0C1wBC9wBQjdEQ/dAv3PQgoADWuQHnbv//wDLWABbDDw8QQMCQB3cADdGitCtfpCtCAAEGAwWISA -wKX8eW8QChAoMAW1LPsKMCIAAGlwWIMuKHAaKXAbCIgRCYgCZYB0KUL6LUL5+jqAIAEQMDD3FgQg -2AA6YIsni74svQQswAAA0AQMDht/508N3gkL7gou7QMv4YIu4YMP7gx16z8pQvoAkAQMDxv/AgAA -AIJ/0AmdCQvdCi3dAy7Rgi3Rgw7dDGAA7MCl/HlNEDAQWDBYgw7AINEPwCDRD8fvdeO/jxSP8sB/ -+/r/IFQAt+CIFIiDZYBIiRSJlGWQQYwUjMXPyhx2zY/DiMKJwZkRmBKfE/zCACIAAGhw/BYAIBAQ -cDBt6hUuMCYv0AD/AgAOANLz0PM8ASACAmtwwCDRD4sU+7wIIgAAUTBb/y0sOoDzrAABPAA2oIqo -hCf9Qg4gAEaCoC/dBP4yByEAAnvwK/CAAOAECwgbf4dNDukJDZkKKZ0DKJGCKZGDCJkMdZs9AKAE -Cwwb/wIAAABm/xAKrgkN7Qot3QMu0YIt0YMO3QxgALXH38Cl/HkTEDAQWDBYgtPAINEPAAAAx491 -g8EAoQTwaRoP/xBwMA6ZAwm5AQkJR/n0gCAHADbgZJDPrNsqsID+OQwoAEO+kPkKACAEEHgwbfoK -AJAECggbf4cDsZnHnxx4/QmYCQCRBABnGv2GCgYJAD6wJ7SAL20C9m0DIAICIXAkZYIkZYP+9oIi -AAAycPz2gyBbADagmTj1NgcgABAQMNEPwKX8eO0QMBBYMFiCq8Ag0Q/H38Cl/HjpEDAQWDBYgqbA -INEPAAAA9TYHL/8QSDD5NgggABAQMNEPAMCl/HjgEDAQWDBYgpzAINEPG3aJ/HaJEgAAULBYfvqW -OPU2ByAAEBAw0Q8AACpMEFsoQo0njd7z/yJjgBBgMAAAAAAAAP7zB3IAAGrwBm0CZN31KUL6jyf9 -8g4gTAA6YPCRBAgAIFNwLoCAAGkaC5kDCekBCQlH+YSAIAcAN6BkkJeq2yqwgHeqYvkKACAEEGAw -bcoKAJAECg4bf+cDsZnHnxd4uQmcCQCRBABuGg3MCv/NAi4JAHKwLrSA/M0DIAICQXAoxYP4xYIi -AAAacPf2gyAAEFgw+/aCICQANqApRvr1RvkiAAAS8NEPAPVG+S//EGgw/Ub6IAAQEDDRDxt2Tfx2 -TRIAAFCwWH6+I0b69Ub5IAAQEDDRDyr8EFsoBo0njd7z/1pjgBBQMABsEAqEJ/x4lhAFEFAw9EIO -IDAQWDBYgk7aEPwKECBMAljwWHlPKhwQ/AoQICwCWPBYeUsmEgMvEgL8EgEiAABRMPsSACACEEAw -bYpLKaK+9AoBIAAQcDD9CgAgOgA2YCiiuimiuwuIDAyZDAlOOPhNOAAAEEgw+KK9LgAN91Auorz2 -iAwAABBoMAhNOA/uDA5JOH2YBiqsQMAg0Q8p2iB5oQUror5otAPAINEPJDASKDATDwIACEQRCEQC -/AqAIHACaTD/AgAKAEjvENog/HhlEIwCWTBbBEX2rAAPzAA2oC0Kgf2lECBsAmEw/KURIgAAWHAC -C4b7FgggUAJSsPAKogAgAkhw8AkWAHACQbD5FgkgBhBgMPAIoAAMAljw9GYSIJgCUbBYeQ6lO/xM -AACkAlGwWHkLjiJl72z6LAACAABZsPwKCiADEGgwWsD1wCDRDwAAAAAAAP1MAAAFEFAw/HhCEDAQ -WDBYgfnAINEPAGwQCv5AECIAAFDw/0ARIgAAWTD4QCogARAwMPlALC+ABDug//r/LgkAe7D05QgC -APOaIMCEbYoPLbAuLKBgsar7vAEuAPJjUIsg+7w6IgAAUTBtmQ8tsAAsoEaxqvu8AS4A2+NQjSD8 -eCUQBRBQMP7t/yAwEFgw/dIAIcwCc7BYgdgqPGz8CgQgdAJZMFh42PRNASDQAnjw9zx0IDQCITD2 -PGQqALkpEJ8TlhX3FgYg8AJA8PgWByDgAkjwmRgYeBApPQH4FgIhpAJKcPkWASBgAkIw+BYEIDMQ -ODDwAD9gERAwMAAAAAD/AgAANRBQMP8CAAYAUT7Q+rFPcDYQYDB8uQyKE/wKBCAEAlkwWHi4LUAB -st2tRP8CAAoAfakQK0AA/wIAAABwBuD/AgACAGQG4P8CAAYAWALgdrmqKjAX/wIAAf/mYpBgAF+M -FC8yFY0gLkAC/hYJIAUQUDD90gAgMBBYMFiBnokZaJIVaZWfLjIV/wIAAgBPn6DA9S82FWP/jCgy -Ff8CAAIARhogwJQpNhVj/3mKFfwKBCAEAlkwWHiTY/9pAAAAAAArNNAuQAEuNNEsQAEtGgANzDb6 -EgEs4AFgMPwWACAEAlkwWHiI/BICIAUQUDD9EgAgMBBYMFiBf2P/KYoW/AoEIAQCWTBYeH9j/xmK -F/wKBCAEAlkwWHh7Y/8Jihj8CgQgBAJZMFh4d2P++dEPfcMB32Bl//Vj/kEAAAAAAPzTBnIAAFPw -2mBlr+Bj/g9sEASKNPJ1SRhwAVQw/ZcHf/sQQDAImQEoIm0iIoCpiAmIEfmucXIAIECwhCeETihC -Gf8CAAAAdSYg/HekEAUQUDD9QhkgMBBYMFiBVygwRikwRxt3n/o9ASmABDog+YgCAPQQSDD6rLgs -AEBKECkKBG2aDyygfi2wgLG7/Nl4cAICUrDaQPtMECBAAmDwW/9OwCDRDwAAAPx3jxAFEFAw/TEK -IDAQWDD+IhAiAAB4sFiBPSoiEMDh/woAIAAQaDD5CgAgLAA2oCsxCiyhG/wMSwtgAVwwDLsMC6k4 -Ce84D+049d9FYgUASnDAINEPAADz/+hgABBIMP3DBn//EFAwwKFkr379IgAgBRBQMPx3chAwEFgw -WIEkwCDRDwAAAAD9IgAgBRBQMPx3bRAwEFgwWIEdwCDRDwBsEAQiIhD0IA9lYAEcMCQhGwQES3VJ -AdEPwCDRDwAAAGwQBIQqgkpkIEf++v8gARB4MP0KQiAAEFAw8AAQYAMQQDCCKMCg+AoDICgANKBt -ihSiq/uwTiwAIBqwLMAg+qwBLgBvWxAsIAUPAgB9wdJlIFobdjoZdj0edmWNQBh0zYVHEnVs+IL4 -IgAAUTD1Ug4sCQB3cP0mrCAEEGAw+SatIAUQaDALgAApIq0JiUdkkEoqUDorUDsIqhELqgKxqipU -OwqKFPpUOiAAEBAwKixO/AoDIEACWPBYd+krTEj8CgMgogJQsFh35ooniq60O/qseCAGEGAwWHfi -0Q8oIrYSdhAZdeQiIn8JiAEJiBH+dkMSACBAsIwsHXTmDswBDcwCnCwrUG4sUG8IuxEMuwKxuytU -bwuLFCtUbmP/jwAAAPyzBnIAAFOw2vBlrvRj/xVsEAQoIGr6LAAAARBYMPwKACAkEEgw+SQFIAIC -QjD4JGogAhBoMFsHXNEPAGwQBNMPbUoPJyAAJDAAsTP0eQhwAgIQsMAg0Q/0cwh//xAQMMAh0Q/R -DwAAbBAEwNH4RVpv/xBgMBt2/CogU/68BiAAEEgwepEx0rD0CgAgBhAoMA8CANMPbVoU9yB+KAAg -GTAogAaxRPeJd3ACAhCwwCHRDwAAAAAAAAD5nAEgYAJa8P7sMCV+AjpgYABOKiBTF3bn+QoAIBwQ -cDB6kTMkcn/KTStABMAg/rkhcAYQeDBt+hSkKPiAQCoAIBywK7AG+Lk2cAICELDAIdEPAIRJZU/Q -sZn3fDAlhgI6YMAg0Q8AAPhzBnIAABMw0tBlL4rAIdEPAAAAAAAAAPuDBnIAABMw0tBlL8Vj/+Rs -EAYkICbydscSAAAosNMPBEQJDEQRBCIIIiJ/0w/0ChwgJwA0oAMKS20ICSggBHSBBoIpySFj/+8p -IRkJCUt5qe/II2ACuAAAFnUKKmKMK6ECZLEYWx95G3RFHXTUJ7JrImKHGHQu/NIPJgAgVfD4FgMn -kAQ94PAIBwIAIDiw9ywgIAICYzD81g8iAABJ8ABJYQBJYQBJYQBJYQBJYQBJYSu95i5QBy9QFihQ -DSZQDC1QJvlRByCwAlCwmhIN3An5JQctwAQ7IPYkDCoAIGbwK70fFnV8LSRT+CQNIAEQQDD4JAQm -ACBhsCZiIC4kBy0kU5UoJiYVLyQWFnV8/3aKELQCWvD/JRYgBhBgMFh3Ohp0p4qrK6ECybFbH0gb -dKMPAgCLvAKsEay7KyYYKlAmGXVlCqoJDKoRqpkskiRkwiaJyciebQgJ+ZIJIgAAYnDIkWP/75LJ -wMCcKSwlF/wmCiAkEFgw+yQFIAsAtKDAINEPAAAAKSBbKCBcLyBdLyRqKCRpKSRoLlAmHXVOnhEO -7gkM7hH0JAQsACB3cC3QfxtzqPosOCAGEGAw+hYALTAEP2D9PQIKACB28P0lGSoAIDbwWHcNjxGE -LgBEBIgvBEoU+iYOIFAQSDApJDj4RBgAABAYMPQmDy7oASAw8yQ/LgkAe7AuJD4jUCYbc5EDPQn8 -3REABhBgMP27CACQAiCw9rsIAgAAUTBYdvcARAQsIhMrIhL+IGggABBIMPkkQi/9EHgwLyRB/iRD -IA4QQDAoJEALTRQtJhIMuxgtIGktJET7JhMgUBBgMCwkSPwgairoAVgw/CRFKgkAGrD6JE4vgBBY -MPskTyIAAFCwWAIP86wAAH4AtqDAovx2KBAyEFgwWH/ViicqrBBbJYKPJ4sT+PrAIEACc/D47gEA -ABBoMP31FCCAAnOwnvj+9gkgJBBgMCwkBZ0qnSkAC4sAR2EAR2EAR2EAR2EAR2EAR2EadGWLIC6i -cvqijCAAEGAw/rsMAAEQaDBbIwbAINEPAADRDyqsSPwKAyDQAliwWHa69RIAIgAAWTD8CggggAJQ -8Fh2tdtQ/AoIIHACUPBYdrL0EgIg2AJQ8PwKBiCAAliwWHat20D8CgYg5AJQ8Fh2qsLL/DQFICQQ -WDD7JAUiAABQsFuy4NEPACKWJGP96AAAbBAEEnXsAzUJDFURpSIiIn/TD8oh8wocJWABIDBtCAkm -IARzYQaCKcgsY//vKCEZCAhLeEnv0Q/AINEPbBAIKCA1JSA0LSAz8iw4JYAEPWD4VQICAHCPYP8C -AAAAbKdg9AoAIPUANWAoPCD4FgIgMAJI8PkWASAUAlDw+hYAICACOPD3FgMgCAIw8PYWBCAPEDgw -8AASYAsQMDAAKyABpLTysgoKAFopEC0gAGjSHGjUKWjWNnbRRXfR4MCi/HXAEDIQWDBYf2zGKtEP -ihT8CgYgBAJYsFh2bGAASIoT/AoGIAgCWLBYdmhgADgsIAItIAMIzBENzAIsNQBj/52KEPwKBiAE -AliwWHZfihH8CgggGAJYsFh2XIoS/AoDIBICWLBYdlktIAGk1PLSCgv/va0QYAAja9UH/wIAA/+S -D2D/AgAF/44HYMCi/HWeEDIQWDBYf0nGKtEPwCDRDwAAAGwQGhh0Egg5EfkWACAVEEgw8AgXABAC -QHBtmgIACIr0dE8QQgJQcPQWAiCQAliw/SIAIAsQcDD/Ov8gARAwMP8VDCAAECAw9BQbLYAEP2D2 -FCAsCQB3cP0WAyADEGAwWHYyJBQ5JBQ6JBQ7KBACLBAB/RAAIAMQcDD+FDggSgJIcP2UACB4AlBw -/JQBIKgCWLD4lAIgEBBgMFh2IyocTPwKCCCAAliwWHYgKhxU/AoIIHACWLBYdhwqHHz8CgggyAJY -sFh2GRt0Txl0Uh50ehhy4yQUXCQUbCQUjPYVRCQQEGAwLBVB/BUhICAQUDAqFDz6FD0g/xBoMC0V -Qi0iAC8iBxVzdviC+CIAAFCw8vIOLAkAd3D9VqwgBBBgMPlWrSAFEGgwC4AALlKtDo5HyecvIDoo -IDsI/xEI/wKx/y8kOw+PFC8kOtEPG3ReGHP8H3QmJFK2HnVEL/J//HRZFABAQTD6dFoVkAQ5IP4+ -DAIAICfwiTz9CgEgABAgMA7UOPTKOQgAQF5wCpkCmTwoIG4pIG8IiBEJiAKxiCgkbwiIFPgkbiAG -ALTg0Q8qPE77HAAAAxBgMFh13PscCCIAAFDw/AoLIAIQaDBYBNnDofswBSBBEEgw+zQwKAUAJrAp -NAXRDwBsEAwYcq4bcqz9IAwgABBwMJ4QnhGeEp4TnhSeFZ4WnheeGCyyd54ZK7KA/hYKLAAgazD+ -FgstkAQ7IP4WDCoAIGbwKrEpnh2eHv4WDyAwBEKwLCAmH3PuDM0JDN0Rr98v8H1//wHRD9Kw/3KV -EgUAKXCIIJ8S/3LkEAQQSDD/FgYpgAQ6IPlyjxgJAEowmRCYESiwBwgIQQCIEQiqAg+qAvoWBCAD -EHgwCeowGHT3G3T3KRYF/hYHKAkAQzAoFgguMgAvFgkmFC3+FgogdAJQcPxCACoAIF9w/BYNIAYQ -YDBYdZj6LAACAABYcPwKBCACEGgwWx3g0Q8AAABsEAoqICYWc6APAgAKqAkMiBHzIAcmACBBsCht -ASiA+fsgFiD/EEgw8wNBAAAQODD2Yn8gASV2EHmxCADFjlsqL2SiqRhycww1EfpydBQAIEVwKFI6 -CjoK+qKXJAETxiApUjkbckL6lAEOAQzWUPALBwIAAEkwAElhAElhAElhAElhAElhKiEHGHK3G3Jn -+XTBG0ABUDDzcmMbwAQ6oP90vhoJAFqwmkCLIP3xAiAFEGAw//IAIDwQcDD+RgMrgAQ+4PNGAioJ -AGbwm0EjICYnRQqXSJdJl0r3RgsgLBBQMPdGDC5IATAw90YNL4AEO6D3Rg4gBhBgMPdGDyoHATQw -90YQK1AEPuD3RhEqCQB28PlGBijAATQw+5kCAwAEPOD3RhIiCQBM8PpFCyIJAETw80YEIDACcHD/ -5gAgQAJRMP3lAiIAAFuwWHU/LCAmG3HQDMwJDMwR83N5GgAgZvD7vR8gTAJRMPu8WiAGEGAwWHU2 -GnOW+kQtIIkQSDD5RCwgAhBoMC1EOP1EOSAFEHAw/kQ1IAEQQDD4RDMgBBB4MP9EMSAQEEAw+EQu -IAAQeDD/RDAgABBwMC5ENCwgJhtxtAzMCQzMEfy7CAB0AlEw87sIAAYQYDBYdRzA5P5EQCADEGgw -LURBJiAmG3GpBmwJDMwR/LsIAEACUHDzuwgABhBgMFh1EYgYAEQEiRn4TBQABRAYMPwWCCBQEFgw -+xQgIIgCUTD5iBgACBBgMPgWCS7oAUQw9xQnLgkAN/D/FCYgQAJYcFh1AI8n/vrAIEACe/DzVjku -AEBz8Pf1BCCAAnOwnvCe8SsgFi0K/32xDvogJiAsEGAwWyl0wCDRD8Ag0Q8AiCJkgE+KIPxzURAK -EFgwmxL4qhEAARBYMPwWACoJAFqwmhGNJ/n6wCBAAlNwCakB99UUIIACSnD51gkgARBgMPnWCCIA -AFhwWyUIwCDRDwAAAAAAAPosAAAwAljw/AoBIAUQaDBbKeJj/5mMImXPlCsgJvosAAABEGAw+7wS -IAAQaDBbKdtj/3tsECTyIgoiAAAYsCQKAPkKLSE8ADSgKCAF/wIABgFHzhAqMAT8co8QoAJAcPsK -HC4AEGgw+QoVJgEW3pAkFhMADI0tFhJtmgIACIr7cskQmAJQcCmgAv+gASCQAkBwLYACJYABKxYU -LiIAJBRjJBSBJBSCJBSDKIAA+qAAIAQQYDD8FIAj/xAYMPMVMCCoAliw/BRoINICGHD/NAEgEBBg -MPk0AiDaAnhw+jQAIP4CUHD19AEvgAQ7oP30AiALEBgw+PQALgkAG7D+FhUgCgJSsFh0mvssQCD+ -AlBw+qwVIAgQYDBYdJX7LDgg/gJQcPqsHSAIEGAwWHSR+yxkIP4CUHD6rEUgCBBgMFh0jCQUpCQU -tCQU1BhxJPgVRCAgEHgwLxSELxSFjij7HFAiAABQsP7hGSALEGAw/iUpIAIQaDBYA4DCmykkBdEP -AAAAG3KyGnFD8AoHAgAASvAASWEASWEASWEASWEdc8qJMBhxQBJx4B5yrSiC9/4mrSIAAFDw9Cau -IAQQYDD0Jq8oCQBucPkmrCAFEGgwC4AAKSKtCYlHyZGLN4u+KrBPsar6tE8gABAQMNEPJSKuEnKQ -FnJkIiJ/BlUB+nEUFZAEPWD3cmsSACAosCYhKSggfCshP/sWCyABEEgwmRSZHJQYmBWUGQiICfQW -CinABDog9BYNJgAgRfAlcn8nfQL3cQQgFARRsBpx8ApqAiscQPUMRg5IASgw9X1AD5AEO6Dw3REM -CQBzMPoWBywJAGsw/BYGICACUHBbHAjJr8Cl/HOSEDIQWDBYfTbApfxzkBAyEFgwWH0zY/270Q8A -ABxzjP0SECAFEFAw/hIRIDIQWDBYfSvdYPxzhxIAAHFw/yB8IAUQUDD3FgAgMhBYMFh9JCgSESgm -Ey8SEC8kUGP9dQD9IgAgBRBQMPxzfBAyEFgwWH0bwCDRDwBsEAj1FgIiAABJ8PIWASCOADUg+3In -EAAQUDD5FgAv7xA4MPIWBC/7ECgw8nIiH/0QeDDTD20IISkwACgwAfiRTmoAIFIwaJI5aJQraJUY -aJoK84MKCgAiopBj/9WTFPP/72oAQBbwACb63wa7AfP/4GIAADDwAAAHuwHz/9NiAABg8AW7AfP/ -yGIAAGjwAAAPuwHz/7tiAABw8MiywCHRD4gRKIAmEnM/CIgJDIgRqCIiIn9kIYbz4AMgBhBYMPAA -CmAAEHAwAIIpZCFwLyIH9woAIAAQKDDTD//yDiAAECAw/xYDIAAQeDBtuhqi6vqgQCoAIG+wK7AC -DwIADwIA/uwBLgD2UtD+CgAgCBBYMNMPbboaour6oDgqACBnsCuwBA8CAA8CAP7sAS4A2lLQ/goA -IAgQWDDTD226GqLq+qBIKgAgN7ArsAgPAgAPAgD+7AEuAL5S0PoKACADEFgw0w9tuhSiq/uwUC4A -IDKwLuAF+qwBLgDFW5AuIDTTDw8CAHPhAiMkNP8IRwAAEHAw+woGLzQAtiAECUdlnykFCkfIrCos -SPwKCCAQAlmwWHOyBwtHybW1a/wKAyCgAlCwWHOtjRMs0SWxzCzVJY0ULdADf992ixQqsAQtsAX8 -sAYrgAQ6oA2qAvuwByuABDqgDKoC/iA2K4AEOqD7qgID6BBYMAuqLHrhJosS+iQ2IMwAevCNEyzR -JP4SACACAmMwLNUkjSD95gAgABAQMNEPAI4QjSD95gAgABAQMNEPghDH//8mACAAEBAw0Q+KJyqs -EFsiNMDALCQ2jBMrwE7+EgAgAgJa8CvETo0g/eYAIAAQEDDRD4onKqwQWyIqKCA2FHCMgyfTDwhE -KA8CAPpMAAAgAhjwWrW3/XATEgAAYTD+ctESAABasP8iACIAAFDwWrVtgycPAgAjPBDaMFqrQP8C -AAH/p56gYABEAAAAAAD1+v8r/0JekPP+fGABECgw9Pr/K/8mXpDz/kRgARAgMP/6/yv/Cl6Q8/4M -YAEQeDD3+v8r/zt20PP+bmABEDgw2jBaq0sTcIsLqBH0oDliACBE8AzqMCsyhYuwsKP8uwgCAABQ -8Fh+gBxw/yrCf/AxBAABEFgwALsaC6oCKsZ/WH61Y/69AAAA+goHIAEQWDBbIrMsMn8sNoNj/qZs -EAosIAUoIAT6IFMgKBBYMP1xThIAACCw9iAHIgAASbD6rgkAABA4MPkWCi/ABDug/t0IBiABMDD9 -0n8gAYmGIP8KJSYBit8Q+Ar/JgGG/xArIBb4sRdwABBgMC0WCwDFjlsn18DA/RILIzYANqAYcBkM -ZRH6cBoUACBFcC5SOgpqCvqilyQBPsegKVI5G2/o+pMBDgE31lDwCwcCAABI8ABJYQBJYQBJYQBJ -YQBJYSkhBxpwDgkJSv5wCxnABD5g9iEZKAkAVnCZMIgg/jYCIEAQeDD/NgMgBRBIMP9wUhmABDog -9tkUCAkASjCYMRhxZy5AJiw1Cpw4nDn8NgoqSAFsMPw2CyuABD7g/DYMKsABaDD8Ng0qCQBasPw2 -DioHAWww/DYPK1AEPuD8NhAnQAQ5oPw2ESlABD5g/DYSKAkAXnD8NhMgMBBYMPs1CygJAFZw+jwg -LwAEO6D4ZgIOCQBLsPY2Bi4JAHuw/jYEIe4ANeD8CgYggAJZ8Fhy4ytAJhdvdAu7CQy7EfZxHRoA -IF3w+70fIEwCUPD7vFogBhBgMFhy2hxxOvlvcxCAEFAwKjQ2+TQ3IAAQQDD4NDQgEBBwMP40LiCJ -EGgw/TQsIAIQWDArNDn7NDggARB4MC80My80Mfw0LSAGEGAw/DQ1IAAQeDAvNDArQCYLuwkMuxGr -e/a7CAB0AlDwWHLAwNP9NEEgBBBgMCw0QCRAJgRLCQy7Eft7CABAAlBw9rsIAAYQYDBYcrWIGPBE -BAAGEDAw+RIJIAUQODD4TBQAiAJQ8PwWCCBQEFgw+xQgIAAQeDD5iBgACBBgMPgWCS7oAUAw/xQn -LgkAI7D+FCYgQAJYcFhyovY0TCABEEgwKTRNjycaceqP/vo0TyAIEEAwKDROifCI8S7xGSmcNPn2 -ACACAkIw+PYBIAICc7D+9RkgABAgMCdWOSsgFi0K/32xCvogUyAwEGAwWycNiycs+sD6vCAgKBBo -MP0kBSoAQGKw9LUUIIACUrCaufq2CCAAEBAw0Q8AjiJk4HoacOKIIIkamRL4iBEAARBIMPoWACgJ -AEowmBGIJ//6wCBAAlIwD68B/IUUIIACe/D/hgkiAABYcP+GCCABEGAwWyKZwCDRD4Qo8/zrYgAA -OLDAINEPH3HH/fECIDACcHAt5QL/8gAgBhBgMP/mACIAAFuwWHJjY/38AAD6LAAAMAJZsPwKASAF -EGgwWydo8/9uYAAQYDAAAIgiZY9iKyBT+iwAAAEQYDD7vBIgABBoMFsnX/P/SWAAEGAwbBAa/nBe -EAsQWDD4cBgeABBIMPkWACAAECgw9RYBIBUQSDDwCBcAEAJAcNMPbZoCAAiKLhYCiiAsOv/1FBsg -BBBoMP0UICuABDqg/BUMKgkAWrD6FgMg5AC1ICMUOCUUOSUUOiUUOy8QAisQAPkQASAIAkBwLIAC -/oABIEICaHAu1AEs1AIogAD41AAgSgJAcPmEASB4AlBw+4QAIBAQYDD/hAIgqAJYsFhyJyocTPwK -CCCAAliwWHIkKhxU/AoIIHACWLBYciAqHHz8CgggyAJYsFhyHSUUXCUUjPUUbCAgEFAw+hQ8IFEQ -SDD6FD0g0ARI8BtusCsVIIwoLMEZ/CUpIB0ANSD7HAgiAABRMPwKCyACEGgwWAEO0Q8AAAAA+xwI -IgAAULD8CgsgAhBoMFgBB8LbLSQF0Q8A2hD8CgMgnAJZMFhyALQa/AoDIKICWTBYcf1j/wIuECDA -8g/uAi4UIGP/kwAAbBAE0w9tSg8nIAAkMACxM/R5DHACAhCwwCDRDwAAAAD0cwh//xAQMMAh0Q/R -DwAAbBAEhDQSb/oPAgD6bsYUcAEgMARECQxEEf6idyIAICCwLS0CLdAAKqKADwIA/t0IAAEQYDD0 -MQotkAQ/YPsifyoAIGqwWFFN+iKDIgAAWTD8PCAgggJo8FgbKsytwKL8cTEQMhBYMFh6ztEPKaAF -+aQwIAQQWDD7pFQgPxBAMPikBSCHEFgwWAu70Q9sEAYYcSZ4UQLRDwD6LAACAABY8PxMAAIAAGlw -/mwAAgAAeHBb/aFlr96OEP1vXRAkEFAw92/vEAEQYDD56BEAAGoDoCPSh6gzjzeP/on1/fIEIAIC -cnD+9gUqAAPLkC3cAZ30KSAm0w/TDwmZCQyZEfw0NygAIE3wKZB9/5eJcCYQWDAsMAV7wQf/AgAP -/73TEIo3LPrADwIA9aIOICQQaDD9NAUgQAJasPwKACoAQGbw/KUUIIACWvArpgn7pgggIAJSsFsg -Qi5RHoQ6se7+VR4gEQA1IMJdL0AFdfElhEllT/T6LAAAABBYMPwKACAAEGgwW/v5iTeJniiRGrGI -KJUa0Q/aQFgE4IRJZU/JY//RAAD6LAAAABBYMPwKACAAEGgwW/vtGm8eKqKMK6ECZL7VWxmNG25E -HG5X+m8ZEgAAcrAZbuUswmsqooeNn67M8AsHDZAEOyD93AEqACBisP2WDyBAAmqwAE1hAE1hAE1h -AE1hAE1hAE1hmhEoIBYsIQctIA3+IAwgARB4MC+kBC6kDC8gBy4gJi2kDSylBw7rCf1wrBvABD7g -/qRTKgAgXfArsiArphUopBYvpAcupFOSqP2lFiARADTg2zD6FgEiAABhMFutKiwgJoMRG23jDMwJ -DMwR9G68GgAgZvD7vR8gsAJQ8Pu8WiAGEGAwWHFJikstoQJk0AxbGVeOTAKvEa/uLjYYKSAmCZkJ -DJkRqXkqkiRkoGuJqciZ+ZIJIgAAUnBln/STqfU1FyAAEFgwmzn7NgogJBBQMPo0BS26ADTgjDeM -zo3F+sIEIAICW3D2NRkqAA5q0C3BGvvGBSACAnKw/sYEIAICa3AtxRrRDy/BGpvF+sYEIAICe/Av -xRrRDwAjliRj/54AAGwQBhht3PhRCXAAEFAwwCHRDwDbMPxMAAIAAGlw+hYAIgAAcfD/HAACAABQ -sFv8+GWv2ooQG28V+KDSYCYQYDAvslwJqhGq+o6nju4t4Rix3S3lGCmgBfyRHXAlEGgwLaQFLLF/ -/c8HcAAQEDDRDwBb/DbAINEPAP2iACAyEFgw/HBhEAUQUDBYefvAIdEPAGwQEi0gDC8gB4swKjAY -HG4q9DATIgAASTD5FgcgAxBwMP4WDiIAAEFw/sJnIgAAKPD4FgYmAgFQMPzCcCrgAVww/t0IDiAB -fDD/FhEtkAQ/YPsWEiwAIGsw/BYQIzsANuD5CsAiAFv2kP8CAAQAV4EgKbxMmRAnnB8HRxQpIAQP -AgBrlgf/AgAEAbOKYCsgFigK/3ixGYkWKiBB9MkaCOABTDCZHFslgf8CAAAFqiqgGW/PGm2a+G3C -EAcQaDD9Fg8i3gA1oCwSEQzLEfnNCgoAIEbwKxYTK7I6LBIT/dKvKgAHvtAswjn9xgEIAB5rEI4i -ZOZEKBIQj4ICKgL8EgcgARBIMP0SBi4JAE/w/4YCIgAAWPBbIojSoNEPmRBj/1QAAAAAAAAA8AoH -AgAAQbDTD215AgBIYSwhBx1tpw8CAAwMSgzMEfoSACwJAGswLGYAKyIAHG2f+mYDIgAAULD8ZgIr -gAQ+4Pt7AgIAAGEw+2YBIgAAWXBa6I0bbq8sIEEabc0dbXqDLSqicC4hIv4WFiOQBDzg/lAYIgAg -VPCTGCMyCC3SdwzMCS8wDAzMEf4eQAoAIGbw/hYKLAAgf3D7sn8tkAQ/YPsWFyoAIGqw+hYJIAEQ -YDBYT/opEheMNyggBS0SFvzCDiBQEFgw/BYNIBwEWjDE7n6BBMXyLyQF+iBBKkgBTDD5DkYOBwFM -MP3YFA1ABDtg/W7YGUAEOiD5CgAvUAQ/4PllCiuABD7g+225HgkAW7D4/wIAsBBAMP/uAgsABDqg -+GULKgkAcrD9zAIKCQBasPxmBiCQAliw+mYEIEACUbD6FgsgBhBgMFhwYSwgQRts8gzMCQzMEay7 -+70fIEwCUbD7vFogBhBgMFhwWR9uuvts8xCAEGAwLGQ2+2Q3IBAQSDD5ZC4giRBAMChkLP9kLSAB -EGgw/WQzIAIQUDD6ZDEgABBQMCpkMC0wBMHs/wIABgQL91AqbDz8ChgguAJYsFhwQypsVPwSEiBg -AllwWHBAwOL+ZDUgABBwMC5kNChQMA8CAGiBLSgWFfsKJiAkEEgw/QrKIgQAAiD/AgAEAdUCIPgW -FSQEJQYgLwpR/wIABgHSfhCLHYyxirD6rQEgAgJjMPy2ASFoAlKwmrApEhP3ljkkAIKGIP8CAAQA -jgEgKyAWLAr/0w98sQ0sEhL6IEEgeAJjMFskni4SEI3ix/4P3QH95gIgABAQMNEPAAAA8/zgYAgQ -ODD/AgAF/pEBICwSEQzLEai7KxYTK7I6d7MTCc0KLBITLdKvLMI5/cYBCABn6xCOImXtIisSEfos -AAABEGAw+7wYIgAAafBbJQ9j/QkAAAAAAPwSByIAAFCw/TEIIgAAWPBbA/D5rAAABBMqoIqnDwIA -LKkUZMbRhakvkgAuEgcI/xEP7gIuVgEtIAT5FgUkA9cDYAIqAvwSBSIAAFlwWwnU+RIFIoQANqD8 -EgciAABZcP0SBiIAAFJwWBwPwCDRDwAAiBmIgv8CAAH/e/4Q+hIJIAEQWDBapO//AgAF/3YZICkg -BcWm/wIAB/9v1lDaIFsZhGP+0gAAAPAKBwIAAEGw0w9teQIASGHaIPtcAAIAAGEwWue/LCEHHWzI -DAxKDMwRDcwCnGCJIAiZEfohIigJAE3wmWEjIEEebdj6FgMguAJYsPM9CQCQAkCw+mw8LcAEP2D+ -EhIsACB3cPgWBCBgAmFw+CAFIEACSbD04kRjAAQ84I8QHmyvnmL/ZgMgUBBwMP3SfyAmBHIwxP5/ -gQnF5v8CAA4Dr/IQiBMkFhj9jkIEBwFoMPjfFA+ABDug9UQRD0AEP+D/RAIOwAFsMP5uqh4JAHfw -BIgR9GyJHgkAJ/D+bpkYCQByMJ5n+GYGLgkAfPD4EhIuCQAn8PQSBCAAEHAwLmUK/2YEIHgCQjAo -ZQsIBIYASWkoISr+ZC8gABB4MC9kMS9kMv9kMyAAECAwJGQ1JGQ2JGQ3KGQt+IgUAAAQIDD0ZDAg -ABB4MC9kLvhkLCAAEHgwL2Q0LiBXLmQ7Do4ULmQ6Do4ULmQ5Do4ULmQ4KLABJLAAJKQAKKQBJBIY -LrADL7AC/6QCIIACSbD+pAMgwAJAsAIIiABJigAIiAAJiigiHChkU/wSEiIAAFswCIgUKGRSCIgU -KGRRCIgU+GRQIKgCUbBYb2crEhL6IHQqACBdsCq0WCkSE/eWOSICLoUgKFASDwIAZIVLFGxsgycE -hCj6TAAAIAIY8Fqxmf1r9BIAAGEw/m1bEgAAWrD/IgAiAABQ8FqxT4MnDwIAIzwQ2jBapyL/AgAB -/lYeoGAFiQAAAAAA8/nBYgAAEnCMHSrBILGqKsUgYAAMaITvjh0s4SKxzCzlIitkNf8SCiACEFAw -KmTJKmTIih8pZDn7jBQACBBwMPxkNCoFAHuwKmQ4LjAEwfz4FhUv/hD7kIsYDWoI+7xsIAYQYDBY -bzIoEhVj/AYAAAAAKxIR+iwAAAEQYDD7vBgiAABp8FskNWP5oR9sH59i/2xYEEQQcDCeY44tL/Jw -Ce4R/BYBLgAgd/CP9y3Sf/0WAiBQEHAw/fIOIDIEcjDE7vwWASAeBHIw/BYBIFIQeDAvJAWIEo4T -+A9GDEgBQDD4eEANgAQ7IP7cFA4JAGfw9YgRDUAEOyAMiAL4a/MeCQBH8A8/Agj/Ap9kH24NHG4A -+FINL0AEO6D8ZgcuCQB7sJ5m/hIEIHgCQjD4ZQsgABBAMChlCi/gASzgACyUAC+UASjgAy/gAi+U -AiiUAyzgBSjgBCiUBCyUBS/gByzgBiyUBi+UByjgCS/gCC+UCCiUCSzgCi7gCy6UCyyUCikhKilk -LQmJFClkLCggVy0WFChkOwiIFChkOgiIFChkOQiIFPhkOCAYEGAwWG7hIxIUixH8ChAgsAJRsFhu -3Rxr0/xmGiAIEGgwLWYbKyB0K2R0KhITG243J6Y5ii4pYhfAgPmcQCYAi16QHWwBLdJwCa4Rrt2N -143eLNACKtAAJtAEK9ABJ9AF+GYRC4AEOqD70AYqCQBasPfQByYJADmw+KoRB4AEOaD70AMmCQBZ -sPyqAgeABDmg+KoRBgkAObD7qgIGACAycPlrBnoAIFIwsaov0A0r0Akq1AMm1AcKjBQGjhQu1AYs -1AIm0A4q0AgMjBQOjhQu1AUs1AEOjhQu1AQu0AwMjBT81AArgAQ6oPzQCi+ABDug+6oCDgkAe7D/ -0A8vgAQ7oPvQCy4JADOw+KoRD4AEO6D8qgIOCQB7sPbsASuABDqg+6oCCgADcZCxqibUDyrUCwqP -FAaLFCvUDi/UCguLFA+PFC/UCSvUDQ+PFAuLFCvUDC/UCC4wAiwwACowBC0wASswBfiqEQ2ABDsg -/TAGLAkAazD7MAcqCQBasPjMEQuABDqg/TADKgkAarD+zAILgAQ6oPjMEQoJAFqw/cwCCgAgUnD5 -qwZ4ACBiMLGIKjQHKTAJKzAMKDQDCo0UCIwULDQCLTQGKDAIDY0UDIwULDQBLTQFDIwUDY0ULTQE -/TANK4AEPuD8NAApgAQ6IPwwDigJAEow+TAKKgkAbvD9MA8rgAQ+4PiIEQoJAGbw/DALK4AEPuD5 -iAIKCQBu8Pm8ASmABDog/IgCCgADWlCxiCk0Dyg0CwiOFAmPFC80Di40Cg+PFA6OFC40CS80DQ6O -FA+PFC80DP40CCP91Z0gKCAFxZb/AgAGAJLOEAIqAlsX8MAg0Q8AAAAA8/ksYAAQKDAba3KMLiuy -cAnMEay7i7eLvoob+7x4IAYQYDBYbjvAwPxkNyAAEGAwLGQ2Y/e+jh8qbQErZDX5ZDkgABBoMC1k -NPkSCiACEGAwLGQzLGTI/GTJIAAQeDD/ZDcgCBBAMPsSCCAAEHgw/2Q2IZQCUrD5jjkABhBgMP5k -OCDYAlrwWG4iKBIVY/fEjR0s0R8qbGb7EgggAgJjMPzVHyACEEgw+WRkIAkQQDD5ZGUgCxB4MP9k -OSANEHAw/mQ1IAAQaDD9ZDQg2AJa8PhkOCAGEGAwWG4NKBIVY/dzix7A0vTbOAIAAFCwWBTyY/eM -ACpcGfwKAyCiAliwWG4EKlwd/AoDIJwCWLBYbgBj+DbAINEPAI4caeIOiifbUPwSByBAAlKwWx4i -iyJksIItEhCM0sDhDswC/NYCIAAQEDDRDy8xCCg6/3jxxPosAAIAAFjw/BIHIIgQaDBYGzDAINEP -2jBapdwTaxwLqBH0oGxiACBE8AzqMCsyhYuwsKP8uwgCAABQ8Fh5ERxrENMPKsKY8DEEAAEQWDAA -uxoLqgIqxphYeUVj9tPF4i4kBWP4nCsgQfosAAABEGAw+7wSIAAQaDBbIt0tEhCM0sDhDswC/NYC -IAAQEDDRDwAA+goHIAEQWDBbHTcsMn8sNoNj9otsEDImMgQVa9XTD/5qoRZwATAwBmYJ+mvCF8AE -OaD+4nckACA1cC1dAi3QACqiXNMP/t0IAAEQYDD2MQotkAQ/YPtSfyoAIGqwWE0nKDBF+mqREAAQ -ODDTD/kxJyABK+oQ/AoiLgEn0lArMDz/AgAGAPpm0P0hNyB4AiDw8AQWALgCeLDwD6ACAABQcP4x -JiADEGAw/iU2ILoCILD9JTciAABZMFhtnSkgYiogYSpEAPlEASIAAFhw+CBjIAMQYDD4RAIgwgJQ -sFhtlCpcNPwKCCDgAljwWG2RKlw8/AoIINACWPBYbY0qXFz8CggguAJY8Fhtivs9ASDQAlFw/TIZ -IBAQYDD9VhkhMAJa8Fhtgx5rTP4WWiAQAkBw0w/wDhcAFRBIMG2aAgAIiiocIf5riBADEGAw/hYC -IKICIXD/UgAgCxBAMPsKASAEEGgw/RQbI/8QSDD5FQwvgAQ/4PsUIC4JAEfw/xYDIgAAWTBYbWsq -HCXzXE4gAxBgMPQWWSIAAFjwWG1lJxQ5JxQ69xQ7IHgCUHDzFlggEBBgMP8KAiCoAiGw/xQ4IgAA -WTBYbVsqHEzzbEAgCBBgMPQWVyIAAFjwWG1WKhxU9Gw4IAgQYDDzFlYiAABZMFhtUPocfCDIAhmw -/AoIIgAAWPBYbUvCsCsUPCsUPSpSGfcUXCALEGAw9xRsIAEQSDD5FUQgAhBoMPcUjCD/EEAw+hYS -IBACWHD4FUIiAABQsFv8Pi1QBfwKCCA2EFAw/VQwIPQIU3DaUG3KFy6gPCxgQLFm/wIAAAICUrD/ -AgAOAEHjkMTULVQF0Q/6UoMiAABZsPw8ICCCAmjwWBZ+9awAAFIANqAWayguMDwoohYmYlz5iBEA -IhB4MP/hJXYAIEGwLdEIAioC/EwAAgAAWPBbAQ/yrAANxAC2oNEPKlQF0Q8AHmsZLuF/fefV8//V -Y/8QaDDAovxsdBAyEFgwWHYN0Q/84wZ//xBQMMChZ69zIgo5ctENGGtlL1IT0w8I/wEvVhMqElop -HH8pnDHwChcAFRBQMG2qAgAJiisSWR9rDv8WLCADEGAw/VIAIP4CUHD3FMMj/xBwMP4VYCABEHgw -+N0RAAsQcDD/FMgsCQB3cP0WLSCUAlKwWGzy+xJYIP4CUHD6rE4gAxBgMFhs7ScU4ScU4icU4/sS -VyADEGAw/BTgIP4CUHD8ChAgygJSsFhs5PsSViD+AlBw+qx1IAgQYDBYbN/6HH8iAABZMPqsfSAI -EGAwWGzb9Ar/IgAAWPD6HQEgIBAwMPqsJCAIEGAwWGzUJhTkJhTl9BWWIgAAUXD+HQEgARB4MP8V -mCALEGAw9+QEIAIQaDD35BQg/gJYcPfkNCBiAlrwW/vIIlQF0Q8AbBAEijqMKfgiDCASCFCwnDpg -ABrJpYup0w8PAgBysQz6vAAACQA24Iu5crnynKn6Ig0gABBgMJwq/CYLIDAQSDD8JgkgCwA2ICkk -BdEPAABlr/UabBH7IgAgKxBoMC0kBS6iciqijP67DAABEGgwWxju0Q9sEAQqIgcrCiv7JAUgIAJS -sFsbTYMsyDraMFumJIM7ZT/1gyzAcPsiDSAUADTgZbBSky1gAAUAAJO7mzyXLIMqyTPDbigwBfQy -CCAiBDIw80wAD/EAtSCXKtEPAIo3KqwQWxs5hTrIWtpQW6YQhVtlX/WFOvsyCyAoADVgz7KVO2AA -GgCJu2Sfrm0ICvmSCyIAAFpwZJ+gY//ulbubXJc6izn3NgggJAA24MTCLDQFY/+cibvTD2Sf4G0I -CvmSCyIAAFpwZJ/SY//ujTvTD2Xf2BpqfPsyACA/EGAwLDQFLqJy+qKMIAEQaDD+uwwAABBgMFsY -sB5p1o3usN2d7mP/TQAAAGwQECggBSQiCCoKLfVCCCYBQtYQKyIHKiQF+vrAIEACSvD6mQEAABAw -MPa1FCCAAkpwKbYJ+bYIICACUvBbGwH3alcQbgA04B1pMhhpMClQDPNyXCAgAnBwluCW4ZbiluOW -5JblluaW5yiCd5bolun25gooACBKMPbmCymQBDog9uYMIgAgRPAqMSmW7Zbu9uYPID4EarAsUCYZ -anIMzQkM3RGp2SmQfdMP/wIAAgD5/lATanAeaQEsQhX0QgggBBAoMPAOBwIAAEjwAElhAElhAElh -AElhGGp+H2j6+Wj6EBQCUPD1hj8g2AJYsPmFgC4JAH8w/4Y+IAYQYDBYbCYYaPMogtn6TAACAABY -8PwKBCAFEGgwC4AAgyrKMcNO8AAPYD8QKDAAANowW6R1gzjIPCowBXSp8HWp7YM4ZT/xgytkMSL7 -ahQQVhAwMPsWFSBOECgwijcsqRQrMAX0ogkgJwA3IHaxJ/8CAAYAZS7Q9TQFICACUrBbGrItcX9+ -1xjNSGAArwAA8//ZYAAQIDDaMFsVq2AAnQAAZECYLBIVjkKNQyhCAClABy8xCC8WFykWFPkyACj4 -AUAwKBYW+RYAIAUQUDD4FgEgMhBYMFh07itxfyoSF/w6/yCqAHbw/RIWIMQEYrBo1ihkQEj8EhQi -AABZMP8KhCIAAFDw/0UIIAEQcDD+NBUgABBoMFgYCmAAIi0SFIo3wMAPAgD73AAAQAJSsFsYmPP/ -vmIAACKwKzr/e6EOgztlPxmDK/AAJ2AAEDAwjTf++sAgQAJjcP4KACwAQHMw/tUUIIACYzCc2ZzY -Y//PAAD7IgwgKQA04Mq0KbILDwIADwIAyJ5tCAn5kgsiAABacMiRY//vk7ubPJYr0Q/RDwCTLJYr -0Q8AAAAbaO4VaJyPMBhom5gUlRb4/xEABBAoMPsWCi4JAC/wnxUuMAcODkEA7hEOqgILqgL6Fggg -AxBwMAnqMBhrABtrAJkZ9hYLKAkAQzCYHI9Anh0mFD3/Fg4glAJQcP8iACAGEGAw/xYRKgAgX3BY -a6L7HBAiAABQ8PwKBCACEGgwWxPqY/2LAABsEASJJ8Cg/PrAICYQWDDzkg4gQAJCcPskBSgAQGIw -+pUUIIACQjCYmfiWCCAgAlJwWxo6LTEdgiqx3f01HSAuADSg8AAZYC0QGDAAAAAAAPosAAABEFgw -W/8fIiIJyCsuIAVz6emCKWUv9NEP0Q8AbBAaGGlIKQoV8AgXAgAAQHBtmgIACIodat0cat0E3Dks -FgCLIPk6/yABEFAw+RUIIAsQYDD6FBgrgAQ+4PocGSoJAGbw+xYBIAMQYDD8FBMgogJY8Fhrais8 -TvwKAyA6AlBwWGtnKgoAKhQxKhQy+hQzIAIQWDD7FDAgZgA1IB9pkCgiDfoWKiADEGAw//J/IHAC -cHD6FDMg/gJIcPoUMimQBDog+xQwLgAgR/D6FDEgcAJb8PMLFgCAAlBw8loeAIACQ/DxCBYAkAJb -8PIeHgBSAlJwWGtKKBIqCIgUKBYS+iwAAgAAWHD8CgsgAhBoMFv6RdEPAABsEBoYaQopChXwCBcC -AABAcG2aAgAIihtqofsWACABECgw+iIAIAMQYDD8FBMj/xBIMPkVCCALEFgw9RQYK4AEOqD7qgIA -ogJY8PoWASAyAlBwWGstKhwd/AoDIJwCWPBYayn7CgggEBB4MP8UMSAAEHAw/hQyIBQQaDD9FDMg -AhBgMPwUMCAXADUgKEAA0w/5QAIoAJYCICsUNmAADYk8CQpQC6oRBaoCKhQ2FmkYLWF/+xQ0IBIQ -eDD81AEAIhBwMPQKVi4FACPw/hYQLgBtZ1AoIAX/AgAGAKemECQkBSowBcOZ+2F/LgBTypD5Cgcg -AFP60CwgBf8CAA4ATiMQiy0qYlwJuxGrqoqqyan7rGAg/gJQcPqsKSAEEGAwWGr6KhIqYAAHAAAa -Z+EqFioeZ+CMJx1o9/rqOAPoEFgwC6ssDbso+xYqICACUzBbGZknEiqEJ/p8AAAgAiEwWq0p/WeE -EgAAYfD+aOsSAABasP8iACIAAFEwWqzfgicPAgAiLBDaIFqisv8CAAAAXQagwIcoNFTRDwAqNDD5 -NFQgPRBYMCs0BdEP+iwAAgAAWHD8CgsgAhBoMFv51WP/IQAA+XpAA/9qYlD5bUAD/2ZmUI5De+cT -/wIAAf9f65AYajAvMRkI/wEvNRkWaWQuNRmEPPZnxRQAQDEwDqgQCEQC9mopFABAMTAP2BD5X0AE -CQBBMPD/EQgAQDUwD5kCmTxj/n0AAAAAAAD6LAACAABYcPwKCyBPEEAw+CQFIAIQaDBb+bNj/pna -IFqioRJn4guoEfSgO2IAIECwDOowKyKFK7IAIqz//LsIAgAAULBYddYqYoQAIQQAWxoLqgIqZoRY -dg3Axyw0VNEPAAAAAAAAAPoKByABEFgwWxoJLiJ//iaDIAcQaDAtNFTRDwBsEBoYaF8pChXwCBcC -AABAcG2aAgAIihtonvsWACABEDAw+iIAIAMQYDD8FBMj/xBIMPkVCCALEFgw9hQYK4AEOqD7qgIA -ogJY8PoWASAyAlBwWGqCKhwd/AoDIJwCWPBYan4lCgD1FDEgaAJQcPUUMiACEGAw/BQwIKgCWTD1 -FDMgEBBgMFhqdSocRPwKCCCAAlkwWGpxKhxM/AoIIHACWTBYam4rTGT8Cggg6AJQcFhqasKAKBQ0 -KBQ1LzIZLxYQ9hVAIgAAULD1FFQiAABYcPUUZCALEGAw9RSEIP8QcDD+FT4gAhBoMFv5XiowBfo0 -MCA5EEgwKTQF0Q9sEBoYaCD/aLMQCxBYMPwKAyAVEEgw8AgXAgAAQHBtmgIACIqfEIogLTr//RUI -IAEQcDD+FBgrgAQ6oPwUEyoJAFqwmhEpMAQnHB3TD/ocGSSYAD5gKzxRWGpB2nD8CgMgnAJY8Fhq -PvUUNSIAAFCw9hQ2IAEQSDD5FDAgABBAMPgUMSIAAFhw+BQyIAsQYDD4FDMgAhBoMFv5M9EPKzxI -WGov2nD8CgMgCgJZMFhqLGP/tABsEB4eaST+4n8iAABA8P9phxIAAGlw9WgmHAAQODD57AAAqAIz -sG1JBQAIhgBJYSYWMSVSXIwnlxCHLYsu+uxYIHgCQ7D5zCAnkAQ94P8CAAYAIC3w/wIABgBLftAJ -thGmVYZXL/rA+WIOLgBAfnD2cggggAJ78P/GCSA5EFgw/8YIIAAQeDD/xRQgewA1YCzgVPYWMCIB -dIcg/wIABAM1gyDDTfMKOyQBuwcgwdL/AgAGAl1vEP0KASwB7oMgwvH2ClImAiD/EPoKYSYAhjcQ -/wIABgBGVxDaIPtcAAALEGgw/goAIgAAYjBb/5jRDyv6wPubAQAAEGgw/cUUIIACWvCbyZvIZHCy -KeBUKhYv+BYuIgK0hmD/AgAEAFIGYPwKYSwCwgJg/QosLgBKYlAocAX9gQdwLxBwMH6JfoN6yTQq -PE77HAAAAxBgMFgE82SlGYM4ZT/p2iBbE37RDwAAL5BkI5Bl0w/4kGYvgAQ/4AP/AvOQZy+ABD/g -CP8CCP8RA/8C83IKIAICe/AvlGcPjxQvlGYPjxQvlGUPjxT/lGQgGgA04Co8TvscAAADEGAwWATa -ZKaJgzhlP+nRDwDaIPt8AAAJEGgw/goAIgAAYjBb/17RDwAAJpBgKpBh/JBiJ4AEOaAKZgL6kGMn -gAQ5oAxmAghmEQpmArFmJpRjBoYUJpRiBoYUJpRhBoYUJpRgKVAF/wIADAGaSND/AgAMAZZaUCPi -G9jg+VwAD/8QIDD4MxEACBBQMG2qDyuQNCqAZLGI+ZwBLgQSUtD4XAAACBBIMNMPbZoSKoA8KeBc -se4PAgD4jAEuBApKkPMWASIAAEFw+goDIAgCSHDTD22qDyuQACqATrGI+ZwBLgQCUtAbZ0+4GPAL -FwAVEEgwbZoCAAiKGmjl+hYCIKICWXD4IgAgAxBgMP86/yALEHAw/RQgIAMQSDD5FBstgAQ+IP8V -DCwJAHdw/RYDIEICUHBYaXMrXE78CgMgSgJQcFhpb/tncBAAEHgwLxQ7LxQ6/xQ5IAIQSDApFDgr -slz+Ig0gAxBgMPkUOCCAAmhw/xYsIP4CUHD/FDsvkAQ7oP8UOioAIHbw/xQ5IHACQvDzCBYAkAJ4 -cPJfHgCAAnLw8Q4WAGICUrDyHR4AkAJa8FhpUy4SLPscCCIAAFCw/o4UAAsQYDD+FhQgAhBoMFv4 -TtEPL5BQJpBRDwIA/JBSL4AEP+AG/wL2kFMvgAQ/4Az/Agj/EQb/ArH/L5RTD48UL5RSD48UL5RR -D48UL5RQKVAFKhYv+BYuJgEn3lD6Fi8gPxAwMPgWLiYC87ZQ+hYvIEQQWDD4Fi4mARfeUPsSLyIA -AFFwWAMH+KwAAyQANqDaIPwSLiIAAFlw+A5HAAcQaDBb/s/7CooiAABRcFgCadEPLpBYL5BZCO4R -D+4Cse4ulFkOjhQulFgpUAXDxv8CAAYCnWZQw/f/AgAGAqP+UPkyDHBDEDgw/wIACADFXlD/AgAG -AMEmUMNv/wIABgDxNlDEov8CAAYA7FZQ/wIABgCzPlDaIPtcAAAJEGgw/goeIgAAYjBb/q7RDyyQ -VC2QVf6QVi2ABDsgDcwC/ZBXLYAEOyAOzAIIzBENzAKxzCyUVwyMFCyUVgyMFCyUVQyMFCyUVClQ -BfoWLyYBxV5Qw9r/AgAGAdfuUP8CAAYBQKZQ2iD7XAAACRBoMP4KHiIAAGIwW/6T0Q8ALpBaL5Bb -CO4RD+4Cse4ulFsOjhQulFopUAX/AgAGARCeUP8CAAYBDKZQ2iD7XAAACRBoMP4KACIAAGIwW/6C -0Q8AAAAAAAD/AgAH/momUNog+1wAAAkQaDD+Ch4iAABiMFv+edEPACaQaCqQafuQaieABDmgCmYC -+pBrJ4AEOaALZgIIZhH/ZaYWCQBRsPrhLyACAjGwJpRrBoYUJpRqBoYUJpRpBoYU9pRoJgI5/pCD -W8g+KTE3/wIABgB/VlCDO2U/79og+1wAAAcQaDD+ChciAABiMFv+XNEPAADaIPtcAAAAEGAwW/0v -KFAFwJQpVFT4VDAgEgQ6MMOvKlQF+wqHIgAAUXBYApjRDwAAAPsSLyIAAFFwWAJ8+KwAAbMANqDa -IPwSLiIAAFlw+A5HAAcQaDBb/kT6XAAAABBYMFgB3tEPAAAA/wIAAgEnh2DaIPtcAAAJEGgw/goe -IgAAYjBb/jnRDwD7GnIiAABQ8FgIddEPAAAA+nwAAAQQWDBYA3vzrAABGwC2oNog/BIuIgAAWfD9 -CgkgKRBwMFv+KtEPANog+3wAAAkQaDD+Ch4iAABiMFv+JNEPZD8H/wIADgBj0lAsMTYr4S74Fi4u -AFzi0CvsWfwKAyC6AlDwWAOO+BIuIKMAtqAtMAXF5n7RBNowWxIX2iD7XAAAABBgMFv86dEP2iD7 -PAACAABhMFv6n9EPAAAAAPsSMSADEHgw/1RUIgAAUXBYAZLaIPtcAAIAAGHwW/3F2lBYCu/RDwDz -CjkiAABQsPtcAAAAEGAwW/zVKVAFKVQw81QFIAgQQDAoVFTRD9og/BIvIgAAWXBb/QsqEi8qoAL/ -AgAB/sDqkNpQWArd0Q/aIPtcAAAHEGgw/goXIgAAYjBb/evRDwAAACsSL1gCGfisAAEEADag2iD8 -Ei4iAABY8PgORwAHEGgwW/3h+jwAAAAQWDBYAXvRDyoSLyt8QPqsECAIEGAwWANN9qJJYgAAQrD/ -AgAAAQMmoPsSMSIAAFFwWAFe2iD7XAACAABh8Fv9kMCz+1RUIgAAUXBYCrgsEjAswATB3P8CAA/8 -ymsQHWYhLdF//wIAA/zDe1DaUFgBIdEP2iD7XAAAABBgMFv8mC5wfWXpZ/saciIAAFDwWAf70Q/a -IPwSLyIAAFlwW/zOLxIvL/AC/wIAAgDSa9AoEi+Ig/8CAAH+Pu4Q2lBYAQ7RD9og/BIvIgAAWXBb -/MLRD9og+1wAAAAQYDBb/IDRDwAAAAAAAPsSMSIAAFDwWAEujTcPAgCN3inQUCrQUfvQUimABD5g -CpkC+tBTKYAEPmALmQIImREKmQL0Ei4gAgJKcCnUUwmJFCnUUvmJFAADEGAw+dRRIAoCITD5iRQC -AABZMPnUUCCcAlDwWGfk+hx/IgAAWTD6rDUgAxBgMFhn3ysSLRxnRhlmRAuLFCsWLYg8+YgBDAAI -ZtAcZ0IMjAKcPGAACAAdZOINjQKdPNog+zwAAAMQcDD+NFQiAABh8Fv9ONowWApi0Q/aIPtcAAAA -EGAwW/xKinhb/C3RDwAAANog+1wAAAAQYDBb/ET6fAAAARBYMFv7W9pwW/sZ+3IIIgAAUfBb+vnR -DwAAAPsSLyADEHgw/1RUIgAAUXBYAZD4rAAAeQA2oNog/BIuIgAAWXD4DkcABxBoMFv9WPpcAAAA -EFgwWADy0Q/aIPwSLiIAAFlw+A5HAAcQaDBb/VD6XAAAABBYMFgA6mP9/9pQWAo3Y/5X2iD7XAAA -ABBgMFv8H9EPANog/BIuIgAAWXD9Cg4gGRBwMFv9QWP9z/sSMSIAAFFwWADI2iD8fAACAABZcFv8 -+9pQWAol0Q8A+rMGcgAAQTDY0GWK72P3z/mjBnIAAEEw2ND/AgAB+/EqIGP613ujAdTQ/wIAAfv7 -qSBj+scAAABsEDAaZUoVZdouIAX7Za8QCxB4MPMKASAAEDAw9woyI/8QaDD5sn8gSgQ7sMOJ9LJ/ -JgB7R5D9IFUiAABZ8Pxm3BAFEFAwWHBswCDRDygiFgmIEaiZKZIIJpYZJLJ/8AoXDAAQSDApFir4 -HH8kACBBMPiMMSAVEEgwbZoCAAiKiSD8CgMgogJYsP0VYCD+AlBw8xTDKYAEPmDzFMgoCQB+cPkW -LSCUAlKwWGdXIxTg/Bx/IPwQeDD/FOQgAhBwMP4U5SFyEGgw/RV0IFICczAr4AL94AEgnAJjMC3E -ASvEAi7gAC7EACogBXehCCgiEwWIASgmE9og+WapEAgQaDD9FPMgCxBgMPckBSD+Alhw80R9IAIQ -aDD5FiwgYgJa8Fv2PMAg0Q8AACgiFikiEwmIEfgKOSQAIEEw9ZkBAA4EQ7ApJhMACo34HAAAFRBI -MNMPbZoCAAiKGGU5mBAuIgAqHBn9FQggogJYsPYUEy2ABD+g8xQYLAkAf3D9FgEgAxBgMFhnISoc -HfwKAyCcAliwWGcdJhQxJhQy9hQzIGgCUHD5CgMgqAJZMPkUMCAQEGAwWGcVKhxE/AoIIIACWTBY -ZxIqHEz8CgggcAJZMFhnDitMZPwKCCDoAlBwWGcLIxVAJhRUJhRk9hSEIgAAULD7HAAAIBB4MP8U -NCALEGAw/xQ1IP8QcDD+FT4gAhBoMFv2AMOJ+CQFIAAQEDDRDwAAbBAaGGTCKQoV8AgXAgAAQHBt -mgIACIr/ZlkQMgJQcP8WACCiAliw+SIAIAsQYDDzCgAgARBwMP4UGCP/EGgw/RUIKYAEPmDzFBMo -CQBmcPkWASADEGAwWGbkKhwd/AoDIJwCWLBYZuDzFDIiAABQsPscAAAgEHgw/xQwIAsQYDD/FDYg -EBBwMP4UMSAIEEgw+RQ0IBQQaDD9FDMgIhBAMPgWECACEGgwW/XRw4ooJAXRDwAAbBAEKiw0/AoI -IDgCWPBYZskqLDz8CgggKAJY8FhmxSosXPwKCCAQAljwWGbCKixo+DIEIIgCWPD4JhkgEBBgMFhm -vNEPAAAAbBAEFGTEKCIW0w8nQon5iBECAABQsPh3CAIAAFjwW5/ziicqrBBbFVyEKctDFmUHhUv9 -QgAgBRBQMP4iACAyEFgw/0AFIgAAYbBYb6T6TAACAABY8FufbPRcAA/UALVghCnAwPsiCyAYADUg -ZbCPlCtgAAOUu5tM/CYJIAAQIDCLenK5DIQpiyibemAAJQAAAADJtoq4cqESbQgM+6wAAAwANqCK -qHKhAmP/7Mi0hCmNKJ24nCgqIAX6JDAgOwC1II4rz+HE83+hTxpkkosgLqJy+qKMIAAQYDD+uwwA -ARBoMFsSyB1j7ozew7/7JAUh/gJjMJze0Q/E0i0kBdEPibvTDw8CAGSfam0ICvmSCyIAAFpwZJ9c -Y//uw+8uJAXRDwAAAGwQGvhkOh4AEEgw+RYAIAAQIDD0FgEgFRBIMPAIFwAQAkBw0w9tmgIACIoY -ZHiYEowg/jr/IAsQaDD+FQwgBBB4MP8UIC2ABDsg9BQbLAkAazAsFgMrIAT6HCEkAE4G4PwKAyCi -AliwWGZXKhwl/AoDIJwCWLBYZlQTZIMoIhYjMn8JiBGoM4o3w78rJAWKrimgcCugcQiZEQuZArGZ -KaRxCYkUKaRwJBQ5JBQ69BQ7IIACUHD4CgUggAJY8PgUOCAIEGAwWGZAKzxI/AoDIAgCUHBYZj2J -EfscCCIAAFCw+YkUAAsQYDD5Fg8gAhBoMFv1N9EPAAAA/AoDIJACWLBYZjErEAAtEAH+EAIgSgJg -cC7EAi3EAfvEACArEFAwKiQF8/+BYgAAGLAAAGwQBCIxAyoxAhRlj/4vL2ABEEAw8kMncAEQKDAn -MQImMED3yEAAABAQMPdXQAIFAEFw9nZAAgUAOXAGUjjRDykwQPrLQAAHEBAw+lpAAgUAWjD6gjkI -BwFMMAmCONEPAGwQFigKhv8CAAYB5ETQKQqH/wIABgHizNAmIAwUZBIlIhYaY88oQoD0QokgEBBI -MPAKFwWQBD1g+BwABgAgQbD5ZhEEACAtMPYhGSQAIDEw0w9tmgIACIoZYtcoQSn/AgAGASlOEBxk -Ti0iAP4gBSAFEFAw/yBUIDIQWDBYbusZYs74IgAg4RBQMCoUGPkWAiAIEDgw+WLJGYAEOiD5FgAo -CQA6MJgRKyAHKUEp+mLEGiABXDAAuxELmQIKmQKZFA/qMJ8VmBn+Y+gQQBBoMC0VD54YLCBULBQx -KyAFKxQy+iAwIAEQYDAsFDAqFDMpIhb5Fg0gQAJIcIiVj5SOk42S+5IBIIACUHCboZ2inqOfpJil -iZCZoIggKBYWLyBULxRdLiAFLhRgLSAwLRRh/BRcIAAQWDD7FF4g0AJQcPx8AABoAliwWGW9Khxw -/AoIIHgCWLBYZbkrXHL8CgYg9AJQcFhltiocffwKAyCcAliwWGWy+yxcIP4CUHD6rAUgCBBgMFhl -rikRQisRQ/avQAQLATAw9hoUDAkBNDD2bkANsAQ/YP7uEQoJAFGw+UQRD6AEP+D7FTEuCQAn8Psi -DCQHATAw+gpADAUBSDD5qhENsAQ7IPs7VAoJAGKw+dhACgkAWrD6FGQl0AQ5IPsgaC4JACOw9SBp -KA4BTDD6iBEJkAQ+YPaMQAgJAEow9WlACgMBXDD1ekAL0AQ+4P6qEQnwBD5g+pkCCAkAWjD1VUAI -CQBKMPgKMCQJAEVw/MwRBAkARXD1FGUsCQBrMP0iDCwJAHsw/swCCgUBNDD2SkAL8AQ+4PuqAgoQ -AWww/RlQDBIBbDD6mRENkAQ/YPu7EQgJAG5w/KoCCAkAXnD6FGcoCQA+cCkUZoUnKFkU9IFEYEAC -UXCMWWTBOvscAAAIEGAwWxWLF2NsKCIWJ3KJ+YgRAgAAULD4dwgCAABY8Fuem4onKqwQWxQEhCnL -QxZjsIVL/UIAIAUQUDD+IgAgMhBYMP9ABSIAAGGwWG5M+kwAAgAAWPBbnhT0XAAP1AC1YIQp+yIL -IBoANSBlsJmUK2AAA5S7m0zAsPsmCSAAECAwi3pyuQ2EKYwonHpgACYAAAAAAMm2irhyoRJtCAz7 -rAAADAA2oIqocqECY//syLSEKY0onbj6IAUgABBwMJ4o+iQwID0AtSCPK8/zKApDeKF5GmM5KyIA -LqJy+qKMIAAQYDD+uwwAARBoMFsRbh1ilYzew7/7JAUh/gJjMJze0Q/E0i0kBdEPibsPAgAPAgBk -n19tCAr5kgsiAABacGSfUWP/7sHmLiRUY/w+wPQvJFRj/DYAAPosAAIAAFhw/AoIIAIQaDBbDV5j -/rnDjygkBdEPAAAAbBAEG2NEGWNHHmNu+GHYEgAAULCNoISnEmJ1KIL49EIOLAkAd3D9JqwgBBBg -MPkmrSAFEGgwC4AAKSKtCYlHyZ0qQDorQDsIqhELqgKxqipEOwqKFPpEOiAAEBAw0Q8AKCK2EmMl -GWL5IiJ/CYgBCYgR/mNYEgAgQLCMLAMNRP3dEAwAQHMwDcwCnCwrQG4sQG8IuxEMuwKxuytEbwuL -FCtEbtEPAAAAbBAE0w9tSg8nIAAkMACxM/R5DHACAhCwwCDRDwAAAAD0cwh//xAQMMAh0Q/RDwAA -bBBAhDQSYub1YgYUcAEgMARECQxEEf5SZyIAICCwLS0CLdAAKlJwJCJ/Dt0I+d0RAAEQYDD9qggC -AABZMFhEOy0wTC8wTf4xLiIAADKw9yKDLYAEP2D/3QIAARBYMP7PQABMAE+wZHSW9BZuIAAQEDCE -emVA8mUgaod5ZX/yYABfAAAAAAAAAPQWbiR0ADXgIgoAhHpkQEGMSs3HzyiESWVP9PwWBCA9ALSg -YAAtziaMyGTP5orJZK/zbQgKKKE2fYEMiqtkr+Vj/+4AAAAAAPKsAA/cADagnBTMJod5ZX+xZCQb -FGHPhS0kQnAJVRGlRIVIKTBUh0eMV/dyDiAAEFAw/MIOIABZBmBpklgtMS9u3woYY/7/AgAKAINq -EMCXZPEYLTCYDlhA+Lk5DgcBbDD/uTgMAwFsMA25OGSREYladJlHK3AyLXAzCLsRDbsCsbsrdDML -ixQrdDIqwFGxqirEUWAARNEPAIpLyaFtCAksoTZ9wQeKq8ikY//vANKgZS9ghEllT+Bj/uoALnA0 -L3A1CO4RD+4Cse4udDUOjhQudDQtwFKx3S3EUtpAW/362iBbDhXRDwCPWnT5GihwNilwNwiIEQmI -ArGIKHQ3CIgUKHQ2YAAXAClwOCtwOQiZEQuZArGZKXQ5CYkUKXQ4KzBZ+hZtJAL9BuDAyXyxKNpg -+1wAAgAAYTD9CgAgABBwMFvugtogWw380Q8AAAAAAPP+/GAAEEgwLTBawun6Fm0mAsx3UNogWw30 -0Q8tUAT93OQgARBAMPP+2GgFAG4wAAAoUAQfYnr6Fm0gA06GIIoUKhZzLkAFwtwPAgD/AgAGAOBv -kC8WcihgJh5ibBlhAQiICfkWcCnABDog8AkHDgAgQ7D+4H8iAABL8ABJYQBJYQBJYQBJYRhg+Rlg -/v5ieh9ABD+gLRJu+mJmEAQQYDD85j8uCQBP8P/lgCwJAEdw/RZvINgCWTD95j4gBhBgMFhkHxhg -7PiC2SIAAFGw+xJyIAQQYDD4FnEgBRBoMAuAAB9iZS7yPw6OR2Tj9P8CAAoB+IOgZeGrLREKKDr/ -/wIABgDRR1AXYh4oEm0qQSktRT/+QHwgARBYMPsWCC3gAWgwnB8rFhAO6QmeGZgcmB0eYLv4Fg4p -wAQ+YPgWESYAIE3w93J/JgKc9pAeYaP6FmwuCQBysJ4b9w9GCEgBPDD3eEAJkAQ+YPCIEQ4JAE/w -+hwgLgkAR/D/FgogoAJYcFsLuWSlA8Cl/GNEEDIQWDBYbOfApfxjQRAyEFgwWGzk2mD7XAACAABh -MP0ScyABEHAwW+4SKlA20w9koFKKVyqsEFsSiihQNhdg7IZX0w8IdygPAgD6fAAAIAIxsFqmF/1g -cxIAAGHw/mMxEgAAWrD/UgAiAABRsFqlzYZXDwIAJmwQ2mBam6D/AgAAA6QGoCoScys8cPqsNCAI -EGAwWGPIKhJzKzxo+qw8IAgQYDBYY8QqEnMrPFz6rFwgCBBgMFhjvy4Sc/s9ASAQEGAw/TIZITAC -WvD95hkg0AJTsFhjuPMScyCCAljw0w8jPE78CgMiAABQ8FhjsioSc4ha/go3IDYQMDD5oAUgDhA4 -MPmkMCD2CCIw9qQFIAwQWDArpFRgAG4tcDwucD0I3REO3QKx3S10PQ2NFP10PCIAAFEwW/0t2mD7 -XAACAABhMP0ScyAAEHAwW+3J2iBbDUPRDwAcYwQvMEIuMEErMEObECowPZoRKTA+mRL4MD8gMhBY -MPgWAyAFEFAwWGyJ0Q8npFQupAUqEnNYBh7aIFsNMy1QBPphUBAcEHgw/9lUcAMQODAoUgr/AgAO -AiAiECkScymQMP8CAA4BxTJQBEsC/hJzIAgQYDBtyhUvsEAi4Dz/AgAOAjt4kPu8ASACAnOwLxJz -xOT+9AUgLBBoMC1EBdEPAIJH06D6YdIQIAIQsFqlqxxh0B1gBh5hz49A+6wAAgAAULBapWOCRyIs -ENogWps2/wIAAAKshqCIWnSJGoJJySXaIPsKUSAAEGAwW/ELginTDw8CAGUv6PtiqhIAAFEwW+0N -AAONKB0B+IwILQAQSDD5FkAgFRBIMG2aAgAIiiodAfhhrBCQAlkw+BZCIAMQYDD9QgAgARBwMP6k -ICP/EHgw/xWMIAsQcDD/Em0tgAQ/YP+kGywJAHdw/RZDIEICUrBYYz8YYAstEm0sHQEnxD/5wAIg -YhBwMC7EOCvAAR5hhi3EOS3EOv3EOyBKAlMwK6QBKaQCG2FpGWGULMAALKQAj0AiQgcogvj5YWge -CQBP8PIiDiAFEGgw/+Y+IgAAUTD55j8gBBBgMAuAAB9hci/yPw+PR2TxUyggOikgOwiIEQmIArGI -KCQ7CIgUKCQ6+2KJEgAAUTBb7M/CnClEBdEPK/GADwIADwIA+ioAKyABXDD7FQor/f9akCwScC4S -bykScgAMiwBJYQBJYQBJYQBJYRphWRlhUSmmPy6mPixAbi5AbC9AbyhAcClAbS1AcfiIEQ8ABD/g -+JkRDwAEO6D57gIOCQBH8P/dAgwJAHMwDcwDDM0UDcwDDG0U/cwDAAEQWDD8DEUAABBQMFhuEv5h -QhAEEGAw+BJxIgAAevD7EnIgBRBoMP/mQSIAAEqw+eZAIgAAUbALgAAfYTcu8j/z+1Bu6AFwMNog -WwyPj1qIFClQBCwSbSmc5PmMOACCCCPw+kwAAAQQWDBb8IvRD9ogWwyFilr/AgAP/Q8ikIsULVAE -LBJt/dzkIgAAUTD9vDgABBBYMFvwgNEPAAAAAPpMAABREFgwW/B70Q8YYDkZYNETX/sogrYjMnAJ -iAEJiBH5YS4SACBE8I88GGEtCf8BCP8CnzwuIG4vIG8I7hEP7gKx7i4kbw6OFP4kbi6BADTgKjxO -+x0BIAMQYDBYYrjaMPsdASALEGAw+7wIIAIQaDBb8bXDyCw0BWP+UQAAKhZsY/rPAAAAABxiBf0S -FCAFEFAw/hIVIDIQWDBYa6QtEmwcYgD/QHwiAABx8PgRCiAFEFAw+BYAIDIQWDBYa5wqEhUqRhMp -EhQpRFBj+s/CvCtEBdEPAPs9ASDYAlEw+7zKIAYQYDBYYpUqTEj8CgMgegJY8FhikhtgyB5g3xlg -8xhfXIxHj0AogviMzvlgxx4JAE/w/BZ0IgAAUTD/5j4gBRBoMPnmPyAEEGAwC4AAGmDRKqI//2C5 -GugBUDD5EnQgTwA2oCyQOi2QOwjMEQ3MArHMLJQ7DIwU/JQ6IAAQaDD9FnMo3QC3YNpAW/wC2iBb -DB3RDwApEnPAjviUVCA3EFAw+pQFICwQeDAvRAXRDx5f2Bhgbx1fmi7iti3ScAjuAQnuEfpgzRwA -IHdwjtwYX54K7gEI7gKe3CyQbi6QbwjMEQ7MArHMLJRvDIwULJRuY/+MAAD/Iwd//xBYMCsKAWe7 -hy8Sc9MPL/AFwyly8Q4pEnMbYKwokhMLiAEolhMACo38ChUgsAJIcG3KAgAJii4SbSsScxxgVvwW -FiDiAlBw/bIAI/8QeDD+FGsgAxBgMP8VNCALEHAw+N0RAAEQeDD/FHAsCQB3cP0WFyCiAlrwWGI6 -2zD8CgMg6gJQcFhiNycUiCsSbSsUifsUiiAQEGAw+xSLIP4CUHD7TFQgGgJSsFhiLvtMQCD+AlBw -+qwdIAgQYDBYYin7TDgg/gJQcPqsJSAIEGAwWGIl+0xkIP4CUHD6rE0gCBBgMFhiICoSc/gSbSAB -EEgw+RVsICAQeDAvFIz/FI0g/xBwMC4VavgUrCCwAlhw+BS8IAsQYDD4FNwgAhBoMFvxEysSc/K0 -BSAsEFAwKkQF0Q/aIFqZ/hJfPguoEfSghGIAIECwDOowKyKFi7Cwovy7CAIAAFCwWG0zHF8y0w8q -wpjwIQQAARBYMAC7GguqAirGmFhtZ2P6Y9pgWpnsFl8sC6gR9KBVZgAgQbAM6jArYoWLsLCm/LsI -AgAAUbBYbSEcXyHTDyrCmPBhBAABEFgwALsaC6oCKsaYWG1VY/h0AAAAAAD6CgcgARBYMFsRUywi -fywmg2P6AwAAAAD6CgcgARBYMFsRTS1ify1mg2P4Q2wQSCkwVPo8AAACEBAw91/aEAAQIDD/Cg4g -AKUGYP8CAAIAn5pgKzEvLqEuKhaF8l+aHh4BPuAYYTr/AgAKAT9aEMCX/aCYI/8QKDD+z0AAARBg -MP5eQAgFAH8w/sk5DAcBbDD9yTgACxAYMPsKFSKMADZglBXwAhcOABB4MP8WBCAwAkBwbboCAAiK -G1/LKxYGKWIA9BQrIAQQUDAPAgD6FDApgAQ+YPUVFCgJAB5wmRcoYAT6HDEkAQWGIPwKAyCiAlmw -WGGrKhw1/AoDIJwCWbBYYagoYhYiclwJiBEIIggtIgcuCj8uZAWN3g8CACzQcC7QcQjMEQ7MAizM -ASzUcQyMFCzUcCQUSSQUSvQUSyCgAlBw+QoFIIACWLD5FEggCBBgMFhhkyssSPwKAyAoAlBwWGGP -jhX7HBgiAABRsP6OFAALEGAw/hYTIAIQaDBb8Ir7CooiAABRsFv6ytEP0Q8AIzBZDwIADwIAfzHw -HGDvLWBOLmBP/2BQIAUQUDDzFgAgMhBYMFhqdvt60CIAAFGwWAghZK/GKGIWImRUKWAFKWQwInJc -+YgRAgAAUbD4IggAihBYMFuaropn8haEICACUrBbEBaCadMPyyMVX8GDK/0iACAFEFAw/mIAIDIQ -WDD/IAUiAABhcFhqXfsKiiIAAFCwW5om8jwAD9QAtOCCaftiCyAtADSgzLiSa2AAGQAAAAAAibvI -m/mSCyIAAFpw0w9ln/KSu5ss9GYJIAAQEDArEoSLuna5Cy0ShIJpjGic2mAAH8m0KrIIDwIAdqEM -+6wAAAkANqCKqHap8si0gmmOaJ64lGgqYAX6ZDAgPQC0oI9rz/PEg/8CAAYCOkaQLnJFi2D6cl8g -ABBgMP67DAABEGgwWw18GF6jj47Dn/lkBSH+Anvwn47RD8SiKmQF0Q8AAAAAAADz/YRiAABJMPwK -AyCQAlmwWGEpLBwQL8AC/sABIGoCaHAu1AEv1AIswAD81AAgKxBYMCtkBfP+E2IAABGwAAAA+6xw -IGgCUbD6Fn4gCBBgMFhhGSsShfpsPCAIEGAw+hZ/INACWvBYYRQrEoX6bFwgCBBgMPoWgCC4Alrw -WGEOLRKF+90BINACUbD90hkgEBBgMP1mGSEwAlrwWGEHKmAMK2IWHF3i/WAFIAEQcDAuZFQtZDAu -YRkuFoMswnf5clwg/gJAcPACFwCCAkIw/KoIC5AEPuD5qhEKACBecPsWgigAIFZw+RaBIBAQSDBt -mgIACIovEoEYXc4v8Sn/AgAGAUBH0BxfRo1g/mAFIAUQUDD/YFQgMhBYMFhp4xhdxv9iACDhEEgw -KRTYKBYy+V3CEAgQQDD5FjAvgAQ/4PgSgS4JAEfwLxYxKmAHKIEp+V27GiABUDAAqhEKiAIJiAIo -FjQO6jAuFjUvFjn9Xt4QQBBgMCwVby0WOCtgVCsU8SpgBSoU8vlgMCABEGgwLRTwKRTz+GIWIP4C -eHD4Fj0gwgJ78Iz0ivKJ8YvzKB0BjvWehZuDmYGagpyEKh0BLB0Bj/CfgCgdAY5gLhZGK2BULh0B -K8QdKxJ+KWAFLB0BKaQgKh0BL2AwLeQc9MQeIFACUrD/hCEgCBBgMFhgrysSfyodAfqsMCAIEGAw -WGCrKxKC+h0BIAYQYDD6rDog5AJa8FhgpfodASCcAlmw+qw9IAMQYDBYYKArEoAqHQH6rEQgCBBg -MFhgnC8SgysRov9MQAwFAXww/25ACAcBeDD/GhQICwF8MPmZEQoJAFPw/YgRD+AEO6D/3REOCQBD -sP+oQAwJAGsw/41ACgABUDD5qhENwAQ/YPqIEQ4JAXww+YgCCA4BXDD5mREPsAQ/4P/dAg4NAVww -+1tAD6AEP+D5EaMuCQBP8PkVkSuwBD7g+2IMKgkAWrALO1T5HQEqCQBasCqUJPtgaCwJAEdw/mBp -LAkAd3D+aEAKAwFcMP56QAvQBD7g/qoRCfAEOiD6iAIOCQBf8P5eQA4JAEfw/wowLgkAe7AP7gIu -lCWLbP3MAgoQAVgw+xhQChIBXDD6iBELkAQ+4PuqEQgJAFow+goIKAkAUjD8lCcoCQBSMCiUJo1n -L9kU9PDYYEACU3Ap0glkkM0rHH/7vEEgCBBgMFsQdyxxf/8CAAP9j3sQAAKNKB0B+IxQIBUQSDBt -mgIACIoeX6suFlT5YgAgogJZsPodASADEGAw9RWwIAEQaDD9pGgpgAQ+YPSkYygJAB5w+RZVINIC -UrBYYDj6HQEgnAJZsPqsbSADEGAwWGAz2mD7HQEgCxBgMPS0giAgEEgw+bSAICIQcDD5tIYgEBBA -MPi0gSAIEGgw/bSEIBQQeDD/tIMgAhBoMP4WZCCgAlrwW+8kw+ouZAXRDwDD/y9kBdEPANpg+xx/ -IAgQYDD7vEEgAhBoMFsIZmP/J2wQHPdeGBwAEFAw+hYAIAAQWDCbESkiFih9AiRyXAAIjfmZEQAQ -AkBw+QoVJAAgSTBtmgIACIr8CgMgQgJQcP0iACCiAiiw/goLIAEQMDD2FBsj/xB4MPYUIC2ABD9g -/xUMLAkAd3D9FgMiAABZcFhf/CYUOCMVIC8QAikQAfsQACD8EGAw/BQ8IAIQUDD6FD0gSgJAcPuE -ACAIEGgw+YQBIXIQcDD/hAIggARw8C4qE/8qFyYAT/TQ+CofJgBnfND6FiwgmARA8BlfVPscCCIA -AFCw+dkCAAsQYDD5FgIgAhBoMFvu4NEPACsgBcOi/l42EBoEUvAsIhMOzAEsJhMtFEsqJAUmRH3z -/7xgFBBoMNtQ8woIIgAAIrD6HAQgAxBgMFhfz4gRKXF/IxRPCIgU+BYSKAEBTDD4FgEkBQBJsPQU -TiA0EHgwLyQF8/95YBgQaDDbUPwKAyAIAlBwWF/AiRH5iRQAcAJZMPkWASCYAlBw+RYSIAgQYDBY -X7nDpSokBfP/QmAcEGgw21D8CgMgCAJQcFhfsowRJhRODIwUnBH8FhIgMxBYMCskBfP/GGA0EGgw -AAAAbBAi+F2qEAAQSDCZEIktKIJcCZkRqYKJKIwqJZIZ9MAmYeACKXAqCkBtCAuLzAs7VGi0BozI -yM5j/+0twAUtxQkqxAVj/+wA/wIACgBZRWCPKikWLBtdXfxdyxCoAmiw/RYxIHgCcHD+FjAgSgJA -cPgWLyBCAlBwKhYuLBY4+xYtIJgCYHD8FjIggAJYsPsWMyCoAlBw+hY0IHACQLD4FjUg+AJwcP4W -NiDIAmiw/RY3IDACIPD+CgAgEAJg8P08AAAIEEAwDwIA0w/TD22KFPbQGCYAIBewJ3BAse72eVdw -AgJrcI00ZtAV8zwQIeACKXD0TBArgAC9YGAAAgCPKvP8AAAJALfgYAAgyT0oMAXzMggiAABQ8GmN -74msCTlUaZTnKwqGW/lRZT/gwKAqJH3RDwAAAAAA9nMGf/8QaDDA0WTfnyYSLIZqZWB6/PwAALEA -N+CIzAM9Ag8CAPg4VAAAEHAw/wIAAAgQSDD/AgAEAEYaIG2aFPbQGCYAIGewJ3A8se72eWdwAgJr -cI00LwoADwIA+NkRAgAAcHD5FgAgAxBAMG2KFPfgACYAIGPwJmBOsf/+7AEuAW+x0CjBCSjEBY8q -Y/8e/TwAAAAQcDCm6iqgQCfQGLHu/dwBLgBNOpD82ex6ACAzsI00Y/73APZzBn//EGgwwNFk34+M -yGXPUhtdKiuxf363Bo00Y/7WAAArEjgeXJEZXYcYW/CGJ48gKIL49mIOIgAAULD5XVkeCQBP8P/m -rCAEEGAw+eatIAUQaDALgAAZXIUpkq0JiUdkkEAqYDorYDsIqhELqgKxqipkOwqKFCpkOo8qjTRj -/nQAAAAAAAD3owZ//xBoMMDRZN9khmndMP4KAC9DALWgY/64ABhccRldCRddBCiCtidyXAmIAQmI -Ef1dZhYAIEXwjHz9XAkcAEBrMA3MAix2DCtgbixgbwi7EQy7Aiu8AStkbwuLFPtkbi+QADXgKTIE -Knw8+JkRAgAAWTD5FgAgCBBgMFhe7PZ8TiIAAFhw/AoDIgAAUbBYXucqcAUrCjl7oQsdXT8schMN -zAEsdhMuEi24GPAOFwAVEEgwbZoCAAiKGlzqmhL6Ei4gogJZ8P9yACABEEAw+BQgIAAQSDD5FBsg -CxBAMPk6/y+ABD/g+RUMLgkAR/D/FgMgAxBgMFhezSsSMSgSLylgASpgACqEACmEASoSMC9gAv+E -AiADEHAw/hQ4IAAQaDAtFDktFDr9FDsgEBBgMFhevy4SMy0SMizgACvgASvUASzUACrgAingAynU -AyrUAijgBC/gBS/UBSjUBCzgBy7gBi7UBizUBy0SNSwSNCvQACrQASrEASvEACnQAijQAyjEAynE -Ai/QBC7QBS7EBS/EBCvQBy3QBi3EBivEBywSNysSNirAACnAASm0ASq0ACjAAi/AAy+0Ayi0Ai7A -BC3ABS20BS60BCrAByzABiq0B/y0BiAgEEgw+RQ8IP8QQDD5FD0gABBwMP4UXCABEHgw/hRsIAIQ -aDD/FUQiAABR8P4UjCALEGAw+BVCIBACWHBb7YnD2S10BWP91AAA92MGf/8QcDDA4WTtFyrMTvsc -AAADEGAwWF59jTRj/QpsEC4oMFRoggbRDwAAAAAA+zxwIGgCKbD8CggiAABRcFhec/s8aCB4AiGw -/AoIIgAAUTBYXm/7PFwguAIRsPwKCCIAAFCwWF5qKzx4+TIZINACUbD5ZhkgEBBgMFheZCtgBR1c -yopsF1wr+FvBEDEQYDD9qgEGAdPm0B5cxg6uAp5sKWIW+mAMIAEQGDAjZFQrgnsvYRkogoT/FlIq -ACBasPAHFwuQBDqg+ooICZAEPmD6FlAoACBKMPgWUSAQEEgw+lspEgAAQHBtmgIACIopElApkSn/ -AgAGASnWUBxcnY1g/mAFIAUQUDD/YFQgMhBYMFhnOxlbHfhiACDhEFAwKhQYmRL5WxoQCBBgMPkW -ACmABDog+RJQKAkAYjCYEStgBymRKfpbExogAVwwALsRC5kCCpkCmRQP6jCYGZ8VHlw3/hYIIEAQ -aDAtFQ8rYFQrFDEqYAUqFDIpYDApFDMjFDAoYhb4Fg0gQAJAcI+FioGLgo2D/oIEIIACSHCelJ2T -m5KakZ+ViICYkI9gLxYWLmBULhRdLWAFLRRgK2Aw+xRhIAAQUDDTD/oUXiIAAFlw8xRcINACUHBY -Xg3bQPwKCCDgAlBwWF4KKxJRKhx6+7xyIAYQYDBYXgYqHH38CgMgnAJZsFheAtsg+hx/IAgQIDD6 -rAUgCBBgMFhd/SkRQisRQy8SUisVMYts/65AAgsBeDD5XEAIDQFIMP8aFAwGAXww/t0RCgkAU/D6 -iBEKAAFQMPvMEQuQBDqg+ztUCgkAYrD56UAKCQBasPoUZCOQBDig9WBpL6AEO6D7YGguCQATsPmZ -EQwJAXgw+YgCDbAEOyD7O0AIBgEsMPV6QAQFASww/qoRCfAEPmD9uxEICQBWcP9aQAgJAFow/4tA -CAkASjD/SUAOBwF8MPgKMCQJAEVw/6oRBAkARXD1FGUrwAQ+4PxiDCoJAGbw+pkCD9AEP+D/3QIK -CQB28P27AgoQAWAw/BhQDBIBYDD6iBENkAQ7IPuqEQgJAGIw+5kCCAkAUjD5FGcoCQAiMCgUZoJn -JSkUKiwg/CIJIQ4ANWBkwQb7HAAACBBgMFsN2i1gBfoKACwAEEgw+Bx/IDEQcDD4jBkv/mNzUAAH -jSoWJfkWJCAVEEgwbZoCAAiK8mxRIAMQYDD9YgAgCxBwMPMUqyD+AlBw8xSwI/8QeDD/FVQtgAQ/ -YPqsMiwJAHdw/RYnIgAAWLBYXZcjFMj6HH8iFxB4MP8VaCD8EEgw+RTMIAIQQDD4FM0gIgJysCjg -Av3gASBsAkqwLZQB+JQCIgAAWLD+4AAgAxBgMP6UACAqAlKwWF2EKBIlHlzy8xTeIgAAUbD4iBQA -CxBgMPgWJSACEGgw+BY2IDMQeDD/ZAUg/gJYcP4WJiAyAlrwW+x30Q8ZW9oJqQKZbGP8WfpsAAIA -AFhw/AoIIAIQaDBbBbpj/u0AAGwQFhRayyMgDCVCexhbMiRChPUiFiIAICzw8AgXABAQSDD5MxEC -AABAcPlVEQIAIB0w9SEZJAAgKTBtmgIACIoZWjooMSnTD/8CAAYBN84QHFuxLSIA/iAFIAUQUDD/ -IFQgMhBYMFhmThZaMP8iACDhEEAwKBQY9hYCIAgQMDD4WiwfgAQ/4PgWAC4JADfwnxEqIAcoMSn5 -WicaIAFQMACqEQqIAgmIApgUDuownxmeFR1bSv0WCCBAEGAwLBUPKyBUKxQxKiAFKhQyKSAw+RQz -IAEQWDArFDAoIhb4Fg0gQAJAcI+FioGMgo2D/oIEIIACSHCelJ2TnJKakZ+ViICYkI8gLxYWLiBU -LhRdLSAFLRRgLCAw/BRhIAAQUDAqFF77FFwg0AJQcPxsAABoAliwWF0fKhxw/AoIIHgCWLBYXRwr -THL8CgYg9AJQcFhdGCocffwKAyCcAliwWF0V+yxcIP4CUHD6rAUgCBBgMFhdECcRQgUYFPkRQy4K -ASgw9b9ACggBLDD1nEAMBgEsMP7dEQ2wBDsg/LsRD5AEP+D5FTEvoAQ7oPkiDCgJAEFw+AhACgUB -ODD5iBELsAQ6oPk5VAgJAFIw/+4CCAkASjD4FGQuBwEsMPkgaCQNATgw8yBpJg4BPDD6RBEHkAQ9 -4Py7AgQJADkw82dACAMBTDDzeEAJ0AQ+YP6IEQfwBD3g+HcCBAkASTDzU0AECQA5MPQKMCIJACTw -/rsCAgkAJPDzFGUv0AQ/4PwiDCwJAH9w/bsCCgUBKDD/qhEIBAEsMPqZAgoQAWAw/BhQDBIBYDD6 -iBENkAQ7IPuqEQgJAGIw+5kCCAkAUjD5FGcoCQAyMCgUZoYnJ2kUJWwg+mIJIBoANeDJotpQ+xwA -AAgQYDBbDO3RDwAAAAAA+iwAAgAAWHD8CgggAhBoMFsFCtEPAAAAbBAciScnMQsomRSGmfSAr2GI -AjnwKmwZ/AoDIHoCWPBYXLMqbB38CgMgggJY8FhcsC4wPIouGFwO+SINIAEQWDD5phEBugJzsP6+ -OQYC18aQGFoHKIKEHFwX+GYICZAEPmD9YAUoACBKMI+Himf7ggggERBAMP/yDiGeAmtw+qIOKgAY -w1AYXAwI2AqIgAqAACsWLfoWLCAC/AegKfA+KvA/CJkRCpkCsZkp9D8JiRQp9D7aIFsGONEPAAAA -AAAA8/9RYAAQMDAAAGXkWikwVP8CAAADiAZgaZLXwaf6ZFQiAABRsFv/FmP/x2XkUykwVP8CAAAC -h4ZgaZK2YAUMZeRZKTBU/wIAAANThmBpkqLAxSxkVCswWPkKASgD94Lg/goBIAAQaDAJ7Thk0GEr -MhkrZRkbWwwqMFqIbA8CAAp6QP6qEAgAQFowCogCKGYMKjBaG1lnCmpA+4gBCvAEOqD7W8oYCQBS -MChmDCowWi9gBfuIAQoFAVAw8KoRAD0QWDD7ZAUoCQBSMChmDC9kMP0KASAAEGAwCdw4ZM8UKGAM -GVkwFVmzJ2IWKZJ3JVKE82EZJ5AEPeD5WhcYACBKMPmIEQYAID1w+BwQJAAgRXDwCRcAEBBIMNMP -bZoCAAiKG1kiKlEp0w8PAgD/AgAH/2JekBxamI1g/mAFIAUQUDD/YFQgMhBYMFhlNRpZGPliACDh -EFgwKxQo+hYGIAgQIDD6WRMZgAQ+YPoWBCgJACZwmRUsYAcqUSn7WQ4cIAFgMADMEQyqAguqApoY -D+ownxmZHR5aMv4WDCBAEEAwKBUXLWBULRRBLGAFLBRC+2AwIAEQaDAtFEArFEMqYhb6FhEgYAJQ -cImliKSPo46i/KIBIKACWHCcsZ6yn7OYtJm1iqCasIlgKRYaKGBUKBRtL2AFLxRw/mAwIAAQYDD+ -FHEg8AJQcPwUbiBoAlmw/RRsIgAAYTBYXAb7bDwg/gJQcPqsASAIEGAwWFwB+3xyIP4CUHD6rAsg -BhBgMFhb/ftsTiD+AlBw+qwOIAMQYDBYW/j7bFwg/gJQcPqsFSAIEGAwWFvz/RFKKAYBGDDzqUAK -CwEYMP8RSyYJARww8x4UB7AEPeD/FTkuCQBw8PmqEQmgBD5g/2IMKAkAVnD+DkAEBQFsMPnuEQWw -BD1g/z9UDgkAK7DzekAOCQB7sP4UdCwNAWgw+2BpLaAEOyD/YGgsDgFsMPndEQQFARww/1URDAkA -azD/P0AMBgFcMPt+QAoFAVww/u4RDfAEP2D9/xEMCQB3cP/MAg4EARww9f8CDAkAazD8CjAqCQBm -8POFQAoJAGbw+xR1JcAEPWD3YgwkCQA9cP6IEQvQBDqg+ogCBAkATXD4VQICEAE8MPceUAYSATww -+u4RB5AEPeD7MxEOCQA7sPX/Ag4JABuw/xR3LgkAI7AuFHaEZy1JFPTUkGBAAhkwKEIJZISFAzoC -/AoIICACWHBbC89j/FcAZeEYKTBU/wIAAAE/BmD/AgAD/iKaYGAChfosAAIAAFjw/EwAAgAAaXBb -9sLRD/8CAAAAgh+gKzEu/wIABgGr5tAZWwf/AgAP/gfK0PsaciIAAFGwW/t3Y/v8AP8CAAAAeJ+g -KzEu/wIABgGa5tAaWvz/AgAP/fFS0PsqEyIAAFGwW/tsY/vP/wIAAABwn6ArMS7/AgAGAYtm0Bxa -8f8CAA/922LQ+yofIgAAUbBb+2Fj+6Nl4M8pMFT/AgAAAP2GYP8CAAP9yRpgYAICLfA+LvA/CN0R -Dt0Csd0t9D8NjRQt9D5j+3Au8D4o8D8I7hEI7gKx7i70Pw6OFC70PmP7VijwPinwPwiIEQmIArGI -KPQ/CIgUKPQ+Y/s8AAAp8D4q8D8ImREKmQKxmSn0PwmJFCn0PmP7ICrwPivwPwiqEQuqArGqKvQ/ -CooUKvQ+Y/sGK/A+LPA/CLsRDLsCsbsr9D8LixQr9D5j+uwAACzwPi3wPwjMEQ3MArHMLPQ/DIwU -LPQ+Y/rQLfA+LvA/CN0RDt0Csd0t9D8NjRQt9D5j+rbaIFsE5tEPAC6gcLHuLqRwLjIbwcj47hEC -AP8aYPo8AAIAAFmw9Pr/IAgQeDBt+g8vsDQtoGSxqvu8AS4BrGvQ+mwAAAgQQDDTD22KDy+gPC0w -XLEz+qwBLgGl69D+FgAiAABRsP0cAAADEEgwbZoPL9AALqBOsar93AEuAahz0CtgBftkMCA9EFAw -KmQFY/olAAAA+zxFIP4CUHD6rCEgAxBgMFhbEygSLS8SKC6CGA+PFC8WKC2CGRxZdPsKLSAuEHgw -/eoIDAAgP3D9hhkhYQA3oBhXon2LbCkSKP8CAA387eZQY/nOK6BcLKBdCLsRDLsCsbsrpF0LixQr -pFzaIPs8AAIAAGEw/VwAAgAAcbBb+Rtj+Z8ALKBcLaBdCMwRDcwCscwspF0MjBQspFzaIPs8AAIA -AGEw/VwAAgAAcbBb/HBj+W8tMDgoMDkPAgD5MDotgAQ/YAjdAvgwOy2ABD9gCd0CCN0RCN0C/wIA -BgC+31D/AgAGALr/UC8SKP4WKyCoAljw+hYqLAArZ9DccFha2Nog+xIrIgAAYTD9XAACAABxsFv7 -KSkSLcCAKJYZY/kCK6BeLKBfCLsRDLsCsbsrpF8LixT7pF4j/KQCYPxgMCAGEGgwLWRULGQFY/nA -3HBYWsTRDy6gcbHuLqRxY/jDAC+gcrH/L6RyY/i3KKBysYgopHJj+KwAACmgcrGZKaRyY/ifLGRU -+gpAIIYQWDD6ZAUiAABRsFv0o2P4hgAtEij/AgAN/EJnUC0wOCgwOfkwOi2ABD9gCN0C+DA7LYAE -P2AJ3QII3REI3QL9FikgGARbcP8CAA/8JvtQGFoUKTEuLhYr+hYqL/94wlDaIPxMAACoAljw/VwA -AgAAcbBb+u4cWP4tMDgoMDkqEir5MDotgAQ/YP4SKywJAEdw+DA7LYAEP2D53QIALRBYMPjdEQAu -EHgw8/6gbAkAR3AAAAAA+xwQIgAAUbD8CgggAhBoMFsC0GP3zAAAAB9Z8isxLn+xeBhZ8i4WK/oW -KiB4BELwKhItwJApphlj96cqMFr/AgAD/AdikP8CAAP8A2aQ/wIAAfv/apAKDEP8zP8gABBYMAy5 -OGP36AD8fAAAqAJY8Fhaax5Y0S0SKNMP/wIADfu091DaIPsSKyIAAGEw/VwAAgAAcbBb+rhj/5Qo -EiwvgHL//AEiAABRsP+EcifQEFgwWAEFZa94Y/coAAAAAAAA/fMGcgAAUTDAoWSsnmAADP3zBnIA -AFEwwKFkrKssZFT6bAAAQBBAMPhkBSCGEFgwW/Q8Y/bsAAB/4wHAQWVP3GP8qABsEAYrIAeJJwsI -QfSRLWBAAmJwKpkUZKEiipn+ChIhHQA2oI+gLaAwD49X/xYBJgFTd1ApoQgtOv/+CksmAV/uUCkg -Bf8KSiIAACqw+eIMcE0QUDD/AgAIAHJ+UI0R/wIABgBtVlD/+sAgMBBwMP/PAQA+BHNwKcEF/58I -C8AEOSD//EAqACBQ8PWsAAoBT/qQKTELHFcUh1r9VxUbwAQ6IPmcxCoAIGKw+aI6JgMATfD3FgIg -bgI58AdHFP2NCgAIAmHw/BYAKgAK5lAt0pcsojkeVtv9xgEIADXrEI4iZOIxjxHDgHj5UoknFVkG -K5kUJVJ/9LH8YEACUnCImSaAB8Cw/FwAAgAAabBbBsCKJ9sw+qwgIgAAYTBbCimMIIsnCMwRDEwC -/KYBIEACUvD7XAACAABhsFsKItEP0Q8AAAAAAADwDgcP/BBoMP5XrRAkBGnwiRAGaAJtmQIASGEr -IAcLLUAK3RD8EgIsCQB3cJ1giSD/EgEgMBBAMPpW3xAwAmMw/GYDKYAEPmD6ZgIoCQBN8PlmASA8 -BEPwiif7TAAAABBgMPqsICIAAGkwWwaXKyAH1aD7IQgsIAFYMP5ZRh0ABDsg/AoAKgkAZvCcZfwS -AioJAHbwm2QARY0CBo8uZgYsZgctIAzTD/psKC0ABD9g/GYHKgkAbvD7ZgQgqAJY8FhZxipcGfwK -AyB6AljwWFnC9Fd7EIICWPD8CgMgOgJRcFhZvRlW7i1QB44SLlULLCEHnVH/IAcvwAQ54PwMSg4A -IHGw+iEILcAEOyD/LUAOIAF8MPD7EQygBD9g/cwCCgkAWrD7VqQcCQAjMJzg/SIAKgkASrCa5Pvm -AiAEEEAw+eYGIDAQWDD75gMgABBIMPnmBS2ABD9g+eYHLAkAR3D95gEgQAJjsAIFhgBMYwAFhgBM -YRlWiwz4Ef8SACgAIEow/4Y5IgAAULBbAz3RDwAAABNXwSgiHiMyfwmIEfgzCAIAAFCwWwM22jBb -AzXRDwDz/gZgABBAMPosAAIAAFjw/EwAAgAAaXBb/MfRDwAAAPosAAABEGAw/RIAIDACWjBbDohj -/bcAAAmlDGP9XgAAbBAEGlZwHFapJyAHLiEHLSEIFlcu/g5KDgIBPDD6/xAPwAQ7oPcHQQ4JAHuw -8H8RDgkAM7D+RgAsCQB/cPYiACwJAGdwnUT8RgYgABBYMPtGBSAEEEAw+0YHIDAQSDD6RgIngAQ5 -oPlGAyYJAEGw9kYBIEACETACA4YAQmMAA4YAQmESVm4MfxGi/5Xw0Q8AbBAEKSITDwIA+FetHjYB -TDD782dgAgJT8AoKQfiYAQqgBDqgCogC+CYTIGsANOAqIgcqrBBbB/P0Igcj6BBAMAgzKNMP+jwA -ACACITBam4H9VdwSAABg8P5YtBIAAFqw/yIAIgAAUTBamzeCJyIsENogWpELaKEnwCDRDy0gVf4g -BSAFEFAw/FipEDIQWDBYYi7AIdEP2iBb8a3AINEPANogWpEfElZfC6gR9KA5YgAgQLAM6jArIoWL -sLCi/LsIAgAAULBYZFQcVtMqwn/wIQQAARBYMAC7GguqAirGf1hkicAg0Q8AAPoKByABEFgwWwiH -LCJ//CaDIAAQEDDRD2wQBhVXHYstKlJrCbsRq6qKqsmiK6xg/AoEIgAAUHBYWQ6KEGAABQAaVfaa -EB9V9I0nHlYX+vo4A+gQYDAMrCwDzCgOzCj8FgAgIAJTcFsHrYQQgyf6TAAAIAIY8FqbPf1VmBIA -AGEw/lb/EgAAWrD/IgAiAABQ8Fqa84InIiwQ2iBakMdooQLRDwDaIFqQ5BJWJQuoEfSgNmIAIECw -DOowKyKFi7Cwovy7CAIAAFCwWGQZKlKT8CEEAAEQWDAAuxoLqgIqVpNYZE/RDwAAAAD6CgcgARBY -MFsITSwifywmg9EPAGwQEBtVuiwxJ/VX1RIAAGlwji4pIAcqUjr2MSYiAABBMPkJQQ+QBDug+8EP -egAgcrAvITf/AgAOAi/j0CchNv8CAA4CKjmQjydk8PQr+RQpFhT08gkkUAA24JoemB/0FhAgIAJT -8FsHbi0gBf8SFCALEEAw/gpWI/8QSDD2CgcmAid3UC4hCBpVrfnpDAP/EGAw+YY5CcAEP+D2FhIk -FgA1IIhA+IhXBgIeZ5D6EhIoACBWcCkWESmSOisSERxVonqTFCuyOQz8CizCl9MP0w/8tAEIADhi -0IwiDwIA+BYVJIMANyBohlgvIgcXV5wt+RQncn/01FRgQAJT8CTyCfVMAAAhADUg/UAHIAAQWDD9 -FgQiAABh8FsFU4on+qwgIgAAKfD8Eg8iAABY8FsIuowgix8IzBEMuwL7pgEjgAC1YNEPAP8CAAYC -GoIgHVVTKRIS8A0HAgAAQTBtmQIASGEqIEEvIQccVpMKrQn3V3odwAQ/YPNVeBwAIGsw/MJ/L0AB -fDD+ISIvwAQ/4PNVcR4JAB/w/I1CCsABZDD8fEANgAQ/YP7dFAoJAG7w9cwRDUAEP2D9CgAsCQBr -MP0WBSsABDqg/0YAKgkAZvD7VVAaCQBasB9X3vwiACBYEEgwmUOXR/NGAiBIEEAw/UUKL0AEO6D4 -RQsgBxBoMPuqAgCQAliw+kYEIEACUTD4zBEOCQB7sP5GBiwJAGsw/EYBIAwQYDBYWFQrLFz6VmoQ -iRBIMPlELCAAEDAw9kQ6IAAQeDD/RDkgABBwMP5EOCAYEGAw+kQtIC4QQDD4RDsgeAJRMFhYRB1X -u/5ASCAAEDAwJkRE9kUlIIoCUTD2RhQvhBB4MP9EPCACAnOw/kRIICgCWHD9FgUgAxBgMFhYNvtV -ExAAEEgwKURULCBoLERVLiE2LkUsLyE3+0UvIEIQUDAqRGQpRS4vRS0nIAXFhv8CAAYBYcXQxdAt -JAUuIQgvOv//AgAGATh/kBtVGiYSEIkgHVXaF1VRLCEHLyAHKE0B+IyQLUABYDD/I0AOIAF8MPoz -EAsABDvg/MwRDgkAU7DzzAIAMBBQMPfuAgAEEBgw/cwCDYAEPmD1YHViCQAfcBZXhfYWBiACEDAw -BtYClheGHi1iH50ZJmIemR32FgggAhBoMP0UKyAAEDAw9hYMIAAQaDAtRiEtRiMjRh0rRh4qRh8u -RiAnRiL8RhwgMAJgcAIMhgBIYwAMhgBIYRpU6Az5EfgSEigAIFZwKJY5YABvKWAH9lbwEgAAabAG -mQKZ0SNGHStGHipGHy5GIPdGIiAAEDAwJkYhLEYc9kYjIgAAY3AGDIYASGcEDIYASGUYVNP+EhAn -wAQ/4PYSEiYAIEXwJnY5juD+jlcAMhA4MP8CAAYAfb+Qw5X/AgAGAHjPkCo6/yolCCsgBcXG/vrA -JgDAZtCNJ/siDiBAAmNw/goALABAczD+1RQggAJjMJzYnNmKLClSOvgiCyuQBD7g9aAKaAAgXnCY -mWAAAgCYq/8KACAGADYgmoyfK4ib/yYMIAgANiCSjJgrkpv6LAAAAxBYMFv+otEP2zD8jAAAhRBw -MFgAS9EPmh6YH/P7sGAAECAwAADz+/RgABBAMACKJ9tQ/BIEIEACUrBbB9PRD4weLcAF/cQwIEMQ -WDArxAVj+6IAAAD/AgAH/eIaIIYn+PrAIEACcbD4CgAuAEBDsPhlFCCAAnOwnmmeaGP7nikhFCMh -EsBA+RYTLwcANmD6UsMiAABY8PwKACABEGgwWwPXJlLEKhIT9EwBIAICGPAGMy56Sdhj/tgAAAAA -APP7r2AAECAwKRIRKBISKJY5Y/7FAAAAAPosAAAwAlvw/RISIAEQYDBbDIooEhVj+2eKJ40fwMD6 -rCAiAABbcFsENioWEGP7txxW8Y0g+yEIIAUQUDD7FgAgMhBYMFhgbmP9KhxW640g/iE2IAUQUDD/ -ITcgMhBYMFhgZ9ogWwEU0Q8AAGwQCC4gVSciFiggBy8hIvMWAyABEFAw9BYBIAAQSDD0VZEQhBBg -MPxsDAIAAGpw/K04AAYQGDD8VWsQBxBQMPpUThIFAG6wmRD5VEgSAABZcPRCfyggAUAw/u4JBcAE -PiD5VQgHkAQ94PdSOiQAIDkw/xYCL8AEO6D6igoMACBzMPzCfyoBBJ3QKqKXKVI5+pcBDgD91lAb -VAzwCwcCAABB8G05AgBIYSkhBxpUNg8CAAkJSgyZEftUMhgJAFZw+XYAIFgQeDD4IgAgUBBwMP3+ -OQ5IAWQw+P8RCMABZDD/mQIJgAQ6IP9UFRgJAEDwmHEoEgL+dgMqBwFgMPt2AiBIEGAw+NsUC1AE -OqD+QHwrQAQ+4PSIEQoJAFqw+pkCAEAQWDD6ViQaBQBvMPt1Cy8ABDug+VYUHgkAS7D5dgcgBhBg -MP/uAggJAFIw/nYEIAAQUDD6dQog5AJZMPh2BiBAAlHwWFcPK0xs/AoGIEwCUfBYVwwmdDz/VSMQ -ABBIMPl0OiAuEEAw+HQ7IAAQWDD7dDggABBQMPp0OSCJECAwJHQsihMvdC0UU90soSYroSctoEku -oEAudEAtdEkrdScqoSf8dSYgABBYMCt0RPt0SCYAWiaQKnxB/AoDIKICWLBYVvArLE78CgMgegJR -8FhW7YgTH1XWKIBFjRAeVmUAiDL4/TsAhBAQMPp8RSwJAHdw+N0RAgAAWHD9FgAgAxBgMFhW4PJh -K3AJEEgw+XRVIEIQUDD6dFwgABBgMPx0VyADEFgwK3RWI1Y50Q8AAAAAAAAA/hIDIAAQeDD/dFQv -/xBIMCl0VSjhJih1LC7hJyR1Ly91Lv51LSBCEGgwLXRkI1Y50Q/AoCp1J2P/R4wimxT1vAAASQA3 -II8T/FY+EAUQUDD+8SYgMhBYMP/xJyIAAGlwWF+3BQhHaIIB0Q+KJ4wRK6kUKaEVDMwRrLv6rCAr -//XeUIsTjBFbBtvRD90w+iwAAgAAKvD7jBggARBgMFsLt2P/ogBsEAQmIATAwfhlUG//EFgw+CwA -AEQANKDwAAptYAEcMAAAiInLgCeBGQcHS3fZ8iKCCmQv7PMKACAGEEgwbZoUojn5kGwqACAg8Cqg -APmpO3ACAhjwYAABwCDKKoIq0w/TD8oi8woAIAMQUDDTD22qFKI09EBOKAAgKPAogAD0iSpwAgIY -8NEPwCDRD/qTBnIAABrw08BkP8CCKcAw+QoGL5wAtKBj/3kAAAAA+EMGcgAAGvDTwMg2gihlL6Zj -/8fRDwAAbBAEZCBG+/r/K2ABGDDwAAhgARBgMIIpyyElIRkFBUt1qfInIgpkf+zzCgAgBhBAMG2K -FKc4+IBsKAAgJPApkAD4mRNwAgIY8NJw0Q/AINEPAAAAAAAAAPmDBnIAABrw08DIPYd5wDD4CgYv -xQC14GP/otJw0Q8AbBAGFlRjjC0mYmv4VbkdkAQ7IP0iDiwAIGGw/MIHIEsQODD6CkogSBB4MPsw -PCCBEHAw/MIOILIEQ3AJ2BGoZi1iB/3SDiYARfbQLiAF/wIABgCbf5B+ci75CoQsABXTkPoKhSYB -A07Q/wIABgC8VtDJYy5gBcOy/Ao5JgCS35D/AgAGAI7nkNEPAAAAAAAA/wIABgFr9tAuIAX/AgAG -AZJ/kP8CAAwAkfHQ/wIADACN05AtCoT/AgAGANfu0C4Khf8CAAYBhHbQ8/+kYAAQMDAAL8BgKMBh -+cBiL4AEP+AI/wL4wGMvgAQ/4An/Agj/EQj/ArH/L8RjD48UL8RiD48UL8RhD48U/8RgID0ANaAo -0Dwp0D360D4pgAQ6IAmIAvnQPymABDogCogCCIgRCYgCsYgo1D8IiBQo1D4IiBQo1D0IiBQo1Dwq -YAXEkPqSDnBCEGAww77/AgAJ/5ZekP4KUCf/kmaQLSAF/wIABgEe91D6LAACAABY8PxMAAIAAGlw -W/0e0Q8vwGyx///EbC73ADWgKNBzsYgo1HPRDwAAKmITCm9Ra/MlGVRLsfsLC0H6uxAIAEBOsAuZ -AvlmEyIAAFGwW+5o0Q/RDwAAAAD9YFUgBRBQMPxVWxAyEFgwWF7f0Q8uwG2x7v7EbSA9ADWgL9B0 -KNB1+dB2L4AEP+AI/wL40HcvgAQ/4An/Agj/EQj/ArH/L9R3D48UL9R2D48UL9R1D48UL9R0iicU -UvT6rBAgTRA4MFsEePckBSIAAGlw+EJPIgAAULD5MScgABBYMPklNyAAEGAwC4AA2iBa/21lbgxj -/h7AYIonxE33UuQQIAJSsFsEafQkBSIAAGlw+HJPIgAAULD5MScgABBYMPklNyAAEGAwC4AAKjBU -9FO8HgCcgqCLLIwuKkJr+SILLZAEOyD1sAlqACBisJmpYAABmbvIkJucwNCdK4mr/SYMIAgANmCS -nJkriy2SqypCawm7EauqiqrJoiusYPwKBCIAAFBwWFWeihBgAAUAGlKGmhAfUoSNJx5Sp/r6OAPo -EGAwDKwsDswo/BYAICACU3BbBD6FEIMnDwIA+lwAACACGPBal839UigSAABhcP5TjxIAAFqw/yIA -IgAAUPBal4OCJw8CACIsENogWo1W/wIAAf6GnqBgAJcmwGAowGH5wGIngAQ5oAhmAvjAYyeABDmg -CWYCCGYRCGYCsWYmxGMGhhQmxGIGhhQmxGEGhhQmxGDz/aFgABAwMNpg+zwAAgAAYTD+CoQiAABp -cFv+A9EPKMBssYgoxGzRDynAbbGZKcRt8/5SYAAQMDAqMS5lrsQrMS9lvr4tIGgsMFUt3AH/AgAP -/1lrEAIqAlr/BmP8bdogWo1MElKNC6gR9KA2YgAgQLAM6jArIoWLsLCi/LsIAgAAULBYYIEqQpPw -IQQAARBYMAC7GguqAipGk1hgt2P8KwAAAPoKByABEFgwWwS1LCJ/LCaDY/wUbBAO+1IhEAAQUDCa -EIU097J9IFwCMPDSYPSygCRwASww91cIBAEdEWAJdxGnRIRHJEIOJ00BKHGSZIIi+k0CIEwCWPD6 -rF0gBhBgMFhVMRtUrh9SfvpNAiADEEAw+bACIAgCYHD5xAIhAAJSsPuxACAAEEgw+8UAIgAAWrBt -ig8twAAusN2xu/zMAS4CcHNQwJEYUf0ppPEogn0v8oGoWAmIEaj/j/eP/i/9Ai/xDPkKHSS8ADfg -KzELlxn2FggqAM/aUCxCjJQV+hYHIAAQMDD1FgYgAgJjMPxGjCAAEDgwuBr7LAAAAhBgMFhVCiQR -BP8xCyUAASQwslj4ZggEyQEgMPtMAAoCRHmQ8EAEBB4AuSAHCBv/AgACAkF+EGSxKv8CAAAAiAbg -/wIAAgB5AuD/AgACAGuG4Gu3D2q0DG5fJmAESQAAAAAAAAD/AgAGAFYG4PkKfygARoLgebEkixUq -spCxqiq2kLJb9U9yYgAgWLDAn/8CAAYAdM3QYAQOAAAAAPwa/yQCA8Fg/wIACgH/rxDaEPwKBCAE -AliwWFTdjhAdVFoOjBT/U/kReARrMPhT+BAuBHsw+hIFIB4EQzApopCxmSmmkGP/nPoSBSzgAXQw -/lz8IAwCWLBb1BFnr4ZgA6nAqPsKpyoB0iqQ/wIAC/+7KtBgA5QAAP8CAAX/tIFgYAOHAAAAAAD4 -CggiAb+ZYAh3AvP/TmbgATwwZFNs+AoELgG0lWAIdwLz/zhm4AE8MGRTVvgKAi4BqZVgCHcC8/8i -ZuABPDAA+AoBIz8AtWAIdwLz/w5m4AE8MNEPAIsVJr0D8hIIIgAASbD4bAACAAAZsPu9AiEGAmmw -/RYNIgAAUbD8vHIhIgJSsPoWCyIAADrw+hIGIPICWvD7Fg8hGAIY8PtThhE+AkIw/BYMITYCSnD5 -Fg4g0AI58PgWECoAIFqw+hYKIQICMbC4GvssAAACEGAwWFSOJREE9ZtGBQABLDD0vAAEANSm4P8C -AAYAhKLg+AoIKACuIuD5Cn8oAIjeEP8CAA4Ay8rQ2hD8CgQgBAJYsFhUfo4QGlP7DosU/wIABgC9 -VtAcU5l8sSQdU5j/AgAOALTq0P8KCCzgAXAw/wIACgCs49DAjP8CAAoAp+YQHFFMihYZUbwswn0p -koGsqgmqEaqZiZeJnimdAimcgCmQ8cidjRot0ODA9P8CAA4Ajn9QjxcYU00v8Jeo/y/w4PsWAyjg -AXAw+BYEIAB/+9CKFSiigLGIKKaAWBQWGVN3ixN5sW0aU3b/AgAOAG/S0IkXGlJvKZCXKwqAq6qq -mSmQwGiTB/8CAAYAYR5g+hIFIAwCWLD9EgQh+AJhcFvSCGAApwAA+jwAAAkQYDD8XDQABAJYsFhU -QWAAjwAA+hIQIAwQYDD8XDQABAJYsFhUO2AAd4kXGlJXKZCXLAqArKqqmSmQwGiSB/8CAAf/vJ5g -ihX8XPwgDAJYsFvSoWAASmq3G2u4RPoSDiAEEGAw/Fw0AAQCWLBYVClgAC4AAABptij6EgsgChBg -MPxcNAAEAliwWFQiYAASAAAAAAD/AgACAEcm4Gq0PGi0abJd9U4nYgAgaLAYUPePFx5RZiiCfS/w -0C7igaj/Cf8Rr+6O547uLu0C/uyAIAAQaDAt5NXRDwAAAAD9IAMgBAJYsP4gAiIAAFGw/nQAIAIQ -YDD9dAEsAQDhcFhUBYgZL4G0sf8vhbRj/5X6Eg0gCRBgMPxcNAAEAliwWFP9Y/9/AAAAAGqyIv8C -AAP/uo7g+hIPIAgQYDD8XDQABAJYsFhT9GP/WgAAAAAA/wIAAf+pHuD6EgwgBxBgMPxcNAAEAliw -WFPrY/83AABj+yIuQov6CgUgJBBYMPxTZRACAnOw/kaLIgAAaXBYXN7RD4gVL4KOsf8vho7RDwCJ -FSqSkSiSjrGq+paRIAICQjAolo7RDwBsEAYcU1cvIAQqIAeNJxNTVfghCCA0EEgw/tkUIAAQODD1 -Mr0qIAFQMPoWAiP/ECAw9OJzYEACU3Am0gklFgD+wn8iAAAp8Pn2DWIAAGmwKyIAfrsCJQoB/wIA -BgH8phCIYAiEV/gPRwYBXU0Q+AoEJgFZT9DAwPgWASAAEFAwiRIbUKn+EgEpwAQ6YKuIK4I6s+8F -/jn+FgEqAed20BtQpS+COQubCiuylwv/AfkSASI3ADfgHFBz2PDwDAcCAABb8G2ZAgBIYYkgGFCc -HlCc9FBPbYAEOmAVUyQrIQeY8pf2l/j39gkrQAFcMPWVAgvABD7g9fYEIBgQKDD19gMqCQB28Pv2 -ACADEHAw9VMZHgkAczCe8ZX3G1MV+/YFIGACW/Av0Qgu0AclIQj4/xEABBBIMPnJAg4JAHuw/tYB -LAEABSAkIAceULf0D0EEAgEgMPpEEA0ABDvg/FwCAEACKvD1oG9sCQBzMCohB/m2ASAwEHAwnrOc -tB5Qqv62BiAyEDAw/lEwG0ABUDD3tgUrwAQ6oPe2ByoJAFEw+LYCKgkAcrD6tgAiAABDcAIIhgBF -YwAIhgBFYRpQXAz5EfgSASgAIFZwKJY5hNDwAG9k+AEgMAAALmAU9goyIYYAN6AtIQf5tgEgMBBw -MJ6znLQeUI2etv5RFB1AAWww97YFLcAEP2D3tgcsCQBtMPi2AiwJAHdw/bYAIgAAQrAGCIYARWcE -CIYARWUbUEAM+RH4EgEoACBecCiWOYSgBIRX9kEqcDUQYDB8QSKPJ/j6wCBAAnPwCO4B9/UUIIAC -c7Ce+J75LSAVZNDwwCDRDyYhFCQhEvUKAC/TADWg+jJCIgAAWTD8CgAgARBoMFr/eygyQ/VcASAC -AiEwCEQudlnbY/+nAAAAAAAAAPUWACIAADHw9QoAJgDGA+D/AgAGAMymEIhwCIRXeUFaCAtHebFU -+goAIAQQYDD8FgEgABBoMPP9nWAAEGAwAABoTQceUAsOTgKe0MjNiieLEPqsICACEGAwWwcliyJl -v16LEvosAAABEGAw/RIBIDACWvBbCBzAINEPAMCw/BIAIAIQaDBa/8iNEPhgFCAUALagZY8qYAAM -jtAI7hCe0GP9+WSBEMD0nxHz/SpgARBgMNogWvyrwCDRDy6hCJiyl7WZsRZQtvy2BCAwEHgwn7P0 -UCsWCQAxMJS2lrAmoAf0ogAvgAQ7oA5mApah9IRXADIQMDB2QQSE0ASEVwoKhgBFawgKhgBFaSog -BywhB/wMSg4CAVAw+u4QDcAEOyD+UJ8cCQBzMP8hCCwJAHMwLLYQHFASjiD3thUqIAFQMPe2FykA -BD6g+LYSLgkAT/D8thYgMBBAMPi2EyAEEEgw+OgRDgkAZ/D/thQoCQBKMPi2ESDAAkLwDg2GAEhv -DA2GAEhtH0+/DK4R/RIBLgAge7At5jlj/f8AAC3Cf4sg/wIAC/836tD1CgEv/zeiENogWvxswCDR -D8DonhFj/usAAADz/EFgABB4MGwQDigxCBpQgyk6//8CAAYAdc4Q8AoXACACSHAACYoACYoACYoA -CYoACYoACYoACYoACYobT48eT9+IIBpPjPoWBiAEEEgw/hYKKYAEOiD7FgQoCQBKMJgVLyAHLTEI -Dw9BAP8RD90CDt0C/RYIIgAASPAM6jD8FgkgABBYMPsWCyBgAlBwAgmGAEpjAAmGAEphiyf8uRQt -gAQ9YP6yCSwJAG0w/7EVIEACUvD9Fg0gOAA3IPTgMGCAAkMwePMM/AoEICACWHBbArPRDxxSDo0g -jzP+MgIgBBBQMPUWACAyEFgwWFt/0Q/7HBAiAABQsPwKBCACEGgwWvrK0Q8AAABsEASFIBtSABNP -kSkiCiQhNfgydCAAEDAw/SIHKuABSDDzMoskACBZMPhVDAA9ADag9CA1b8AQWDAo3CD2Jgcv/xBg -MPzWACgAQFow9tUUIIACQjAo1gn41gggAFqGoP8CAAIAZAKgKSYKd5cS+yxYIAAQUDBbYsBgAA8A -AAAAAPoiEyAAEFgwW2K7liiWKZYqliuWLJYtJiYOJiYPJiYQJiYRJiYSJiYTJiYUJiYVJiYWJiYX -JiYYJiYZJiYaJiYbJiYcJiYdJiYeJiYf/FHPEAUQUDD9CmAgMBBYMFhbQP0KcCIAAFlw/SQFIAAQ -YDD6MhEgARBoMFr+g/oyEiIAAFkw/AoAIAEQaDBa/n/RD48/+SIKIBACc3D+9gEgeAJA8JjTn9Ke -P2P/O4s9+SIKIBACU3D6tgEgaAJg8JzTm9KaPWP/IAAAbBAGHFGxGE9cjyCNNfUgBSAFEFAw/jIE -IDAQWDD1FgAk4AFoMPkgBCNoAWww+RYBJPgBdDD1FgIiAABo8PQWAy4AQEOwWFsUFU8vKVJ0KFKF -o5MJMxH8UZ0SACAeMI0w/jAFIAUQUDD/MgogMBBYMFhbCcKz/AolJgDn3RD9CiQmAOPlEPZQ8hYA -3+0QaEEwaEIt+EMqYBUQcDB+QSLB9n9BHSgiGGSBtok4ZJG3KyAEZLG2jCdkwbmNOgbdAi02Co0/ -yNvaMPsyECABEGAwC9AAiTr/AgACAJAiUIw+yMv7MhAgABBQMAvAAIk6GFF0JDE1IlKLK1J0hTDA -YPhECArgAUgw+1UMAD8ANqD0MDdvwBBwMI03L/r/9jYHIEACY3D/1gAsAEBzMPbVFCCAAmMwLNYJ -/NYIIABrhqD/AgACAHUCoCk2Cv8CAAAATl5Q+zxYIAAQUDBbYjWWOJY5ljqWO5Y8lj0mNg4mNg8m -NhAmNhEmNhImNhMmNhQmNhUmNhYmNhcmNhgmNhkmNhomNhsmNhwmNh0mNh4mNh/8UUkQBRBQMP0K -YCAwEFgwWFq6+ApwIgAAWXD4NAUgABBgMPoiESABEGgwWv39+iISIgAAWTD8CgAgARBoMFr9+cAg -0Q8AAAAAAAD6MhMgABBYMFtiD2P/ZAAA+jIIIAAQWDD8CgAgAhBoMFrtUMAg0Q8Aiy/5MgogEAJT -cJqx+9YCIHgCYLCc05ovY/8Zjy35MgogEAJzcJ7x/9YCIGgCQLCY054tY/7+AAD9TAAABRBQMPxR -IBAwEFgwWFqPwCDRDwAjJhhj/kKSOGP+QsGYKSQEY/5AWvHZiyCboJonY/45AGwQBBtOlRpRE/lR -ExAAEGAw/igRAAUQaDD6iggAARBwMPs0AggAIEow9IYAIAAQeDBbAKTSoNEPbBAEIyRy+iwAAAEQ -WDBbAxXaIFsDotKg0Q8AAGwQBIoqGVEAHE5x+ApyIGQACrArIAUJqQH6CnAoAEBmcPkmCiA4BELw -erEU+iIIIAAQWDD8CgAgAhBoMFrtDtEP0Q8AbBAIHFDxJCIYAGWOIyAHL0IILUAE/kIAIAUQUDD/ -8gAiIAEcMPMWACAwEFgw9hYBJOABLDBYWlL6LAAAEBBYMP0cECIAAGDwWwhNZKCHHFDfiyDA0f2k -CSoJAGbwm6AaTmEMORH4EgQoACBWcJiQ+yAiIgAAULBa/UWOSvsKciB0EHgw+kAFIAAQYDD8JCMg -QAALsH+hGGhSN4onwLH6rCAgARBoMFr99sAg0Q8AAAD8CnAgPARasHyhFvpCCCAAEFgw/AoAIAIQ -aDBa7NrAINEPwCDRD9og/FC+EgAAWbBbCAnAINEPAGwQBhxQuv0iACIAAHkw/iAEIAUQUDD1FgAg -MBBYMFhaHhxQsy0yBC4wFy8yBvgyByAFEFAw+BYAIDAQWDBYWhYpIATBqPsgIiCuBFJwyLjaIFr9 -FMCwKyQjKjAF+wp2IHQQYDD9CncggARisPrSLnAAEBAw/gpyLAATWpD/CnAgPARysH+hFvoyCCAA -EFgw/AoAIAIQaDBa7K3AINEP0Q8jIhhj/6YAACs0BfskBSAAEBAw0Q8AAABsEAQkIhgfTi6NNBxQ -jPoKBSAwEFgw/jAXLABAf3BYWfAoMBfCmnmBF/sgIiIAAFCwWvzuwKD6JCMiAABRMFv/d8Ag0Q8A -AABsEAQkIhgfThwtMgQcUHv6CgUgMBBYMP4wFywAQH9wWFneKjAX+QokICUQQDAPAgD7rAAGAFfG -kPxNyhYAU86QiUomCiP+ChQgHxAoMPydAgAOAIZwLUYK+UAFJgBKdpDB/v8CAAADEGAw/wIABgBF -fpD1oXJwIRBAMHihavahZ3//EGAwKgp0epERjU/I3PtCECIAAFEwC9AAKzAXdbFC/lBPEH4EMvAr -IhiNSiuwdPwKjiwAQHdw/UYKICgIYvDAw/wkZCAAEBAw0Q8AAAAA+iwAAAAQWDD8CgAgAhBoMFv/ -TcAg0Q8A8/+ZYAQQYDDz/5FgAhBgMGP/iQBsEAYiIhhkIHAcUD2IKI0gLyAFjoD4gAUgBRBQMPgW -ACAwEFgwWFmdLiAFKQpz+gp0IJgES7D7CnYg1ARTsPwKciBKCFuw/QpwIDoEY7B94RX6IgggABBY -MPwKACACEGgwWuw7LiAF/SIAIAUQUDD8UCUQMBBYMFhZicAg0Q+NL48oLgp1LiQF/vQFIBEAN2Da -IPsiECABEGAwC9AALiAFY//DAIkoKAp3KCQFKJQFLiAFY/+xAAAAAAAAAGwQBCggcsCUCYgC+CRy -IgAAULBbAqLSoNEPAABsEAYrIA0mIAccTusdTU/6IAwm4AEsMPnCRCYgATAw/tJ4INkANuAfTqGv -ry/w3av/r+4J7hEOmQgpnID5FgIiAGaB4IgiZYEKKpANZKBCGk7aKqCA+E5GG4AEOqAKBUcOVRGo -WCiCfyoWAQqKFAuAAAoJQWiRB/8CAAIAmJ5gGE7PqFgogn+KEQuAAMykYAECAMCgGE1CDGUR+01D -FAAgRXApUjqUEAtrCvuylyQAc0JgKVI5+5QBDgBt3lDIq4ow+gpDABACWPBbpIbaIPtMAAIAAGDw -Wv/YwLT7VjkiMgA54IonjRDAwPqsICIAAFtwWvz00qDRD8Ag0Q8r0nequwm7EfP/NGgAIF5wAIwn -/vrAIEACazD+3QEAABAoMPXFFCCAAmtwncn9xggiAABQsFrwNS8gBPkSAin/hJvg+yEJIAAQUDBY -IGKJEiUkBWP+8QAAaHIFwCDRDwAAiifAsPqsICADEGAwWwBDHU4RnaCMIBtPr/jMEQADEGgw+6YC -LAkAazD8pgEgABAQMNEPAAAAAPosAAAwAlmw/AoAIAQQaDBbBRZj/6kAAPP+3GAAEFAwbBAI+0zi -EAIQaDCdEZsQjzIPD18vFQQuIAwsIA0I7hEOzAIsFQUpIQmbEP0WASIAAFCw+RYDICACQHDwAxYA -ABBgMPAIoAIAAFhwW/+A0Q9sEAQYT4vTDwhICiiCf/osAAIAAFjwC4AA0Q8AbBAG9kx+ERgQODAH -JyjTD/dPghYAIDmwI2b/DwIAJ3J/+09+EgAAUfBYWvD1vAACAAAisPsKZCIAAFDwWFrr/AoAIGQQ -aDBYWWHaQPwKACIAABrw+1wAAgAAaPBYWVv/sVdiAABy8BhMvS1tBBpPbAIpC/5PbBmwBD5g+m0E -KAAgVnD+lsUgAhBgMJzQmKEvbQQrlsGb8iySwB5NSx1Msw7MAQ3MAiyWwCiSwBpMWQqIAiiWwCNm -/9EPkxAcT1ubEx9MmhtMmvlMpRADEFAw+RYCKdAEOeALiCz5iCgCAABosPgWASAgEFgwWFiuG0yP -Y/9zAGwQBvRMQREYEEAwCCgo0w+oRCpC/vpG/yIAAFjwWFq2/AoAIGQQaDBYWSsVTz7TDyVSf9Ow -+088EgAAUXBYWq78CgAiAABo8FhZI/+xV2IAAHLwGEyFLU0EGk80AikL/k80GbAEPmD6TQQoACBW -cP6WxSACEGAwnNCYoS9NBCuWwZvyLJLAHk0THUx7DswBDcwCLJbAKJLAGkwhCogCKJbAI0b/0Q+T -EBxPI5sTH0xiG0xi+UxtEAMQUDD5FgIp0AQ5YAuILPmIKAIAAGiw+BYBICAQWDBYWHYbTFdj/3MA -bBAEKTAIGkyC/PrqIgAAIXD1TR0QPAA2YGiRDvpMAAIAAFjwWFaFwCDRD4kwCpoBZKBUKjAJZKBe -+KFTb+oQYDD4+uoqAEAqcPP/z2wFAFIwiTD6mgEAKgAqcCowCWSgVvihS2/qEGAwY/+veZbAKzAJ -Zb+m+zwIIgAAULBa9wTz/5hiAABisGP/tQAAAAAA8/+tb9oQYDD7PAgiAABQsFr2p4kw8/+YYgAA -YrAAAADz/2hv2hBgMPs8CCIAAFCwWvb78/9VYgAAYrAAbBAEHk4JL+IhKeI3BVoC+zwAAUEAN+AJ -yVNkkTb/AgAAAJQeYI0gKTIAI+LX9QogIBAQMDD34t0gARAgMPPTDAAAYqpQ+CEaKZAEP2D5Ts8W -ACBN8C1yCiyyAyJyC/SAKmwAQE9wf8cFGEvBCN0Ce8cFKQqACd0CfMcExIAI3QJ9xwIF3QJ+xwIG -3QL4Ov8pMAFkMPiRDXAAEGAwfzsSYAARAAAAGUwJwMH53QIKAAN80MBwGE0KGU25w/ovhhAihhHD -+y+GEC2GEYiA+D8RCABASjD/TWMYCQB6MAWIAg+PAgz4OR9M/ZjwH0zk+Uz7GABASjAPiAL4lgAg -CAA14J16knuJsB9L4HmWPC/yei3i3aP/Cf8Rr92N2g0MWQDMEQTIAv2MOgAOAGNwBswCedcEwIgI -zAJ61wTAlAnMAnvXBMDSDcwCnLPAwFhWCcAg0Q8AAPP/82+5EGAwbBAEiTD6XAACAABY8PxOiRBQ -AKpweZYXj8CIwZizn7KNwo7DnrWdtIjFicSZtpi3wMBYVfjAINEPGUtwKZKucZYH8//sb7kQYDCJ -MgnJU2+SLf8aDCBKAD5gibKNs53BmcCPtIi1mMOfwo22jreexZ3EibBj/50AAAAAjjN/6Nnz/65v -6hBgMGwQBBlM9xpNVxhOHPdLYBcgARAw+mYCAAAQEDD2lvsgChAoMNMPbVoNKpL7CKsB97EIcAIC -ELDHINEPCq1J/TYALSABUDD8RgAgABAQMNEPbBAK/UuUEgAAeLAs8A31FgUiAAAQ8PvwDCHgAjNw -89KAI3YANyAaTOSquiqg3SnSeKyqqpkJmRGpOSmcgC2REy6REizxGvshBC4AIGuw9MAeYf4Cc7Bk -tHvCgP8CAAoCO14QKSEFq5n/AgAKAjTPEIsg9EzHEAC9KtAqIQWeFP0WAyQQADag+AoBIAAQSDD8 -iTgAABBYMAmLOPkWBiN6ADbgKiEFJ/EZIyEE+ncIACACKLD3FxICAcF+kPYKFCAAEGAwwKD/FgIi -AXHA4PMYFAIAAHjwbYlgiVAAYAQJAxn0YPJjIAEcMCZs9gBgBAkLGfRg7WsgAVwwJmz2/wIACgHw -btD/AgAKAexfkP8CAAoB6GzQ/wIACgHkH5Cnqfa4EQjABD5gCYgC//z+KAkAQPD4RvsgAgJSsJsR -IxYALhYE/RYDIAE5h+CNFo8S+woBIAAQUDANujjyFgciJQA2oIsg8hYHIAENptAmIQX7CgAiogA1 -oC7xGvIWByIFADegHUrvHk2qGUzjIyEEL/EZKCEF+yYEICACOLD7JQQuACA38P8WEgAA9X4QDxpJ -+aoCAAoQQDD6RvsgABB4MG2KDSxC+w7IAf2BLnACAnvw8AA5b/AQYDC0VYlQ8/8NYBQQMDC0VfP/ -FGAUEDAwAAAAAPP/bmAAEGAwDKpJ+hYAKSABZDD5FgEgABBgMPlMwhACAjGw8hYHIWoAtyCIEfyI -EAH+Ahjw+HYAIAoQeDCTGfoKACIA+sDgixGDEIUZlhiSF2AAWwKjSfMWACsgARQw+xYBIAAQYDCI -F2XBJCmBBLKZKYUEiXAA8QQAOBoImQL5dgAgdQA34C/89vDxBAH8Ailw8LgaAAICUrD5TKIYCQBC -cPh2ACBqADfgL/z2blJ0LBIIDKwIDAxJ+cwCAAoQQDD8RvsgABBgMG2KFSJC+w4mAf8CAAACAmMw -/wIAB/+7bZD/AgAP8BBgMP8CAAf/s22QY/9xAAAA/woAIAgCOfD/dgAgABBIMPP/fmAUEHgwGUyF -+AoAIAgCOfCYcPP/jGAUEHgwaVFogheIGBxMfgioCAgISfyIAgAAEFgw+Eb7IAoQYDBtyg0sQvsO -yQH9kRBwAgJa8PAAG2/wEGAwAAAAAAyrSfsWACsgAWAw+hYBIAAQYDDyFgcgGAC3II4QjXAA8QQA -7hryFgcsCQB3cJ1wihWLF1hU7cAg0Q8AAAAA8/6ZYBQQeDAp0nermQmZEfP8mWgAIEzwAAAAAJ8S -nhT9FgMh/sqc4PenCAIAAFhw+nwAAAgCYHBb/vmDUABgBAMDGfMDSQIAAGKw8xYAIRkAtqAoOv94 -MROJE/8CAAoAhMzQixT/AgAKAH+e0I0R/H4QDWAEP2AO3QINPQItRvtj/TWbJGP9XJ8S8/0rYAAQ -YDAAAAAAAADyFgch/6qc4JYYkhdj/uAAAJ8SnhT9FgMiAABR8PwcBCIAAFhwW/7YjROLUI4UjxL7 -S1kCAABisPsWASCEALagfbN8e+N5hhD2uREIwAQ54AmIAvhmAgH+Ahjw9kb7IAICOfDz/DRgChAw -MIrwKGJ+jiT/FgIrkAQ+oP9NLxoAIFzwjLrA0PiqDA80AXAw8O4RDABAezD7sgssCQBzMFgIOY8S -jROOFCzxGmP7sZIX8/6mb+oQYDAAmxGTEGP/7QCSF/v66iIAAGKwCrw4Y/6Ikhf9+uoiAABisArc -OGP+eGwQBIki+cVQAgAAQLD51lAIPgFMMBRNEQRTEPSUCgQwBD2g8kKELiAEOmAF7gID7gLyDUQA -AEigkAKPRPuCAC+ABD/gD90C/vrwLAkAd3DyLFQKAEB28P2GAioJAGbwK4YAKkKI+ZURCxABVDD6 -Ck0K4AQ+4PuqAgAAEBAw+oYDIBwQGDD6TPYSAAAiMG06FPUjCgAIAiEw+jMIAAICELAjMoCTQ/IK -ACAcEBgwbToV9SMKAAgCQjD6MwgAAgIQsCMyoCOGH8Ag0Q8AAGwQBIUijCAdTOLyIgMiAABAsPwM -Qwg+ASww9dtQChwBKDD1h0QFsgEQMPICTQSAASwwI9KA9kzXEBYAePDGKtEPAAAA/kwcE4AEPeD+ -zxACCQAdcA8zAv2fCgIJAHTwI/aE9AoALwAEOSD5lxEOCQBwsP72iCAkADbg8owAABwQGDBtOhSD -JPdFCgAIAhCw9lUIAAICITAjVoDyCgAgKAA2oCQKAPMKHCeQBD5gbToVI4Ig90UKAAgCQjD2VQgA -AgIhMCNWoNEPbBAEKTIADwIA+p4YcAAQYDB5niL6XAACAABY8FhUIMAg0Q8AANowW//EiTAPAgD5 -luFyAABisNowW/+M8//UYgAAYrBsEAQrIQT0TJ8QARA4MPUKACAAEDAw+/lAAAQQGDD76kAMDQFc -MPuMRAomAVwwbToVLkKE+OYNcAgCITAAUQQAfxoPZgKxVR5Mj2SQgiPigH4/d2RgdPVMixAsADag -JwoA+EyKEAQQeDBt+hkjUojBT/MDTQAIAilw90QMCgADmhB0bUaxdxRMgizmjBVMgiMhBQW1AvBV -EQAAEBAw8kaCIgkALPAjRoMl4oD/+vgp4AQ7YP+WEQQAQH1w+GYCBAkALrAGVQIl5oDRD8Yq0Q8A -KOKAx73/mhEIAEBaMAqIAvjmgCAAEBAw0Q8AAGwQBIgw+44WcAAQYDD6XAACAABY8FhT1sAg0Q8A -AADaMFv/vPP/5WIAAGKwbBAIiTD0CgAgABBAMPsyAyAASapQKiAM+qz5IAEQSDAKlDgEmDhkgGr8 -TFUQAAey4CzBf/8CAAAAcHcQHEqwjzT5MgUiAABQsP0yAih4AVgw+BYBLh8BWDD+FgAo+AFIMPtO -UwwAQGLw/hYCK3QBbDD/jlcN4AFMMPgWBCjwAUww+RYFKeABeDD4FgMu8AF8MFhT7vgKASAAEHgw -BI84ZPBdiTB5llgqIRIMqhCaMiggXCkgXY8r/JkQCIAEOiAJiAL+IQcuCQBH8J8zLSBQ/CEqLmwB -cDD47hANAAQ/YA7dAg3MApw0KiAgKyAhKSIQ+LsQCwAEOqALqgIKmQKZNcDA+lwAAgAAWPBYU47A -INEPAAAAAAAAAPP/5m/qEGAwbBAG+UobEgAAMPD3MgAgABBgMPUORwABEGgw+AoIK8AEOSD5dwEI -ADTWEPUWACQAIBqw9BYBIAAQKDD+1TgAEAIg8ItiC4tXb7ZUy7EYTAUIuAqIgPosAAIAAGHw/VwA -AgAAWTALgAD8rAAAEAIxsPWgDGAQAiEwiREPAgB5Q8L6EgAiAABY8FhTZsAg0Q8AlRDz/+pgABBg -MAAAAAAAAPP/3G/qEGAwbBAGjzAZSQsPD1f5kn8gIQC34PIKACALADUgKpIrmjHRD4sx+5YrIAAQ -EDDRDwAAHEvkLiANLSAM9BYAIAIQUDD1FgEv6hBAMPgWAiA0EFgwWFUvxirRD2wQBBRJDihCeyRC -gKKCCSIRokKiMiIgRtEPAABsEBDzMgAiAABQ8CshEy4gDQMMTwvNDP7cOALwARww+DwJagAgZvDG -KtEPABhLyflIthBRADUgFEvHBLQC9Ib/I+gQIDBtCAqwRGRAriaC/3aQAmP/7hJLvvMKBiCAAiBw -bToR8y0EIfgCITDzMgAgCAIQsJNIKBIX+KYBIAAQEDDRDwBkUHCNoRxLs5wfnRfUEPKMAAAGEBgw -DwIA0w/TD206EfMtBCH4AiEw9UIIIAgCELCVMBRLqfMKBiBAAhBwbToP8yIHIfgCELDzRgggCAIh -MB5Lo/I66C4JAHLw/ob/If4CELDJJS+C//+Y93H+AhCwwCDRD8cv0Q/HK9EPxyvRD2wQFCwyACgh -EysgDfdLkBngAWQwCJoM+6k4AvABYDD0IBVoACBKMBZLjhlIdvpIpxAASISgaCIHxirRDwAAAADy -HAAP9AC1IB1LhyQyAQAKiwBCYQBCYf0WBC7gBDkgLhYMJBwg8nwAAAYQGDBtOhHzLQQh+AIhMPVC -CCAIAhCwlTAUS3XyHAAABhAYMG06D/MiByH4AhCw80YIIAgCITDyOuguCQA2MP92/yH+AhCwZCCM -JXL/9Zj2cf4CELDAINEPZU9yhDEACov7CgIggAIQcG26AgBCYS06AP0WFC+ABDkgLhYcEktZ/AoG -IMACGHBtyhGPN/QtBCH4Ahjw/0YAIAgCELASS1T1CgYggAIYcNMP0w9tWg/6MgcgCAIQsPomByH4 -AhjwBosC+3b/I+gQEDCwIsgrLHL/fJAKY//yxyvRD8cr0Q/AINEPbBAGijAKBlf/Yx1gExBoMGVB -oNsw+iwAAgAAYTBb/6XToGUxkNIw0Q9203f6C08K6AE9oPgKESoAZgWg/0s4FgBvxZD5ChIqAMs3 -UP8CAAoAx02QZED0LiANjC8o8nH5vAAA9AA3oKnMCMwKjMBkwW/6MgEgrgRpsP8CAAAAd4KgZEBv -KsAWLQr//wIABgBrbpCaMcCg8/+IYgAAGrAAAAAAAAD/AgAMAIYZoPs8AAIAAFCw/EwAAgAAaXBb -/zzz/19iAAAasAAAAAAA/wIAAABuAqAdSD8uwAct0nv78o0uIAFwMK7dCd0RrburqiqgRmVPjwoK -RyrEFmP/iQAAAAAAAAD+IA0gbAA1IPkhCCCSADegLiETDp4MnjFj/3QuIA1k4GHZsIsvKvJxqbkK -mQr5kgAgWgA1IPmRCCBqADegLCETDJwMnDFj/0dlXwfz/0Nv/xBQMIksCbkMY/8HAAAAAADz/ypv -/xBQMPP/EWD/EFAwKiETizEKvAwOyzirqiolCGP/DIksCbkMY/+XKiETizEKvAwOyzirqiqVCGP+ -8ZkxY/7smTFj/ufz/0Fg/xBQMMY6HEraLiANLSAM9BYAIgAAebD1FgEgAhBQMPMWAiASEFgwWFQd -0jDRDy4gDRxK0C0gDPQWACACEFAw9RYBIgAAebD7FgIv6hBAMPgWAyASEFgwWFQR8/6Ib+oQUDAA -AGwQBBZIRYIwF0rD9EApYgBAMLD5Gh0qAAUR0BhKv3KLHiQt8CRM+PZKvRoAB8kQBkYKhmAKYAAA -yVXGKtEPAAAYR+OoKIiA+DYBIAAQEDDRD8cv0Q8AAABsEAQeR42LMP9KsBABEGgw/EqhEDIQQDD7 -ClcCAAAwsPirD3AAEBAwGUqpCakKiZAKkADGKtEPZEOFK2AhKmAgCLsRC6oC+jYBIAAQEDDRD2RD -yCpgdiwK//8CAAYCUeaQ2aD5NgEgABAQMNEPGkh/KWAN+63tI3MANSBkk+0kon+PYAT/DA9UFA5E -EQTkDCRNB/RCJSiAAXwwAJEE8NgaAgAAWLD8SWAUAEBBMPTUOQAFEFAw/fwAAgAAcTBYU8j0NgEg -ABAQMNEPAAAAAAAAAGRPXihgDWSDv/I2ASAAEBAw0Q9kT0spYA1kk8LyNgEgABAQMNEPZE84KmAN -ZKM38jYBIAAQEDDRDwAAAAAAZE8gK2ANZLM58jYBIAAQEDDRD2RPDSxgDfliCyOUADcgsJ39NgEg -ABAQMNEPZE7zLmANZOOK8jYBIAAQEDDRD2RO4C9gDflhEiODADfgsJj4NgEgABAQMNEPZE7GKWAN -ZJN68jYBIAAQEDDRD2ROsyvitiritauqsKqaMdEPZE6iLOK1nDHRD2RCOi5gXC1gXQjuEQ7dAv02 -ASAAEBAw0Q9kTn8vYFALi0f/AgAKAO9+0MeP+DYBIAAQEDDRD2ROYolniZ6JnZkx0Q9kTlWJa/pi -DiMlADVgi2ypu/hgDCoAIF6wL8KEDogRAIAEDw8ZDw9DAPEEAN4aDg4GDrsBsLv7NgEgABAQMNEP -ZE4V+WILIvcANWCObPhgDC4AIEuwL8KEDogRAIAEDw8ZDw9DAPEEAN8arv7/DwYB/gJzsA/uAf42 -ASAAEBAw0Q9kTdSPZ4/+KPIQj/+o/7D/nzHRD2RNwIhniI6Ij5gx0Q9kTbOJZ4meipyJm6qZsJmZ -MdEPZE2gimeKroqrmjHRD2RNk4tni76Mvou9rLuwu5sx0Q9kTYCMZ4zOjcqMya3MsMycMdEPZE1t -jWeN3o3ZnTHRD2RNYI5nju6P6I7nr+6w7p4x0Q9kTU2PZ4/+j/efMdEPZE1AiGeIjomGiIWpiLCI -mDHRD2RNLYlniZ6JlZkx0Q9kTSCKZ4quK6EpKqEoq6qwqpox0Q9kTQuLZ4u+K7EomzHRD2RM/Yxn -jM4twScswSatzLDMnDHRD2RM6I1njd4t0SadMdEPZEzajmeO7i/hJS7hJK/usO6eMdEPZEzFj2eP -/i/xJJ8x0Q9kTLeIZ4iOKYEjKIEiqYiwiJgx0Q9kTKKJZ4meKZEimTHRD2RQxtpgWB99LGAMCMwR -+wqAKgkAYrALqgL6NgEgABAQMNEPAABkUKGNMS1kIA2NFP1kISAAEBAw0Q9kUIyOMS5kXQ6OFP5k -XCAAEBAw0Q9kkTQson+LYAy7DAtYFA6IEQjoDCiNBf+CpSqAAVwwALEEANkaCf8C/4alIAAQEDDR -D2RQRYox/wIAAACNgqD6ZHYiAABRsFrxpcAg0Q8t8Yhk3MEo8XUu8XSo7rDu/jYBIAAQEDDRDynx -iGScvyrxdPo2ASAAEBAw0Q/HL9EPAAAALWAMLLCAANAE/AwbAAUQUDD8AkACAABYsPxIahIAAHCw -WFLU8jYBIAAQEDDRD41njd6O043Srt2w3f02ASAAEBAw0Q+OZ47ujuL+NgEgABAQMNEPj2yp/7D/ -/zYBIAAQEDDRD4hs+DYBIAAQEDDRDyphE6mqsKr6NgEgABAQMNEPK2ET+zYBIAAQEDDRDwAAAAAA -APP7X2//EEgwqaywzPw2ASAAEBAw0Q8AAPk2ASAAEBAw0Q8oYAwusIAAgQQA3xoP7gL+tIAgABAQ -MNEPAAAAAADz/uVg/xBQMGwQBiUwCicwCxxJX/YwCCAFEFAw9DECIAgQWDD0FgAiAABosP5sAAIA -ACGwWFKaG0lXwKD7awsAKgC1YCyyhf0SACoAD+XQ/9tlb/UQEDAuMQIqNAv6NAggAgJzsC41AtEP -KLKEAioCDwIAC4AAy6L0YRlwABBQMCQ0CPo0CyABEEgw+TQKL/UQEDDRDyswC8DA/DQKIAICWvD7 -NAsv9RAQMNEPsWRpR8bAINEPAAAA/WwAAAEQUDD8STYQCBBYMFhSdccr0Q8AbBAE9iwAADYANSAd -RgaLMBxJDf9GUBAAEBAw+wpXABoQQDD+SSoaAAlCkBlJKQmpComQCpAAZEKAxirRD2Vfyscv0Q9k -T/Eqwn+aMdEPZE/nG0d5K7CAmzHRD2RP2tpg/AoBK+ABXDBYKNBkr8otoRosoRkA3RENzAL8NgEg -ABAQMNEPZE+xkjHRD2VPqi7Srv8CAAABRweQIvq50Q9kT5eSMdEPZE+QKP38KIKpC4gRmDHRD2RP -gCkK/5kx0Q8AAAAAAGRPcSrCgJox0Q9kT2cLiUdkkaP/AgAB/68eYCniV2aSTpkx0Q9kT0zHv5sx -0Q8SSPgpIGj7CkcEGAA6YP8CAAP/mp5g9UajEdkANqD/AgAAAPqGoMAg0Q8AAC0a4PX95i/nEFAw -+AoUIgAAYXBtig8pwXAKmQH8zAImAE7uULEiIvra0Q9kTuyK4Yvg+LsRC/ABUDALqgKaMdEPZE7W -LOLcnDHRD2ROzC3i250x0Q9kTsKSMdEPZE67kjHRD2ROtJIx0Q9kTq2SMdEPZE6mkjHRD2ROn5Ix -0Q9kTpiSMdEPZE6RK/JmKuL+CbsRq6qKp2SgzSqsIFrw0QpMFPw2ASAAEBAw0Q9kTmtYG3T6NgEg -ABAQMNEPAGYvZfRAvmjoAVwwEkWn9AoBIEMAtmDbUMDA/iKEIBQQeDBt+g8osXAKiAH9gQhwBAJa -8LHMx8sAwAQOChn6CkAAAhBIMApJOfk2ASAAEBAw0Q8AAAD/AgAB/wKeYNtQ/SpAIAAQYDDyIoUg -FBBAMG2KECmxcAqZAX2RefzMASAEAlrwKvJ7KeL+CaoRqpkpkGbAoQmpOfk2ASAAEBAw0Q8p4lZm -kK6ZMdEPKuITK+IWLOxMDKwM+7z4IfACUrAMujhj/xcAZJDX/wIAAf7JHmD6MgEgARBYMFgOatKg -0Q9YTV2LMWSwwv8CAAAAY4bgwCDRDwAAAMAEAg0Zf9eE8/+UYAIQSDAAAAAALtKuce5M+zIBIE4A -NSDAoFiLSPatPWIAABKwwKBYiz2aMdEPZE0sLFKYjMDJx/ogmCAIAljwC8AA0qDRDwCKMViLGMAg -0Q/GKtEP0pDRD9KQ0Q8i+rnRD8Cg+4cUBOABWDBYiyctYAz42hECAAAasFhP4S5SmI7hyeX6IJgi -AABZ8PxMAAIAAGjwC+AA0qDRD8Yq0Q8AijFYA2zSoNEPAFhT1sAg0Q8AWFPcwCDRD2wQBBNIUCUy -gBRGsvMyfyQAICCwJUaAI0aB0Q9sEAQVRa8XSEn0CgAgABAwMPgKASAKEBgw0w/TD206FPYmACAU -ADUgaEEMsUT1XAEgCAIQsNEPAClxfgBABAkJG3+X5itQMACxBACKGrCqmiBj/9ZsEAQTSDYlMoAU -RpbzMn8kACAgsCVGgCNGgdEPbBAEFUWTFkgt9AoAIAAQQDD3CgEgChAYMG06KilhfwBABAkJG/+X -FHACAiEwK1AwALEEAHoasKqaIGAAAZgg9VwBIAgCELDAINEPAGwQBBZHG9MPLmJDLWF3FET180gZ -EAAQQDDyRskcACB3cPkKgCwBACdwbZoV8okRC5AEOiAJqQL5iQIAAgJCMCk2Ti8igfVIDRDmACfw -KCKB/wIAAABFrhAqIor6ClUAEhBIMHqTWRpIBvwKACAAEHgw/NsRAAEQcDD+uwIAEBBoMPs2TSAC -EFgwWvdh9qBsYgAAErDAgPRliCCAEEgwDwIAbZoV8okRC5AEOiAJqQL5iQIAAgJCMCk2TtEPwCDR -D8Ck/EfwEAYQWDBYUSYsIoEFzAH8JoEgABAQMNEPAADApPxH6RAGEFgwWFEeLSKBBd0B/SaBIAAQ -EDDRD9EPAABsEAQVRLACSRQpVpEkUpICCEMPiBEAgQQANhrwgQQAAxA4MPB3Gg//EEAwCHcDB0QB -BkQCJFaS0Q8AAABsEAQURKEiRpYjRpfRDwAAbBAEEkY3IiK8AgJP0Q8AAGwQCvRElxQAECgw/DIC -KACdwKD+LPggABBYMPpHxRAAEGgw+AoIICgCOPDTD22KDimg3aub+qwBKgCGX5Cx3caqmhj0wXFg -OAJY8CxCnvsWBywBACsw/EaeIgAAUfBYjc+LMYw19qwACgDD3xCcMYoXWI3KjjKNMf7dCAIAADqw -/RYEICACUHBYjcQuQpuMMf7ICAP/EHgw+PMKcgAAWrAMXgwuRpvdcPlCnCIAAGOw/kKeIgAAebD5 -FgAiAABQsFjFj40UjDWPGIs3ijIE/wop8p8u8qcLqDb9mTcIACBDMPn2ny4BAEOwLvanKUKeLkKb -L0KcnzSeMPk2BigDAFqwmDf4RNkQABBQMPm5CA4AIGuw/kabLgAgfzD/RpwoAQB+cPlGnioAcPYQ -/wIACgB7/VAuQp4ZRa3/AgAKAIX2UNKg0Q+dGGP++IYx/BYFICsANyArQp4qQpv1uzcKACAysPtG -nioAB9qQCrwMrGaWMWAABgALrTctRp6JFfosAAAREFgw/AoAIB8QaDD2mQgAABBwMPkWBCAAEEAw -+BYAIBAQeDBYxVaKMos3jDWNFGP/OAAAAAAA+xYHIAgCUPBYjXaLMZoW+xYEIgAAUfBYjXP6Egci -AAAysFiNcIsWLkKb8/7AYgAAOrAAAAAAAAD7NgUiAABR8FiNaIw18/5sYgAAMrAAAAD6CgIgBhBY -MPxHSRIAAGiwWFB7L0Kc+vr0K/+I+VDe8PoKAiAGEFgw/EdCEgAAaLBYUHPz/vRv9BBQMAAAAAAA -/SwAAAIQUDD8RzsQBhBYMFhQa8ck0Q8AbBAEE0c3IzJ/8kP9EA4QKDBtOQ0kINwEBET1QQhwAgIQ -sMAg0Q/AIdEPAAAAAAAAbBAG0jCJINNQ9UQ8EJ4AJnAYQ+4ogq7/AgAAAJCGEMBAKVJfmSMoUcAo -JQgvUcEvJQkuUcIuJQotUcMtJQwsUcQsJQ0rUcUrJQ4qUcYqJRApUccpJRGJIGAAAgDAQHqWCYoj -K1JferQSxkraMPssAAIAAGEwWE5bwCDRDyxRwCpWXyohCHrM4C1RwSpVwCohCXrc1C5RwipVwSoh -CnrsyC9RwypVwiohDHr8vChRxCpVwyohDXqMsClRxSpVxCohDnqcpCtRxipVxSohEHq8mCxRxypV -xiohEXrMjCpVx1iRghxG9ChRxy5Rwy9RwCpRxilRxCtRwi1RwfCZEQsABDqg8LsRDwAEP+D/UcUs -CQB/cPvuAggJAFIw+goEIAAQWDD4FgAuCQBP8FhQE1iP8PavN2IAACKwYAGZABZG3/hG3xADEEgw -KVXC+VXDIAAQODAnVcAnVcEoZu9YkqWLIdMPDwIA/wIAAABHktD7ilIK8AFcMFiRfvahRmIAACKw -LWIQnSosYhGcKytiDysmCViRdfahSWIAACKwWJFuHEbI0w/TDyvCZvpEDBBnADbg/wIAC/823pAu -wpn/AgAL/zF2kC9iUfsKAC5XADfgbQgcKlJ3KVKAqroJqhGqmSeWGihiUbG7/wIAC/8bwtBj/9wA -AAAAAAAA+goFIAAQWDBYkVv3r4liAAAisFjJjmP+WQAALcKZZd+WHEaqH0asGEaqLVHDK1HG+VHH -IAAQUDD+YlEsAEBHcP1VwyoAQH7w+1XGKABAZnD5VccgRAA3oPxGoBAEEGgwLlJ3K1KArq4J7hGu -uymyFvyZAQACAlKw+bYWIA4AUnAttTknthovYlEPAgAPAgB/o80pUccrUcb7txVwAhBQMCpmQipm -Q/pmQSEAEEAwKGZEf5cb+mY+IAgQWDD7Zj0gIBBIMClmPGP9XljJYGP9oidVx2P9UgAAAAAAAABY -jSzz/Y9iAAAisFjJWGP9hAAAbBAEiEAeRggZQ3ONIP7ifyj4AUAwCYgK+ILEIgAAUPD+3QwCAABZ -MPjdEQAFEHAw/EAHLAkAd3ALgACJQY8yCYlH+QkGABYAN+DIXooniq6VoPamAS+NEBAw0Q/SkNEP -bBAMFENYKyAMKiAN8AQHAgAASHAASWEASWEASWEASWEXQ1UZRMAVRej8RMAZgAQ64PwWASvABDzg -+xQQIAAQaDD9FBEoCQBCsP5SfygJAEowmBD9IgAo+AFAMAeICviCxCAFEDAw/t0MAgAAULD43REC -AABYcPbdAgAEEGAwC4AAgxEPAgAPAgADg0cDAwZmMjgjEQTzA0sCAABQsPwKASIAAFjwWCXZ8AQH -AgAASHDwCaACAAAysABJYQBJYQBJYS5SfxhDKhlGMftDLhABEGAwnBH7FQQhgBBQMCoVBfkWAygJ -AEDwmBD9IgAo+AFAMAeICiiCxP7dDAIAAFGw+N0RAAUQcDD+3QICAABYcAuAAIUR/0WtFOgBLDD1 -BQYABRBwMPZRvGIAAEhwAASL8AmgAAEQKDAASWEASWEASWEv8n8YRICVERlGEvkWAigJAEDwmBD9 -IgAo+AFAMAeICiiCxP/dDAIAAFGw+N0RAgAAWHD+3QIAARBgMAuAAIUR/0WSFOgBLDD1BQYCAABI -cPZRaWAFEHAwAASLAElhAElhAElhAElhL/J/GERo+URoEAEQWDD7FQQgABBQMPoVBSAEEGAwnBH5 -FgQoCQBA8JgQ/SIAKPgBQDAHiAoogsQP3Qz43RECAABRsP7dAgIAAFhwC4AAhREFhUcFBQb2URVi -AABIcAAEiwBJYQBJYQBJYQBJYRhEUBlF3/5FaxACEGAwnBGZE/7ifygJAEDwmBD9IgAo+AFAMAeI -CiiCxP7dDAIAAFGw+N0RAAUQcDD+3QICAABYcAuAAIURBYVHBQUG9lDLYgAASHAABIsASWEASWEA -SWEASWEYRCoeRVMZRcaZEf7ifygJAEDwmBD9IgAo+AFAMAeICviCxCIAAFGw/t0MAgAAWHD43REA -BRBwMP7dAgABEGAwC4AAhREFhUcFBQZmUILSMNEPAI0g+goCIAAQWDD8RbESAABw8FhO1NIw0Q+N -IPoKAiAAEFgw/EWsEgAAcXBYTs7SUNEPjSD6CgIgABBYMPxFpxIAAHFwWE7I0lDRD40g+goCIAAQ -WDD8RaISAABxcFhOwdJQ0Q8AjSD6CgIgABBYMPxFnBIAAHFwWE670lDRD40g+goCIAAQWDD8RZcS -AABxcFhOtdJQ0Q8AAGwQBPQgXWPwARQw9AogICAANOACiFfKggLJU8qYAupR9KAwYf4CWTACtDvS -QNEPACIR8//dYBAQIDAAAAgiEfP/1WHwAiEwDCIR8//PYfgCITAAAA4tEfxM/SH8AhEwDcI70Q/A -INEPbBAEEkIwIiLY0Q8AbBAEgieCLoMogiejIrAi0Q8AAABsEASCJ4IugifRDwBsEAQSQiUiItfR -DwBsEAQl+sAFJQEkURWlRCRNAfMmASGAAiEwlCDRDwAAAGwQBIIngi6DJoIloyKwItEPAAAAbBAE -gieCLoIl0Q8AbBAEG0VbJDx/BDoUC6oB+qwQIBAQWDBYmWYjpQLzpQMiAAASsPR8FAAAEFgw+6UF -JMABGDD8pQQgIAJisPymACAoADUg+kwAAAEQaDBa7WForhX6TAAAABBYMPwiACABEGgwWu1caa7p -0Q8AbBAEF0H19kVAFeAEPKCnV/N2BCQAIDVwJFZ/0Q8AAABsEAQZQ02JkBpD/PhDSxgAQFZw+SIC -ABAQIDAEJAKUgBNFMxRD9/NDRBIJABiwBCIB8jYAIAAQEDDRDwBsEAQYRBEaQz0ZQicmgiEdQ+sp -knr3gt0qAAiwkKKZCZkR8AAGZgAgTfDAcMPKLKYQI6YRw7srphAkphGKoB9DkPgsEQoAQGqw/KoC -ACAQWDALqgIPrwIF+jkeQyia4BxDDxtDJg2qAfyqAgAAEBAw+rYAIAoANeCUepN70Q/AINEPAABs -EAQcQb34QdMQExBYMPoKAS//EEgw9xrgL+cQMDD5IT5gFBAYMPLMAAAAECgwbToPJCFwBkQB8iwC -JgCivRCxVcdLLYLABL8MAPEEAKUaCV4DDt0BDVUCJYbAYABHAAD1CgAiAHgYoMDQ8swAABQQGDBt -Og8kIXAGRAHyLAImAGy9ELFVJPr7JYLABLIMACEEAN4aACEEAK8aCf8DD1UBDlUCJYbA8swAAAAQ -KDDzChQiYBA4MG06DyQhcAZEAfdBTXAEAhCwsVXHWy6CwBdB1SxyeydygAW9DPDRBA2QBDsg8K0a -BgAgZfD2cDcuEQBPcA/uAfwKAiwJAHdw/YbAJgkAYbD2dDcgABAQMNEPAG9bty+ChBxBwy3CeyzC -gPBRBA2QBD9g8K4aDAAgazD7wDciEQBLsAL/Af0KAi4JAHuw/oaEKgkAbvD7xDcgABAQMNEPxirR -D/RcAAv/lhVgLoKEAFEEAN8aAFEEAKIaCSIDAu4BD+4CLoaEY/8nAAD0XAAL/1+VYCWChABBBACj -Ggk9Aw1VAQUzAiOGhGP/BWwQCBtEXvIqCw8AEGAw/kSgERgQODD3JygCAABJsPOqCQfABDjg+O32 -K8AEOqD7qggGACBF8PSQeWYAIDmwH0SVAigL+X0EKbAEOiD7RJMYACBaMPuGxSACEGgwnZArfQQZ -QYmZsSvxfyd9BCuGwZtyL/F+KV0BD0cuD08s+EGOEAICa/D3RIUeBQA/cLCZ+hYEKABAZnD1lzkK -AD7+EAeJFACbEQv7AiumySlmvy9mwNEPLeI9LH0EiMKMwQ3dEQjdLA3MKJoU/BYFKgBLpxAkZr4q -fQT6ogEiAABZMFhPmv0SBSAAEGAwWE4P9FCYbwAQQDAlXQGwVfSwk2QAQEVwjRQFihQArBEMvAIs -1skqZr8rZsDRDwCUERlBZ5kQKOI93SD8RF8QAxBQMPcWAynQBDogC4gs+YgoAgAAcPD4FgIgIBBY -MFhNbhlBW4wUB4oUAKsRC5sCK8bJKma/KWbA0Q/fQPoKAyAgEFgw/BYAIgAAaLD8REsSAABw8FhN -YIQVY/9KAAAA9URFH3UAtuApfQQYRD/0FgEgARBQMPoWACIAAGiw+II9IgAAcPD5kgIiAAB68PxE -PBAgEFgw9RYDKdAEOiAJiCz4FgIgAxBQMFhNS8CxjhQFjBQAzRENvQIt5sksZr8rZsDRD2wQBvZA -2hEYEDgwByco0w/3Q94WACA5sCNm/yNm/idyf/tD2hIAAFHwWE9M9bwAAgAAIrD7CmQiAABQ8FhP -R/wKACBkEGgwWE292kD8CgAiAAAa8PtcAAIAAGjwWE23/7FXYgAAcvAYQRktbQQaQ8gCKQv+Q8gZ -sAQ+YPptBCgAIFZw/pbFIAIQYDCc0JihL20EK5bBm/IsksAeQacdQQ8OzAENzAIslsAoksAaQLUK -iAIolsAjZv/RD5MQHEO3mxMfQPYbQPb5QQEQAxBQMPkWAinQBDngC4gs+YgoAgAAaLD4FgEgIBBY -MFhNChtA62P/cwBsEAoWQOgVQwcPAgAtYm0rUuMPAgCi3QndEfxD7BIAIGrwLiA5KiA4/SIAIAEQ -eDD5IRsgABBAMPcgByH0AlKwCvg4lxD7IBYoBQBP8PkWAyAAEDgw9xYCIAUQUDD7FgEiAAB48PgW -BCAwEFgwWEzs/wIAAgCAgOAuIDjTD2nmWGQxRGgxOWkyQS9S6S/yF8v4K1IWy7PAQPpDzhCSEDgw -+hYJLwgQcDAoYnAvUuMJiBGo/67/KvB9YAAlAAAAAAD6LAAAARBYMFqUUSlS6SmSK/8CAAIASf5Q -0Q8AsUR7S+l3qfct8ihk3/GM2XLJ7GTf2I/Y/xYIL9MAN+ApIAf/8AciAABD8PoK+ygCAUww+v8B -CeAEPmD8IBYuCQBP8C+EBykgB/4K/CAwEFgw/YIALgBAd/D8hBYoIAFMMPwSCS4JAE/w/4QHIAUQ -UDD/DkEOAgF8MFhMsitSFrFE/voIK/+j3RBj/2L6LAACAABY8Ft7YNEPHEOXLUAA/kACIAUQUDD/ -QAEgMBBYMFhMpSkhGytAACskFipAAfokByAKADZgCQlMKSUbLUACHkGWjCsD3RENnQL9JRssCQBz -MJwrY/60AAAAAAD6LAAAABBYMFqUD2P+9AAAbBAG9UHhEOUANOD/AgACAGUU4GQwwi1AIg3ZCQyZ -EfggDCgAIE1wKZCA/wIADgBeShD6CgQgMhBYMPxDcRIAAHDwWEyBJUAiFEGUBVgJDIgRqEQrQn9k -sJAaQFwtIAwuoncqooCu3QndEf2qCAABEGAwWCLs1qD5GoAiAFUU4PpNAiCiADTgKqyAKaB5wML8 -mwIAZAD6cCJCg8E8+6R5IBgANKArIARosQJzsR6CKQ8CAA8CAGUv6/psAAAAEFgwW8vnyqPaUFuH -39EP2iBbf52CKWUvzWP/3mgzD2g0aWg1Cf8CAAf/mADg0Q8tQCIN3wkM/xH+IAwuACB9cC/wgH/p -5voKBCAyEFgw/EM+EgAAcPBYTE3aIPtMAAIAAGDwW4Ga0Q9pNpypSimgef+flHABEGAw+wr9KAkA -YnALiAEopHlj/34AAAAcQy8vQAEuQAItICL4QAAgBBBQMPgWACAyEFgwWEw4KiAiCqsJDLsRq1ss -sHnA1PmweiwJAGswLLR5LkAALEAC/UABICoIcnAvsHt8+QoosHj/AgAH/6ZuEC60eiy0ey20eFuH -ptEPbBASG0AG87J7IgAAYPAqsoAvsn2iMwkzEfL/CAIAIB6w+DIeL5AEP+CvqoqnKYEDKIECBE4C -8hYZIgAAILD6og4mAI9OEBk/4Rs/9hJASB0/9i0WBCIWCvsWBiBgAlhw8AkHAgAASvAASWEASWEs -FhUuFhYfQvoqFhQYQvn4Fg0uCQB9MP8WDCIAAGuwW4OC+jIeIAAQWDBa730XP+EqFhgYP94VQGEc -Qu8sFhfwAFxiAAAysMLIWu16ZaCl+xwQIgAAUXD8CgQgAhBoMFrrQywSFy4SFf8SFiAEEFAw+FIA -ICQQWDD4FgAiAABpMFhL6voyHiACAlmwWu9lKRIYGD/HFUBJ+pFXcgAAMrAognclUoSmiAmIEahV -K1Ep97HQcAQQaDCPUAj/EQ3/Ap8VLlAHiVcODkH8mRQvAAQ7oPqcIC4JAHLw+5IJLgkAE7D+Fggv -YgC3IPP/WmAAEFgwANEPAIuo+4hXABsQSDB5gSyOVy3hFfz6wCBAAnOwDOwBrNz7rEAoAC1mkPrs -AAAoEGAwWu1DZK8kY//HAAALD0N/ScyIqQgIX2mFxCmgKMieaJEcaZO5KRIVaZOzYAAnACsSFWmy -6SwSFmXP42AAFwAtEhVp0twuEhZp4dZgAAcADbsMY/+jACwSFS0SFvoSFCBAAlqwW4MoY/71AGwQ -CBM/hyYgBykyfSMygKaZCZkR+CICIgAgTPCDN/MyDiAKADYgwCDRDwAAKT0CKJEM9z0BIDYANiAq -cZL8QLgQgBBYMPSgI2EAAnJwKJAWKiqA/hYEIDUANiBogT1kgShogQf/AgACAKSCIAUNR2jSs4on -+0wAAAAQYDD6rCAiAABpMFrvRcAg0Q8AKZAXq82tmSmQwGiTAmmXwSlxjPgKACIA0f5Q+BYBIgD8 -+lD4FgEiASt2UNuA/z9uH68ANuD8P28YACAa8PqICAAAEDgw94RPL8AEOaD3hFAuACB7sJ4SLuI6 -+RICIKoCOvAHRxT/AgAKAdC/kCmSOQxsCizCl/yaAQ4ByGZQHD8x8AwHAgAAQrBteQIASGEcP1sf -QFifoI4g/z+oEGwCavD8pgIgTAJi8Ps9Ay+ABDug/aYDLgkAcfCeof4yiCkABDmg/UGqEAAQSDD5 -pQohVgJa8PylCy4JAEOw/aYGLgkAe7D+pgQgQAJSsFhCTYkSJ5Y5KDKSsYgoNpJj/uGJFCmQl6vN -rZkpkMD/AgAD/2kGYP8CAAf/ZQZgaJIH/wIAB/9fnmAqPQMurID+FgMgABB4MP/kUSAhEEgw+eRT -IAoQQDD/5FcgARBoMP3kWCACEGAw/ORUIBsQWDD75FIhsgJSsPzkVSAIAljw+ORWIAgQYDBYQisp -cYz/AgACAMB+UMGC+BYAIgDg+lD4FgAiAQT2UBlCEy+M/g8PSPkSAy4JAE/wL5RQD48U/5RPIgAA -WjDz/ndigBBQMCs9Afo9AyAZEGAw+T0DL/4QaDD5nIAgABB4MP+UUS+AEHAw/pRSIAkQQDD4lFQh -qgJSsP2UTyAcAlrw/JRQL8IQaDD9lFMgFRBgMFhCBvlxjCKAEFAw8/4HYBsQQDD9+v4gBhBgMPs9 -ASoAIEDw/q0DIAAQSDD6rQMhAAJzsPnkUS/CEHgw/+RTL4AQQDD85FAgmgJa8P3kTyACEGAw+ORS -IAsQaDD95FQhqgJSsFhB7YgR+XGMIoAQUDDz/apgEAJCMCs9AfcSBCoAIEDw/a0DIAwQYDD6rQMv -gBBAMPdwlCEAAmtw+NRSIAAQcDD4Qc4fwhBIMPd3CQCmAlrw+dRTIAoCefD+1FEvAAF8MPzUVC4J -AEfw/9RQIaoCUrD/jxQAAgI58P/UTyIAAGHwWEHNiBH5fAYigBBQMPP9MmgAIEowjhP6PQMgERBA -MPjkYiABEEgw+eRkIAAQaDD95GMgBBBYMCvkYf8wJiANEGAw/+RlIc4CUrD95GYgUAJY8FhBuSlx -jPP+P2AlEEAwqDr+rQMgBhBIMPqtAyEAAnOwKeRQ+eRPIAEQWDD75FIgABBoMC3kUfgwYiACEGAw -/eRUIMgCWPD45FMhqgJSsFhBpYgQKXGM8/33YBACQjCKFCqglMeaCpkdihD4PjsQBBA4MAl3DPo6 -CAABEEgw/q0DLwABPDD6rQMhAAJzsPnkUi4JAEfw/+RQIAAQaDAt5FEPjxQv5E//MHQg7AJY8P/k -UyH4AmHw/eRUIaoCUrBYQYmIELJ78/2QaAAgWjAAAAAsMpP7bBgiAABp8PzMASIAAFCw/DaTIAAQ -YDBa9okFDUf/AgAD/bWbYIonwLD6rCAgARBgMFrxoR0/bp2gjCAbQWb4zBEAARBoMPumAiwJAGsw -/KYBIAAQEDDRDwAAbBAQ/EFfEAQQUDD9LQIgJBBYMP3QFyEwAhNwWEpjGT5DLiB/KpJ9KJKArqoJ -qhH6iAgAABBoMC2ENS2ESf2EXSADEFAwKoQ8KoRQKoRkJpJ7KpKAK5J9rmYJZhH+uwgGACAysP9i -HiuQBD7gq6qKpyjxAy/xAvqiDiYAjkfQHD4XHz4sFT4tlRSfFhU+fPUWCiBgAlhw8AwHAgAASvAA -SWEASWEYQTIuFhQZQTH5Fg0oCQBDsPgWDCABEGAwW4G6+mIeIAAQWDBa7bUUPhkYPhcSPpkcQSj8 -FhUiAAAasPAAZmIAADqwAAAAAAAAAIuZwsha669loKL7HBAiAABQsPwKBCACEGgwWul4/BIVIAQQ -UDD9EhQgJBBYMPgiACABEHAw+BYAIAAQeDDTD1hKHvpiHiACAljwWu2ZGD38Ej5++nFRcgAAGrAo -gnciIoSjiAmIEagiKiEp9KHTcAQQcDCNIAjdEQ7dAp0VLCAHiScMDEH7mRQtAAQ7IAysAvqcICwJ -ACsw/BYIL2QAtuDz/15gABBYMMAg0Q8Ajicv4RX4+sAgQAJzsAjoAaj4+6xAKAALxpD67AAAKBBg -MFrrfGSvNGP/1AAAD7sMY//mAABsEAYkMAAWPw31CgAgPgA1IP8CAAABTwUg/wIAAgFCgSD/AgAC -AH+FIP8CAAQAtAEgaEVY+goEIBgQWDD8QN4SAABpMFhJ5MYq0Q+IMbAq+AlDAAcQWDAPAgAPAgBt -ug/4SBQB/gJSsPmkTyhgAUQwKaROIzABwKB4Nw34Yn0gLAA1IGhBJGhCIdKg0Q8pMQEJyUJvlAJv -knf4l3Rv6hBQMCswAXi/02P/3gCEICYK//hEDAAAEBgw2kD7PAAAABBgMP0KACAAEHgw/xYBIAAQ -cDD/FgIgZBBIMPkWACAAEHgwWueZZq+gojwswE72yAwCAABRMPhcOAIAAFjwWuds9qAHYAICGPBp -OKxmr3pgAboeP3wtICKu3SnU4PP/gWAAEFAwIzAB+QoCIAQQUDDzOBIACBBYMPMXEgwHARgw+3cB -CABAUjD6OgEKAEBc8PNYEgYJAEXw/7sRC9AEOqD7qgIIAEBKMPk5AQgJAGIw+HcCCAABGDD7mREJ -kAQ6IPp3AggJAEowCHcCJyQt8/7nYgAAUXAuICIvYn8tYoKu/wn/EfwwAywAIH9wjdcoMAUMxAmN -3igkYS8xAw1ECS8lMSkwBPkkYC/gAXwwL0VvLyBhGkB5wLH/vzkAGxBAMPqZAg4gAXww+UVxL4AE -P+D73QIuCQBH8P9FcCACAlMw+rQUIQACSvAllAUqMATTD/SgM2GAEHgwK/oA+rASf/AQEDAKihT0 -oB1gEAIpcHq48XogDApKFPAABmAIAilwsVUKGhRlr/ciMAX8ygkIQAEoMPIsASmwBDog8gJCCgAg -U3D4IgIKACB6sCKkBisxAwuLFCukBykxAymkCCgwAxJAEwjoCwyIEagi8i0CIgAAQPDwCBYAwAIQ -sABCYcAg0Q8AACosVvwKCCAQAljwWEBOY/2/KixO+zAHIAgQYDD7JF4gEAJY8FhASGP9ptog/CAt -IAEQWDBbr7fSoNEPAAAAbBAKJC0B9z0dEFACITCUGiRA7vUKAiABEDAw+z5YEIAQUDD0QC9h8AI5 -8GhBbGlCHh4/VX45GGAAjgCKGvSk7iABEFgw+qDvIAAQYDBb/QTAINEPAACNGi3Q76q5qdkpkMD/ -AgACAm0GYP8CAAYCaQZg/wIAAgJtAmD/AgAGAmkGYGhDyvQKAyAEEFAw/EATECQQWDBYSRhj/58e -PzoPAgB+OauNGi3Q7wq5CAnZCCmQwGiSAmmXl/8CAAICVhkgY/+MAAAAAAAAjRot0O+quanZKZDA -aJMH/wIAB/+6HmD/AgAAAjIdIGP/ZADApPw//BAkEFgwWEkAIywcKTH+/wIAAABu/lCLGho83Cuw -7y+ifSqie/lygiIAAGFw+/8IAAAQcDD5/xEKACBasP+fCACgAliw+PIQIGACe/D/FgcrkAQ6oP4W -ACgAIFZw+BYIIAgQQDBtiiL4EgAgAgJKcPqQRSACAlrwDIgRCgpDqoiYECiQTSy09Ci07I0a+i0B -IgAAWHD8+oAgcgJSsPzUECAEEGAwWD/c9S0BIBUQYDD1XDggHAJRcPoWCSIAAFlwWD/VKC0BjBeJ -GPoSCSAAEFgwK8QNJsQOK8QPK8QFK8QMmpL1lgMgRgJCMJiRKTH+/wIAAABCelAoEgoZPKQrgO8q -kn0scoIpknv/LQEqACBasP36iCuQBDqg+5kICgAgUzD+ohUpkAQ+YP2EKSwAIEswLMAtLIQqK/BR -KfBSKfRO+/RNIAAQSDAppFAppEkppFP2pFIiAABr8PmkUSCeAkPw+OYBIJoCe/D/5gIgogJrcC3m -Aykx/v8CAAH+5/ZQjxoePIItcoIv8O8u4n0lLQHzLQIgGRBgMP/uCAEKAhjw9VxTL5AEO6D+3QgC -AABRcPfSGiCwAmtw/RYDIgAAWPBYP5WKE/gtASAAEEgwKaQNKaQPKaQFKaQM9qQOINgCQjCYcZVy -k3Nj/V8AGDxoKIJ9JXKC/YgIAAQQUDD5iBEAJBBYMPw/exQAIEVwWEh+JiQZ+QoAIDgCGLD5JBgi -AABQsPkmByAwAliw+SYIIAwQYDBYP3nAwCxVEixUICxUISkx/vJWCiAYAliw+1YLIADEflAocoKJ -Ghs8TCpSECoWBCuye/mQ7yAAEFAwKjQwJjQx+jQzKAAgXnD7+sApkAQ+YPs0MigAIEowL4BGKYBO -KSRULYBHKYBPKSRV/IBILmABfDD+gFAvwAQ/4P4kVixgAWww+YBRLAAgf3D+gEktwAQ/YPiMBCxg -AWAw/SxQLAAgazD9FgUtwAQ7IPkkVyCoAmiw/g5DAAEQSDBtmmf5gEYgCAJCMPyASioAIGOw/NQE -IAgCa3D5gEMsYAFIMP6ASyvABD6g/tQBLAAgWzD5gEQuYAFIMPyATCvABD8g/NQCLAAgW7D5gEUu -YAFIMPyATSvABD8g/NQDLAAgW7D8zBEOYAFIMP0KACBIAlCw/TRAKgAgZ7D7Ng0gFBBgMPoWBiCY -AliwWD8nixX/EgQgABBAMChUPChUNShUOyhUMShUMChUMy0wMiwSBiZUOf1+QAwGAWwwLVQ6LlQ4 -LPYE+/YDIFACULAq9gYq9gIo9gD49gEgeAJwsP72ByBwAkiwKfYFKTH+/wIAAgBTelD/AgACAKp2 -UPpcAAIAAFiwW7wU+lwAAgAAWLBbvBL2XDAgABA4MPpcAAIAAFiw/GwAAgAAafBbuOfzCgAgHgA2 -oLEz+lwAAgAAWLD8bAACAABp8Fu44MihajblsXf2bBQjigI94MDgLlQjY/r9AAAA/wIAAf2GBSDz -+6BgARAgMP8CAAP9lwZg/wIAB/2TBmD/AgAD/XYBIPP9cGACECAwAAAocoKJGh07wSpSFZoRLdJ7 -+ZDvIAAQUDD6NFMg2AJYsPY0USAGEGAw+jRQKAAgbnD9+sApkAQ+YP00UigAIEow+IAtIAgQeDD/ -NFUgwAI4sPg0VCIAAFHwWD7PwNAtVFAtVEktVE8tVEUtVEQtVEcpMFKMESZUTfl6QAgGAUwwKVRO -KlRM98YEIMgCQLCYxvjGAiDgAniw/8YDIMwCcLCexSkx/p3A/cYBINQCWLD7xgch/1p2UCstAYwa -J1IalxL8wOwvwBB4MP80wCAAEHAwLjS+9jS/IAQQaDD+NMEhtAJa8PzMCQDkAjiw/cwJAgAAUfBY -Pqj+EgIgABBoMC1UZC1UXS1UYy1UWS1UWC1UWyswwCktASZUYft8QAoGAVwwK1Ri/FRgIgAAQnD3 -5gQhvAJScPrmAyFMAkpw+eYFIVQCQjD45gcg7AJ4sJ/mn+Kd4J3hY/4OAAAAbBAEEzvciCAPAgAk -Mn4EiAzzMoEo4AFAMKhECUQRBDMIIzIHIzIOJD0B+EGSIAIQeDD6PQIgARBoMPtBtyAAEGAw+UGT -IOsANiAlrID8pFIgkAA+YGiSbf8CAAIAWgZgLDKZK6BSLMwBLDaZ+UWTIBcANuD6LAAAABBYMPwK -ACACEGgwW/vCGzt7/D5kEgAAULBYQ8jAINEPKDKSLjKA/wIABABJQiAmMpkLaC743DgAqwA3oClQ -0i02mf9Fky/IADZgY/+tLiA1ZOB5LyBJZPBzKCBdZIBtLjKZC+4uDtw4LKRSKkG09KBkYf4CerAP -D0//RbQgWQA34CoymShQ0rGqKjaZ+UWTL34ANiBj/2MsMpkuQbQtpFIroFKxzPw2mSgFAHfw+UWT -L10ANuBj/0LAINEPZe98LTKZsd0tNpkpRZNj/y0tVNJj/5cAAADaMFv8zPP/omADEEgwLqBSsW8v -Npn5RZMvDAC3oGXPBGP/FAAAbBAIFTsOJCAiKFJ9I1KAqEQJRBEEMwgjMgcbPiTzMg4iAABQsFv9 -Lvs+IhIAAFCwW/0r+ztjEgAAULBb/Sn7Ph0SAABQsFv9JsBA9T3YEmAQMDArICIEuwsMuxGrW6a7 -KbAAZJAFAioCW/0dsURpSOEZPDIiPQEsPQL9wBcgABA4MPfEFiAHEFAwKiWM9zaAKAAgT3ApnQEp -kEDAQvzMgCABECgw/BYGIgHyhmD/AgAGAe6GYGiSB/8CAAYBO55g/wIAAgE3hmD/AgAGATOGYBg6 -2xQ8EtMPKIJ9JEKC/YgIAAQQUDD5iBEAJBBYMPw97RQAIEEwWEbwJzQYJTQZlzf3NggiAABQ8PwK -DCAwAljwWD3tJ0USJ0QgJ0QhKSGM80YKIBgCWPD7RgsgALr+UIkWKkIQGDv5mhUaOsAogoIpkJcq -onsnNEwlNE33NE8oACBWcPr6wCmQBD5g+jROKAAgSjAvgE4ugEYvNFQtgEf/gE8uYAFwMP80VS/A -BDug/IBILGABbDD+gFAsACB3cC40Vi6ASfmAUS3ABD9g+IwELGABYDD9zAgACAJo8Pk0Vy3ABDsg -/g5DAAEQSDAPAgDTD9MPbZpn+YBGIAgCQjD8gEoqACBjsPzUVCAIAmtw+YBDLGABSDD+gEsrwAQ+ -oP7UUSwAIFsw+YBELmABSDD8gEwrwAQ/IPzUUiwAIFuw+YBFLmABSDD8gE0rwAQ/IPzUUywAIFuw -/MwRDmABSDAnNFz86wgASAIw8Ps2FCAUEGAw+mwAAJgCWPBYPZ8nRDwnRDUnRDsnRDEnRDAnRDMp -ME6NFSVEOfl6QAgGAUwwKUQ6KkQ49tYEIFACQPCY1vjWAiBwAnjw/9YFIHgCcPCe15fQ99YBIKAC -YPCc0ykhjP8CAAIAUfpQ/wIAAgCn9lD6TAACAABY8Fu6jvpMAAIAAFjwW7qL9UwwIAAQMDD6TAAC -AABY8PxcAAIAAGmwW7dh8goAIB4ANqCxIvpMAAIAAFjw/FwAAgAAabBbt1nIoWom5bFm9VwUI4oC -PaD3RCMgAhBQMIsW+rSWIAAQYDD6sJcgARBYMFv6PcAg0Q8AAAAAwKT8PVkQJBBYMFhGXvP/0mAD -EFAwjxYmQhUeO3OWFBY6Oi7igi/wlyZie/c0byDYAljw9TRtIAYQYDD3NGwuACA38Pb6wC+QBD/g -9jRuLgAge7D+4C0gCBBoMP40cCDAAjDw/TRxIgAAUbBYPUonRFAnREknRE8nREUnREQnREctMG6J -FCVETf1+QAwGAWwwLUROLkRM9pYEIMgCYPCclvyWAiDgAljw+5YDIMwCUPCalZeQ95YBINQCQPCY -lykhjP8CAAH/XPZQjBYrPQEmQhoswJQnNNr1NNsg5AIQ8Pc03S/AEGgw/TTcIbQCWvD8zAkABBBo -MP3MCQIAAFCwWD0lJ0RkJ0RdJ0RjJ0RZJ0RYJ0RbKTDcLz0BJURh+XpACAYBTDApRGL6RGAiAABz -8PJmBCG8AkPw+GYDIUwCe/D/ZgUhVAJzsP5mByDsAmjwnWadYpdgl2Fj/iLApPw9BBAkEFgwWEYH -KSGMDwIA/wIAAABt/lArEgYaOeQrsJcuon35ooAiAABhMPqieyAIEEAw/T0BLgAgW7D93IAvkAQ7 -oPuqCA4AIHJw++IQK5AEOqD3FgAoACBWcPsWAyBgAnOw/hYCIgAAW3BtiiL4EgAgAgJKcPqQRSAC -AlrwDIgRCgpDqoiYECiQTSy0xCi0vPo9AS+AEGAw/NS4IgAAWHD8CgQgcgJSsFg84/Q9ASAVEGAw -9Ew4IBwCMTD6bAACAABZMFg83IkSJ5QMJ5QFJ5QPJZQOJ5QNiRMoPQEmlgL0lgMgRgJCMCiWASkh -jP8CAAAARnpQLxIGHTmsGTrjL/CXLtJ9+ZKCL4gQYDD90nsuACB7sPo9AS+QBDug/p4IAQACUrD7 -4hUsACB/cPyk0S2QBD9g/D0BKAAgbnApkC0ppNIowFIvwFEvxE0oxE4n5FEl5FIn5FP35EkiAABT -MPfkUCCeAmsw/bYBIJoCYzD8tgIgogJSsCq2AykhjH2XZSI9AooWGTmJGDrAKqCXKZJ9JD0B+IKC -IBkQYDD6mQgApgIhMPIshSmQBD5g+YgIAgAAWLD2ghogsAJCMPgWASIAAFEwWDycihEpPQEnpA0n -pA8npAUnpAz1pA4g2AJKcJlhlGKSY/P8mWABEFAwAAAAbBAIFDltKEJ7JEKA9zkoGAAgEjD5O28Z -kAQ6IP06XBQAIEEwKEEg/kEdIMAQeDD7OSAQQBAoMPpBHiAAEDAw/ewBCABASjD4RSAmAIDfEHHn -EXGnDvAAE2IAQH+wAAAAAAAAAPMKACYAgm8Q/2wACABAe7D4vzkADgBnsAf/ApMRHDtU+hYAIAgQ -WDD9LAAABRBQMFhFZ/oKgCA2AGTwKUEgKEBwJ0AtBZkCKUUg8AAGZgBARfDAcPg3IHAAEHgwKUEg -KEBwL0AtCpkCKUUg8AAJbgBAR/AAAAAAAP8WBCIAACvw80BfIAQQUDD8OzsQGBBYMP0sAAIAACHw -9xYAIgAAcPBYRUv0MExvABB4MBw5UwIrEay7KLKCD4gBCFgCKLaCLrKED+4BDk4CLraEKrKAHDmz -HTkn89Y5CgBAYrAGqgIqtoDRDwAAAADzCoAt/3/ukGP/FIUU/QoBIgAAIfAH1Dnz/6dkBQAvcA2o -Af8CAA//fNoQ8/7xYEAQGDAAbBAE9/rnIQkANOD4TPgiAIWBIPUKASAAEEgw+Fk4AAAQWDD6ONEQ -ExBwMP84uBABEGAw/fr/IAAQIDD4KuAgFBAoMPP8AAgJAECw0w9tWg8lMXAHVQH1gS1wBAIY8LFE -0/D7KsAgABAgMPsiAgAUEEAwbYoPLzFwB/8B/yEtcAQCGPCxRNEPZk/Vb0thI6KEAEEEALUaAEEE -AMYaDWYDBjMBBTMCI6aEY/+0AGZP1W9LHCiihABBBACbGgBBBADOGg3uAw6IAQuIAiimhNEPL6LA -BOUMAFEEAJIaAFEEAMMaDTMDA/8BAv8CL6bA0Q8mosAE5QwAUQQAuBoAUQQAwxoNMwMDZgEIZgIm -psBj/0/AkPP/DWAAEFgwwJDz/wNgARBYMGwQBBc5/CZyghg4d/oKCyAJEEgw93J9IIAANaCidwl3 -EadnInAjayIoZiAlInAsaCU2aCEzaCIwaCYtaCMqaCRgwCACMgoIIgoiIkvRDwAAAAB5Idb7Kgdg -DhAoMGspF3JS3WsqxWP/18AjAjIKCCIKIiJL0Q8AAGhFT2hHP/hICmAAEBAwaEk0ekExAjIKCCIK -IiJL0Q8ADCIRooIiIkvRDyJwNnkhmGgpLHohAmkqj8AiAjIKCCIKIiJL0Q/AIgIyCggiCiIiS9EP -wCECMgoIIgoiIkvRD8AhAjIKCCIKIiJL0Q8AAABsEAgaOcIpooIcOD3+CgsgCRBoMPuifSGMADZg -orsJuxELmwgqsCMERQn5CgAiAJ0KoGahMiqwLNMPaKIaaKUX/wIABADGgqD/AgAAAMoGoP8CAAQA -ygKgpZUMVQolUmvaIPtMAAIAAGDwW/+r9jpyGgEBJDD0CEAL4AQ+4PQnFAgJAFow8ncRCYAEOiD4 -dwgIIAEsMPZ2CAIAi4Dg+RYEIAB3rqDdIPUWACIAAHkw/Dt+EAUQUDD7ChgiAABw8FhEehk6Xql5 -j5saO3j1qEIKLQEsMPS7EQmABDog+4gCDgBAV/AI/wKfmy2SHB87cQV+QvfuEQwAQH9wDt0CLZYc -KpInxsD1K0QKAEBisAuqAiqWJyhtBIiAHDtnihQbOP/8qhEIAEBiMAqIAvptBCgJAFowmKAukh8f -OhgP7gIulh+MksDRDcwC/JYCItgAOOCMmMDRDcwCnJiKmMe+C6oBmpjRDwAAAAD/AgAH/2TukPuq -B2AOEHgwa6k+/wIADf9s09D/AgAL/1cKoGP+yQAAKG0EiIAaOf35bQQoAEBSMJiQ0Q8CJQkMVQol -Umtj/q/z/ulgABBIMNEPaDVKaDc/aDgFaDk5fjE28/6LYAAQSDAosDb/AgAP/zhqEGP+eADz/nRg -ARBIMCqwNmip8f8CAA//M3KQ8/5eYAIQSDAAAPP+VGACEEgw8/5MYAEQSDBsEAbaIPtMAAIAAGDw -W/8+wHH4OgUaAQEkMPQJQAvgBD7g9CUUCAkAXnDyVREJgAQ+YPlVCAIAADKw96ASZAAgRXAsUoAd -Oc0NzAEsVoDRD90g/jwAAgAAeTArUoAcN6wMuwIrVoAoUoAaOxAZOKgKiAH8Ow0YCQBKMPhWgCAY -EFgw9hYAIAUQUDBYRAMq+sApUoP2q1EP8xBgMP67EQgAQGZwC5kCKVaDL1KIxoD4/wEIlQEwMAj/ -Ai9WiC5Sifb/RQ4AQFOwD+4CLlaJLVKK9p5FDABAV3AO3QItVoorUo3HyPy7AQxGATAwDLsCK1aN -KVKM9ghFCABAVnAJiAIoVowvUoIH/wIvVoItUoLH7g7dAS1WgtEPbBAEGzrlGDnCGjrkHDdz8AAP -YAAQMDAAsWb/AgAIAEOBoABgBAIEG39H7G8zC8g4wHLwABJgABAoMGg4Amk7ZPcKACABECgwBhRA -9g9ABeAEOSD2LhQOCQAn8PLuEQ+ABD/gr+4I7ggt4oAKXxH/fwIMAEBXcA/dAgvdAi3mgAnqMCXC -MQlVCQnqMAlZDGqRhm0IDQnqMAlZDP8CAAH/vSZgY//r0Q8AbBAEhSeFXi5SJf88AAAEEFAw9CAi -IBgQWDD8OrcQAgJzsP5WJSAAECgw9SRuIgAAaTBYQ6naQPsKACAAEGAwW/55KyIfi7dksAoCKgIL -sABgAAMAAMCgZqEDHzepAkoRr68u8oAYOi0I7gEu9oAL6jAcNy8pwjEtwjENmQoLmQkN6jANnQxq -0RBtCAgI6jAImAxqgQRj//AAAMDXGDeMqKouooAfN6UP7gIupoApwoMJi1Jotxv5CgYuFgFMMA/Z -OSuigsfgDrsBC5kCKaaCKcKDCbhSaIcfHzluLgpw+wpgLgBAfnAP6zksooIt+g8NzAEMuwIrpoIc -OJErwn8YOWUqwoKrSfmZEQgAIEEw+IDgKAAgTrCJl/mSDiB8AHowLsJ9pO4J7hGuri7gIqvuCe4R -rq6O547uLZ0BL+0BJfWNJfWMLu0CJeQWJZaKJdW09dWSIAAQEDDRD8Ag0Q/SoNEPAABsEAQZNvL+ -NwgQARBoMPY4Rx/nEFgw950aIEcANOBoMj/YkPwqQCAAEFAw/+KFIBQQIDDTD21KDyWBcAtVAfiM -AiYAiuVQsaoqcnsoYtwJqhGqiCiAZmSB5f8CAAAAY4SgwID0CgEiAAB48PNPOQIAgICg2oD0ChQi -IBBgMG1KDyWRcAtVAfmcAiYAUWVQsarHWyniwMFDBUQMAEEEADoaAEEE8NsaD/8QYDAMuwMLmQEK -mQIp5sAqcnspYtwJqhGqmSWQN/sKICIAAFIwD7o5ClUCJZQ3ZDBKGTov82IiIAB8BKDLPPIKACAD -EFAwbQgvK5B8JHJ7ACAECwsb/7cbdAAgILAjYtwJRBGkMywwZmTABSg0Zio0bSNiIrEicysCY//J -wCDRDwAAAAD1rAAL/7EWoCnihAChBAA6GgBRBPDbGg//EGAwDLsDC5kBCpkCKeaEY/9fAKAEDwQZ -/wIAAf9z/RD/AgAD/3wYoGP/s9qA9AoUIgAQYDBtSg8lkXALVQH5nAImAEblULGqx1sp4sDBQwVE -DABBBAA6GgBBBPDbGg//EGAwDLsDC5kBCpkCKebAKnJ7KWLcCaoRqpklkDf7CggiAABSMA+6OQpV -AiWUN2P+/wAAZD9IwCBtCC0rkHwsYtwAIAQLCxv+cnsgKAB+8K4uCe4RrswtxG0txGYjYiKxIv8C -AAv/jRiQY//LAAAA9awAC/+7lqAk4oQAoQQAORoAoQTw2hoP/xBYMAuqAwpEAQlEAiTmhGP/dGUu -HmP+3gAAAGwQCvdcAAIAADCwC+owGDfFKIJ//wIACgE6RtAeOc4dNlkaN8Ai4gEt0jErpn/6on4g -ABBgMFhDRx02Uh85xy3QwfK1DAABEHAw/vQAIBQAc3AuMABo4yvH/v9vAQA4EEAwePEeyXvApfw5 -vBAIEFgw/zAAIgAAaTD1FgAiAABxsFhCqigKE/8CAAoAtKoQFzY/DwIAJna9GjmyGzY//QpkIAAQ -YDD+CgogAxBIMPl2viAAEHgwWujIHTea8qwAAdwANqD1FgYgABBIMPMWBSE1ADUg1ZD2FgQsAAEw -MPwWByH+Alkw+xYIIAMQGDDwABJgARAwMAAAAAAAAPYKASBSADTgjRgPAgD+EgcqAAPtUCYKAPTg -eWPwBDmgGzYf8na+IAAQYDD4OY8QZBBoMPo5jBAKEHAw+BYAIAAQeDBa4j3yrAAAnAA2oGYgxrFV -dFOpHTd0FDl/C+owKdJ//wIACgC7TtAeNgcu4jEr1n8q0n78CgAiAABrsFhC9ptB+kYAIO0ANOAY -OXTA8C+EANEPiBUPAgCliCiAACh2vRo5cPs1/RAAEGAw/woBIGQQaDD5OWweCQB8sP92viAKEHAw -+RYAIAAQeDBa4hryrAAPegC2oCtyvv8CAAP/t4bQYAAuihX5cr0qACAqsCmkAGP/VwAA+zlcEBQQ -UDAFqgxa4jxj/okUOVPz/0xgAxAYMAAi+rkcOVWPFY4UjRYv8ACWEvUWASAEEFAw/RYAIAgQWDDy -FgMiAABpMFhCPIgYeFNssDMK6jAbNc8psjErsjELmQoKmQoK6jAKmgxqoQgM6jAMnAxrwfbz/pBg -ABAoMMCh/Dk+EAgQWDBYQiweOTbA0P3kAC/7EBAw0Q8YNyYvgn6x/y+GfmP9gQAAKHK+/wIAA/8Q -hhDz/hlvuRAQMMCgKna9GjkrGzW4/QpkIAAQYDD+CgogARBIMPl2viAAEHgwWuhBY/9pLNJ+scws -1n5j/oIAbBAGFzWnKAr/8CEEBgCgxJDzOR4aACA4sPqg3CABEHgwAPsaLTCA/nDcKmABXDD7FAAg -CRBYMPoKRAYAXOyQLHDdDg5E0w/8DEQGAIbfkChw3v8CAAYAl18QCAhE+XDfJgCb3hAJCUT/AgAG -ALleUPwKDSAOEFgw+6EHf/8QIDB8qWT+NZ4QExAoMPp8AAAAEFgw9hrAL+cQYDD23QIAFBBAMNMP -bYoPKaFwDJkB+dFGcAQCUrCxu/YtAgIAAFHw+woAIBQQQDDTD22KDymhcAyZAfnRSXAEAlKwsbv7 -OOgX0BBQMFrhycBA8jSAIgAAETDRD8Ag0Q9mv7z/AgAKAHcW4C3ihACxBAD6GgSoAwjdAQ2qAirm -hGP/nAAAAAAA9r+5YAAQYDD/AgAKAG4W4CnihACxBADKGgCxBAD9GgTdAw2ZAQqZAinmhGP/jsAg -0Q8AAAAAAAD/AgAGAGpfEC5w3g4ORP8CAAYAZ1+QKHDfCAhE/wIAD/98WhDwAGBiAABj8MDAKXDe -CQlE+5kMcAICYzBgAAIAwMCxzC5w3w4ORHvhOv8CAAP/Y8Mg+xwAAOAQUDD8CgEgARBoMFv+1/ag -fGIAACKw+ziuEGQQUDBa4Y7yNIAiAAARMNEPAMDAscj/AgAD/0VCIGP/vyriwAtYDACBBAD5GgSd -Aw2qAQqZAinmwGP+ryniwAtYDACBBADKGgCBBAD9GgTdAw2ZAQqZAinmwGP+swAAAAAAAADz/1Ji -AABj8PP/XmIAAGPw0qDRD2wQBBo1Fg8CACigwSz65/0ahyAAEFgw/IducA4QSDDBlG2aDy6hcAzu -Af3hCHAEAlKwsbvHuxk1IP+7J2ABEGAwL5KFALEEAMgaCP8BD885zvfBnPkkLCAAEBAw0Q8AAAAA -AAD6ksEh4AJy8ADhBADNGg2qAQrKOWSv1i8gIvIKACA6ADfg0Q8AKCAjxPD5gY1wFBBwMC0gIv/d -AgAAEFgwbeoPKKFwDIgB+NGIcAQCUrCxu/P/fm/7EFgwwKT8OGMQGBBYMFhBT8Ag0Q8AAABsEA4q -Ih3AMCMkLimhAyihAv8CAAYBRs4QHDg3HzUpHjUpFzV5lxaeEJ8SLyAiHThU/RYJLAkAY/CcGCkg -K/kOQABEAH5wfpcafZcXfJcULSEgDQtF8AATaoAEPuAAAAAAAAAA/SEgIAAQWDD8CgAgDwA3oH6X -B32XBHyXAcDBGTcb/WhABAcBaDD2NdgSAABo8PQhHiwFACZw+TTFEgAAcPAIbjn0eEACAAAw8PiW -OQgQBDsg/CAkKgkARvD2NLsaCQA28NUw9GhADLAEOyD87AIEBQBFsP4gIywJAG1w/SAhKgkAbvAp -ICz8ICAqCQBm8PjuEQ0ABD9g9SEZLAkAd3D+IR0tsAQ7IPwhHCgJAGZwLBUWJRUY/hUXKgkAbvD+ -OBkYCQBecPkWCiAAEDAw+CA0IIAQKDD2Fg4uACArsPgWDy4AIHPwLuDAJSA1JRQy/yAvIIAQaDD/ -FDMuAQFwMPQVGywFAHTw/RQ0IAAQWDBa5G4dNVQqFhH2NNASAAAisPAARWAgAitwAAAAAAApMAz/ -AgAGAFACYMi2/wIAAABoBmD/AgAEAGQGYGRQ/BU0wvoiHSACAlkwWuRcLhIRHTVB9KwABgBt15Ao -Uncj0oSkiAmIEagzKzEp9rHQcAQQSDCIMAiIEQmIApgRLzAHLjIHDw9B/OkULwAEP+D67CAuCQB+ -8PviCS4JAD/w/xYEIJIANyAsCiha4kj1rAAAugC2oMBQGTRZ0w8pkMH5C0AB/67+UCkgK/+XD3AA -EFgwfpcHfZcEfJcBwLH8LAAAARBQMPo8AAoFAF6wW/QjGzRLK7DBKTAM8/8uagABXDApICsPAgAP -AgD/lw9wABBYMH6XB32XBHyXAcCx+iAiIAEQYDD8CgAqBQBfMFvznWP/CdEP8/9uYAAQWDD6PAAC -AABYcPwKBCACEGgwWt/ujjAtICL8N7EQBBBQMP8gICAYEFgwWECXY/7Ui1j7jVcAGxBwMH7RL4o3 -DwIADwIALaEV//rAIEACUrAPrwGv3/tcQCgAFP1Qwsha4gz1rAAPFAA2oGP/wi8gIgsOQ375xotZ -CwtfabO+YAAGAA27DGP/1PoKBCAYEFgw/DeUEgAAaXBYQHwpICslFhD5DUAAOgB+cH6XFX2XEnyX -Dy8hIA8LRfAADmqABD7gAAD/ISAgABBYMP4KACAPADdgfpcHfZcEfJcBwOEdNRnAkPWcAAgGAXgw -+DZWFAUAR3APfED9IR4iAABScPw0AhoFAGIw+JwADgcBbDD8M/0YBQB7MA1vQP/JOQ4QBD+gD78C -+CAkLgkAR/AKmQIJ/wL5ICMosAQ6IPggISQJAEVwLCAs9SAgLgkAL/D4mREJAAQ6IAmIAvkSECWw -BD1g+P8CDAkAKzD6IRwsCQB7MJyaKpUWKCEdKJUXJSEZJZUYLyA0/5YPIAAQYDCcnh83UyogNSqU -MiggLyiUMywgIiUKgKX/r8wswMDAoPgKgCwBAWAw/ZUbKAUAYrAolDTz/bpgARAoMGwQBCggbMJI -+EhDACUQKDD5gShgABAwMP8CAAYAUCzQ+TzgJgBMJNAJYzjzJEMiAAARsNEPAAAAAAAAAPw3ORAE -EFAw/SAiIBgQWDD+IEMiAAB48FhAG/sKJiAjEFAw+DxsYCIQaDBzowf/AgAKAETo0HOzAnU7JXQx -Ivk8FWAAEFAw9iRDIgAAErDRDwBmoIJoPO7zJEMiAAASsNEPLCIfLMIQ+vrqL+YANyD6LAACAABY -8AvAAGP/0wAALSIfLdIQZNBS8yRDIAAQEDDRDywgQ3yiAn3KQ3yyAnXKAnTJmSwiHyzCEMrE+iwA -AgAAWPALwABgABkqICIrIGr8IGsiAABo8Ful/GevhWAABQDGqmevfNKg0Q/GKtEPKiAiKyBq/CBr -IgAAaPBbpfNnr2Bj/+AAbBASKCEY9zT7EAEQKDDzICIgABBgMPwWBCAKADYgLiBmZOBuKSArwKAP -AgD/l1hwABBoMH6XCH2XBXyXAioKAfsKACBEADag9AoAIAAQUDANrxH/uwICAABQsFv8FwqmAmZi -eyxyfSoiACshIPyqDAqgAVwwWAT4JSQu9CQrIAAQEDDRDwAAAP0kKyAAEBAw0Q8ALiBsDk5DZO+H -LCBDLgoi+AooJgEldxAtIh//AgAGARzHEIzUDwIAZMDK+xwQIgAAULALwAD5EgQgBVouoGSVrCsi -H4u1yLkCKgILsACJFGAAAcCg/wIAAgAAMrD/AgAABGKuoGSQjywgK37PKR42vC0gIq7dLdDgf98N -LyAjwI54+QUpICxolA0qIGr7IGsgABBgMFgewyohICwhHRQzlcO/8j8RDABAUzD8sFx0ACAj8AoJ -RSpCgBs2qqv7+7LUKgLaBmD/AgAIAt4CYP8CAAIC4gJg/wIAAASinmAKTEH/AgACBJ0rIAstQWjR -Gy8WF2AJKQDAYC4gK/8CAAIBIPuQFDN7Aj8RpPQqICMuICItIEX4CgkmALMCoP8CAAYApEaQ/wIA -CgCgAqD1JEUgARBwMPoKASHTADegKUKNwML8mwEOAB3mUMCQ/EK3IAkANuB6zwHAkduQwsAsRrcZ -NoCp+S2S1HrXFS2SwHPXDy+SwcKA+P8BAAAQcDAP6ziMFPkgKy3wBDsg/qgRCgkAXzD7iwIACBAg -MPS0AgAAEFAw9AxAAJIAfnD/AgAAAET6UP8CAAAAtHZQ/wIAAAIcclD/RxhwARBQMMDi/k0BDgAH -dRDA9P9OAQgAFnkQyMR+RwJ9Tyvz/dRgARBQMAB/R1vAgvhNAQ4AK0UQwJT5TgEOACZNEGihRGTP -2WTf1mTv0yogbmSlfRs2U7Gs/CRuKgAgXPArsOD/AgAMAJPS0NJg0Q8AAAB/RxPA4v5NAQ4AB3UQ -wPT/TgEJ/955EPQkKyIAABGw0Q8o0hBljcSVFPP+BGAAEDAwKSAs/wIABf9emmApIGspFhJgAAwA -APkgayAPEFgwKxYS/DUCEAAQUDD+Fg0gCBBAMPkKACrgAUww0w9tij0AkAQLDht/5zIJHkD5CEAP -4AQ7oPkuFAgJAHIw+IgRDyAEO6Co7qzuLuIn8JEEDgUBcDAA7hoOqgIKCkexmSgSEgiuAQ4OR/4k -RSQAB0KQwID4JEUgABBwMGTTp2XuLi8WF2AH6ikgQ/mc3iAAEGAw8/4iagUASzD/AgAB/5Z9EMDi -/k0BD/+RdRDA9P9OAQn/aHkQY/8QAAAAKiBq+yBrIAEQYDBYHhVj/bEAAIsni74cNgQusiQjICIv -ISD5IR0gAgJzsP62JCAAEEAw+CQgIAQQUDD5FgAuoAF8MPghHiIAAGjw+BYBIBgQWDBYPtovISAP -AgAPAgD/D0UABxAwMBwy0gI6EaysKMKAGTXvCYgBKMaAHTJmHjXtLsa3LdKDDblS/W5QClgBbDD9 -fVAEASAK4GayOCIWGCjCgvYKASPwBDrg9vrwLgUAcbDy4gIIAEAyMPISGCgJABIwKMaC9voPJAEe -CmBmkjTA4CjCgsHw+5sRDgUAa/D+uwIIAEAyMAuIAijGghsyUxwylP0ziBDAEHgwGDK6qKiYHy6C -gBkyoAnuAi6GgCzCeypygqPMCcwR+DSQGgAgYrAsoSAuoR36Fg4sAEBDMPylICwAQGuw+qEeJgF5 -XxBx5wpxpwfwAA5mAEB7sP8CAAYBju8QwGD/CgAoAEB/sPm/OQAUAGewGzIzC/8ClhEcNHv6FgAg -CBBYMP08AAAFEFAwWD6OeWcdjh4t4SAs4HD74C0gQBB4MA/dAi3lIPAABmoAQGbwwLD8CoAgQgBh -sIoeKaEgKKBwL6AtDJkCKaUg8AAQbgBAR/AAAAAA+hIOIAAQeDAvFhAcNGIvFhUrFhH2oF8iAABC -8PgWFiIAAGjw+xYAIAQQUDD7ChgiAABxsFg+bikSFioSFYsf/DJNEi0ANaD4+gAgABBoMC6yggju -AQ6qAiq2gi+yhAj/AQ+fAi+2hCiygBoy1B4ySPbtOQgAQFIwDYgCKLaAJsJ9KnKC/TRKFgAgGbAJ -ZhEKZgj+YgcsACBs8C3Q4C7iDv4WBSIAgPtQKyIfi7bJsNogC7AA2aD6FgYgAAWyoGAAFcDAnBYs -ISDaMPwMRQABEFgwW/kaiRbz+eZiAAAycADz+nNiAAAysP8CAAH+Kn0QwOL+TQEP/iV1EMD0/04B -Cf38eRBj/DgA/wIABADWAuD/AgAEAPIG4P8CAAf+7hrgwLYOtjkrwoLHgAi7AQtrAivGgmP9wQAA -Kwpw/gpgJADMgmD/AgAEAOiGYP8CAAf+6JpgL8KC/es5DgBAN/AL/wIvxoJj/bgACkhB/wIAA/0k -miBj+oYAAApMQf8CAAH9IJ8gY/p2AAAKTUFl2jkLLkH/AgAD/RmboGP6YGTqhi8WF2AEQh8x8y/y -e6P/Cf8Rr6pb9uGPFSj9Ai39ASXVkvWEUSAAEHAwLvaSLvaMjGAl1ZMrcn8LzAz6coIs4AFgMAy7 -CAm7EQuqCCqiByqiDiutASixki2xt/mxky6rADYg/K0CIAAQcDAuxFL+zIAgAJIGYP8CAAIAsAJg -/wIAAgDcBmAoopkvwFIojAEoppn5tZMgFwA34PpsAAAAEFgw/AoAIAIQaDBb8kIbMfv8NOQSAABR -sFg6SGP+SwAAAAD/AgAN/odukPP9HmCAEDAwKhIQKRIRwNEK2jnz/choBQBPcAAAAAAA+goFIBgQ -WDD8NPYSAABo8Fg91SogbmP6aA2oAf8CAA/+cFoQ8/zaYEAQMDAAAAAA/wIACf4eG+DAtg62OSvC -gseACLsBC2sCK8aCY/whAAD/AgAJ/iIb4C/Cgv3rOQ4AQDfwC/8CL8aCY/wrAAAAAP8CAAP9/hvg -wIYOhjkowoLHsAuIAQhoAijGgmP74QAA/wIAA/4CG+ApwoL96zkIAEA2cAuZAinGgmP76yiikv+i -gCQAYsIgKKKZLxYamBgNiC7/CgEgABBoMAj9OCgSGv0WByFfADYgKeDS9aaZIAIQYDD8tZMuyQC2 -YGP+1AAAL2A1ZPCSKGBJZICML2BdZPCGL6KZIhYY+AoBIAAQEDAN/y4PgjgixFIiEhgssbT6Fgwg -9QA3ILDI+hYMKeABQDD4tbQg4wA2IC2imSzg0rHdLaaZ+bWTLmgAtyBj/nMALbG0L6KZJcRSLsBS -//wBIAIQQDD/ppkoBQBuMPm1ky5AALegY/5LAGX/XCiimbGIKKaZKbWTY/4mJeTSY/+MAPkgKyvw -BD5gwEgEtAL0CkAAXgB+cH6XV/8CAAAAVnZQ/wIAAABeclD/RwxwABBIMH5HBH1HAcCRaZEXY/jp -AAD/RwxwABBIMH5HBH1HAcCRZJjUZKBp/wIAAfwzeRD/AgAD/EV1EGP4VgAAAAAAAAD/RwxwABBI -MH5HBH1HAcCRZZ/PY/ihAAAuFhMrFhSKHFvy5ysSFC4SE4oc8/8KYAMQSDCNGCjAUrHdLaaZ+bWT -LW8AtiCOF2XtZWP9dQAAAPP11GABEFAw/0cMcAAQSDB+RwR9RwHAkWWfd2P4SQAA/0cMcAAQSDB+ -RwR9RwHAkWWfX2P4MQAAAC8WFy4hIBw0VfoKBCAYEFgw/g5FAgAAaPBYPTErICMsISAtIGr+IGsi -AABQ8P8hHSygAWAwWBydLxIX9qCEYgAAMrAdML0pICMuIGst0MEoIGqYGv4WCyCeAP9w+RYJIAAQ -MDCOGgBgBA4OG3/nD9ow+xIJIgAAYbBb+PMvEhexZmlo3yYKAIgbAGAECAgbf4cP2jD7EgkiAABh -sFv4Zi8SF7FmaWjfKyIfi7vIudogC7AALxIXYAABwKD2rAAB+xayoIkUY/4+AAAAAAAAAPP+NGIA -ADKw/DQiEAQQUDD9Eg0gGBBYMFg8/S8SFy4gRWP2IWwQBCkgN9MP/wIAAgCkblAaMI3+MKMQExAo -MPQKAS//EBgw/frnIAAQeDD9l09yAABj8CYqAPusAAAUEEAwbYoPKbFwDZkB+7wCJgBwtlCxzCn6 -+yviwAlYDACBBAD8GgCBBABIGgOIAwi7AQy7AivmwCkgNysK+wuZASkkN/sKACAAdn5Q+AoUImAQ -YDBtig8poXANmQH8kUpwBAJSsLG7K/r7KuLAC1gMAIEELSA3APwa8IEEAP4QSDDwSBoMAEBPcP0k -NygRABow/R0UCgBAQrD9JDcqCQBisPrmwCAAEBAw0Q9vu7ss4oQAsQQpIDcA/RrwsQQA/hBQMPBI -GggAQFZw+SQ3KBEAGjD5GRQMAEBDMPkkNywJAGsw/OaEIAAQEDDRDwAAAAAAAPnMAAv/khcgK+KE -AMEEAPwaAJEEAEgaA4gDCLsBDLsCK+aEY/8fAAD6CgAgABBYMFv5RikgNyoK7wqZASkkN2P+oQkb -FPskNyAAEBAw0Q8AbBAGGzB8/jBHEAAQYDD5snshhxBoMPSygC/nEFAw/woBIBQQQDD7veYpkAQ+ -YPn6+yQAIEkwbYoPKLFwCogB/YFNcAQCWvCxzPniwSHgAlpwALEEAPoa+goBKABAVnAJqTkTMPRk -kEwsMCEiCv/TD3LBPcCl/DOfEBgQWDBYPHzyNCEgABAQMNEPAAAAAAAA/8u1YgAASzAo4oUAwQQA -+Rr5iQEAARBoMPP/tWgFAE9wwCDRDypALMG/e6GqwMMsFAD8FAEg/xBQMFv6V2agt/scAACgEFAw -/AoBIAEQaDBb+ZhmoKH7HAEgoRBQMPwKASABEGgwW/mT8hABIABFrqBmIFctMCHTD9MPfSlZLTAg -LjAi0w8PAgD94URwABAQMClALGiUOWiVNioK//wKViCgEFgwWDXx9qBFYgAAErAcM279MCIgBRBQ -MP4wICAYEFgwWDxHLzAgLzQiZiAh0Q/RDwAAAAAA+goFIBgQWDD8M2MSAABwsFg8PSI0IWP/jNpA -W/q60Q/3r3hiAAASsGP/yABsEAQaL8weL+L7CgAv5xBoMPwqQCABEHgw/uKFIBQQQDBtig8ooXAN -iAH8gVZwBAJSsLG7HjEY0w8r4iLLuR0wCPwxQBAAEFAwbQgpKcCAKNJ7AKAECQkb/5cVeAAgQrAr -4twJiBGouy+0bS+0ZiviIrGqe6sCY//PwKFb7fDAINEPAAAAsAQOCRl/l6bAolvt68Ag0Q8AAGwQ -BhYvptMPKGDB/IcKcAAQIDArICJks1IrICP1Cg4gCRBQMPMKACYBA1bQ/wIABgD/LtD/AgAKAPsC -4CwgJS0gKPcv/RAQEDAw+SETIDoANyD4CgEiJwA3YC+cAf8KQAAAEHAwCo44/yUTIBwAN6ApIh8P -AgCLnPySDSBlADbgZMBd2iALsAAtICJk0vkuIGwOSUNkkKz/AgAAAHEGYP8CAAIAioJg/wIAAgCj -hmD8MwkQAhBQMP0gIiAYEFgwWDvhxkr9TAAAAhBQMPwzAxAYEFgwWDvbwDHwAt1gABAgMCsgI/8g -IiYBKq7Q+GwACgEmguAC/xGn/yrygi7ygvpKQA/vEEgw+jg5DgBAS7AI7gIu9oJj/28AAAAA8woA -IAEQUDApIG0oIGYPAgCwmQk6OAg6OWWiaCogbA8CAAoKQyokbPosAAABEFgwWACjwDD0rAACTAC2 -oCYkbCcgK/wy3xAEEFAw/SAiIBgQWDDzJCsmAEA18Fg7s2VyIysgI8CJ+LEHcCAQYDB1uRQpIEPM -niogLMG/e6kH8AAGYAAQUDDAoS4gbS0gZrDuDjo4DTo5ZKHrLCRsw3D6LAAAABBYMFgAhvSsAAHY -ALagKyBDDwIAZLAFAioCW/tp/DLBEAQQUDD9ICIgGBBYMFg7lSckbNogW/uv9qGpYgAAIrArICPA -iXixB/8CAA//iKrQKSBDZZ8GKiAswb//AgAP/39akMCg8/78YAAQGDAAACogIlgXCGSuBCwgIykg -Ii1gwScgaiYga/qcAAIASX9QnBL5FgEgABAYMAAwBAcOG3/nDIoR+xICIgAAYPBb91CxM2k45IcS -8ABAYAAQGDCwmQkJT/klEyP/AX5QZZ3i8yQlIAQQUDD8MToQGBBYMFg7aSkiH4qcZKDnK5INZLDh -AioCC7AAY/3QAAAAMAQGCxt/twzbcPoSASIAAGDwW/azsTNpOOT6ICIgABAYMBwycqysLMDgJyEd -9qwAA/6o/xAtICP/AgAP/qMrUC4gLP8CAAX+nZug+goFIBgQWDD8MnUSAABpsFg7S4on+qIOIgAA -YfD6FgAiAABZsFgYoYsQJrQgI7QhI7Qjk7lj/P4tICIC3RGn3SjSgi7Sgv8KASgAAUAw/AoAL/4Q -SDD4/DgOAEBLsA7MAizWgmP9Gy4gLMH//wIAB/5Sf5Bb/pb3rJliAAAisNJA0Q/aIFv+M2P8/Skg -IiggZwKZEaeZKJaCY/zmZ0ATx6X/AgAP/o1REPP9J2AAEBgwAADAMSsgLsiz2iBb+dNkP7wbMkj8 -MkgSAABQsFg3ftJA0Q8AAABsEAT5CgAglgA04Bww+/4gaiAgEFAw/SBrIAgQQDBtijIAkAQOCxt/ -tycJH0D5C0AP4AQ/4PkoFAoJAH7w8ogRC4AEPuCriKyIL4KDCv8CL4aDsZkfLqP5CgAgCBBAMNMP -bYo4AJAEDQobf6ctCRpA+QhAC+AEOqD5LhQICQBSMPLuEQmABDogqO6s7ivtBIuw/u0EKgkAfvCb -4LGZLSIfjd7I39og+zwAAAAQYDAL0ADSoNEPwCDRDwAAAGwQBMshaCIzaCMs+CctYAoQGDDyMwdw -DRAgMG8oGfJDB3AOEEAwbyoO+CETcA8QSDB5IQPGKtEPwCjRD8Ai0Q/BINEPbBAEKyIfi7LIuAIq -AguwANKg0Q/AINEPbBAEKyIfi7PIuAIqAguwANKg0Q/AINEPbBAEaDFC+y7eEiAAOOBoND5oOENo -O0hoPCgYLl0ogjIpOugJuSwHiBEJiCywiBox7QIpEaqZ+JYAIgAAULBa2fPRDxsx6WP/0Bsx5GP/ -ygAbMedj/8MAABsutmP/uwAAGzHkY/+zAABsEAQYLknTDyOAwfkKASIAbPzQ1YD3CqcgABAQMPb6 -5yAUEFAwbaoQK1FwBrsBd7EL8iwBIAQCKXDHK9EPZiD8FS5R+goTIAAQWDD/KzFv/xAwMC9ShAAh -BACeGgbtAw/fAQ/uAi5WhCxShAAhBPC9GgwAQGswDcwCLFaEYAAsAC5SwAKsDADBBACdGgbXAwfu -AQ7dAi1WwCNSwADBBPC0GgIAQDzwBDMCI1bAB+owD+owJIIxp0QPTwxq8Q5tCAgL6jALSwxqsQJj -//BvK1ItUoQAIQQAnBoGzgMO3QENzAIsVoRgAAAAJoIxwFD2ZgoH0BA4MPAACmfwBDmgALFVd1Ec -BOowCOowpkQISAxqgextCAgI6jAISAxqgeBj//DRDyxSwAKuDADhBACbGga9Aw3MAQy7AitWwGP/ -qtEPAGwQBPYt+R/nEEAw9xrAIBQQIDDyCgAmCQA8sNMPbUoPKWFwCJkB+XEOcAQCMbCxIscr0Q8A -AAAA9iBdYAEQQDDAUAOFOBMt//8rJm//EDgwKjKEACEEAFsaACEEAIwaB8wDDKoBC6oC+jaEIAAQ -EDDRDy0ywMFDAkIMACEEAF4aACEEAI8aB/8DD90BDt0C/TbAIAAQEDDRD9EPAGwQBBMt2yUKwPUl -AQAAECAw9TQ5ABgAZLASLdQCQgLRD9JA0Q8AAABsEAYsCh/7Cg8gDhBQMPQw/RABEHgw9TFcEgAA -QHD+LcEQABBIMPRCfyIAAGiw9VIAIA0QEDD1FgAiAAA7sG1JLCZw3AYGRPxhIXACAjnw/wIABgCa -VZDwkQQGAJZdkAD0GvSEACYAnRWQsZmxiCTg3PbigyIAIAtwIiAA9+DdIAIQYDD5CvAgWAB9sPJF -FAJgARAw9AREA8AEOKD3B0QCCQAosPICRwYAilUQ/wIABgCKVdD3LgMQAHoE4P8CAAIAdBjgBkQU -9kVABgBAYTAYL6H6LgMQVgA1YAwvAfIVEgQDARAw+S4BBABAZXD07gIEAAEQMP//EQXQBDkg/0QC -AgkAcXAEIgLy1REC4AEQMPKwGHQAID1w/wIAAABoBOArUoAPAgAIuwIrVoD0YHhqYAEUMMHg8hUS -ACAQMDDyPBIEAEA1cP7MAQYAQDCw/iQBB/AEOaD9RBECCQBi8PZEAgIJABFwBCIC8tURAuABEDDy -kDN0ACA9cGgxZClSgAiZAilWgNEPAJEEALQa9IQAIB4EUbB7YQf/AgAP/2cRkPP+yGAIAkpw0Q8A -APYmFAQCATQw8/8XZgBAYbD/AgAH/3pV0K7VJVDc/ygRBIABLDD6VQwI4AFAMPP+12IFACowKVKA -CpkCKVaA0Q8rUoAKuwIrVoBj/zNsEAQTL08DIwojMpcYMOICJBGoRPNGACAAEBAw0Q8AAGwQBBMv -R/UKACAIECAwDwIA8yMKAgAAOLDzMpcgABAQMG1KF/AhBAQiARgw8EQaAAQCELDzQxQECQAlcBku -ywJ4EamI9YYAIAAQEDDRDwAAbBAE9C7KEgAAKLD2CgAgCBAYMNMPbToPKEKlCAhS9IBOYBACMbC4 -RMBAGS609i68HwAQODD4LrsQIBAQMPkKACQAIEkwbSolDAIAIoJAImLSByMBA1MCI2bSI2LSKUag -I0KgImbS8mLSIAgCITDRDyRCpAQESfP/sGXgBDkgAABsEAQYMEYPAgAPAgAjgn/AYPlsAAA3ADTg -Fy6X9AoXIgAAEfDzgn8gAgJKcNMPbUoKJiaA9iaBIBACELD3fUAgFxAgMPOT3HIAABHw9woAID0A -NOASLonTD/QKDSIAAEiwbUoKJiaw9iaxIBACELAmlsojgn/ynUAgDRAgMPaWyyACAjnw83PYcgAA -SLD5CgAgMQA04BcueNMP0nD0ChsgAgJKcNMPbUoKJibQ9ibRIBACELAkgn8nfUD0k95yAAAR8NEP -bBAEGC82DwIADwIAqCgogOD8CgEl4AEcMP+Hc3AAEFgwGC7aCCgKKIKXIwoA+EgUCCIBRDDwkQQA -ABAQMPDKGgAGEEgwbZoS+EgUCCIBRDDwkQQKCQBW8ADKGvkKHyQJAFLwA5kMeU0OsTP5NPRgHxBI -MNEPAAAA+jwAAgAAWXBbjC33r+JiAAASsGP/5AAA+iwAAgAAWXBbjCfSoNEPAGwQBPctLRIAADCw -FSy4BSUCJXazInK0FC7fEzBK9AoQIgBAILDzCgAiCQAYsPJ2tCIAABHwbUoH8ya1IAgCELAmdrPR -DwAAAGwQBMDBGC77GTA+DwIA+CgIBSAEOKD4gOAkACBJMCRCACsKAPQETwDQAH4wGC6eCCgKKIKX -+EgUCCIBRDDwkQQAABAQMPDKGgAGEEgwbZoS+EgUCCIBRDDwkQQKCQBW8ADKGvkKHyIJAFbwApkM -eT0OsSL5JPRgHxBIMNEPAAAA+0wAAgAAULBbi/Fnr+LRD/osAAIAAFkwW4vt0Q8AAABsEARb/2wc -MBf9CgAgABAYMPoKESIAAEMw/M0EIAICa3BtqgojhkDzhkEgEAJCMCoKEfnY42IAAEMwIgoA2iBb -/z2xImku9dkw+C94EBAQUDBtqgophhD5hhEgEAJCMBgvc4iAGS9yKgrCCogCmJDRDwAAAGwQBMAw -9yypH/8QKDAYLn0MJhGoZidmgyVmgidmgSVmgBQucwQkCyNGgSNGgNEPAGwQCPIsiRIAAECw2TDw -AgcCAAAQcABCYQBCYW+EbxIvYvQcAAAGEBgwbToR8y0EIfgCITD1QgggCAIQsJUwEi0e9C9cEAYQ -GDBtOg/zIgch+AIQsPNGCCAIAiEwFy9TGiyNCIQQBJQC9iw+FAkAUTD0dv8j6BAQMNMPbQgJsCLI -LyVy/3VgAmP/7cAg0Q/AINEPxyvRDwAAbBAEFS3GwIgIKAIoVlIPNBEkVlPRDwAAbBAEFS8vAiQL -C0QRpUQnQsIPOhHwoQQAARBAMACIGvChBAADEEgw8JkaD/8QUDAKmQMJdwEIdwInRsL1L7YRGBAw -MAYmKPRCwiQAIDVwJFaX0Q8AbBAEFS8aAiQLC0QRpUQjQsAVLBIFMwIjRsDRD2wQBBUvEwIkCwtE -EaVEI0LAFiz5FSxiBjMBBTMCI0bA0Q8AAGwQBBsvCPuyfyIAAFDwWDp6/QoIIAAQYDBYOOH9LAAA -ABBgMFg47NKw0Q8AbBAE8y2PEAEQEDAiNoAiNoHRDwBsEASHIPgyACH+Akkw+XkBD/8QMDD5SQwG -EQAxMAlmAfaEDAYAIDmw9iYAIA4ANWAFSi4KSgyaMNEPlDDRDwAAbBAGGC24EyvgGy9+8osNcCAQ -UDApMMEKmQIpNMFyuxTaIPwcBCIAAFhwWILiiRBokhVolgLRDwAsMMEtCoANzAIsNMHRDwAALjDB -xPAP7gIuNMHRDwAAAGwQBCgKAAjkFgECAB0tYwIsCQ3MCiPGZC36wA1NAf1dAgAgEHAwDt0CLcZl -J8ZmLMJmCuowGyu+KbIxK7IxC5kKCpIKBuowBiYMamEObQgIDuowDi4MauECY//wCOQW0Q8AAABs -EAT4L1IQGAA04BUvUSiAfSVSf7A0CEQoolKkItEP0Q8AAABsEAT5CoAlQBBAMPKCOg+AEEAwA5M6 -oyQkTQEkTD8IQgHRDwBsEAT7OugiAABQsFg6Gh0rnf3SMSAAEGAwWDiO0rDRD2wQBPosAAIAAFjw -WmGi0Q9sEATzLzYTUAQ4oKMi0Q8AbBAEGCvH8AgHA+AEPOBtOQIAQmHRDwAAbBAE8y8tExAEOKCj -ItEPAAAAAABsEAQSK9AoIn8iIoAJiBEIIggqIgcqrBBa3ZgYK7YACIv4IgcgQBBIMG2aAgBIYcCQ -mSfRDwAAAGwQBBIvGyMiQSIhf6Mi0Q9sEAQSLVQULxcjIoF7NiApIooJCVXwkQQAARBAMACIGiIi -/gIiFPAACWIAIBIwAAAiQX0kQYLzK3AQCwA1IAMiNaQi0Q/RDwBsEAQSLUIjIoF7NhspIooJCVXw -kQQAARBAMACIGiIi/gIiFKKC0Q8AEi78IiF/0Q9sEAgbK6AULa0VLN4qsnkpsoDyK4gQABAYMPIW -BCuQBDqg8izRGAAgVnD5FgMgABBgMPAAImHoAkLwGC0yKmaI+4wMIAAQYDD1XAEgAgIY8PRMASQA -0QDgKbJ9J4KDqTn6QOApkAQ+YPkggCYAIE3whncAMAQJCRv2Yg4hmAB+cH6nxPN0ByIAAFDw+woH -IgAAYHBYNgqLFNhg8AsHADMQSDBtmgIASGEobQL7XQEhAAJCMCOE0CywQPXACWEAAlrwwJIptMDb -EPptAiAGEGAw84SXIK4CUrBYLo0obQErbQIsbQL9bQIhQAJjMPx2ECGAAmtw/XYVIcACWvD7dhog -BBBIMPmFtiAeEHgw/4W3IHgQUDD6hbUgARBwMPptAyIAAFhw920DIWICUrD6FgIhAAI58P50Ky+A -EGgw/XQsL8IQcDD+dC0gDhBoMP10MCAGEGAwWC5u+m0DL4gQeDD/dDcvzBBwMP50OCACEGgw/XQ5 -IAcQYDD8dDogBBBYMPt0OyF4AlKw+xwAAAYQYDBYLmD6bQMgBBBoMP10QiADEFgw+3REIAcQYDD8 -dEMhigJSsPsSAiAGEGAwWC5V+m0DIAYQYDD8dEsgAhBYMCt0TPttAiGaAlKw+7xqIAIQYDBYLkv6 -EgMiAABY8FvnufeuSGIAAGKw0sDRDwAAbBAGFisNAAaLFi56GSvb2GBtmgIASGEdLnsbLnkcLnYe -LncaKxkYLnsULnkSLngTLPgVLIwfLnQjMX8vVhCSXyRWDiZWDChWDSlS6SqieS5W8ixW8CtWzi1W -Ef3tKCCgAlsw+1bNIGACYzAsVvMtVuv+7QgrkAQ6oP5W6igAIFZwKZIH/I3/IP4COPAHdxQpkg4p -FgAjZQIjZQP8ZgAgABAgMPdlBCLAARgw9GUFIC0ANKACKgL7TAAAARBoMFrWC2iuFfosAAAAEFgw -/GIAIAEQaDBa1gVprumIXSeFBCSFBSOFAiOFA/OMAAAgAmIw/IYAICoANKDaIPsKACABEGgwWtX6 -aK4V+iwAAAAQWDD8MgAgARBoMFrV9Gmu6YcQg14ncSckNQUnNQL3NQMgIAJg8Pw2ACD+AjHw9nYU -AsABODD2NQQhbQA0oAIqAvsKACABEGgwWtXlaK4V+iwAAAAQWDD8MgAgARBoMFrV32mu6YNf0w8m -NQT3NQIiAABQsPc1AyAAEFgw9DUFICACYPD8NgAgARBoMFrV1GiuFfosAAAAEFgw/DIAIAEQaDBa -1c9prukpUuvzUi0gBBBAMA8CACiVBPSVBSIAEFgwK5UC+5UDIPsQEDDzIgwAIAJScCqWACNS8Cgs -fwh4FCg1BCQ1BfI1AiAgAmDw8jUDIsABEDD8NgAgKwA0oAIqAvsKACABEGgwWtW1aK4V+iwAAAAQ -WDD8MgAgARBoMFrVr2mu6SpSLg8CAA8CAGSgjSys//yoAQ4AD+aQbQgP/Yz/IgAAUjD9iAEOAATu -kGP/6QAAD6oRIlLzLqx/Dn4UJCUFLiUE+iUCIsABVDD6JQMgIAJgsPwmACArADTgAzoC+woAIAEQ -aDBa1ZVorhX6PAAAABBYMPwiACABEGgwWtWPaa7p0Q+PXyb1BCf1Aif1A/T1BSAgAkPwmPBj/ujz -/5RgARBQMGwQBBIsAiMigXs2GykiigkJVfCRBAABEEAwAIgaIiL+AiIUooLRDwASLbwiIX/RD2wQ -CPQWASIAADjwWDIVEy3BKDF++y3BEgH6/hAqspIrMYEdLb0uMX8vMYAs0pTAYP9PQQ4gAXAw+wtB -DAUAcrD81pQj5wA24GTz7hwtsxkts/grYRAEEFgwK8awwqcqhhAoghEkIA0tIAz9FgIsAAFAMPyU -fCoBAUQw+5R9KgIBQDD6lH4oAwFAMCiUf1iPofairGIAABKwKTJd9io6E3oANSAaK5GIEqqIKIDd -ImJ4pIioIgkiEaKSIiyAGy2XKjJcK7Kx+6oIAAMQIDBYj3ZYLlSSEFiO0PaiZGIAABKwWI5CWI05 -9qJWYgAAErBYjBD2oktiAAASsCwxew8CAA8CAH7HCliMCPaiNGIAABKwWIuX9qIpYgAAErBYi1H2 -oh5iAAASsC0xfg8CANMP/wIAAgE5/1AuMX8PAgDTD/8CAAgBOfEQKzGBwfj/AgAIATzb0NhA9AoA -KAFJWhApMYDTD3uXGvoSACIAAFnw/BIBIgAAaXBYiMX2ocRiAAASsFv+3hwtZdMPK8Kp9xYDIAAQ -EDD1FgQgngA24BYtYhctYPUrEhAQAiGwGCn2Ey1aKIJ2IzJdJnau9navKAAgQLD0drApkAQ6IPR2 -sSIAIETwLjAH+jIHIPwQeDD/7gEAABBYMP4uAgABEGAw/jQHIEACUrBa3TCVoIsw/C1HEAEQcDD9 -LUkbgAQ+4P2mAioJAHbwm6EpwqknfBTyLAEgKAIhMPZsFCv/wcyQJRIE9xIDIAAQIDAdLI8TKYjT -Dy3SfykyMfnGUCPoEFgwC90s+Z8KAGQQQDAImCj4xk4v8AQ/4C/GT/uZKAH0EHAw+cZNKgE3b5Af -KlQWKY+U+Shiqv0eFAsAEEgw+YgBAf4Cc7D/SgAuCQBDsA/uAi5mqlv+GPagnGIAABKwWDFtKTDB -+y0fECwA6nAosnYp+v0JiAEotnYktnEpMMF5nxAssnbH2w3MASy2diS2cikwwXifDS6ydsb/D+4B -LrZ2JLaCWts+yaha2z1koVMkEgArQgcpQA0kQHf7sg4hWAA2YB8pUi7yrhgprfwaByAAEFAw+O4C -D+cQWDD+9q4gFBBoMG3aDykxcAuZAfyRGnAEAhjwsapYMUfaUPt8AAIAAGCwWDPHwCDRD2av6P+r -IW//EEgwLGKE8KEEAAEQWDAAuxoJvQMNzAEMuwIrZoRj/8MtYsDB4wruDPDhBAABEGAwAMwaCc4D -Dt0BDcwCLGbAY/+hAAAAAAAAAFiKoPetimIAABKwY/+MAABYioz3rYpiAAASsGP/fAAAAAAA+hIA -IgAAWfD8EgEiAABpcFiKM/avYWIAABKwKzGBY/1pAADAQPoSACIAAFnw/BIBIgAAaXBYiIT3rVli -AAASsGP/NAAAW/7wHyzCKvaSY/wFiBIiYneoIgkiEfP8lWIAIBJwJtaVJtaWJtaXJtaYY/wNHCy3 -JsacJsabJsaaJsadY/v+wKL8KlUQABBYMFg1amP+rwAAAAD5EgAgBBBQMPwqSBAIEEAw/70FKAkA -QTD4lHcgZRBwMP719CADEGgw/vX1IAAQWDBYNVsbKTQrsn/ItCoSAAuwAMlGwKX8KjoQABBYMP4K -ZCADEGgwWDVSY/5QjBAswHdkz9/ApfwqNRAAEFgwWDVMihAbKTkcKjJYMatj/8TApfwslBAIEFgw -WDVF8/2FYfQQaDAAAAAAAGwQBvcsAAAJADTgwCDRDwAsIRP1P/RsACAjMC0hKRspHPzZB3IAABsw -KyUpe2Ed+2wAAgAAUfD8ChAgABBoMFgAJBspE/aggmIAABKwGiqyLqB9yeksoT/AsvPMCAIAAFHw -WDKvGykK9qBfYgAAErB7URr7XAACAABR8PwKECAAEGgwWAAT9qBBYgAAErDacPsKAiIAAGDwWDKh -9qAsYgAAErDcMPp8AAABEFgwWDKc9qAXYgAAErD6cgoiAABZMPwKACABEGgwWthZ0Q8AAABsEAYY -LFqELyiCf/YiDCQAIBkwCEQKhED0QL9mACAZsPgo/BC3ADVgK0AHCwtBDLUR/Sj6FAAgRXApUjoN -vQr90pcmAKbCYCxSOf3HAQ4AoW8QC7oCWpSV/AoBIAUQaDD/+v8gABBAMPgWASIAAFnw/xYAIgAA -OrD+IgAgABB4MNMPDwIA/hYCIAAQcDBa28L8CgAgBRBoMP4KACAAEEgw+RYAIAAQeDD5FgEiAABa -sPkWAiIAAFHwWtu3wNYtVjksIAYqIgLTD/zMASABEFgw/CQGKgkAWrAqJgLaIPsKACIAAGGwWDJa -9qCgYgAAKrD6Ig0iAABY8PwKACABEGgwWtgX9woAIIUANSCKRydEBSqpFGWgkypABylCGidEdPdE -dSogAVAw+qwKACUANmAtQhsfKlaZ0C5CGg/MCivB3p3hJ0Yb90YaIf4CWvArxd6LShkom/oiEiAg -EEAw+EYCIAAQYDD5RhwgARBoMFrX/Bsr/4wsii8rsn8MbAysqguqCvemACIAABFw0Q/SUNEP+7wY -IgAAULD8CgAgBhBoMFrgtMck0Q8AAAAcK/EuIA0tIAz6FgAiAAB48PhCAiASEFgw+BYBIAQQUDBY -NJuLR/z6wCBAAkrw+kICKABAZnD3tRQggAJKcJm5+bYIIEoANqD8+gAgABBYMPrAEn/wEGgwCooU -9KAdYBACWvB6yPF60AwKShTwAAZgCAJa8LG7ChoUZa/3+kwAD/8QYDBa3yJj/uEAAAAA8//qYAAQ -WDBsEAYoCoDzB0YIAEBA8PgWASAmAODwA4VCJV0B8AAHYQACKXAA1XAZKagoQCYPAgArknomkn+r -iPmIEQIAAFEw+GYIAAAQWDBbk9nbMPpMAAAAEGAw9hYAIAAQaDBbk136LAACAABZMPxcAAAAEGgw -W44q+1wAAgAAMrD8CgAiAABRMFuQtSpAJttQ0w/6rQMgABBgMFuP4BooZh4n8g8CAA8CAA5eAi6m -sygKAP0p9BAAEFgw/aa0IBAQSDBtmgr6iQoAAgJCMCuWtSwgDRkpfiWms/4gDCAzADcgLZJ3+ZJ/ -Io0ANyAfKX6v7i7g3azurt4J7hGuni7sgIjgDYgMeHkH8AATYAAQWDArIFHA0ft7DAwFAGNwq8sq -IhP8CgAgARBoMFrXfo4RZOItKUAm8ylYEAEQYDDymREOKAE5YBop2i1cgPAAF2gAIFZwAAAaKdcF -WxQJuQr6mQgMgAEsMMe/o5cvcoDw0QQAABBAMACIGgDRBADKGguqAwr/AQj/Ai92gC5ANnznEYoQ -KaEYsJkJCU/5pRgiCgA2YG5eDBop4AZZEaqZiZBgAB8dKTkFWxQNuwoqsoQrsogFDUQA0QTwyRoK -CQBasAqZAfcpPhAbADZgjhDaUPtAJiAAEGAw/uEYIAAQaDBbh8srQF78CgAgARBoMPpyiSrAAVww -WtdI+kAmIAAQYDAsRF4sJF8sRRksRRosRDZbmtItQCYbKboC3RH73QgCAAAqsKPdLdKA/hIAJgCH -bpAu4DRk4UIqQCYfKdwYKx7/rwgHIAQ+oP/w4CYAIEXwJ3IAKwoA+CmBEAEQYDD3B08AAJb/0Aio -CiiCl/hIFAgiAUQw8JEEAAAQGDDwyhoABhBIMG2aEvhIFAgiAUQw8JEECgkAVvAAyhr5Ch8iCQBS -8AOZDHktTrEz+TT0YB8QSDAaJ2UqoMH5QCYiAD/2kH+XRABbERIo+gkfFAL/Ci7y9I1OItEDLdEC -x8Ly3QwP4AFwMP6+AgYFAGsw/vb0IgAAEbDRDwD6PAACAABZ8FuGwWevomP/qRIo6QkfFAL/Ci7y -9I1OGClFItEDLdECx8Ly3QwOAEBDsP5eAgYFAGsw/vb0IgAAEbDRD4lOKpEDKZEC+pkMD/IQQDAJ -hjnSYNEPKiITK6EDKqEC/wIAB/7i3pDSYNEPHyeDL/J3rv4J7hHz/XxuACBycIgQKYAiApkRq5mj -mSqWgCqFGWP+p9twW4adY/8aAAAAwLFb+Hrz/fFgARBgMGwQBPUgDSAyALTgFyfzylcjcnwmIAzy -coQgMQA1YBQoxKRkJEDdpUSkNAlEEaQiIiyAgiADIgzRDyIgUcBB8yIIBAUALTAFIgzRDyRye6ZE -CUQRpCKCIAMiDNEPbBAEiC0PAgAPAgApgQMogQL5gUB/9BAwMCQKAPosAAAIEFgwWC/49qERYgAA -GrCKLSuhAymhAvuZf3AAECgwL6EDLqECwNH/7gwAABBgMA7cOGTPxtog/Ar9IAAQWDBYMS3ToGYw -zogqDwIAKYEDKIEC/wIABgByThDAMPosAAAIEFgwWC/g9qDhYgAAIrCKKiuhAymhAvuZV3AAECgw -L6EDLqECwNH/7gwAABBgMA7cOGTPxmAAoQAA20Ba1snAwP0KACIAACKw+iwAAgAAWTBb/jj2oF5i -AAAasIotKaEDKKEC9VwBJ/+rzhBpWMpj/0zbMFrWux0nHx4nHvsKACIAABqw+iwAAgAAYPBb/fX2 -oHJiAAAisIoqLKEDK6EC/wIAAAICKXD/AgAH/7nm0GlUvmP/aNIw0Q8AAP8CAA//kjKQ+iwAABwQ -WDD8CgEgIBBoMFrfNGP/Cdog/Ar9IAAQWDBYMOvSoNEPAHapFvosAAAcEFgw/AoAICAQaDBa3yrS -QNEP0kDRDwAAbBAEiieJrimdBCiQAPSACmEAAhJwKqwQWtjAwKAqJIDRDwAAbBAMGydgLSAMhTEo -snguIDgmsoH9iAgE6AEsMPUFBgmQBDog+CA5JgAgQbD4FgogFAA1YPkKByIAikOg+SQ4IAcQcDAZ -Kk4fKkwXJsn04T9h7AJacP8CAAAAqYeg/wIAAgGVg6D/AgACAf2HoP8CAAQCbwOg/wIABALNh6D/ -AgAGAwWDoP8CAAYAZB+g83wAAgAAOvAcKjouIA2PICogOSoWACkhGCkWAfgiCyAwEFgw+BYCIAUQ -UDBYMuAfKjLwAwcCAABJ8ABJYQBJYQBJYQBJYS4gDCsgDRwqK/omjR+ABDug/SoqGgkAdvD99h4q -CQBm8Cv2HSkhGA8CAAqZAin1PiggOS0KAP4KACIAAGHw/IgRAgAAWbD49IQiAABRsFvjIvWsAAAX -ADag+goCIDAQWDD8KhcSAABpcFgyv8Dw/yQ4IgAAEXDRDwDAgCgkOBwqEC0gOR8nKi4hGBMnJi/y -FCMWACkiC/kWASAFEFAw9RYCIDAQWDBYMrAaJyHbMPqiFCIAAGFwWDDH0lDRDx0nHBwqAf8SCiAF -EFAw/dIUIDAQWDBYMqUbKfwYJnEeKfX3vAACAABK8PAIBwIAACowAElhAElhAElhAElhGiZNGSZP -HCfXHyfYGyYuLSAMKCANK7DB/+YeLYAEP2D/JuUYCQBqMPyIAgoAAVww+OYdKAUAXrAp5T4pIDn/ -8nggABBQMPrkhSnABD5gKeSEjWAZJleVHP/dDAj4AUAw+YgKAAQQYDD4gsQiAABZ8PjdEQAFEHAw -/t0CAgAAUbALgAAZKcwlkh6MYhgpx/r6jSToASww9QUGABwANyDJhIlniZ6YkPSWAS+NEBAw0Q8A -AAAAAAD/AgAGAltVUGVewRwpvQ8CACvBPgsLSyslGCzBRh4ptv4WCC1AAWAwLCUZKuAAKeABKSQ7 -KiQ6KOACL+ADLyQ9KCQ8LeAF/uAEIHQCKLD+JD4gARBgMP0kPyIAAFGwWAjNHCmuLmAMK2ANKWEJ -KGEILSEYL2AUL6QUKKUIKaUJK6QNLqQMKiYSLyA6LiA7nhCOGisgPJsRKiA9mhIpID6ZE/ggPyAw -EFgw+BYEIAUQUDBYMkEcKZsvYBQuYQgtYQn4IRkgBRBQMPgWACAwEFgwWDI5iSAqPBr4mRECAABZ -cPk2AyAGEGAwWCk3GiakgxyVGyqiFFgwc4sb/BIIIAIQaDAtJDhgAA/zJfkSAABicPssOiIAADrw -FSX58AMHAgAASfAASWEASWEASWEASWEaJfEeKXMpIRgdKXv95T4gARB4MP/mHigJAFZwKeYdKLAA -L7ABL8QBKMQAHyZpLbACKrADKsQDLcQCKLAFKbAEKcQEKMQFGCaBL/J4jWAogrws4HvTD//dDAj4 -AUAw9YgKAgAAWfD4gsQgBRBwMPoiEi2ABD9g+hYJLAkAd3ALgAAZKVSIGSWSHoiCBYVH9QUGANkA -NiAaKUtkoM6LZ4u+mrD0tgEvjRAQMNEPAAAAAADzJcMSAAA68PwpUBAFEFAw/SEaIDAQWDD/Egoi -AABx8Fgx7f8lvhABEHAw8AMHAgAASfAASWEASWEASWEASWEbJysoIRgZJjYaKTYcKUApkngsph/+ -ph4oCQBaMPimHSj4AUAwD4gKLWIAKILE9SISIgAAWfD53QwAARBgMPjdEQAFEHAw/t0CAgAAUXAL -gAAYKSQogh6KUv8pHxToAUQw9QUGACwANqDK9IlniZ6fkPSWAS+NEBAw0Q8q+o3/AgAGAQzVUGVc -JMCzKyQ4Y/83LPqN/wIABgEB5VBlXA7A1C0kOPAADmABEHAw17DzJYcQARBwMPsliRAEEGAw8AMH -AgAASfAASWEASWEASWEASWEaJvgdJvkfJgIZKQEoIRgv8ngslh4tliH+lT4oCQBSMPiWHSAAEHAw -/pU/KPgBQDALiAqNYCiCxPUiEiAEEGAw/90MAAUQcDD43RECAABZ8P7dAgIAAFFwC4AAGSjtKZIe -iFL/KOgU6AFMMPUFBgAYADYgyfCKZ4qun6D0pgEvjRAQMNEPAAAr+o3/AgAGAJ3dUGVbRsDFLCQ4 -YAAJAADzfAACAAA68MCi8AMHAgAASfAASWEASWEASWEASWEZJsseKNMoIRj9JsoSAABh8PrmHiIA -AFGw/eYgKAkASjD45h0iAABr8PsiEiIAAHEwW+HS+vqNIgAAKrD/AgAH/Y1VUGVa1/osAAADEFgw -WnjqwLYrJDhgAAfzfAACAAA68BwlvNMPLMKHLMIr/wIAAgBP/xDwAwcCAABJ8ABJYQBJYQBJYQBJ -YRwovv0hGCAFEFAw/iISIDAQWDBYMVscJpYrIRgfKKv5JPMaCQBm8Cv2HYorGyVH/QoAIAAQQDD6 -ylACAAByMPq4OQ4FAFJw+AoBLgkAQ7D47gICAABh8P72HiIAAFGw+yISIAAQcDBb4aIESUH1rAAK -JQA2YP8CAAH9LAZgLSAMY/lW0lDRD9ogW0YJY/9cAABsEASCJ4Iugi/RDwBsEASCJ4IuIyIQgi+j -IrAi0Q8AAGwQBIIngi6CJNEPAGwQDhgloRUmBCiCEtow81LqJugANiArMhcWJQv6FgYgABA4MPIW -BSCHADbgLFIXYAAJAAAAACgyF2SAcvQKAC/2ADcg+jIUIgAAWTBa1JstYnBmoE4sUuSq3QndEa3M -jMrLz4LI9CA7YIwQeDAuwHR/4TD7JdIfjBBAMPjEdCIAAFCwWtGiJ6QSiSLboPelCCATALZgiKLA -xP0KAyIAAFCwC4AALFIXsUR8Q5dj/4YsUhj0wO5vwBAQMPAATmAAECAwiscprCACmQH3pRQggAJK -cJmpmaiXyJfJl8qXy5fMl82XzpfPJ8YQJ8YRJ8YSJ8YTJ8YUJ8YVJ8YWJ8YXLFIYJEwB/wIACgBO -YRAtYm4sUuStTQndEa3MKsIM/BYHIUQAfrArwhL+MiogkAJjMPwWCiqIAVgw+qoPAAEQaDD+qgoA -ABBgMPqiACvwAVwwWtQnjReP14gaLvwg94YALgBAE7D39RQggAJzsJ75nviX2JfZl9qX25fcl92X -3pffJ9YQJ9YRJ9YSJ9YTJ9YUJ9YVJ9YWJ9YXLFIYsUT/AgAL/7ZlECkyFfkWCyD+AlMwCnoUKpUE -J5UF/JUCJMABYDD8lQMgIAJicPyWACAsADUg2kD7CgAgARBoMFrPtGiuF4wb+kwAAAAQWDD8wgAg -ARBoMFrPrmmu5yxSFcrGwEAsYm8qUuSsTAnMEfyqCAAAEFgw/ApgIEACUrBYJ7ksUhWxRHxD2S0y -Ev0WDCD+AnMwDn4ULtUEJ9UF/NUCJMABYDD81QMgIAJjcPzWACAsADUg2kD7CgAgARBoMFrPlGiu -F4wc+kwAAAAQWDD8wgAgARBoMFrPjmmu5y4yESRSFS4WDSflBSTlAvTlAyAgAmOw/OYAIP4CaTD9 -fRQEwAEgMP3lBCAtADUgBEoC+woAIAEQaDBaz35orheMHfpMAAAAEFgw/MIAIAEQaDBaz3hprucs -UhdkwG/AQG0IZS5icC1S5K5OCe4Rrt2P1y78IALuAff1FCCAAnOwnvme+JfYl9mX2pfbl9yX3Zfe -l98n1hAn1hEn1hIn1hMn1hQn1hUn1hYn1hcn1hgn1hkn1hon1hsn1hwn1h0n1h4sUhexRHxLBGP/ -kwAALzIU/xYOIP4CIzAEdBQn9QUs9QIs9QMk9QT8BEYAIAJj8Pz2ACAsADUg2kD7CgAgARBoMFrP -TGiuF4we+kwAAAAQWDD8wgAgARBoMFrPRmmu5yxSFmTAUMBAKGJxIlLkqEgJiBGoIo4n//rAIEAC -a7AP3QH35RQggAJrcP3mCSIAAFkw/eYIIAAQYDD6MhMgARBoMFrThJcslyuXKpcplygsUhaxRHxD -sCIyEynMfwl5FCklBCclBfwlAiTAAWAw/CUDICACYLD8JgAgKgA1INpA+woAIAEQaDBazyJorhX6 -TAAAABBYMPwiACABEGgwWs8daa7pGiO3KVLkK2J3KqDBCbsR/6cUeAAgXnArkieLviuyELC7mxhg -AAwALJKnjM4swhCwzJwYLTAk/wIAAADdp2AdJ3IeJ3AuFg/9FgkgABBgMCwWBClibYgUJFLkqYgJ -iBH8Eg8kACBBMI1ALkAMgkcvQA0oQDnyIg4gBRBQMPgWACAwEFgwWDAAKkA4/wIABgChAqCOR4vu -K70EK7yAKxYSK7CAZLAFKuwQWtWmKxISKi0C/ApgIeACUrD3tIAgABBYMFgnACosEPwa4CAAEFgw -WCb8+i0DIAAQWDD6rJAgOBBgMFgm+PotAyAAEFgw/Bo4IZACUrBYJvMrQhCCFPsWESCgADbgjBko -QDmNsCmyBy6wDC+wDSmSDvkWECAFEFAw+BYAIDAQWDBYL9cqEhDTD/qtAiAAEFgw/ApgIeACUrBY -JuAqEhDAsPwa4CAgAlKwWCbcKhIQ+q0DIAAQWDD6rJAgOBBgMFgm1yoSEPqtAyAAEFgw/Bo4IZAC -UrBYJtEsEhGXyJfJl8qXy5fMl82XzpfPJ8YQJ8YRJ8YSJ8YTJ8YUJ0YUJ0YTJ0YSJ0YRJ0YQl0+X -TpdNl0yXS5dKl0mXSC0wJLEi8hYELf9E6JBgACQfJCCOS4wU+xIGIgAAUTD/7gEAIBBoMP5GCywJ -AGswW/yWY/6chRgqEgVaz9GKNQVcCv3MEQAAEFgwWCauijYFXAv9zBEAABBYMFgmqvQyASPwBDlg -KCx/CHgUKEUEJ0UF8kUCICACYTDyRQMiwAEQMPxGACArADSgAioC+woAIAEQaDBazoZorhX6LAAA -ABBYMPxCACABEGgwWs6Baa7pgjIpXH8JeRQnJQUpJQT1JQIiwAEsMPUlAyAgAmCw/CYAICsANOAD -OgL7CgAgARBoMFrOc2iuFfo8AAAAEFgw/CIAIAEQaDBazm1prunRD2wQCC0gDB8khBcjUBUjhBMj -Ox4kqC4WApMVJFLsKlLq+HJ3IAAQMDD7UusgBBBIMPxS5yAAEBgw+N0IAgAAE/D7FgMtkAQ/YPoW -BCwAIGswKCH/LnJ7/VLnILEANiCuPgnuEa7dLdAiiBX+EgIkAE6TYC/ADSvADAAIiwBOYQBOYQBO -YQBOYR4kZA3YCQyIEajunhEu4f+ZF5wQ+xYGIGwAN6AZI8scJqn4JpoSAABS8PzbEQ2ABD6g+hIA -LAkAb/D4IxIcCQBHcCvEiPsSAigJAE+wKcVALcYeKILY+SaOEAUQaDD5xh8gBBBgMAuAAB0mly3S -H/kSByzoAWww/BIAIAkAt2COESbmfyYmg/M8ASH+Akpw9Z82YGACELAYJo0fJosZJo0igkwphpop -hpsvhr7/hr8gEAJycC6GnP6GnSBgAmpwLYam/YanIHACWnArhqj7hqkgwAJScCqGsvqGsyAQAnvw -L4bA/4bBINACSnAphrT5hrUgVQA0oIPHgz6DNPYlBSAgAmCwnCAjJQLzJQMg/gJ48P9/FALAARww -/yUEICoANODaMPsKACABEGgwWs3/aK4V+jwAAAAQWDD8IgAgARBoMFrN+Wmu6RImYSIixmQgTiNS -FvYlBSAgAmCwnCAjJQLzJQMg/gJA8Ph4FALAARww+CUEICsANOADOgL7CgAgARBoMFrN6WiuFfo8 -AAAAEFgw/CIAIAEQaDBazeNprukSJksPAgAPAgAiIklkIFATJkcmJQXzMkogIAJgsJwgIyUC8yUD -IP4CQPD4eBQCwAEcMPglBCAqADTg2jD7CgAgARBoMFrN0WiuFfo8AAAAEFgw/CIAIAEQaDBazctp -rukpUhXBMPgjQBIDAEzw8goAICoANOCKi8ylYAAeiqvJptsg/AoAIAEQaDBa0g/6IzYQAgIQsHMj -4ylSFfIKACB9ADZgKHJrI1LnqCgJiBEIMwgqMgcqrBBa1GmMN/36wCBAAlsw+hIFKgBAbvD2xRQg -gAJa8JvJK8YIJjYJ9jYKICQQSDApNAXwCgcAQAJY8ABLYQBLYQBLYQBLYQBLYQBLYfpMAAIAAFiw -/AoAIAEQaDBa0e4uUhWxIn4jhitSFPMKACD3ADbgEiYBKXJqJFLn+CKeKAAgTPAJmRGpRP8CAAYA -Z6YQKiKq/wIABgBiJpAsIrb/AgAGAFynEC0iwv8CAAYAVydQKkIHKqwQWtQ8i0f9+sAgQAJi8A3M -ASzMQJy4nLmKS/a1FCAUADaggqtazzL6LAAP9wC0oBIl5YpMDwIAZKAPgqtazyz6LAAP9wC0oBIl -34pNDwIAZKAPgqtazyb6LAAP9wC0oBIl2ZZLJkYKLhIF9kYJICsQSDApRAXwDgcAQAJZMABLYQBL -YQBLYQBLYQBLYQBLYfoSAyIAAFjw/AoAIAEQaDBa0a8rUhSxM/8CAAv/ilzQL1IT9AoAILMAN+Ao -cmkjUueoSAmIEagzijcqrBBa1AmJN/v6wCBAAlJwC6oBKqxAmpiamYo59pUUIBEANqCCq1rO//os -AA/3ALSgijoPAgBkoAyCq1rO+vosAA/3ALSgijvIq4KrWs72+iwAD/cAtKCWOYwV9jYIID8QSDAp -NAXwDAcAQAJY8ABLYQBLYQBLYQBLYQBLYQBLYfoSBCIAAFkw/AoAIAEQaDBa0YEtUhOxRP8CAAv/ -qu0QHiKklu8m5hCW7tEPAABsEAQfJZT2I6sf/xBwMP0hwRABEFAwJyAiiCor0q4AcQQAqhr0gHNs -AEBasAwMR2TApfC3F3IAAGLwC8hC+HkMcAAQSDD5ZIAsAEB68A6oAwjIAS9hT8Cz0w/41q4uAAt+ -0CkgDBohrGiWdCqgwX+nAmiRaythTnu3BSwgDGjFd/osAAIAAFjw/EwAAgAAaXBYAGXSoNEPAAAA -AMDA8LcXcgAAevALyEJ4eQwfJWrAgPhkgC4AQH7w/qkDAgAAWPD5+QECAABRcCnWrlgsGMAg0Q8A -AAAAAADz/8FvuRBgMPosAAIAAFjw/EwAAgAAaXBb/oFj/30AAPosAAIAAFjw/EwAAgAAaXBb/Lxj -/3EAAGwQBI8ywNH6XAACAABY8PMhfR5RAXwwIiAiLDKuACEE8N0aAgAAczD8CEcOAA5vEP8CAA4A -ZkNQibIs+v8M3AP87AEAAF4+UAxYUvj/DA6wBDvgD+w5/yNTEHQAwzCJsvlEUgBgAIpwCXhQ9C4R -CNAEOiD47gIJAAQ5IP4lMxgJAHIwCMwCDswCDA5H9PSAIHgAN6AM3AL8XVIITAFkMPzuUAgfAWAw -/DauKBAEOiDy7hAADgBDMGAAAcCf+PCALAkAQ7AP3RANzAL4nRAIwAQ6IA2IAv0hTBgJAGIwmLIu -8iGesy3QwvwKAC/4EEAwDYw4WCvKwCDRDwAAAAAAAADz/+5vuRBgMH+WiBkkOvP/gmwAQEswAABs -EASIIsJ69iKfENEAtiACKgJYFKRloMMcJQf9IAwgAxBQMP4gDSAQEFgwWC2bjCctyRQXIuf5IgIg -QAJbMPrCCSBqADdgZJB8/woqIGEANqAuoAAYIt38ogIgFAh7sHjBXXfBQtqw/AoCIAAQWDBa2Jwb -ItiWoIwgl6KTpfSkHCACEGgw9aYGIAAQSDD7pgQtgAQ7IPmkHSwJAGsw/KYBIgAAUXBYK7/AINEP -yZbasPwKAiAAEFgwWtSkY/+zAAB3ya5j/+HaUPs8AAAAEGAwWCuM2iBYIwnSoNEPjCcPAgAtyRT0 -0GBgQAJTMIvJZLBWLrAAd+kIGCK0L7ICePFA+woAIAIQYDBa2HUdIq8bJMqWoIwgk6UkpByVppuk -/aYCL/8QSDD4zBEAAhBoMPmkHSwJAGsw/KYBIgAAUXBYK5nAINEPAAAA+woAIAIQYDBa1H5j/7QA -AGwQBB4g5CggDfziriAbADYg+iwAAgAAWPD8TAACAABpcFv/odKg0Q/AsIkz++a2IAAwLmAtICIf -IOII3RENzQIP3QIYINUogjIt5q76MgIn0BBIMAmIKBkkoyuW8PyIEQABEEgwCYgCKOa3L+K3C+Qx -AQIALeK3ZtANbQgFL+K3ZvAEY//zAABaVtnAINEPAPs8AAIAAFFwGCErCMgC+OauIAAQYDBYK0HA -INEPAAAAbBAEKjADCjoUbqkQ2lD7PAAP6hBgMFgrOcAg0Q8YJIYIqAqIgPs8AAIAAGEw/VwAAgAA -ULALgADSoNEPbBAEjDD8CUICAABZcPo8AABjADZgaJFb/iR6EsYCOmAdIKj6xiJyAABLMA/qMIgy -iTMNjAIs5nwp5n0o5nwM6jCJMA/MDGAAAcDAeZYaCOowj6IN+QIp5nwp4n2Zoy/mfA/qMAj/DK/M -wNBYK0zSoNEPAAAt+trz//BgABBgMMba8//mYAAQYDAAAABsEAbSMIog+glCAAEQMDDzXAAAOgA2 -YGiRR/iSFm/qEGgwLAoA+iwAAgAAWPBYKzjSoNEPBOoweq46ea5VwNDAgAzqMATMDA2MOmP/1wnq -MHmmFAzqMAnMDPP/yG/qEGgwAA7qMC0gCMba8/+3YAAQYDAAAAAqIAgrIAksIAr9IAsqJgFQMFgm -c2agY4og0w95pqklIAkqIAgrIAorFAD7FAEqJgFQMFvqtmagMtsQ+gr+IAEQYDD6WgEAARBoMFvp -92agGrEb9loCAAEQYDD6CkcAARBoMFvp8WagAioQAWagDCokC2P/UwAAAAAAAADz/0tv/xBoMGwQ -BooyiDAZIFL9IV8QtAAmMPgiJhoASVZQDqoR+fr/IBEQWDBtugf5htQgCAJCMBIiHw2rAismvRok -ESomvFgFTvesAAAsADag+jwAAgAAWXD9fAAAABBgMFgq79Kg0Q8AAAAAAAAA8//eb+oQODAAABQi -DhYkAywi8Pw0ECAiAhDw2iD9Qu8iAABYcP0WACAEEGAwWCOSJEz89knkcAgCELBj/6MAAPP/nm/q -EDgwbBAGGiAdKzAI/PrnIAEQEDD5oMEgFBBwMPq9CAQAgZLgLdDcwP7TD/0NRAAAlPJQ/RqHIAAQ -WDDTD23qDy+hcAz/Af3xCXAEAlKwsbsr+vsZICH/AgAKAEyW4CiShQCxBAAqGgqIAQgoOWWAmYkw -0w/9+uoiAHMqUP8CAAAwEFgw/wIAAABCJlAqMAgmMAskMAksMAr8FAAqAHe20FvqTvagZWIAAGqw -2xD6Cv4gARBgMPpKAQABEGgwW+mO9qBIYgAAarDcYPs8ECoJABEw+gpHAAEQaDBb6Yf2oCtiAABq -sPAAI2AAEGgwAAAAAAAA/JLBIeACcvAA4QQALRoNzAEMLDlkz2XG3dow+1wAAAAQYDBYKpXSoNEP -AAAAAAAA/wIAA/+GclD/ChQgQBBoMPsKACwJAG7w0w9t+g8uoXAM7gH6rAIn/4T3ULG78/7/b/sQ -WDB/2c9j/tQqMAgrMAksMAotMBBYJdH5CgEgABBAMPr6UAIAAGqwCpg4ZI+IiTBj/vMAAADz/31v -6hBoMGwQBCoxBAr5QAzqMIgwGyAu/QoAIABNphBkkEj9H7gQAEweYAoJTg2ZAim2xSiyxi8xBQj/ -Ai81BS6yyp4zLbLJnTQpssiZNSiyx5g2L7LKnzcussmeOC2yyJ05KbLHmTpgAC4ACg9OL7azwID+ -srQiAABQ8P42ByAQEEgwbZoS+4kKAAQCUrD5krUgAgJCMCmlDwjqMPyMDAAAEGgw+jwAAgAAWXBY -KkzSoNEPAAAA8//qYAAQYDDG2vP/4GAAEGAwAABsEAT6PAACAABZcAzqMIgw+oYycEgQaDApMAP9 -mTFwEAJA8AIIiwEQY/AWAAAwAnjwAA9hDuow/OwMAAAQaDBYKjXSoNEPwNDz//NgABBgMMba8//p -YAAQYDAAAGwQBBYfc/QwO2uwBDigA6gCKGbBJGbCGx9x/QoyIAEQcDD6H20QABBgMPxmwyAAEHgw -WtH69qBSYgAAErDAkylmw2AACgSsAixmwcC6K2bD+x9jEAAQYDD6H2AQMhBoMP4KASAAEHgwWtHs -9qAMYgAAErAtYsItVQDRD8Ck/B9ZEBQQWDBYK7rRDwDApPwfVBAUEFgwWCu20Q8AAGwQBBYfTPQw -PmuwBDigA6gCKGbBJGbCGx9K/QoyIAEQcDD6H0YQABBgMPxmwyAAEHgwWtHT9qBTYgAAErAlZsLA -kSlmw2AADQSsAixmwSVmwsC5K2bD+x86EAAQYDD6HzcQMhBoMP4KASAAEHgwWtHE9qAHYgAAErDR -DwDAofwfOBAUEFgwWCuT0Q8AwKH8HzIQFBBYMFgrj9EPAGwQBokwJDEEwIf/AgAIAKlKEB0gogQH -RPRxOWSIASAwLtJ9LdKCLAqA+eIRAAAQWDD9IggABBBAMG2KGy8gIfT5DXIAAFLwKSIfyJKIms2D -/CIIAAICWrCuogkiEfAACmIAIBNwaLQCZHGMwJGLMPq2NXAAEDAwmRMG6jBkkO38MQUiAABRMP0x -ByIAAFnwW/+1iROLMJoQCOowBoYMYAAGAAAAAAAAAP0SACAAXybQCuow+hYCIPkANmDyHvcbsAQ5 -IPwxBSA9ADXghBIHqwIrJsEsJsIbHvP9CjIgARBwMPoe7xAAEGAw/CbDIAAQeDBa0Xz2oStiAAA6 -sMDDLCbDYAAMhBIMrgIuJsHA2i0mw/se5BAAEGAw+h7hEDIQaDD+CgEgABB4MFrRbvag42IAADqw -LyLCLzUH3XAI6jAEiAyoZtow+1wAAgAAYbBYKYzSoNEPKTEF/wIADf9iQmDG2vP/3mAAEDAwY//W -KiAkZKCMLCIfjMqZE/wWASAqADcgBEoC+BIBIgAAWfD8MQUgHAJo8P4xBiABEHgwC4AAiROLMGAA -AwAq+tqaEGP+5ykgJGSQUSoiH4qq+hYBICsANqDaQPgSASIAAFnw/DEFIBwCaPD+MQYgABB4MAuA -AIQS8/9WYgAAarCEEvP/TG/aEGgwAAAAAPP+cWAAEEgwx6+aEGP+kACEEvP/Lm//EGgwAMCk/B6l -EBQQWDBYKwZj/xbApPweoBAUEFgwWCsCY/8GAGwQBBQgJqQiIyaAIiKB0Q9sEAQVICKlJSNWgCRW -gdEPbBAEiTD7IB0YQAFMMPoiZBBfADZgaJFb+iJhErwCOmCJMPqWH3AAEGAwDeowiDKOM6uvKPaA -LvaBDOowiTANzAxgAAAAeZYWDeowjzKrri/mgC7igZ4zCeowDZkMqczA0Po8AAIAAFlwWCkw0qDR -D2P/rAAaIkxj/6XG2vP/4WAAEGAwbBAEiicoqRQXICT5IgIgQAJasPqiCSBqADYgZJB6/AoqIGEA -NqApoAD9IBkQHAhicIyi0w99wVp3wUDasPwKAiAAEFgwWtXYHiAVGB/AmKCPIJeik6UkpBz1pgYg -AhBAMPakHS+ABD/g/qYELgkAR/D/pgEiAABRcFgo/MAg0Q8AyZTasPwKAiAAEFgwWtHhY/+0d8mx -Y//i2lD7PAACAABhsFgoytogWCBG0qDRDwBsEAQWIVgYHqsIIxD1HkQSCQBE8PNm/yPoEBAw0w9t -CAmwIsgrKWL/eVACY//twCDRD8cr0Q8AAGwQBogzhjAXH5f0MgIiAABJMPYGQgIA0oYQiCKZEP0g -GhHkALYgZGFR/wIAAACuBaD/AgACALGBoPvQfSIqAj2gKtE/ALEEAKoa/wIACgEpURD6LAAAAhBY -MFgnDvaiHWIAADqwKTIADwIA/wIAAgBTKlD/AgACAGWmUNtg+iwAAAAQYDBYKEb2H8ofjRBgMPki -AiAOBGKwB6c4LCIHLckUK8wg+sIJIMAAN2BkkSH/CioguAA2oC6gABgfu/yiAiAeCHuw/wIABgBz -xxB2wT/asPwKAiAAEFgwWtV5GR+1jBAdH2CdoIsglqKTpZWmJ6QdLKQc+LsRAAIQYDD5pgQqCQBm -8PumASIAAFFwWCidwCDRDwAO6jDaIPtsAAIAAGEw/h7GECACaPBYJu72oLdiAAA6sA/qMIkw/wIA -Af+eplAI6jD8TAACAABQsP08ECIAAFmwWCc09qCcYgAAOrAJ6jBj/xQAAGSQZdqw/AoCIAAQWDBa -0W1j/2Eq0iD/AgAL/1PVEGABFivSI/8CAAv/UN0QYAEIAC7QfSzRPwDhBADMGv8CAAv/SOUQYADw -AP8CAA//lLMQY/9U2mBb/4LcoPs8AAIAAFFwWChGwCDRD9pQ+zwAAgAAYfBYKELaIFgfvtKg0Q8A -L/qN/wIAB/+jfpBj/oQAACj6jf8CAAf/sMaQY/50jCcpyRT0kGFgQAJTMIvJZLBXLLAAwtp9yQcf -H2KOsn/hpPsKACACEGAwWtUjjBAZIYEdH1yXoIsgnaKTpZWmmaT8pBwv/xBAMPi7EQACEGAw+KQd -KgkAZvD7pgEiAABRcFgoR8Ag0Q8AAPsKACACEGAwWtEsY/+0x+T3HvkRBAhysPosAAAcEFgw/AoA -ICAQaDBa1gZj/2cAAPP912/qEDgwbBAEiTCEMvqePXAAEBAweZ4Y+jwAAgAAWXD8LAAAABBoMFgo -PdKg0Q8AANpAWCw+WCxUCeowikCaMwjqMAmIDPP/zmIAIECwCOowiTOZQALqMPgiDAIAAFEwWCwz -WCxJiTBj/6kAAABsEASCJyYpFPIiCSA0ADWg+AoqICwANKAnIAAaHyGJIv0iBSA4CEHwepkUnTCL -JiwgHCxEAPtWACAAEBAw0Q8AxyLRD2wQBvosAAIAAFhw/BwEIBACaHBb/+qLEGagNSk8EPIJFgAg -AlLwAEpjAAmGAEphCeowKLEDLDkBGh2dCJkyDJkMCpk2AJkR+LYBKAkASjCYsfosAAAAEFgwWlXF -0Q8AAGwQBBcfCBIeuCZy0yRyFgIyAfhy6SoABzCQpkRySwTAINEPAAkiEaKC0Q8AbBAEFyEXEh6t -JnLTJHIWAjIB+HLoKgAGsJCmRHJLA8Ag0Q8JIhGigtEPAABsEAQUHqMSHs0iIn8ENAEJRBGkItEP -AABsEAQUHq4kQIADAkMAIAQEBBv4HXEQEAD9MMAg0Q8kgnsogoCkIgkiEaKC0Q8AAABsEATzikIA -gBBIMPk5AQIAbuDQJa0BJVyA/wIADgBrkWD0kP9oSAEYMBYdXy0gDCogDRketvMMRg4AdcNQLiBR -KyBQ/2KAIeACGbD0oK1qACB28PnYCAH+Ajrw+2J4IKUANqAogN2qiKi4CYgRCP8IL/yAKfIAC5kM -ecEP/wIACgBSdxD/AgAKAE47EGRASfsyfCAxADag/zKEIHkANqAYHpuo3S3Q3ardrb0J3RGt/S3c -gInQC5kM+ckIcAAQWDBgAAwAwNH+ywwKBQBTcKurKiITWszCZKBKKGJ6IjKEpYgJiBGoItEPAAAA -APP/MWTAARww8/8pYgAAKrDz/3xiAAA68Clid62ZCZkR8/9jbgAgT/AoYnetjQndEfP/k2wAIG/w -wCDRD2wQBPOKQgCAEEgw+TkBAgBy4NAkrQEkTID/AgAOAG+RIPSRB2hIARgwFR0VLiAMKiANGR5s -8wxGDgB5w5AvIFErIFD9UoAh4AIZcA8CAPSgsmoAIH7w+eYIAf4COvD7UnggugA2oCZg3QpmCAa2 -CAlmEQbWCCZsgChiAAuIDHjBGv8CAAoAU/8Q/wIACgBPuxD7MnwgNQA2oC0yhPgeUhBsADagqOgo -gN2qiKi4CYgRqN0t3ICJ0AuZDPnJDHAAEFgwYAAQAAAAAADA0f/LDAoFAFNwq6sqIhNazHb4Unog -TAA2oCIyhKSICYgRqCLRDwAAAADz/ylkwAEYMPP/IWIAACKw8/95YgAAOvApUneumQmZEfP/nWwA -IE9wJlJ3rmYJZhHz/1BmACAzcMAg0Q9sEAQkIA3IQtEPAAAWHNEYHinzhUIC4AEcMPJigCAaADTg -qFgogN0kYnijiKhECUQRpCIiLIDRDylid6WZCZkRqSLRD2wQBNEPAAAAbBAEFB4RI0K8FR5sBTMB -AyMCI0a80Q8AbBAEEh4LIiKQ0Q8AbBAEFx38pycjdoAmcoEjdoDHjwhIAwhmAQZWAiZ2gdEPAAAA -bBAGy0QoIAAPAgD4QR9wABA4MNkgbQgS9IEcYAICOfAokAH4QQdwAgJKcGP/5qJ0JEwB8kwAAhsA -NSAWIDIqYAD0CgAg5gA2oG0IDCVgAbFE9FAHYAICMbBj/+xkQMsnIADTDw8CAGRwwP8CAAAAsSUg -+AoALgCtUdAZICIIRgz/AgAAAgJCMP8CAAAAT4Wg+ZwBIgCTIaD6kAAqACAWMCuwAPhGDAGyBFqw -erMP+6MOcAEQIDDwAAZgABAgMMdPZEBnFiARKmAA9AoAIF4ANqBtCAwsYAGxRPTAB2ACAjGwY//s -ZEBD/wIAAADCpSD4CgAuAL66kBkgBAhGDPhhKmACAkIw+ZwBIgCnoaD6kAAqACAWMCuwAPhGDAHC -BFqwerMc+6MbcAEQIDDHz/w2ACAAEBAw0Q8A8/73YAAQIDDHT2RP5RYf8SxgAMCA9IwAAF8ANyBt -CAwtYAGxRPTQCGACAjGwY//sAGRAQ/8CAAAAzCUg+QoALgDIOxAaH+QJRgz4YSpgAgJKcPqsASIA -syGg/KAAKgAgFnArsAD5RgwBwgRbMHyzMfvDMHABECAw+DYAIAAQEDDRDxof0qKL+7AAKgAgUjAq -oABj/t0AAADz/tdiAABZ8AAAx09kT9AWH8wsYAD0CgAgXwA3IG0IDC1gAbFE9NAIYAICMbBj/+wA -ZEBD/wIAAADQJSD5CgAuAMw7EBofvwlGDPhhKmACAkpw+qwBIgC1IaD8oAAqACAWcCuwAPlGDAHC -BFswfLM5+8M4cAEQIDD4NgAgABAQMNEPxirRDwAAGh+soov7sAAqACBSMCqgAGP+tAAAAAAA8/6s -YgAAWfAAAMdPZE/IFh+lLGAA9AoAIF8ANyBtCAwtYAGxRPTQCGACAjGwY//sAGRAQ/8CAAAA7CUg -+QoALgDoOxAaH5gJRgz4YSpgAgJKcPqsASIA06Gg/KAAKgAgFnArsAD5RgwBwgRbMHyzMfvDMHAB -ECAwwMH8NgAgABAQMNEPHB+Gopv7sAAsACBicCzAAGP+nQDz/pliAABZ8AAAx09kT9AWH4AuYAD6 -CjkgLxBIMPQKACInADegbQgMLGABsUT0wAdgAgIxsGP/7GRAkf8CAAAA0KUg/AoALgDMu5AdH3EM -Rgz4YXtgAgJjMP3cASIAxaGg/tAAKgAgFzArsAD8RgwBwgRbsH6zMPvjL3ABECAw8AAnYAAQIDAA -HB9gopv7sAAsACBicCzAAGP+mQAAAAAA8/6RYgAAWfDHT8pCmDAoIADAQPsKACoAo0JQ/wIACgDB -xpDcgPAAv2GgAiswZOF39h9QEAAQIDDTD20IDCxgAbFE9MAKYAICMbBj/+oAAAD4NgAmACAVMC1w -APQKACDTADdgKApg+wpGIGYQYDBtCFAMRBGUMCJwAHKbC3KjCPAAMGGgAhCwAPKLFHBAEHAwcsMM -8AAcYVICELAAAAAAAP8CAAv/AxOQ/wIAC/7/FtAiLMmiRJQwL3AB9PB0YAICOfBj/6gcHymim/uw -ACwAIGJwLMAAY/5c8/5ZYgAAWfD1zNAqABFGkARECvVECQACAlrw9DYAJgAgFvAocAD4k95yAABi -MMqIKgpt+QpLIE0QWDD4CmsiAAASMHghSXkhVnohYHshbSJwAfUv7WACAjnwwID4FgAiAAASMNEP -AADz/pBiAABZ8B4fCqLL+7AALgAgczAu4ABj/ngAAGSP0fP/pGIAADiwLDroDEwo/DYAIAAQEDDR -DwZNEf02ACAAEBAw0Q8eG5QOTij+NgAgABAQMNEPDE8Q/zYAIAAQEDDRD2WPumP/iZgwJSAA9AoA -L4EANWDz/qpiAAA4sAAAAGwQBCYgANMPDwIA9jEfcAAQODDYIG0IEvRgGGACAjnwJoAB9jEHcAIC -QjBj/+aicrEi0Q/AINEPbBAEIyAAAiQC8goAIBcANOBtCAwoQAGxIvSAB2ACAiEwY//s0Q8AAGwQ -BMAh8jYAIAAQEDDRDwBsEAQiCsjRD2wQBBUczBYa6vAACWAAECAwsURoSSkjUrx8Nx8D6jAiYjGj -IgjqMAgoDGqB420ICAjqMAgoDGqB12P/8MAg0Q/HL9EPbBAE8x4lEP4CELACMhQDIgEiLBDRDwAA -bBAIHx5SGx62FB62jDEdHLwpIAQnIgAo0nAt0nr2+vgv/xAQMPh4DAofAWAw/XcMAdoCSnD5hzgA -AKmDEP8CAAAAVYcQxkraUPs8AAIAAGEwWCVFwCDRDxgepBoeoRkcnrg7C6g5KJa8W//OZKKEZqI3 -Hh42GhyiKREALuF+KqKPCS0U/t0MAgAAWfD9FgMtcAQ/YP2qCAAAEGAw+hYCIAEQaDBayl+PEijx -Ay/xAi0RAP4SAyA4CEPwGRyHKpK9Gx6LC6oBCtoCKpa9GB6JKJa8wKX8HogQBhBYMFgnB4oU+x5/ -EAAQYDAsFgH9HhgR1AA2oC3Rf/oceBIAAGDw0w/+ChEh5wA3YG3qHfYxDHAAEGgwekIEjcVj//8t -psAszPzyptQgCAJSsB8ebxgcaik8CAm/OS+GvFv/mvSsAAFVADag+R5tEABILSASHG0oEQApkd4i -Io8IKBQJiAwHiBGoIvosAAIAAFnwWspSZa7V+iwAAgAAWfD8CgEgARBoMFrKKGP+vwAAAAAA/wIA -Af9ahxAs8X/6FgQiAABo8P4KESEHADcgHBxMDwIA0w/TD23qHfYxDHAAEHAwfEIEjtVj//8uxsAt -3PzyxtQgCAJjMJoUY/6AAB8d3S/xf2TxRfgcPRIAAFCw+QoAIBEQWDBtugophsD6htQgCAJCMBkc -NhgeOSiWvFv/ZvSsAAD6ADagwJBlQE4pFQAaHC+ONS6mwP4eNhH4AmjwjdUt5sD9HjQR8AJg8IzF -LNbA/B4pEegCWPCLtSvGwBgcIyqCvRscPAuqAQqZAimGvR8eKi+GvFv/UNSgZk3cHx24EhwkKREA -L/F+IiKPCS4UD+4MB+gRqCItIQMsIQJ9wT7z/bZvuRAgMBocECqi5X+nHX6nGgrLFCsVAGP+mYoU -+x4NH7kQYDCcEWP+MgAAAPP+hG/0ECAwhBFj/X0AAAD9nAAABRBQMPweDRAGEFgwWCaH8/6AYAAQ -IDAAAAAAAPP+VG/qECAwGxv5K7Llf7esfrepC8wULBUAY/1lGRvzKZLlf5cKfpcH8/78aewBTDDH -RPP+8mAAEEgwxkrz/uhgABBIMAAAAGwQBikgbCggKwMKRfkJQwAQEFgw+SRsKAkAWjD4JCsgyAA2 -oMCw/frwLwAQYDBtCA16wA0KihT0oB5gEAJa8GP/63rQDQpKFPAAB2AIAlrwALG7ChoUZa/3KiAi -LSBqLiBr8LEEAAEQYDAAzBr7ICMiAAB48FgFx/agYWIAAEqwHRnoJyAiLdDBJiAjJSBq9CBrIJQA -/3D6FgAgABAYMAAwBAUOG3/nDNpw+2wAAgAAYPBb4h+xM2k45MAwADAEBA8bf/cM2nD7bAACAABg -8FvhlLEzaTjkiRDSkNEP0pDRDwAAAAAAAADz/21gABBYMGwQBMAg0Q8AbBAEFhnR+h22EQAQQDD7 -HbQQgBAgMPY2AQAAECgw9kU5AGQAQPApICL4WAIJIAQ+YPqZCAngAUAwGhtLqpkqkoAr6n8LqgEK -iAL4loAgABAQMNEPKiAiAqoRq6obG0OrqimigCvqfwuZAQlZAvmmgCAAEBAw0Q8AbBAEGBmr0w8o -gMH/jzxwABAwMABgBAQJG3+XDNog+zwAAgAAYbBb4eaxZmlo5MBgAGAEBQobf6cM2iD7PAACAABh -sFvhW7FmaWjk0Q8AAABsEAYpIR0vGgj6CgIhAhBAMPqaAQAQAHJwYAAGAC8aCAqPOSsgIy0gaiog -Iv4gayygAXgwWAVn9qBeYgAASrAbGYgnICImICMrsMElIGokIGv/v0RwABAYMJoQADAEBQwbf8cM -2nD7bAACAABg8FvhwLEzaTjkwDAAMAQEDRt/1wzacPtsAAIAAGDwW+E1sTNpOOSJENKQ0Q/SkNEP -AAAAbBAE+CAAIAAQIDAkJQMkJQQkJAIkJAGUIxsdWAKIEauLLL0EjMAeHVb9vQQsAEBzMJzQKr0E -iqAcGWv7vQQqCQBisJqwGRnFCYgIJoKFFxmp9RupFgkAObD2hoUgCBAYMPIgCiAgEDgwbToyAEAE -Agkbf5cnBB1A9AxADeAEP2D0KxQMCQBrMPK7EQ2ABDsgrLuluyqygweqAiq2g7FEFxlQ9AoAIAgQ -cDBt6jgAQAQCDxt/9y0EGUD0CEAJ4AQ+YPQmFAgJAEow8mYRCYAEOiCoZqVmI20EgzD2bQQiCQA8 -8JNgsUTRD2wQBCsgI/QsAAAOEGAw+goJIBYANOB6sR5ouhv8sRhwABAQMNEPAAB6sRx8sRn4uhZg -ABAQMNEP+kwAAAEQWDBYAAfSoNEPAPpMAAAAEFgwWAAD8qwAAgAAUTBYCjHRD2wQBikgLCQgIhoZ -G/sgIyIwADpgaJEQaJMNaJYKaJUHwCDRDwAAAAD4oMEgDhBwMPBBBAABEHgw8PwaD/8QaDD9zAMA -SAByMBoZ5ymgIPA7GggAQGZwC5kC+aQgIAAQEDDRDwAAAAAA+AoNJgBWdtD9+ucmAGJG0P4ZFx// -EBAw+woAICAQYDD8TAIAFBBIMNMPbZoPKKFwDYgB+MFBcAQCUrCxuyn6+yriwMHT+d0MAAEQQDDw -0QQCBQAeMAA7GgDRBAD8GgLMAwyqAQuqAvrmwCAAEBAw0Q8AAAAAAAAA/7vEYgAASvAo4oTAwfCx -BAIFAB8wADoaALEEAPsaArsDC4gBCogC+OaEIAAQEDDRDwAAAAAAAAD7CqAiAABRMP4KDyAAEGgw -8+05AFYQYDBYHt7SoNEPAMX9/xQAIgAAUTBb4yVmoC37HAAAoBBQMPwKASABEGgwW+JmZqAX+xwB -IKEQUDD8CgEgARBoMFviYWagAcCgZqB0KBABeYduKQpu+RQAIgAAUTBb4xNmoC37HAAAohBQMPwK -ASABEGgwW+JUZqAX+xwCIKMQUDD8CgEgARBoMFviT2agAcCgZqAsLRACLwq/+j4RDABAf3D+3QIC -AABRMPsKoizgAWww/RQCIG4QYDBYHrHSoNEP0qDRDwAAbBAGHhig0w/44MEgQBBQMP8KDi/nEGAw -/RqHIAAQWDD8h3hwFBBIMNrgbZoPKKFwDIgB/YEJcAQCUrCxuyv6+xkYqP+7LWABEFAwLJKFALEE -AK0aDcwBDKw5zs0o4MF8jwJ/OWr/AgACAF2BIMYq0Q8AAAD8ksEh4AJC8ACBBACtGg3MAQysOWTP -0CkRAvlWAC/tEBAw0Q8AAAAA/zGIcBQQQDAKLQL7CgAiAABTsNMPbYoPKaFwDJkB+dGCcAQCUrCx -u/P/eG/7EFgwAAAAAMWsKhQB+hQCIgAAULBb4sFmoC77HAEgoBBQMPwKASABEGgwW+IDZqAY+xwC -IKEQUDD8CgEgARBoMFvh/WagAioQAvsRAiDmAOaw+1YAL8MQEDDRD8LCDCwJ/BQAIP8QUDBb4qz2 -oEdiAAASsPscAACgEFAw/AoBIAEQaDBb4ez2oCxiAAASsPscBCChEFAw/AoCIAEQaDBb4eb2oBFi -AAASsC0RAv1WACAAEBAw0Q8tEQKdUNEPAAAAsEj/CmYgaBBwMAj+OP4UACIAAFCwW+KR9qBHYgAA -ErD7HAAAohBQMPwKASABEGgwW+HR9qAsYgAAErD7HAQgoxBQMPwKAiABEGgwW+HK9qARYgAAErAp -EQL5VgAgABAQMNEPKRECmVDRDwBsEAQsMAj4MgAiAAcPIGrBBnmOH8Yq0Q9owwTGKtEPAIkwe5b1 -+zIDIgAAULBb/vrSoNEPKiAi+yAjIBgCaPBb/3PSoNEPAABsEA79GZQQBxBYMPkYDxAAEDAw/wr/ -L+cQUDD+0n0gARBgMPiQwSAIECgw/dKCIgAAILD+QwgADhAQMPWFAQOQBDzg/TMIAgCOchAtMCMv -FCAvFCEvFCImFCMmFCQnMCz5MCMgDRBAMP4wNSASBBNweNEB3GDyMDYgDhBoMP4WESYAm+5Q/goA -IEAQQDD9CgEgABBIMPXZOAIAAHrw/RfqHgUATTD4ChQuCQBH8G2KDyjRcAqIAfjxCHAEAmtwse7H -69Xg+RvSEAAQaDD9FCQgABBAMPgUIyD/EHgwLxQh/pIAIFACaHCe0ImRmdH+F+0Q/xB4MP0K/ypO -AL1gAFEE+eKFIAEQQDAAiBotFCL4CgEoAEBGcPAAKWgFAE4wAAD54sEh4AJBcPCBBAABEEAwAIga -+AoBKABARnD9FCIoBQBOMC0wLGSQyvwWEiAfEBAw+xYQLgBnE1D2NGUgABAQMNEPJhQkJhQjLxQi -LxQhLxQgJzAsIjA2KDA1+BYRIDkANSAJ7BGs3CvANSrANinALCzBHyw1Hyk0LCo0Nvs0NSDOCDpw -cqlf+4lccgAAEbDRDwAAAAAAAPgagCD/EEgw/goBIAAQaDD17TgCAAB68P1POQAAEHAw/RecFAUA -KnD4ChQuCQBH8NMPbYoPKNFwCogB+PEJcAQCa3Cx7i76+/P+wGIAACuwwKH6NC4gARAQMNEPwb// -AgAGAJFfUMAg0Q8Axlf/FCAgBRBQMPsKGCIAADsw/Bt2EgAAaTBYI+wrMh8mNDUiNCyLs8i22jAL -sABgAAHAoPo1HyABEGAw/DQuLvYANeAaF3jTDy2gwfkKASAIEHAw/t0BAAAQQDANmDgtEhD7CgAv -/xBgMP8aQCwFAEUw/90CABQQcDBt6hAvoXAF/wF/0U77vAEgBAJSsMebGhd8KKLA8hg+EBMQcDAJ -7gwA4QQAaxrw4QQAARBoMADdGv8K/ywRAGdw9jRlKABAajD/JCEoCQBaMPimwCAAEBAw0Q8A/7u3 -YgAASvAaF2koooQSGCsAsQQAaxrwkQQAARBoMADdGv8K/ywRAGdw9jRlKABAajD/JCEoCQBaMPim -hCAAEBAw0Q8vFCAqMGVkoc4uMGSxr/80ZSv/aFOQ+goFIBgQWDD8Gy4SAABpMFgjoyY0ZSY0NvY0 -NSIAAFEw/AoAIKAQWDD5CgEgYAJocPk0LiAdEEAw+DQsIAEQcDBYBv/6Fg4gAa4uoCoQMP8CAAIA -zIagwL17oSEcGxr9TAAAHhBwMP40LCACEFAw/hAwIBgQWDBYI4nGKtEPwPGfH4wf/RwoIgAAUTD9 -zAoAoBBYMPwWDSABEHAw/MAAIEACaHBYBubBvfoWDiABe66gjh/9ChAgAhBgMP8QICE3ADeg/wIA -AACH99D8NCwiAABTMC8QICgK/3jxESkwI8Du/wIABgEO9lDA+C81IP8CAAYAi96QKBAhKQr/0w// -AgAGAKzOEP8CAAYAs96QjB0ESgL7CqAgRgJocPzAASABEHAwWAbG+hYOIAE9LqCNHysQI9MP+zQ1 -IA0AN2ALvgoP7hEuNDUmMCwbGuAqMR8pMSALqgH6NR8iHAA6YGiYD2mbEmAACQAsGgAMqgIqNR8J -rQItNR92eQ4uMDZy6QgoEhEvMDV48TUcGtEvECAtECGdECsQI5sRKhAi+hYCIgAAcXD5ECQiAABp -MPkWAyAYEFgw9hYEIAQQUDBYIzjAIdEPZM4t+zAjIgAAUTBYHfkqMGVj/hx+9wzA4S40LPP+8WAB -EFAwKjAsY/7mwPCfH2P+jgAAAP8CAAAAh2/QLDQs8/7OYgAAUzCIH2WO59pA/AoGIKAQWDD+CgEg -QgJocFgGh8DC+hYOIAC9rqApECH/AgAAAOUGYP8CAAIA4QJg/wIACADdAmAqMCzBvf8CAAf/Ut6Q -LDUgY/6aLRAgLgr//wIAD/9Q81D2NSAv/1DakIwd2kD7CqAgRAJocPzAAiABEHAwWAZu+hYOIACM -LqAuEg/7CgwgCxBQMP0KBSDXADegLBAiDEwUb8lraMhoLQoC/TQsIAIQMDAuCh3/AgAH/0R1kCwS -DQRKAvsKoCBIAmhw/MADIAEQcDBYBlj6Fg4gAGEuoC4QJC40NS0QJP8CAAAAVIdgb9QzwIooNDZj -/kMtNSBj/eV69y3AkSk0LPP9v2ABEFAwaMlsesFpbsonLTQs8/+SYAUQMDAAAG/WJMC7KzQ2Y/4M -efcpwOYuNCzz/Y5gBhBQMCYwLGP/agAAAAAAAAD/AgAJ/vYTYMCMKDQ2Y/3fePcswJMpNCzz/WFg -AxBQMCkQIguZAWiUZmiYcCYwLGP/McCkKjQs8/8pYAQQMDAAKjAsY/02wLkrNDZj/aHaMFvhPBwa -Si8QIC0QIZ0QKxAjmxEqECKaEvkQJCIAAHFw+RYDIgAAaTD4MCwgGBBYMPgWBCACEFAwWCKvgh7R -DwDA5C40LPP+y2AEEDAwLTQs8/7AYgAAM3AsNCzz/kZgAhBQMABsEAQbFoUssnsrsoCjzAnMEay7 -LbBqLSQKLLBrLCQLK7Aj8yQAIAAQMDAmJQMmJQQmJAImJAGWIyskAx0aGwMKRwKqEa2tLt0EjuAV -Ghj/3QQuAEArsJ7wLN0EjMAeFiz93QQsCQBzMJzQGxaHq6ooooUZFmv3GGsYCQBKMPimhSAIECgw -8yAKICAQSDBtWjIAYAQDDBt/xycGFUD2D0AF4AQ9YPYuFA4JAC/w8u4RD4AEP+Cv7qfuLeKDCd0C -LeaDsWYZFhL2CgAgCBBAMG2KOABgBAMKG3+nLQYeQPYNQA/gBDug9iwUDAkAd3DyzBENgAQ/YK3M -p8wrzQSLsPzNBCoJAE7wm8CxZvQlAiAAEBAw0Q9sEAbzICMgDhAoMPcKDSAAEGAw9CAiIAkQMDD5 -Ch8mASG00P8CAAYBHazQ/wIABgEZvND/AgAKARWA4CwkLP8CAAYBPyzQdjENdTEKdzEH/wIACgDI -mOAL6jAWGdfTD9MPKGLp/wIACgFKxtAXFdsrZukqYuj9cjEgABBgMFgiyipwwfsWAiIA8PKQ/wIA -BgDsrNAaGcobGWNa0IMeGckvEgIqJGQrYhcaGcXAwP+7DAIAAGsw/rIHegAgUvAKrQIpcMEoYGAA -QASYEQgIG/sKASAAg/4Qxuf/CgcgCBBAMPiaAQ4AgkZQLGRgnxOGE/wKASAAEEgw+sk4AYAQQDD6 -fAACAAB5sPlPOQAAEGAw+AoULgkAR/DTD22KDyihcA6IAfqsAiYAjUfQsczwARBv+xBgMLrKAKEE -ALoa+akBAAEQQDAJiTkq9odlkIsJ6jAqcjENqiiqmQzqMAycDGrBCAzqMAycDGvB9sefKSRlLXDB -wIj42gEOALhHUMDA+QoBIAAQQDD6mDgP/xBoMPoaQCYFAEEw+moCABQQQDBtig8ocXAOiAH3fAIm -AHrGkLHMx5ss8sDBgwmIDACBBAC6Gg2uAw7MAQyqAir2wBoWXSkK/ymkISmkIvskLiIAAFEwW/1r -wCDRD4wRAEEE8LgaD/8QSDAJiAP/FgMsAEBDMPxkYCf/dKzQwMD4CkAgABBIMPq5OAIAADPw+U85 -AgAAUfD4ChQuCQBH8G2KDyihcA6IAfjxCXAEAlKwscws+vsfFX358ocr/3aPIADBBAC6GvmpAQAB -EEAwCYk5KvaHY/7nKSQsY/3VGhbMGxjuWtAOHhlUjxIqJGQrYhcaFsfAwP+7DAIAAGsw+roIDf8Z -8tDz/itiAABqsAAAAAAAAAD5zAAL/4eXICryhADBBAC4Gg2MAwyqAQqIAij2hGP/DIonLCEdiq76 -FgAiAABZMFv/B4sQ9LQgIAAQYDAstCEstCOcuWP9XgD/AgAH/0gs0MDN/wIAB/9DZNBj/tstYuix -3S1m6GP9Y2wQBCMgI8BO8hrKIAwEIPDRDyIK0NEPAGwQBCMgIhQZKBgWuwIzEaQzqDMjMoD7GSUQ -ABAgMPo/CHIAACkwwCDRDyogIgKqEauq9hUpGgAgQrAqooAZFSf6d0AKCAFQMPqVOQQFADmwBUQC -9CUeIAAQEDDRDwAAAGwQBPMZQAgAARgwDpkR9BaqGAkASjDzJRQJgAQ6IPgiCAUgBD1gpSKkItEP -bBAGHRYR/BdYEAAQUDD5CgEgCBBAMG2KMACgBAULG3+3JQobQPoIQAvgBD7g+i8UCAkAWjDy/xEJ -gAQ6IKj/rP+O+A3uAp74saoL6jAM6jAaFPfTDyqiMQuqCQysDPkWASAADScgbQgIC+owC6sMarEJ -Y//wAAAAAAAAAPkXOhAAEFAw/AowIAgQaDDTD23aMgCgBAQOG3/nJwodQPoLQA3gBD9g+igUCgkA -bvDyiBELgAQ+4KuIqYgvgoIM/wIvhoKxqhcY2PAACWAAEDAwsWZoaEkAYAQEDht/5/H7GgAhABBg -MPYYQAAyEGgw9g9ACeAEOiD2KhQOCQBH8PKqEQ+ABD/g/6oIABQQcDD3qggCAAB4cFrHWmSvs2AB -ABoVKgImEapiKiKGKTzw+AoBIAAQcDAJjjiJEf0KDy8AEGAw+woQIPAQeDD++zkIBQB3cPyqAQgJ -AF5wCpkC+SaGKvgAPOAaGLEZGLHExCsigR4YsPmtAgoAQHbwDbsCKyaBGRitqWmLlAy7AvuWBCqw -ADzgGhipL5IGCv8C/5YGKsYAPOAaGKb6lkAqSgA84ARKAvtcAAAAEGAwWAAvKCKAKSoACYgC+CaA -IAAQEDDRDyqWSCqWUCqWWGP/0gDAoPP/iGAAEEgwjZwM3QKdnCuSFAy7AiuWFCqSHAyqAiqWHGP/ -j4ieCogCmJ4vkhYK/wIvlhYukh4K7gIulh5j/4QAwKL8GIcQCBBYMFgg6scr0Q9sEAQYGG0CIxGo -OCmNBImQGhhr+o0EKABAVnCZoCWNBIVQGRR/+I0EJAkATXCVgBQU2qQzIjKFFBS+BCIC8jaFIAAQ -EDDRDwBsEAT1Cggv3xBQMPYKICABEDgw9HQ5AAAQWDD3FrQSAABK8PYKACgFACWw0w9tWjUAYAQC -CBt/hyoGH0D2DkAP4AQ/4PYtFA4JAHuw8t0RD4AEO6Cu3afdLNKDCswBDJwCLNaDsWYVFFsYGFj2 -CgAiAABK8PRZOQAIEBAw0w9tKjwAYAQDCht/pzEGHkD2DUAP4AQ7oPYsFAwJAHdw8swRDYAEP2Ct -zKfMK80Ei7AIuwH8zQQqCQBecCvGALFmwCDRDwAAbBAEGBSiAicRqHcpcoEaGD8KmQIpdoEmcoAo -2v/+IhEGAEBBsPZ2gCAEEBgw9hZ+EAAQODBtOibyE0AEAAEUMPIjFAXgBDjg9FMCBSAEPOD4MxEA -AgIQsKNTpjMnNoHAINEPAGwQCN5w8xYFKgHJhSD3FIYSJgC9IMhL8AAbYAAQUDAAAAAAAP8CAAgC -HgEg/wIACgJiHSDAov8K8CAPEFgwAiMR8xYEIgAgPPAsMoAdGBj0FgcgARA4MPkYFhnABDqg8hYG -LABAazDyFFYYCQBiMPcWAygJAEow+DaAJgF4/VD6CgEmAXTdUP8CAAYBdP2Q/AoBJgFw3ZD/AgAC -AXCBIPkKACABbIUgnhEUE/gPAgAPAgAkQMHAcPQEQAIAAEHw9xYCIgUAIfAuMoUUFQgPXQENRznz -rREFcAQ7IP0WRBQJAGkwD28BD9g5CCICByIC9BfxEgkAILD07gEFgAQ6YP0T4x4JACOwAu4CLjaF -LdDBhBfyEgYiAGF/UP8CAA4BFbbQGRRBKpK89GgQD/8QWDALiwMLqgEKiAIolrz6bAACAABZMFvc -WxcX3B4WHh0X2/kKACAIEGAw0w9tymkAkAQFDxt/915vQxL6CgAgDwA1IPAAFGACEFgwAAAA+EgH -YAAQWDBpSz/AoQkYQPkPQAngBDog+SwUDgkAR/DyzBEPgAQ/4K/MrswozQSIgAqvEf+/AggAQGow -D4gC/M0EKAkAOjCYwLGZFxev8AI6YAAQKDAAAAAAAAAVF7r9CgQgAhB4MBwXLYsUrLudtxoXtpq4 -iLIZF7UJiAKYsh4XtJ61HBe0ihX8wgAg4wA0oP0SAyAA2YSgACEEAN0a/t0RD/8QcDAO3gMM6AEI -2AL/AgAOAGzDEPhCH2/yEGAwaEEXL7LUKPrfCP8BL7bULrLUDO4BLrbUYABnwPn+Cg0mAQf+kP8C -AAYBA/aQwJAtssAYFQQI3QENnQIttsAtstTCgAjdAv221CIAtwEg/wIABgCufpD/AgAGAKp2kMDU -/wIABgDufpD/AgAGAOp2kMCRKrLU+d4CCgBAYrAOqgIqttQrMoEcF4QMuwH7NoEqAF0FIP8CAAwA -WQEgLTKBLvq9Dt0B/TaBIAAQEDDRDwCJE/hM8C/6EHAw+J04CABAczAI2AL6EgUn/5fHECldBImQ -CekB/F0EKAkAT3D5xgAj/4uQoB0Xa4zRZMCC+QoDIACxByD/AgACALUDIP8CAAIAt4cg8AB5YAAQ -cDBk/e8bE7cssrwGShT0qhAP/xBoMA2tAw3MAQyqAiq2vGP9z/oKDy/+j/mQ/AoPI/6TmSCeEfP9 -J2ABEEgwKzKBLMoFDLsB+zaBIAAQEDDRDwAAAP8CAAP+NsCgYAFMAAAAAAD/AgAKAIOFIN7A/wIA -B/89dxCe0WP+cAApTPD9Cggv9RBwMPn9OAgAQHMwCNgC/wIAB/8rRxBj/yMAAAAAAI0R/wIAAf9V -X1Dz/qRgCBBoMAAAsVX/AgAJ/uoBYABQBAYOG3/n7PsaACEAEGAw9RhAADIQaDD1D0AJ4AQ6IPUq -FA4JAEfw8qoRD4AEP+D/qggAFBBwMPeqCAIAAHhwWsWlZK+uwKL8FxMQCBBYMFgfdscr0Q8AAAAA -8/vOYAEQUDCJEf8CAAH++95QGRVRY/3uihH/AgAB/xVekPP+JGAAEEgwAMouaCEyjhKwLw+eOGP/ -FwDLIWghOo4SAp44Y/8JaEs8jhMC/jhj/v6IE97wAo44Y/70aUvMYAACaEvJ8/7oYgAAczAAAGhL -yfP+22IAAHMwaUvBY//xAAAAAAAAAPP+xmIAAHMwxirRD2wQCAvqMBoUSyiif/8CAAoB58bQHRLg -K6Z/KqJ+/dIxIAAQYDBYH88tIhAuIhH60wxwABBgMHrZAnvjAcDB9goBI4IANyApMAH0FSEQABBw -MPgKCCAfADZg/wIAAABQhmD/AgACAXcCYPL66iIBngZg0Q8A+jARIAAQSDDTD22KPQCQBAoLG3+3 -MgkbQPkIQAvgBD7g+S8UCAkAWjDy/xEJgAQ6IKj/pP8v8ifwkQQOBQF8MAD/Gg/uAg4OR7GZ/BbI -EAQQUDD9MAAgGBBYMFgfG4s1LDAQKjAALTARW/2i9qGCYgAAErAmNAH8Fr4QBBBQMP0wACAYEFgw -WB8QHBMZFRTyLjAYGha4KzAAmxSPooihjaP9FgMgABBIMPgWAS0gBD7g/xYCK+AEPuD7FgUiAAAT -sPqiACAEEHgw+hYAIgAAUHBt+nMAkAT+CBsAARA4MPgIQAAAEHgwCH84ZPBQLjAZDg4b8JEEAGoA -f7AAaBoIIgILH0D7DkAP4AQ/4PsoFA4JAHuw8ogRD4AEO6CuiPWICAAIEHgwL4aBYAAOjqCt7qzu -LuKADg5CaOUXLjAYsZn7vAEgCAJSsPLpGXAPEDAwYACELzAZAJEEAGgaCP8CLzQZY//VixXTD/Cx -BAAAEHgw8GoaAAAQSDD6CkcACBBYMG26PQCQBAoMG3/HMgkcQPkLQA3gBDsg+SgUCgkAZvDyiBEL -gAQ+4KuIpIgogifwkQQIBQFAMACIGgj/Ag8PR7GZKgoF/BZsEBgQWDD9EgQiAABwsFgevMDg8jQY -LOABFDDy+vUh4gJ7cP/iOAAUBDNw0Q8AAAAA/BZhEAQQUDD9MAAgAhBAMPg0ASAYEFgwWB6t+zAR -IAAQUDD5CgAgCBBgMNMPbco6AJAECw0bf9cvCRhA+Q9ACeAEOiD5LhQOCQBH8PLuEQ+ABD/gr+6k -7i7iJ/CRBA4FAXAwAO4aDqoCsZktMAAeFkYC3RH6NAMsACB3cB4SevgwACwAIHdwLdIALTYBHBKN -AosRDLsILbKBHhYqDt0CLbaBKbKA/ogRDf8QYDD8CgAoAEBmcPm2gCAEEEgwbZom+BlACgABRDD4 -KRQL4AQ6YPq5AgsgBD5g+JkRAAICQjCpuaWZLJaBLTAAGxJ2At0Rq9suso3A8v/qAQ4AHf+QwJD+ -srcgCQA2oHrvAcCR2pDCwCy2txkVh6nZLpLUeucVLZLAc9cPL5LBwoD4/wEAABBwMA/qOMmqwJMp -NAH9MAAgBBBQMPwWERAYEFgwWB5gwCDRD8cl0Q/8Fg4QBBBQMP0wACAYEFgwWB5ZIvqSIjQC0Q8s -on6xzCymfmP8KQAAbBAKFBQ4LjABGxHn/xYCEgAAKLDyElUQABAwMPe9GSOGADegaOEs/wIAAgKq -g6D/AgACAmaHoGjkW/8CAAQBNgeg/wIABgDOA6Dy+vUmASEHoNEPxyX8FfAQBBBQMP0wACAYEFgw -WB46KjAKKzALCgwG+wwGCgBAYrD6CkcKAEBm8PsLRwABEGAwW/1awNItNAHRDwAAxyWSGiswC/sI -BgAAEEgw+LsBAAAQUDD7C0cACBBAMG2KPQCQBAsMG3/HMgkeQPkNQA/gBDug+SwUDAkAd3DyzBEN -gAQ/YK3MpMwswifwkQQMBQFgMADMGgyqAgoKR7GZZKSrKTAAGhXIApkRqpkaEzkKmQgokoAaEa4K -iAIoloAL6jAvcuf/AgAKAsH+0CsWCB0RoCt25ypy5v3SMSAAEGAwWB6PHRIXrb3+EggqAANbULGq -LVYRKlYQC+ow/wIACgKs9tCbGR0Rkit25ypy5v3SMSAAEGAwWB6CHRWrrb3+EgkqAANbULGqnTWa -NAvqMP8CAAoCmHbQHRGGK3bnKnLm/dIxIAAQYDBYHnUdEfH9uwgCAABy8P67BnoAIDKwsaocFZst -MAD6NgYgBRB4MPs2ByAEEFAw/zQBIBgQWDBYHd4XFZNgAM4AAADHJZIaKzAL+wwGAAAQUDD8uwEA -ABBIMPsLRwAIEHAwbeo9AJAECw0bf9cyCR5A+Q1AD+AEO6D5LBQMCQB3cPLMEQ2ABD9grcykzCzC -J/CRBAwFAWAwAMwaDKoCCgpHsZlkoiwrMAACuxH/uwgP/hBgMB0S5a27K7KA/LoBDgGjZtAtrP8N -vQH/AgAIAZxjUCowACswAywwCv0wCyAHEHgw/zQBIAAQcDAuNAJb/Mf9MAAgBBBQMPwVZRAYEFgw -WB2rwCDRDwAAAMclkhorMAv7DAYAABBQMPy7AQAAEEgw+wtHAAgQcDBt6j0AkAQLDRt/1zIJHkD5 -DUAP4AQ7oPksFAwJAHdw8swRDYAEP2CtzKTMLMIn8JEEDAUBYDAAzBoMqgIKCkexmWShZArqMChy -5/oWBSoB2MaQKnLmHREiixX7ducgABBgMJwXLdIxLRYGWB4RjTQsMgUPAgD9oxZwABB4MPQTZxAY -CFNw9BNlGgADWxDA8foSBSKHALfgjRaPFwvqMP8CAAoBuNbQFBNdK3bn+nLmIgAAY/BYHf6ONi8y -Bw8CAP6jDHAAEGgweukCe/sBwNH5CgEiDQA3YCk0AWP8r44z/BUiEAQQUDD9MAAgAgJzsP42AyAY -EFgwWB1jKjAAKzAD/TALIBAQYDD+MAogABB4MFv80PoWCiAA4q6gKjAAW/y0IjAAGxFVAiIRqysp -soUcEzwMmQEptoUYFQyoKI6CGRUMHxMOCe4BD+4CnoIN6jCOgx8S3P0NRA4AQHuw/t0CAgAQcDAO -3QKdg4uEHBUBDLsBm4QZFMmpIiktBImQHBDh+y0EKAkAZnCZsIiBLy0Ej/AZEx/yLQQv9RBwMP4W -Ci4JAE/wnyCCGikwABoU6Q8CAAKZEfQTGRgAIFZwHxJYDwIAr5kokoAaFMsKiAEoloAuMAAYFOgC -7hGo7g/uCC3igC8qAA/dAi3mgCowCiswCwoMBvsMBgoAQGKw+gpHCgBAZvD7C0cAABBgMFv8R/wU -2xAFEFAw/TAAIBgQWDBYHRn3FM8QBBBQMCo0AfP7oGAAEDAwAAAqMAoKDAb8qgECAABZsPoKRwAA -EGAwW/w3C+owLXLn0w8PAgD/AgAKALhu0B0Qnyt25ypy5v3SMSAAEGAwWB2O8rsIAgAAcvD+ux56 -ACAysPs2ByACAlKw+jYGIAUQeDD/NAEv9RAQMNEPmzf6NgYgBRB4MP80AS/1EBAw0Q8AACswCwsM -Bvy7AQIAAFGw+wtHAAAQYDBb/BfA0/00AS/1EBAw0Q+CGtEPKjAAGxSnAqoRq6obEg2rqiqigMTg -fqDjHBSiLTAAmhT7CgYgBBBQMPs0ASAYEFgwWBzdHxSOY/wDAC8wABgUiwL/Eaj/GBH++goAIAAQ -SDD7MAsuACBH8P/ygCAIEGAwbco9AJAECwgbf4cyCR5A+Q1AD+AEO6D5LBQMCQB3cPLMEQ2ABD9g -rcykzCzCJ/CRBAwFAWAwAMwaDKoCCgpHsZkcFIGJFC4wAS0wAJoRmRD4MgMgGBBYMPgWAiACEFAw -WBy5KjAAKzADLDAKLTALW/vMKjAK+zALIAAQYDBb+9vAqPo0AS+SEBAwIjQC0Q8scuaxzCx25mP+ -iC1y5rHdLXbmY/p1AAAucuax7i525mP6ny9y5rH/L3bmY/rIAAAkcub6FgUgAgIhMCR25hQSg2P8 -Pihy5o8X/RIGIAICQjAoduZj/H8AbBAGw4B2g0j0FAAiAABQsFvagWagNtsQ+gr+IAEQYDD6OgEA -ARBoMFvZwmagHsDR/ToCAgAAWXD6CkcCAABhsFvZvPagBmAAEBAw0Q/SoNEPxirRDwAAAGwQBikg -LCQgRPUgIiIAABiw+iAjIAkQQDD4khtiAABxMGiRE2iTEGiWDfiVCmAAEBAwIjRE0Q8A+KEucAEQ -MDD4qiZgDRBIMPsKDiYAdM6Q8goAJgCk3pBkQHBk4h//AgAAAQ8EoNEPAAAaD/0soMHAsP4QEh/n -EGgw/M97cBQQeDAsCmD8XAIP+xBIMG36Dy+hcA3/Af/BPnAEAlKwsbv64sEh4AJicADBBABrGvuq -AQABEEAwCoo5ZKBTwJEJDkf+NEQgABAQMGVPjsDR8/+MYgUAc3AAAP+7xGIAAErwKuKFALEEAGsa -+6oBAAEQeDDz/8RqBQBT8BkQtCmQIQBQBAkJG/P/tWgAAUwwAAAA+uKHKgB2jmAAkQQAbBr6ywEA -ARBoMAvbOfzmhy+PALbgYADnAMXt/hQAIgAAUXBb2iD2oDxiAAASsPscAACgEFAw/AoBIAAQaDBb -2WD2oCFiAAASsPscAiChEFAw/AoBIAAQaDBb2Vn2oAZiAAASsMAgZi7gLxAC/wIAAgBMb9AuEAQO -HkAuNERj/ywAwPP/FAAiAABRcFvaBvagPGIAABKw+xwAAKAQUDD8CgEgABBoMFvZRvagIWIAABKw -+xwBIKEQUDD8CgEgABBoMFvZP/agBmIAABKwwCBmIKcuEAH+DkMAARBAMA6OOS40RGP+x7qcAMEE -AGga+o8BAAEQWDAPvzn45ocupAC34PP+nmAAEEgwLQpu/RQDIgAAUXBb2eT2oDxiAAASsPscAyCi -EFAw/AoBIAEQaDBb2ST2oCFiAAASsPscBCCjEFAw/AoBIAEQaDBb2R32oAZiAAASsMAgZy8aY/3t -AAAAAAAAwCH9XAAABBBQMPwToRAYEFgwWBvd0Q8mNETz/i1gARBwMGwQBiogI8CJ+KEZcA4QIDB0 -oRH4qg5gARBIMPk2ACAAEBAw0Q/aIFv/TiogLB4S5vcgIiAfEFgw+6E0cBwQYDD8oSxwHhBoMP2h -JHABECgwrn4u4ODTD3/vBS8gI3TxGvU2ACAAEBAw0Q8AAAAAAADz/9xgABAoMAAAAGmk4YQnDwIA -hE4qQAH/AgAIAIICoPb6kiaKAD6g+kwAAgAAWTBb/V32oTliAABisB8TcQJ+Ea/ujuD/AgAAAHvn -kCogaipEMCkgaylEMSghIAgIRZhN8AAGb/UQYDDAwCtAIWizWftMICIAAFEwW/xQ3KD6FgAgAA8y -oHapK/oWACIAAFCwWABBjBBgABsAAAAAAAAA/UIDIAQQUDD8E1cQGBBYMFgbkYwQwrPawPbAwWIA -ACMw9TYAIgAAEzDRDxoPiAJ7Eaq6LaKNwJL52QEAcgB7cMLwwND+orcgCQA2YHrvAcDR2dAvprca -Epmqui6i1HrnEyuiwHO3DS6iwf/uAQAAEGgwDtk4ZJBHGw9mY/+YAAAvQAIoCpL/AgAP/3tD0BsP -YPP/gmAAEGAwAAAAAAAAAPwTMhAEEFAw/SAiIBQQWDBYG2naIFgAEPP/D2+5EGAw/BYAIgAAULBY -AAuMEGP/p/aqDAAAEEgwCpw4Y/+Zx8V8oQTAINEPAPwShhIAAFCwWBe70kDRDwBsEAQYEm8tICKo -2CiA4CkgI/+PDXAOEFAwepkFKyAsaLQD0Q8AAMCl/BMTEBgQWDBYG0uCJw8CAA8CAIIuDwIADwIA -2iBb9Wz4ICAgABBgMCwkOSwkOCwkIiwkIRsPPgKKEdMPq6otooEeEtoO3QItpoEpooD9ER4d/xBY -MP6IEQgAQF5w+aaAIAQQSDBtmib4GUAKAAFEMPgpFAvgBDpg+rkCCyAEPmD4mREAAgJCMKm5rZks -loHRDwAAAGwQBBgSQC0gIqjYKIDgKSAj/48HcA4QUDB6kTErISALC0VosgPAINEPLSAiHhLkAt0R -rt0eEEKu3SzSgC4qAA7MAvzWgCAAEBAw0Q8AAC4gLGnkx8Cl/BLYEBgQWDBYGxGDJyMyDgM6Alv1 -NPgwICAAEGAwLDQhLDQiLDQ4LDQ5Gw8GAooR0w+rqi2igR4Sog7dAi2mgSmigP0Q5h3/EFgw/ogR -CABAXnD5poAgBBBIMG2aJvgZQAoAAUQw+CkUC+AEOmD6uQILIAQ+YPiZEQACAkIwqbmtmSyWgWP/ -PQAAbBAEwDb0IEQgABAQMAQyOdEPAABsEAT4ErIS4AEUMPKCFAAOADTgKIJ/CiIRooLRDxgSqyMt -ASKCfyM8gAozEaMi0Q9sEAQCiBTyEqUY4AEUMGSQTiIifwqJEfQwUGIAIEiwiiGIIASqjvKIGgAH -EEgw+CYBIgAAQLBtmhOJgvqGACAQAkIwioEEmY6ZgQSqjvqGACIAAFCwWB0g2iBYHR3RDwAojQHz -/6phAAJCMIohiyAEqo4Eu477JgEgBxBIMG2aE4ki+iYAIBACELCKIQSZjpkhBKqOmiDRD2wQBBMS -gQwiEaMigiDRDwBsEAQVEn4MJBGlRCNGwCRCwNEPAGwQBBcSewKEFBYSeAZFEfdVCAWgBDkg9DA2 -YgAgMTAOAogBxYoMAogBhYoKAogBRYoIAogBBYoGAogAxYoEAogAhYoCAogARYoAAogABYrRDw4F -iAHCigwFiAGCigoFiAFCiggFiAECigYFiADCigQFiACCigIFiABCigAFiAACitEPAGwQBAKDFPIO -/xOgBDzgoyIiLGDRDwAAAGwQBBMPJhwOJgIUFBcOOfJ+FAlVARQw+A4jHh8BFDDymlYACBBoMPK7 -VAAEECgw9bsBBABALLD9qgEGAEBosPdmEAwAQESw9+4BBgBAPLD4RAEHkAQ94P/dEQBAEEAw+6oC -BFAEPWDyNhQECQA1cPLbUgYAQGGw/CwBBAkAMTDzJgEN0AQ7IP0KAiwJAGsw+2YRCgBAbvDyXRQG -CQA5sPcaACwAQB9w8vMUCgkAfvD3MwEAEBB4MPcKgCwJAHdw+6oCACAQcDDye1gIAEB2cPKeFAoA -QH7w8t8UCAkAXnDyO1wICQBWcPoqACoAQEbw+CgBDgBAV/D9iBAKAEBQsPP/AgPRARQw86oRAgBA -PPD3JwECCQBc8PkN5BIJAEzw/3cQBAAQWDDysxQOCQAf8PszAQ4AQEuw+HcCDgkAG7D/7gIAIBBA -MPsrAQwJAHdw9bsRBAkAaTD5KQEECQBhMPZEAgEAEDAw95kRBgBAMLD7mQIHEAQ5oPlEAgYJAFGw -9goQJAkAMTD4KAEGAEAwsPuIEAaQBDmg90QCBgkAQbD2CgIkCQAxMAYmAfEjEAYwBDmg9UICAgkA -NPADIgLRDwBsEAj7EeUSAABQsPwKByABEGgwWr1W+xHhEgAAULD8CgcgARBoMPgcECAKEHAw+BYA -IAEQeDBavTPJo8Ci/BHYEAgQWDBYGgjHK9EPAAAAAPsR1RIAAFCw/04QDQAEPOD+3QIPgAQ5YPwR -0BwJAHdwWr0++xHJEgAAULD8CgcgAhBoMFq9OvsRxRIAAFCw/AoHIAMQaDD/HBAgChBwMP8WACAB -EHgwWr0X8goAIBUANqDAovwRvhAIEFgwWBnrxyvRD9EPAAAAAGwQBMorsCPzJQEOABKckA8CAA8C -AG0ID/Rc/yIAABFw9FUBDgADpJBj/+MPIhHRD9EPwCHRDwAAbBAEBOowGA1uKIIxAogoqEID6jAD -IwxqMQ5tCAgJ6jAJKQxqkQJj//DRDwBsEASjIrAiAyIs0Q9sEAQUDWH4CgAgKQA0oGghLmgiNmgj -QGgkSGglWmgmYmgnBNKA0Q8AIkIzIiJnArJC0Q8iQjMiIlEC8kLRDwAAIkIzIiJUAjJS0Q8iQjMi -IlcCclLRDwAAIkIzIiJaArJS0Q8iQjMjIl0iIl4B9AQDIhgCAkLRDwAiQjMiImECMkLRDyJCMyIi -ZAJyQtEPAABsEAQUDT3LIvghRGAAEEAwaCJHaCNRaCRZaCVjaCZ0aCcF0oDRDwAAIkIzIyJkIiJl -AaQEAyIYAgJP0Q8iQjMjIk4iIk8B5AQDIhgCAk/RDyJCMyIiUgIiT9EPIkIzIiJVAmJP0Q8AACJC -MyIiWAKiT9EPIkIzIiJbAuJP0Q8AACJCMyMiXiIiXwEkBAMiGAICT9EPIkIzIyJhIiJiAWQEAyIY -AgJP0Q9sEAQUDRP4CgAgLgA0oGghMWgiQ2gjVGgkZWgldv8CAAYAP4CgaCcD0oDRDyJCMyIiZAKi -T9EPACJCMyIiTgLiT9EPIkIzIyJRIiJSASQEAyIYAgJP0Q8AIkIzIyJUIiJVAWQEAyIYAgJP0Q8i -QjMjIlciIlgBpAQDIhgCAk/RDyJCMyMiWiIiWwHkBAMiGAICT9EPIkIzIiJeAiJP0Q8AIkIzIiJh -AmJP0Q8AbBAEFAzo+AoAICoANKBoIS1oIjdoIz9oJEloJVFoJmNoJwPSgNEPIkIzIiJNAlJa0Q8A -ACJCMyIiKwISWtEPIkIzIiIwAtJK0Q8AACJCMyIiNQKSStEPIkIzIiI6AlJK0Q8AACJCMyIiPwIS -StEPIkIzIyJDIiJEAdQEAyIYAgJK0Q8AIkIzIyJIIiJJAZQEAyIYAgJK0Q9sEAQUDML4CgAgMgA0 -oGghNWgiP2gjR2gkUWglWWgmY2gnA9KA0Q8iQjMjIkwiIk0BlAQDIhgCAkfRDwAiQjMiIioCUlfR -DyJCMyIiLwISV9EPAAAiQjMiIjQC0kfRDyJCMyIiOQKSR9EPAAAiQjMiIj4CUkfRDyJCMyIiQwIS -R9EPAAAiQjMjIkciIkgB1AQDIhgCAkfRD2wQBPMKEyAdADSgcjsDwCDRD7sj8DEEAAEQEDAAIhqw -ItEPAMcv0Q9sEAQjIA0vIAzTD/QyQWCAEDAw+Q/CEnUAN+DA0P8rFAggAXgwbYkKKpDd+ZwBLAAg -brD0sEth/gJS8CiQ3S6Q3iyQ3/2Q4CgAIGow+O4IAAgCQnAPAgDTD22pIf6A3SwAIHMw+4DeIAgC -QjD8gNsqACBjcP2A3C4AIFOwrr6uyandsDqq3SkgBWiTL/8CAAQAb4Jg/wIABADQhmD/AgAGAN4C -YP8CAAYA4wZgaJgHwCDRDwAAAADAsyskBf3UEQ4eALtgK00y8AAKYQACWvArTS0rvEAXDe0eDFz1 -EJgQARBQMKe5KZKADwIACQhKCIsR+clJCgkALvD7C08B/gJKcPvmuyngAUwwbZkN8IkRAAICQjAK -mQIp5rzAsPvmuy4gALtgK00y8AALYQACWvAAK00tK7xAp7kpkoAJCEoIixH5yUkKCQAu8PsLTwH+ -Akpw++a7KeABTDBtmQ3wiREAAgJCMAqZAinmvMCgKua7FwyC/wIABgBfA+AZDdj7coAg+wA04Kn8 -LMDdKHJ4o8OjgwkzEQOzCCM8gAj1ESoyEy6hAy2hAv8CAAYARXdQKwoAWrwO+TANIDwANqArMFHA -wfq6CAgFAE8wCaoMBaQC9kQCAAEQYDD6PAACAABZMFvu/Nyg+0wAAgAAUPBb5ANj/6oAABsM5GSf -wSwwDCqyfPuyhCAgADZgHQ21rc0t0N2p2ampCZkRqbkpnICOkArqDGP/pylyd6yZCZkR8//saAAg -TvDApSokBdogW+Tz9qBSYAgQYDD8JAUiAAASsNEPLf0B8/4vYQACa3AA+goAIAgQYDD8JAUiAAAS -sNEPwOj+JAUgABAQMNEPAAAjcnevMwkzEfP/EmIAIB7w8/3yYAAQaDDSoNEPbBAGFwvsLQoA+nIz -IAhkqKD/AgAACZkEoP8CAAILMgCg/wIAAgzLhKD/AgAEDmYAoP8CAAQP/YSg/wIABhGhAKD/AgAG -E4oEoC+iH/sKDyIAAGCw8OQEAAAQcDD/7hgAABBQMPDfEQ/gAXAw/+4CAAAQaDBYE1MZDHH6cjMg -CDCooP8CAAAJZQSg/wIAAgr/AKD/AgACDJeEoP8CAAQONQCg/wIABA/MhKD/AgAGEXAAoP8CAAYT -WQSgwNAvoh8uoiDAv/HkBAIAAGCw/+4YAAAQUDD43xEO4AFwMP/uAgAIEGgwWBM3/wIAAAgEqKD/ -AgAACTiEoP8CAAIK0oCg/wIAAgxrBKD/AgAEDgiAoP8CAAQPoISg/wIABhFEgKD/AgAGEy2EoMDg -+goAIA8QWDD8LAAAEBBoMFgTIv8CAAAH5qig/wIAAAkahKD/AgACCrSAoP8CAAIMTgSg/wIABA3q -gKD/AgAED4KEoP8CAAYRJgCg/wIABhMPhKAqCgBb/vDAv/wsAAIAAHKw/QoQIAEQUDBYEwv/AgAA -B8WooP8CAAAI+YSg/wIAAgqUgKD/AgACDC0EoP8CAAQNyYCg/wIABA9hhKD/AgAGEQUAoP8CAAYS -7YSgwKBb/tnAv/wsAAIAAHKw/QoUIAEQUDBYEvX/AgAAB6QooP8CAAAI2QSg/wIAAgp0AKD/AgAC -DAyEoP8CAAQNqQCg/wIABA9BBKD/AgAGEOSAoP8CAAYSzQSgwOD6CgAgDxBYMPwsAAAYEGgwWBLg -/wIAAAeGKKD/AgAACLWEoP8CAAIKVgCg/wIAAgvuhKD/AgAEDYsAoP8CAAQPIwSg/wIABhDGgKD/ -AgAGEq8EoCoKAFv+rcC//CwAAgAAcrD9ChggARBQMFgSyf8CAAAHZSig/wIAAAiUhKD/AgACCi+A -oP8CAAILzISg/wIABA1qAKD/AgAEDwIEoP8CAAYQpYCg/wIABhKOBKAqCgBb/pbAv/wsAAIAAHKw -/QocIAEQUDBYErL/AgAAB0QooP8CAAAIc4Sg/wIAAgoOgKD/AgACC6uEoP8CAAQNSACg/wIABA7i -BKD/AgAGEISAoP8CAAYSbgSgwOD6CgAgDxBYMPwsAAAgEGgwWBKd/wIAAAcmKKD/AgAACFWEoP8C -AAIJ8ICg/wIAAguNhKD/AgAEDSoAoP8CAAQOw4Sg/wIABhBngKD/AgAGElAEoCoKAFv+asC//CwA -AgAAcrD9CiAgARBQMFgShv8CAAAHBiig/wIAAAg0hKD/AgACCc+AoP8CAAILbISg/wIABA0KAKD/ -AgAEDqKEoP8CAAYQRgCg/wIABhIvBKDAoFv+VMC//CwAAgAAcrD9CiQgARBQMFgScPpyMyAG5aig -/wIAAAgVBKD/AgACCa8AoP8CAAILTQSg/wIABAzpgKD/AgAEDoIEoP8CAAYQJYCg/wIABhINhKDA -0C+iIPsKDyIAAGCw8GQEAAAQcDD/7hgAABBQMPDfEQ/gAXAw/+4CACwQaDBYElT6CgEgfgA0oP8C -AAAH5QSg/wIAAgl+gKD/AgACCx0EoP8CAAQMugCg/wIABA5ShKD/AgAGD/YAoP8CAAYR3gSgwOD/ -AgAAB9SEoP8CAAIJbgCg/wIAAgsMhKD/AgAEDKQAoP8CAAQOQgSg/wIABg/lgKD/AgAGEc2EoB8O -7mAAPQAAK3Iz0w8rsirxBAQAABBIMAueGAEUBAuZGP4OQAhgAUwwZJ/T/wIAAApKBmCwmACBBBgO -4ACvGrD/CP82+goBIA8QWDD1+RECAABgsPnuAgAwEGgwWBIdwKD7Cg8iAABgsP0KMCAAEHAwWBIY -2iBb/dD7CgIiAABgsP0KPCIAAHKw+O4RAAAQUDBYEhD/AgAABq8ooP8CAAAHdwSg/wIAAgkQgKD/ -AgACCq8EoP8CAAQMRoCg/wIABA3jhKD/AgAGD4gAoP8CAAYRcwSgwDD/AgAAB2WEoP8CAAIJAQCg -/wIAAgqehKD/AgAEDDYAoP8CAAQN0wSg/wIABg93gKD/AgAGEWKEoMDw/wIAAAdVBKD/AgACCPCA -oP8CAAIKjgSg/wIABAwlgKD/AgAEDcKEoP8CAAYPaACg/wIABhFSBKDA4P8CAAAHRISg/wIAAgjg -AKD/AgACCn2EoP8CAAQMFQCg/wIABA2zBKD/AgAGD1eAoP8CAAYRQYSgwND/AgAABzQEoP8CAAII -z4Cg/wIAAgpuBKD/AgAEDASAoP8CAAQNooSg/wIABg9HAKD/AgAGETIEoMDAKgoA9AvYEAwQWDD2 -+BAIcAQ/oPreEAxQBDzg/YgCDgkAS7D7zxAOCQBDsP/uAgIAAGCw9O4CAEAQaDBYEbb/AgAABXYo -oP8CAAAHAISg/wIAAgicAKD/AgACCjqEoP8CAAQL0gCg/wIABA1vBKD/AgAGDxOAoP8CAAYQ/oSg -wKArCgH9rhECAABgsP0KRCAAEFAwWBGg+nIzIAVWqKD/AgAABuIEoP8CAAIIdwCg/wIAAgobBKD/ -AgAEC7KAoP8CAAQNT4Sg/wIABg70AKD/AgAGEN8EoMDQKKIgwLTxZAQAABB4MPj/GAIAAGCw/94Q -DgABfDD5/xAAABBQMP/uAgBQEGgwWBGE/wIAAAUpKKD/AgAABrUEoP8CAAIISQCg/wIAAgntBKD/ -AgAEC4UAoP8CAAQNIYSg/wIABg7GAKD/AgAGELEEoMCgwLj3rhACAABgsPoKACBwEGgwWBFuLnIz -LeIgLuIh+goAIAMQWDDx5AQCAABgsP3vGAAAEEAw8BQEDkABfDD+iBgPcAQ/4PG0BAgAAUAw/ekY -CRAEOiDxpAQOCQBH8P3oGAhAAUww8XQECaAEPmD97hgIAAFAMPuIEQ5AAXAw+f8CDgkAQ7D9CnQu -CQB7sFgRTy9yMw8CAC/yIcCg8CQEAAAQcDD/7hgAAhBYMP4OQgIAAGCw/Qp4L0AEO6BYEUMucjMp -4iEu4iLAoPGUBAAPEFgw+eMYAgAAYLDxpAQCAAEcMPnvGAKgBDzg8YQEDoAEP+D54xgOCQAf8PFU -BAIAARww+e0YAuAEPODxJAQMQAFsMPnoGA0QBD9g8QQECEABQDD9MwIJQAQ6IPntGA4JAB/w8KQE -DCABbDD54xgNYAQ/YPBkBAgJAGow+e4YAqABHDD8MxEOYAFwMPj/Ag4JABuw/Qp8LgkAe7BYERgv -cjMv8iLAoPAkBAAAEHAw/+4YAAgQWDD+DkACAABgsP0KgC5ABDugWBENKHIzKIIi8DQEAAAQeDAI -/hjwdAQAABBQMPj/GAABEFgw/w9AAgAAYLD+DkMPwAQ/4P0KlC4JAHuwWBD+L3IzL/IiwKDwhAQA -ABBwMP/uGAABEFgw/g5CAgAAYLD9Cpwv8AQ7oFgQ89ogW/yF+woMIgAAYLD+rAAAsBBoMPDuEQAA -EFAwWBDrEw2m9CB+YQAQIDD/AgAABY+EoP8CAAIHI4Cg/wIAAgjDBKD/AgAECl8AoP8CAAQL/ASg -/wIABg2ggKD/AgAGD4uEoMDg/wIAAAV/BKD/AgACBxMAoP8CAAIIsoSg/wIABApOgKD/AgAEC+uE -oP8CAAYNkACg/wIABg92BKDwAC1gABB4MClyMyqSKymSLAHEBAqeGAH0BAqZGP4OQghgAUwwZJ/X -AJEEAE8aA/82+goAIA8QWDD9+BECAABgsP0KtC4JAEOwWBC5ZCB6/wIAAAVIBKD/AgACBtwAoP8C -AAIIe4Sg/wIABAoXgKD/AgAEC7SEoP8CAAYNWQCg/wIABg9ChKDA4P8CAAAFNoSg/wIAAgbLgKD/ -AgACCGsEoP8CAAQKBwCg/wIABAukBKD/AgAGDUmAoP8CAAYPMgSg8AAwYAAQeDAAKnIzKqIs8DQE -AAAQSDAKnhgAZAQKmRj+DkIIYAFMMGSf1ACRBABPGgP/NvoKACAPEFgw/fgRAgAAYLD9CrguCQBD -sFgQiC5yMy/iIi7iI/oKACAPEFgw8MQEAgAAYLD/7hgBdBBoMFgQfy5yMw8CAC/iIy7iJPoKACAP -EFgw8MQEAgAAYLD/7hgBeBBoMFgQddogW/wH+woMIgAAYLD+rAACBBBoMPDuEQAAEFAwWBBtL3Iz -L/IkwKDwxAQAABBwMP/uGAABEFgw/g5AAgAAYLD9GsQv8AQ7oFgQY9ogW/vJ86wAAgAAULBb+8f7 -Cg8iAABgsP0azC8ABDqg/j4CAAEQUDBYEFjaIFv7lfOsAAIAAFCwW/uS+woPIgAAYLD9GswvAAQ6 -oP4+AgAAEFAwWBBNZCaa/wIAAASIBKD/AgACBhwAoP8CAAIHvISg/wIABAlYgKD/AgAECvaEoP8C -AAYMmwCg/wIABg6GhKAqCgArCgTwrhECAABgsPoKACHQEGgwWBA4KHIzDwIAKYIkKIIlANQECYMY -8dQEAAEQUDD5iBgADxBYMPMDTwkABDog+DMCAgAAYLD+PAAB1BBoMFgQKd4w+goAIA8QWDD9GtQi -AABgsFgQJGQk6/8CAAAEQYSg/wIAAgXVgKD/AgACB3UEoP8CAAQJEQCg/wIABAqwBKD/AgAGDFSA -oP8CAAYOQASgwKDAvPCuEQIAAGCw+goAIdgQaDBYEA8ucjMv4iYu4if6CgAgDxBYMPDUBAIAAGCw -/+4YAdwQaDBYEAZkJIf/AgAABBCEoP8CAAIFpICg/wIAAgdEhKD/AgAECOCAoP8CAAQKfwSg/wIA -BgwkgKD/AgAGDg4EoMAw2jBb+9X8rAAABBAoMPT68CAMbCjg+goBIA8QWDD4CgAgARBwMPOOOA4A -QCcw/RrkLgkAe7D17gICAABgsFgP52QkI/8CAAAD3oSg/wIAAgVygKD/AgACBxKEoP8CAAQIroCg -/wIABApOBKD/AgAGC/IAoP8CAAYN3ASgKgoAW/u2wL/8LAACAABysPoKASHoEGgwWA/RZCPm/wIA -AAPABKD/AgACBVQAoP8CAAIG9ASg/wIABAiQAKD/AgAECi8EoP8CAAYL04Cg/wIABg29hKDAMNow -W/ug/KwAAAwdKOD6CgEgDxBYMPgKACABEHAw8444DgBAJzD9GuwuCQB7sPXuAgIAAGCwWA+0ZCOM -/wIAAAOSBKD/AgACBSYAoP8CAAIGxQSg/wIABAhcgKD/AgAECgEEoP8CAAYLpYCg/wIABg2QhKDA -oFv7g8C//CwAAgAAcrD6CgEh8BBoMFgPn2QjUP8CAAADdASg/wIAAgUHAKD/AgACBqcEoP8CAAQI -PoCg/wIABAnjBKD/AgAGC4eAoP8CAAYNcoSgwDADOgJb+238rAAAC84o4PoKASAPEFgw+AoAIAEQ -cDDzjjgOAEAnMP0a9C4JAHuw9e4CAgAAYLBYD4FkIvP/AgAAA0SEoP8CAAIE2ICg/wIAAgZ4hKD/ -AgAECBAAoP8CAAQJtISg/wIABgtZAKD/AgAGDUQEoCoKAFv7UMC//CwAAgAAcrD6CgEh+BBoMFgP -bGQitP8CAAADJgSg/wIAAgS6AKD/AgACBloEoP8CAAQH8YCg/wIABAmWBKD/AgAGCzqAoP8CAAYN -JYSgwKDAvPCuEQIAAGCw+goBILAQaDBYD1faIFv6vvoWASBkADagFgwQFQrK9AwPGUAEPKD5FgAg -ABAYMNogW/pnLnIzLuInjxAAOBEI/wIE/wIvZpjw1AQAABBoMA7dGA0NQP+sEAyQBD9gDcwCLGaZ -K2KYihH1uwEAAgIY8PtmmCF0CFDw0Q8toicN7RRj72kuoictoigB5AQO3Rjz781sAEBPcAAvcjMv -8ijxZAQAABBwMA/uGPPwIG5gAXAwK3IzK7Io8aQEAAAQUDALqhjz8F1qgAFQMCpyMyuiKCqiKQH0 -BAuqGPPwoGqAAVAwL3IzL/Ip8EQEAAAQcDAP7hjz8OFuYAFwMCtyMyuyKfCEBAAAEFAwC6oY8/Ee -aoABUDArcjMrsinw1AQAABBQMAuqGPPxYGqAAVAwL3IzL/Ip8SQEAAAQcDAP7hjz8aFuYAFwMCty -MyuyKfFkBAAAEFAwC6oY8/HeaoABUDAAACtyMyuyKfG0BAAAEFAwC6oY8/IdaoABUDAtoipj8mwA -K3IzK7Ir8IQEAAAQUDALqhjz9T1qAAFQMC6iK/CUBAAAEGgwDt0Y8/V/bEABbDAAK3IzK7Ir8MQE -AAAQUDALqhjz9ddqgAFQMCpyMyuiTyqiUAFkBAuqGGP7OyhyMyiCUPBkBAAAEBgwCDMY8/udYoAB -HDArcjMrslDwtAQAABBQMAuqGPP8AmqAAVAwKHIzKIJQ8QQEAAAQGDAIMxjz/D5igAEcMAAAK3Iz -K7JQ8VQEAAAQUDALqhjz/JhqgAFQMChyMyiCUPGkBAAAEBgwCDMY8/zUYoABHDAqcjMrolAqolEB -9AQLqhjz/TRqgAFQMCtyMyuyUfBEBAAAEFAwC6oY8/1wa0ABUDAocjMsgisANAT4giogABBwMAzj -GAAkBAzvGAAUBAzuGPHkBAKAARww+M0YDgABfDDx1AQOAAFwMPjMGAxAAWww8/OEbAABYDArcjMr -sk/w5AQAABBQMAuqGPP5i2rgAVAwLaIsDa0UY+z4LqIsLaItAaQEDt0Y8+1cbABAT3AvcjMv8i3x -JAQAABBwMA/uGPPtsG5gAXAwK3IzK7It8WQEAAAQUDALqhjz7e1qgAFQMCtyMyuyLfG0BAAAEFAw -C6oY8+4uaoABUDAucjMu4i7z7npuYAFwMCtyMyuyLvBEBAAAEFAwC6oY8+63aoABUDArcjMrsi7w -lAQAABBQMAuqGPPu+WqAAVAwL3IzL/Iu8OQEAAAQcDAP7hjz7zpuYAFwMCtyMyuyLvEkBAAAEFAw -C6oY8+93aoABUDArcjMrsi7xdAQAABBQMAuqGPPvuGqAAVAwAAAuoi4toi8BxAQO3Rhj7/wAL3Iz -L/Iv8MQEAAAQcDAP7hj+DkAB+C+coCtyMyuyL/DUBAAAEEgwC5kY8/CfaGABTDAjcjMoMi8jMjAB -9AQIMxjzA0QB+J6coChyMyiCL/HkBAAAEHgwCP8Y/w9AAfivHKAocjMogi/x1AQAABBwMAjuGP4O -QAH4v5ygKHIzKIIv8aQEAAAQaDAI3Rj9DUIB+NAcoChyMyiCL/GUBAAAEGAwCMwY8/G5bAABYDAr -cjMrsjDwRAQAABBQMAuqGPPyIGoAAVAwAAAuojDwVAQAABBoMA7dGPPyYGxAAWwwAAArcjMrsjDw -hAQAABBQMAuqGPPyt2qAAVAwL3IzL/Iw8YQEAAAQcDAP7hj+DkIB+oUcoCpyMyqiMPG0BAAAEEgw -CpkY8/VGaGABTDAucjMv4jAu4jEB9AQP7hj+DkIB+s2coCpyMyqiMfAkBAAAEEgwCpkY8/XaaGAB -TDArcjMrslLxJAQAABBQMAuqGPP3EmrgAVAwKnIzK6JSKqJTAaQEC6oYY/egAAAocjMoglPwpAQA -ABAYMAgzGPP4AGKAARwwK3IzK7JT8PQEAAAQUDALqhjz+GVqgAFQMChyMyiCU/FEBAAAEBgwCDMY -8/ihYoABHDArcjMrslPxlAQAABBQMAuqGPP4/WqAAVAwI3IzKDJTIzJUAeQECDMY8/k7YoABHDAr -cjMrslTwNAQAABBQMAuqGPP5mWqAAVAwK3IzK7JU8IQEAAAQUDALqhjz+dVrQAFQMC2iMQ1tFGPp -vgAALqIxLaIyAWQEDt0Y8+ogbABAT3AvcjMv8jLw5AQAABBwMA/uGPPqdG5gAXAwK3IzK7Iy8SQE -AAAQUDALqhjz6rFqgAFQMAAAK3IzK7Iy8XQEAAAQUDALqhjz6vBqgAFQMC9yMy/yMvHEBAAAEHAw -D+4Y8+sxbmABcDAqcjMqojPz63lqgAFQMCtyMyuyM/BUBAAAEFAwC6oY8+u7aoABUDAvcjMv8jPw -pAQAABBwMA/uGPPr/G5gAXAwK3IzK7Iz8OQEAAAQUDALqhjz7DlqgAFQMCtyMyuyM/E0BAAAEFAw -C6oY8+x6aoABUDAuojMtojQBhAQO3Rhj7MAvcjMv8jTwhAQAABBwMA/uGPPtHG4AAXAwK3IzK7I0 -8JQEAAAQSDALmRjz7WRoYAFMMChyMyiCNPG0BAAAEBgwCDMY8+34YoABHDAAAChyMyiCNPGkBAAA -EHgwCP8Y8+4XbgABfDAocjMogjTxlAQAABBwMAjuGPPuOG4AAXAwKHIzKII08WQEAAAQaDAI3Rjz -7llsQAFsMChyMyiCNPFUBAAAEGAwCMwY8+56bAABYDAqcjMqojXz7uxqAAFQMC6iNfAUBAAAEGgw -Dt0Y8+8ubEABbDArcjMrsjXwRAQAABBQMAuqGPPvh2qAAVAwL3IzL/I18UQEAAAQcDAP7hjz8dJu -QAFwMCpyMyqiNfF0BAAAEEgwCpkY8/IWaGABTDAvcjMv8jXxtAQAABBwMA/uGPPyYW5AAXAwKXIz -KpI1KZI2AeQECpkY8/KqaGABTDArcjMrslXxZAQAABBQMAuqGPPz4mrgAVAwKnIzK6JVKqJWAeQE -C6oYY/RwAAAocjMoglbw5AQAABAYMAgzGPP00GKAARwwK3IzK7JW8TQEAAAQUDALqhjz9TVqgAFQ -MChyMyiCVvGEBAAAEBgwCDMY8/VxYoABHDAqcjMrolYqolcB1AQLqhjz9c9qgAFQMChyMyiCV/Ak -BAAAEBgwCDMY8/YLYoABHDArcjMrslfwdAQAABBQMAuqGPP2aWqAAVAwK3IzK7JX8MQEAAAQUDAL -qhjz9qVrQAFQMAAAAPPrfGAAEHgwLaI2DS0UY+aDLqI2LaI3ASQEDt0Y8+bnbABAT3AvcjMv8jfw -pAQAABBwMA/uGPPnO25gAXAwAAArcjMrsjfw5AQAABBQMAuqGPPndmqAAVAwK3IzK7I38TQEAAAQ -UDALqhjz57dqgAFQMC9yMy/yN/GEBAAAEHAwD+4Y8+f4bmABcDAqcjMrojcqojgBxAQLqhjz6Ddq -gAFQMCtyMyuyOPAUBAAAEFAwC6oY8+h5aoABUDAvcjMv8jjwZAQAABBwMA/uGPPoum5gAXAwK3Iz -K7I48KQEAAAQUDALqhjz6PdqgAFQMCtyMyuyOPD0BAAAEFAwC6oY8+k4aoABUDAAAC6iOC2iOQFE -BA7dGGPpfAAvcjMv8jnwRAQAABBwMA/uGPPp124AAXAwK3IzK7I58FQEAAAQSDALmRjz6h9oYAFM -MChyMyiCOfF0BAAAEBgwCDMY8+qzYoABHDAocjMogjnxZAQAABB4MAj/GPPq1G4AAXwwKHIzKII5 -8VQEAAAQcDAI7hjz6vVuAAFwMChyMyiCOfEkBAAAEGgwCN0Y8+sWbEABbDAAAChyMyiCOfEUBAAA -EGAwCMwY8+s1bAABYDArcjMrsjnxxAQAABBQMAuqGPPrnGoAAVAwLqI58dQEAAAQaDAO3Rjz695s -QAFsMCpyMyqiOvPsQmqAAVAwAAAvcjMv8jrxBAQAABBwMA/uGPPui25AAXAwKnIzKqI68TQEAAAQ -SDAKmRjz7s9oYAFMMC9yMy/yOvF0BAAAEHAwD+4Y8+8abkABcDAqcjMqojrxpAQAABBIMAqZGPPv -YWhgAUwwKnIzK6JYKqJZAaQEC6oY8/CbauABUDArcjMrslnwJAQAABBQMAuqGGPxJwAocjMoglnx -JAQAABAYMAgzGPPxiGKAARwwK3IzK7JZ8XQEAAAQUDALqhjz8e1qgAFQMCNyMygyWSMyWgHEBAgz -GPPyK2KAARwwK3IzK7Ja8BQEAAAQUDALqhjz8odqgAFQMChyMyiCWvBkBAAAEBgwCDMY8/LDYoAB -HDArcjMrslrwtAQAABBQMAuqGPPzIWqAAVAwK3IzK7Ja8QQEAAAQUDALqhjz811rQAFQMC6iOi2i -OwHkBA7dGGPjQC6iOy2iPADkBA7dGPPjpGwAQE9wL3IzL/I88GQEAAAQcDAP7hjz4/huYAFwMCty -MyuyPPCkBAAAEFAwC6oY8+Q1aoABUDArcjMrsjzw9AQAABBQMAuqGPPkdmqAAVAwL3IzL/I88UQE -AAAQcDAP7hjz5LduYAFwMCtyMyuyPPGEBAAAEFAwC6oY8+T0aoABUDAqcjMrojwqoj0B1AQLqhjz -5ThqgAFQMC9yMy/yPfAkBAAAEHAwD+4Y8+V5bmABcDArcjMrsj3wZAQAABBQMAuqGPPltmqAAVAw -AAArcjMrsj3wtAQAABBQMAuqGPPl9WqAAVAwLqI98QQEAAAQaDAO3Rhj5jkucjMu4j7z5qBuAAFw -MCtyMyuyPvAUBAAAEEgwC5kY8+boaGABTDAocjMogj7xNAQAABAYMAgzGPPnfGKAARwwKHIzKII+ -8SQEAAAQeDAI/xjz551uAAF8MChyMyiCPvEUBAAAEHAwCO4Y8+e+bgABcDAocjMogj7w5AQAABBo -MAjdGPPn32xAAWwwKHIzKII+8NQEAAAQYDAIzBjz6ABsAAFgMAAAK3IzK7I+8YQEAAAQUDALqhjz -6GVqAAFQMC6iPvGUBAAAEGgwDt0Y8+inbEABbDAAKnIzK6I+KqI/AcQEC6oY8+kBaoABUDAAL3Iz -L/I/8MQEAAAQcDAP7hjz60tuQAFwMCpyMyqiP/D0BAAAEEgwCpkY8+uPaGABTDAvcjMv8j/xNAQA -ABBwMA/uGPPr2m5AAXAwKnIzKqI/8WQEAAAQSDAKmRjz7CFoYAFMMCpyMyuiWyqiXAHkBAuqGPPt -W2rgAVAwK3IzK7Jc8GQEAAAQUDALqhhj7ecAKHIzKIJc8WQEAAAQGDAIMxjz7khigAEcMCtyMyuy -XPG0BAAAEFAwC6oY8+6taoABUDAjcjMjMl3z7vRigAEcMCtyMyuyXfBUBAAAEFAwC6oY8+9QaoAB -UDAocjMogl3wpAQAABAYMAgzGPPvjGKAARwwK3IzK7Jd8PQEAAAQUDALqhjz7+pqgAFQMCtyMyuy -XfFEBAAAEFAwC6oY8/Ama0ABUDAuoj8tokABpAQO3Rhj4AkuokAtokEApAQO3Rjz4G1sAEBPcAAv -cjMv8kHwJAQAABBwMA/uGPPgwG5gAXAwK3IzK7JB8GQEAAAQUDALqhjz4P1qgAFQMCtyMyuyQfC0 -BAAAEFAwC6oY8+E+aoABUDAvcjMv8kHxBAQAABBwMA/uGPPhf25gAXAwK3IzK7JB8UQEAAAQUDAL -qhjz4bxqgAFQMCtyMyuyQfGUBAAAEFAwC6oY8+H+aoABUDAAAC5yMy/iQS7iQgHkBA/uGPPiP25g -AXAwACtyMyuyQvAkBAAAEFAwC6oY8+J7aoABUDArcjMrskLwdAQAABBQMAuqGPPivGqAAVAwLqJC -8MQEAAAQaDAO3Rhj4wAvcjMv8kLxxAQAABBwMA/uGPPjXG4AAXAwKXIzK5JCKZJDAdQEC5kY8+Om -aGABTDAocjMogkPw9AQAABAYMAgzGPPkOmKAARwwKHIzKIJD8OQEAAAQeDAI/xjz5FtuAAF8MChy -MyiCQ/DUBAAAEHAwCO4Y8+R8bgABcDAAAChyMyiCQ/CkBAAAEGgwCN0Y8+SbbEABbDAocjMogkPw -lAQAABBgMAjMGPPkvGwAAWAwK3IzK7JD8UQEAAAQUDALqhjz5SNqAAFQMC6iQ/FUBAAAEGgwDt0Y -8+VlbEABbDArcjMrskPxhAQAABBQMAuqGPPlvmqAAVAwL3IzL/JE8IQEAAAQcDAP7hjz6AluQAFw -MCpyMyqiRPC0BAAAEEgwCpkY8+hNaGABTDAvcjMv8kTw9AQAABBwMA/uGPPomG5AAXAwKnIzKqJE -8SQEAAAQSDAKmRjz6N9oYAFMMAAAK3IzK7Jf8CQEAAAQUDALqhjz6hVq4AFQMCtyMyuyX/CkBAAA -EFAwC6oYY+qhKHIzKIJf8aQEAAAQGDAIMxjz6wNigAEcMAAAKnIzK6JfKqJgAfQEC6oY8+toaoAB -UDAAKHIzKIJg8EQEAAAQGDAIMxjz66NigAEcMCtyMyuyYPCUBAAAEFAwC6oY8+v/aoABUDAocjMo -gmDw5AQAABAYMAgzGPPsO2KAARwwK3IzK7Jg8TQEAAAQUDALqhjz7JlqgAFQMCpyMyuiYCqiYQGE -BAuqGPPs12tAAVAwLqJELaJFAWQEDt0YY9y6LqJF8GQEAAAQaDAO3Rjz3RxsAEBPcC5yMy/iRS7i -RgHkBA/uGPPdcm5gAXAwACtyMyuyRvAkBAAAEFAwC6oY892uaoABUDArcjMrskbwdAQAABBQMAuq -GPPd72qAAVAwL3IzL/JG8MQEAAAQcDAP7hjz3jBuYAFwMCtyMyuyRvEEBAAAEFAwC6oY895taoAB -UDArcjMrskbxVAQAABBQMAuqGPPer2qAAVAwL3IzL/JG8aQEAAAQcDAP7hjz3vBuYAFwMAAAKnIz -K6JGKqJHAeQEC6oY898taoABUDAAK3IzK7JH8DQEAAAQUDALqhjz321qgAFQMC6iR/CEBAAAEGgw -Dt0YY9+xL3IzL/JH8YQEAAAQcDAP7hjz4A1uAAFwMCtyMyuyR/GUBAAAEEgwC5kY8+BVaGABTDAo -cjMogkjwtAQAABAYMAgzGPPg6WKAARwwKHIzKIJI8KQEAAAQeDAI/xjz4QpuAAF8MAAAKHIzKIJI -8JQEAAAQcDAI7hjz4SluAAFwMChyMyiCSPBkBAAAEGgwCN0Y8+FKbEABbDAocjMogkjwVAQAABBg -MAjMGPPha2wAAWAwK3IzK7JI8QQEAAAQUDALqhjz4dJqAAFQMC6iSPEUBAAAEGgwDt0Y8+IUbEAB -bDArcjMrskjxRAQAABBQMAuqGPPibWqAAVAwL3IzL/JJ8EQEAAAQcDAP7hjz5LhuQAFwMCpyMyqi -SfB0BAAAEEgwCpkY8+T8aGABTDAvcjMv8knwtAQAABBwMA/uGPPlR25AAXAwAAAqcjMqoknw5AQA -ABBIMAqZGPPljGhgAUwwK3IzK7Ji8GQEAAAQUDALqhjz5sRq4AFQMCtyMyuyYvDkBAAAEFAwC6oY -Y+dQAAAjcjMoMmIjMmMB5AQIMxjz57JigAEcMAArcjMrsmPwNAQAABBQMAuqGPPoFmqAAVAwKHIz -KIJj8IQEAAAQGDAIMxjz6FJigAEcMCtyMyuyY/DUBAAAEFAwC6oY8+iuaoABUDAocjMogmPxJAQA -ABAYMAgzGPPo6mKAARwwK3IzK7Jj8XQEAAAQUDALqhjz6UhqgAFQMCpyMyuiYyqiZAHEBAuqGPPp -hmtAAVAwAADAofsKDyIAAGCw/goAIeQQaDBYCbfAoPsKDyIAAGCw/goAIeQQaDBYCbJj5ynAofsK -DyIAAGCw/goAIewQaDBYCazAoPsKDyIAAGCw/goAIewQaDBYCadj58fAofsKDyIAAGCw/goAIfQQ -aDBYCaHAoPsKDyIAAGCw/goAIfQQaDBYCZxj6GUuokktokoBJAQO3Rhj2OAuokrwJAQAABBoMA7d -GPPZQmwAQE9wL3IzL/JK8aQEAAAQcDAP7hjz2ZZuYAFwMCpyMyuiSiqiSwHkBAuqGPPZ1WqAAVAw -K3IzK7JL8DQEAAAQUDALqhjz2hZqgAFQMC9yMy/yS/CEBAAAEHAwD+4Y89pXbmABcDArcjMrskvw -xAQAABBQMAuqGPPalGqAAVAwK3IzK7JL8RQEAAAQUDALqhjz2tZqgAFQMAAAL3IzL/JL8WQEAAAQ -cDAP7hjz2xVuYAFwMCtyMyuyS/GkBAAAEFAwC6oY89tSaoABUDAqcjMroksqokwB9AQLqhjz25Vq -gAFQMC6iTPBEBAAAEGgwDt0YY9vZL3IzL/JM8UQEAAAQcDAP7hjz3DVuAAFwMCtyMyuyTPFUBAAA -EEgwC5kYCQlD/wIAA+4+qmBj3EkocjMogk3wdAQAABAYMAgzGPPdC2KAARwwKHIzKIJN8GQEAAAQ -eDAI/xjz3SxuAAF8MChyMyiCTfBUBAAAEHAwCO4Y891NbgABcDAocjMogk3wJAQAABBoMAjdGPPd -bmxAAWwwAAAocjMogk3wFAQAABBgMAjMGPPdjWwAAWAwK3IzK7JN8MQEAAAQUDALqhjz3fRqAAFQ -MC6iTfDUBAAAEGgwDt0Y8942bEABbDArcjMrsk3xBAQAABBQMAuqGPPej2qAAVAwLnIzLuJO8+Dl -bkABcDAAKnIzKqJO8DQEAAAQSDAKmRgJCUP/AgAD8JQqYGPg+AAvcjMv8k7wdAQAABBwMA/uGPPh -bG5AAXAwKnIzKqJO8KQEAAAQSDAKmRgJCUP/AgAD8NmqYGPhgCtyMyuyZfCkBAAAEFAwC6oY8+Ll -auABUDAqcjMromUqomYBJAQLqhhj43MocjMogmbwJAQAABAYMAgzGPPj1WKAARwwK3IzK7Jm8HQE -AAAQUDALqhjz5DpqgAFQMChyMyiCZvDEBAAAEBgwCDMY8+R2YoABHDAAACtyMyuyZvEUBAAAEFAw -C6oY8+TQaoABUDAocjMogmbxZAQAABAYMAgzGPPlDGKAARwwK3IzK7Jm8bQEAAAQUDALqhjz5Wpq -gAFQMCpyMyqiZ/PlsWtAAVAwAAAAgAAAAOEADgAf/5YYH/ziAB//rPQEAAAIgQAAAB//rbAf/5Uc -/w///yADCuQgAwr0IAMK7AAA//8f/5VsH/+TsAAA/v8gC3aAH/+shCALd1AgC3bgIAt3wB//rCgg -C3gQIAt44A88AAAgC3lQIAt4oAQBAAgwAAAAH/+qsB//q3wf/65QIAdYcB//qbAgC3ogIAAAAAoA -AAAf/OLkIAdJ5CoAAAAgBxRYH/+VlCALcqABAAAA4P/+AB//lRQf/66gv////0AAAEQgC7hw/+// -/+EAVuAgC3LQH/+sREAAAADhAZIAAAAxRAAANYQf/5S0AAAxhAAALUQgC3MQH/+VhB0AAAAf/4TQ -H/+uTCAHH6ggBx9sIAMIwCAHVmQAMAAAIAMNhCALuWAgC7oQIAu4kCALuNAgC7qQIAu5sCALuRAg -B1OEIAMK/OAAAAAf/64AIAt0kAAAJxAAAIAAIAcY0B//rvQf/68wH/+0ZB//tHwAD0JAH/+0lB// -sPAf/7RoH/+0gB//tJgf/6xA4QMGAO3/////5b//ABhAAB//qswAAmJa/8AAAAATHBz//AAAAAGq -AAADCQQgC3TA4QM6AH////8AgAAAH/+bkB//m5jhAF4AIAdT9P/8+H8gB1iA4AAKAOAADYThAC4A -IAt04D////8AAgAA4gAAACAKgAAf/5RwAAAbwN///gDhAFoA3////+EAVgAAAQAAH/+pqB//q7gg -C3ZQAwAAAL//8P8gC7zwAABAAB//rlThABIAEAAAAB//sDAAACAAAgAAAAAAAABsEASIIs6H2iBb -9BjOoGhTA8Ag0Q+KJ/tMAAAAEGAw+qwgIgAAaTBasM7SoNEPAABoUzKKJ8Cw+qwgIAEQYDBatDUd -/4KdoIwgG/+B+MwRAAEQaDD7pgIsCQBrMPymASAAEBAw0Q/AINEPAABsEASKJ4WuFP9VJV0H9/92 -EQACKXAoUHEvUHD9IAwgABAwMP4gDSAUAkIw+FRxIF4AN+D/AgAARRBIMP8CAAAAQIfgaPIVBmsC -/P9oEAEQUDBYDOjHItEPAAAAAPhwgCAnALTgyIFk4S0mVHAmVHEuQneLIPpCiCAAEGAw/rsMAAEQ -aDBasCXAINEPAACPIvMKASEbALfg2iBb89lloTiLICNUcChCd/pCiCABEGAw+LsMAAEQaDBasBnA -INEPAAAqUHF6m8Bk4K35bAAA1wA3YPt8gCwgAWgwbckKL7Dd+7wBKAAgT/ANLBRkwEQksN8qsN0o -sN4tsOD5qQgB/gJTMPmJCAAIAkLwbakh+YDdJAAgSTD7gN4gCAJCMPSA2yoAICNw/YDcKAAgVnCp -ualJqdmw6qqZ/XCAK9AEOmD8/yweHgC6YCmtMvAACmEIAkpwKa0tKZxEGv76Dco4G/8lwOL7mQgA -ABAQMPqWgCAACy6gLlRw0Q8p3QHz/7hhAAJKcAAA9paAIAIQcDD+VHAgABAQMNEPANrQW/Q/Y/7J -AAAAAADz/4piAABJsAAAKqwg+woAIAEQYDBas7se/wmeoIsg/f8IHYAEOuD9pgIsCQAbMJyhY/7I -iidj/9AAAABsEAYV/t7TDypSiCmhAyihAv8CAAYAc84QwLBar/zUoPasAAABEDgwKFJ3L1KApIgJ -iBGo/yvwDSnwDPsWACDBADbg+/71ENUANmDakPkKACwgAUgwbckKLbDd+7wBKAAgT3AKLBT6sN0g -SAA3IC6w3yiw3i2w4PmpCAH+AlMw+YkIAAgCQvDTD22pIfmA3S4AIEuw+4DeIAgCQjD+gNsqACBz -cP2A3CgAIFZwqbmp6anZjRCw3a2dDVsUDrsRCzsM+7IDLIABbDAA0QQAfBr8uwEAARBQMAurOdrw -W/9QKlKIDwIAL6EDLqECf+ENK0wBWq/G9KwAD/+YUZDAINEPAJEEAHsa+ysBAAEQQDDz/8hqBQBe -MAAAAAAAAPP/kmAAEEgwbBAGFgNyHv68EwQPJmJ/Ff679P67EAAQEDD3bP8gAHMloPAADmHwAlmw -sSL/AgAGAGo0kAJ6DASpEQSZAik2mCgymSkymA8CAPgIQQgAQC5w+TaYI6oCPiB7Ic0d/n8t0ncs -4kQNqggJqhEKyggtMq4soCLw1xhyAAB7cA3IQnjJDR0DgCgKAPjkgCwAQG/w8MEEAAEQeDDw/xoP -/xBAMAj/Aw/fAS82rikyrv8CAAH/vQZQiKKaEPsWASARALYgW/MOixH+/owfYQA2oIoQiqfAsPqs -ICABEGAwWrMyixGMEB3+fp2gjMAe/oMf/n34zBEAARBoMP+mAiwJAGswnKFj/yXRDwAAbBAOW/+9 -GAMuKICQ9woBI+gANiAW/noTA8ryCgAgABAoMBoDJyqgbAAgBAoKG3+nLCQy0XtGJgAhBPB7GgIA -AGGw+1UCAAUQUDD7ChAiAABpcFgL5Rn+aglMASw20bEi+Si+YBACGPDTUB7+ZpMc8A4HAgAAUPDw -DoAAIAJocPANgAAgAlhwW/9TiBwPAgD+EgQjTQA2ICwSBysSBi8SBfwWASAFEFAw+xYAIgAAaPD8 -/lYQEBBYMFgLyxz+Vfv+UxAAEHAwnhsd/lMs1oMZA5wrshz5kq4iUAA24GQySBX+UBb+Thj+TJgZ -+BILIIAQUDCaHpYaCFgM+BYIIgBAZnDwAHNggBAwMAAa/kAsotIkotusKAmIEahEi0AqouMMuwxa -rx9kot+PHdMPBv8IL/C99AoAIPsAt+Ab/jQrshyNHowbiByPGo4ZCBgU+BYMIBACe/D/FgogEAJz -sP4WCSACAmMw/BYLIAICa3D9Fg4qANvbEGSBr40YjBuKHP3MCAIAABMw/BYNIWwAfrBlP3iOHv8C -AA4BC5OgiRpgAg/+XAABbAA0oMDA8h0SABwAfLCmXPzAvSACAnFwZNBEsuj57AEqACAzsPqgvS4A -IDJw/eC9If4CS3DTD9MPbZof9okIAAICWjD2uwgABAJCMPqQvSgAIGaw/bC9LAAgS3CsrKzcrEwM -WBT+HBAp4AQ6IAjuDP3iAyiAAWQwAJEEAHgaCN0CneOxRP8CAAv/hvkQyDv8Eg4h/7SZIGP/xwAA -+hIOIABCASD+XAAA1AA0oMDA8h8SABwAfLCmXPzAvSACAnFw9PBMYf4CS/D97AEqACAzsPqgvSwA -IDdw/dC9IAQCQ7APAgAPAgAPAgBtmh/2iQgAAgJaMPa7CAAEAkIw+pC9KAAgZrD9sL0sACBLcKys -rNysTG/OFhn92gnJC2AAEwAAAAAAAP6u7WIAAGKwGf3WCckLHQJ6HP3CGv2WLdCQDco4G/3Aq5n6 -loAgABUuoB4Ccy7gkMjm/wIAAABUASCPHab/L/C9Y/8aAAAAAPP+8GAAEGAwwIAoloBj/9Hz/5Bg -ABBgMBz9wY8WjhWNFPkSByAFEFAw+RYAIBAQWDBYCykoHBACCIvAMAczYhL9r/IBgg5uADjgFf19 -KFJ4JCLbo4gJiBH8ItIkACBBMItAKiLjDLsMWq6JyakEQYuxMwczZPIBhA+oAjjgwCDRDwAAAAAA -AAD6TAAAABBYMFv+FGP/1togW/K4Y/9TiRkc/aAb/YwY/V8swJAMuDga/YqqmfiWgCAAFC4gHf2Z -LdCQZN1S2iBb8q1j/UoAAAD/EgUgNAA3oIwXixZj/LAAwOAuloBj/9MfAmUj8jcj9jdj/GUAAAAA -+kwAAAAQWDBb/fhj/REAAPsSBiAMADfgjBdj/HoAAAD8EgcsdAC24GXMbGP/VgAAbBAEiieJMCuh -Ff36wCBAAjKw9QxHDABAbbD9uwgIyAFIMPu8QCKUAjsgZIB3iasIjBGsnPzNASH+AlEw/K4RCgBK -2xCuzvg8ECoATHbQaEEKbakFAAiGAExhiWOIkLGImJCPMP8CAAIAVsPQwCDRDyowB2mh9f4gFC7g -AUww//wBIgAAUbD/HxQAARBYMP/uCAAAEGAw/iQUIAEQaDBaroTSoNEPJTAXL6kU/v1UEAICKXD5 -oggtwAQ5YPmmCy4AIGfwL6UUnpCMIAjMEQxVApWRY/9ZKGEFCMwMY/9lAAAAAAy7DAtJFLieDq42 -bekFAAiGAExhA7gI+U8MAIACS3D//P8gIAJCMG35BQIIhgBJY2P/RBj9OiSQEIph85AXIAAQODD4 -RAoAIAIqcPRCECDUCEqw+mwAAAEQWDD8fAAAARBoMFquWo1jLdADKSAU/dwBIgAAULD9HRQCAABZ -cP2ZCAIAAGDw+SQUIAIQaDALQACOY9MPDwIAZO7u+zwAAgAAUbD8CgAiAABo8FquSPdmAyAAEBAw -0Q8AjyD7XAACAABQsPj/EQABEEAw+P8CAgAAYPD/lgEgAhBoMAtAAIljZJ6mImkE+WYAIAICQPD3 -ZgMpwAQ6IAgiDPJlBCAAEBAw0Q8AAABsEATRDwAAAGwQBATqMBX9AyJSgHJDBCRWgNEPKFJ/9FaA -IAICQjAoVn/RD2wQBIknKJkU+pwgIAAQEDD7kgkgJQA2IPwKKiAdADbgKbAAHfz0+7ICIB4IYnD+ -/PIQFARq8H6xAtEPAMCy/AoAIAIQaDBarhXSoNEPAAAAbBAEiScomRT7kgkgEAA2IIkiyJ7AINEP -AAAAAPP/8GAAEFgwLLAdiraLtQDMMlgIYtogW//f0qDRDwAAbBAEizWINCwwHP0yBiIAAFCwC4AA -0qDRDwAAAGwQBi8yABj80yQiAP9LUw74AXww+PgKDABsF+AogsQPAgBkgPAZAgkY/Mwpkq4P9Qrx -ng90ACBFcCpQfP8CAAIAn36Q9lB9IMAAovALbAEMDEP9Cv8gpAhi8CdQfn1xDIsxCwtH/wIADgB6 -utAc/LsnUIAqQCCLMP9AISgABrqQI1B/fLhHc/BEGfy1BkhD0w8JiAoogn/aQAuAAPomACCRADag -wCDRDxz8ry5ADf1ADCACEFAw+xYAKGABMDD4FgEgABBYMFgKC8Yq0Q8AHPymLkANLUAMkxH6FgAg -ABBYMPcWAiACEFAwWAoCxy/RDxz8n/1ADCACEFAw/kANIEAQSDD5FgAgABBYMFgJ+sYq0Q8AAAAA -LUAM/kANIAIQUDD8/JMQABBYMFgJ8iL62tEPHPyQL0AhLkANLUAMKkAgmhApUH+ZEfhQgCAAEFgw -+BYCIAIQUDBYCefGKtEPHPyGLkANLUAM+xYAIAIQUDD3FgEgABBYMFgJ38Yq0Q8tQAz+QA0gAhBQ -MPz8fBAAEFgwWAnYIvq50Q8AAABsEAob/HgLKwsqsIAssIIusIHzCgEgABB4MPzMASH+AlKw/KoB -AAICQ7D6XEIK4AFQMPq0giBjADYgLbIf8AAqYAAQIDAusIGxzA7ODA5MOC6wgfvKEQACAnvw+OwB -KuABUDD6tIIqABlD0A3JCimSAAoIRACABAkJGWSfym0IFH+fFbGq+RkUCuABUDD6tIIvtAA2YGP/ -5AAd/An+ChEtgAQ6oPvSgCYAnHSQJdJ3qlUJVRH1tQgCAAAbMBj8BAMCRw4iEagoKIJ/A4oUC4AA -GPwAqCgogn/6PAAAABBYMAuAABj8QagoKIJ/2jALgAAnoAfTD/SsAAQAfpXgiqAZ/C76j1cCAAAx -cPpKUwwAqhfgCfsKK7LEZLFnHAFlGPwnLMKuD/IK8c4PcgAgQLAtIHz/AgACAN5/UJoY+yB9IgBi -opAKvgEODkP6FgguAFvykCogfigK/3ihEYlB+hYHKOABTDD5FgQuALJSUC0ggC5gIPpCACgAEWuQ -L2AhnhYY/BAtFgUsIH/8FgkoAFPCkPwWCS4AT+fQGfwKC0hDCYgKKIJ/+6wAAgAAUbALgAD1rAAA -7QA2oMDAZsA6iEAZ+/4IiFcJiAoogsT6XAACAABZMPx8AAIAAGjwC4AA0Q8l0niqVfPDAgWQBD1g -8/7LZAAgLvAAxsr6PAACAABZMFgHcNEPHPvwiRguYA39YAwoYAFYMPgWASACEFAw+RYAIAAQWDBY -CUzz/45v6hBgMAAAAAAc++aIFYoWLmANLWAMiRmZEfoWACAAEFgw+BYCIAIQUDBYCUDz/15v/xBg -MAAAHPvb/VAMIAIQUDD+UA0gQBBYMPsWACAAEFgwWAk2Y/+kLVAM/lANIAIQUDD8+9EQABBYMFgJ -MPP/HW/aEGAwHPvNL2AhLmANLWAMKmAgmhApIH+ZEfgggCAAEFgw+BYCIAIQUDBYCSRj/1oc+8OI -Fy5gDS1gDPkWACACEFAw+BYBIAAQWDBYCRtj/zkAAAAALVAM/lANIAIQUDD8+7gQABBYMFgJFPP+ -rm+5EGAwbBAEiTDaUP77ghIAAFjw/DAIICAAKnBuxjHwAAdv6hBgMADAwP37rRA4ACZwKeIeL+CC -/7QIKAAgbnAJSRSZtCjiH5i1WAcbwCDRDyzkgvP/0mAAEGAwAGwQBPYsAAIAABDw80wAAgAAIbDT -D205D/MgACACAhCw80QAIAICITDSYNEPbBAE1iDTD9MPbUkH8yQAIAICELDSYNEPbBAEKgpg+Qov -IHoQYDD7CjkgAxAoMAUlLG1ZzCIwAHKbEXKzDvAAHmGgAjiwAAAAAAAAAPcsySoAB5KQcsMH8AAE -YVICOLAiMAHYcPyIEQoAChJQcrMM8AAcYaACOLAAAAAAAPcsySoAB5KQcsMH8AAEYVICOLAiMAKo -ePyIEQoAChJQcrMM8AAcYaACOLAAAAAAAPcsySoAB5KQcsMH8AAEYVICOLAiMAP4eAgACAIY8PyN -EQoACxJQcrMO8AAeYaACOLAAAAAAAAAA9yzJKgAHkpBywwfwAARhUgI4sK19/UYAIAgCITDAINEP -AAAAbBAEKgpg+AovIHoQYDD7CjkgAxAoMAUlLG1ZjyIwAPcwASoACJIQcrMJ8AAeYaACSLAAAPks -ySoAChKQcsMM8AAJYVICSLAAAAAAAPIwAioADroQd7MV93zQKgAUlhByqyxywynwAClhUgIQsHer -DXfDCvAACmFSAjnwAAAAJ3zJcovacrPX8AAHYaACELAiLMn8fREHgAQ6YK1m8zwDJgAgMLD2RQAg -BAIhMMAg0Q9sEAT7CmAgABBAMPoKLyB6EGgw8hUUADkQYDDTD21ZayIwAHKrDXLDCvAAGmGgAjiw -AAAA9yzJKgAHktBy0wfwAARhUgI4sCIwAfSPCAIAAEnw8zwCIAICQjD8nhEKAAsSkHLDDvAAHmGg -AjiwAAAAAAAAAPcsySoAB5LQctMH8AAEYVICOLCufi70AMAg0Q9sEAQCMhRkIGf6CmAgehBgMPkK -LyA5EFgw9C4KAAAQEDDzJwgAABBAMPUKCCAQAmiwbVosInAADIgR8psPcAICOfByswfwABZhoAIQ -sHKrC3LDCPAACGFSAhCwACIsyago+EYAIAgCITD+SbRyAAATcMAg0Q8AAABsEAT3LAAAUAA04PoK -OSAvEEAw+wp6IGAQSDDyCgAgABAwMG05LqdjIzAAAiIK84sPcAICMbBzowfwABVhoAIY8HObCnOz -B/AAB2FSAhjwIzzJAyIJ0Q/AINEPAGwQBPcKACBSADSgw7n8CnogLxBIMPgKACBgEFAwbSkuo3Ii -IAAIiArymw9wAgI58HKzB/AAFWGgAhCwcqsKcsMH8AAHYVICELAiLMkCiAn4RgAgABAQMNEPwJD5 -RgAgABAQMNEPAAAAbBAEIyUC8yUDICACYLD8JgAgABBYMPslBSD+AkDw+HgUAsABHDD4JQQgKAA0 -4Po8AAABEGgwWqb8aK4V+jwAAAAQWDD8IgAgARBoMFqm9mmu6chLKSEEDJkRKZwQmUDRD9EPAGwQ -BBL6nCgiayMibAmIEagziDdkgE38+pgQBBBQMP0yACAAEFgw/zIHIgAAcPBYB+uEN/r6khAgAiEw -WkErHPqPHfqPHvqQjzD7rAACAABRMFpA4oM3IzwQ2jBaNrZooSXRDwD6CkAgQBBYMFh8UfsyAC// -EGAw+jYHIAAQaDBYUqxj/5AAANowWjbKE/p/C6gR9KA2YgAgRPAM6jArMoWLsLCj/LsIAgAAUPBY -Cf8qIpTwMQQAARBYMAC7GguqAiomlFgKNdEPAAAAAPoKByABEFgwWq4zLDJ/LDaD0Q8AbBAEE/pJ -EvpHIjaD0Q8AAGwQBB36HB76aB/6Zhn6aRL6XxP6YhX6Yhz6ZSw2jiU2fiI2hSk2cCk2cS82hi42 -jf3SMSCAAlJwKjaA+jaBIEACWnArNnj7NnkgARBAMPg2dSBkECAw9DZ9IMACSnApNogpNokC0igE -1CiUUJLwFPpSFfpQEvpSDt0oncAiNo8lNn8kNofRDwBsEAQS+k0jItsiIiSjItEPbBAEE/pKIjKB -FPpJBCIBIjaB0Q9sEAYT+kUrMrwd+kUc+kYNuwEMuwIrNrwK6jAd+esp0jEKmQgI6jAImAxqgRJt -CAgO6jAOngxq4QZj//AAAAAA/Po6H/4QEDAoMoApCgEJiAIoNoAvwn/K/vv6LxAAEFAwbQgeKLLN -AogBKLbNL7LOAv8BL7bOLsJ/sar7vUAqAAVykGP/2gAAACwyvx76Khv6Jx/6JyrSQvkKsywAQHsw -+6oMDAkAczD8Nr8gGRBgMAqcOC8ywBj6IB76IAj/AQ/MAg7MAiw2wAvqMArqMCnSMauZCpoMaqEO -bQgICOowCJgMaoECY//wKTKB+voVEA8QWDD9GvQgDxBgMPKZAQAUEHAw+TaBIgAAeHBarXjJpsCi -/PoMEBgQWDBYB0nHK9EPAAAAAAAAAPv6CBAHEGAwLjKBH/oGD+4BLjaBLbJKDN0CLbZKKrKKDKoC -+raKIAAQEDDRDwAAAGwQBBn5mw8CACSSgxX57fgKByAGEDAw9INSChYBIDD6hjkGOgA84AInEaV3 -KnKCK/rw9JKDKgBAWrAKZgImdoL/+e4aWwEkMP4KcCZKAD7g/ApgLgBAfTAP7DkCLRGl3S7Sgi/6 -Dw/uAQ7MAizWgtEP0Q8AbBAEBOowGPl9KIIxAogoqEID6jADIwxqMQ5tCAgJ6jAJKQxqkQJj//DR -DwBsEATz+dYQzBAgMAQkKPj5cBIAICTw+j0BIAEQWDD7pEIgABBIMCmkQCmkQyk1niiAwfQKgCA+ -AH4wAioCWD+w8qwAAB4AtqD4CgcoACAk8CiUwNEP2iBYPzzyrAAP6gA2oNEPbBAEE/laKDJIIzJK -AoM4A/JQ0Q9sEAQV+VX0+bgQzBAwMAYmKCdSSPVSSiQAIDEwJk0BI2Ax8nU4AAEQODD3ZDAggBAQ -MPQwOmpAASgwokYiYLH3ZLIkIwEsMCVks/UpDAY0ADygKZwcAJEEAHgaKEZP92TPIAAQEDDRD8Ag -IkZP0Q8AKmQxY//DAABsEAgY+Tf5WgcgABA4MPX65yAUEFAw8woUIgAAMjBtOg8kYXAFRAH5QQhw -BAIxsLF3x3v3FgAiAAAyMPcKACUnEEgw0w9tqg8rYXAFuwH5sQhwBAIxsLF3x3v5WkciAAAyMPcW -ASAUEHAw/AoUIAAQODBtyg8tYXAF3QH50QlwBAIxsLF3J/r79xYCIgAAMjD3CgAlZxBIMNMPbeoP -L2FwBf8B+fEJcAQCMbCxdyf6+/lahyIAADIw9xYDIBQQUDDzChQgABA4MNMPbToPJGFwBUQB+UEJ -cAQCMbCxdyf6+9aA9xYEJacQSDD8ChQgABA4MG2qDythcAW7AfmxCXAEAjGwsXcn+vvAYPcWBSXH -EEgw/goUIgAAOjBtyg8tcXAF3QH50QlwBAI58LFmJvr79hYGIAAQODD4WuciAAAyMNMPbeoPL2Fw -Bf8B+PEJcAQCMbCxdyf6+/QcAA//EFgw9xYHIAIQGDDTD206CYhA9oBrYAgCITDBw/f5PhABEFAw -9BwAAAIQSDBtmk2FQPIGQApEAL1gLXKEAFEEAG4aAFEEAK8aC/8DD90BDt0CLXaEYAAdI3LABc0M -ANEEAGgaANEEAKkaC5kDCTMBCDMCI3bA8hIUAAgCITDAINEPxyvRDwAAbBAG9RQBIP8QQDD0FAAg -GghAsPAA+mAAEBAwABr4uBT5HfAhBAABEHgwAP4a+0CALAAgVLD90NwuYAFwMP4UAiAAECgw+KDc -IAkQcDD9DUQGAF9ckCyg3fmg3iiAAUAw/AxEDgCA8hD/AgAOAKzzENzwCQlE/pkGcAICYzCxzCig -39MPDwIACAhE/wIADgBTchCxyf8CAAIAUhJg9vr/IA4QYDD1+PsQEgRjcMDtftlV/awAAAAQYDD3 -GsAv5xBwMPe7AgAUEEAwbYoPKNFwDogB/dwCJgBNRtCxzAcsAvsKACAUEEgw0w9tmg8toXAO3QH6 -rAImAE9vELG7+/jnF9AQUDBapgzAUCJEgGP//9JQZiAY2xD6Cv4gAhBgMPo6AQABEGgwW8NI0qDR -D9EPAAAAAAD/AgAD/7JDIPscAiDgEFAw/AoBIAEQaDBbwz72r7xiAAAqsPv40BBkEFAwWqX2Y/+j -fslt8/8GYAAQYDBmz2j/AgAKAE6XIC1ShADBBAD7Gga4AwjdAQ27AitWhGP/SABmv2T/AgAAABBg -MP8CAAoARxbgKVKEALEEAMoaALEEAP0aBt0DDZkBCpkCKVaEY/82KKDeCAhEfokY8/6rYgAAY/Ap -oN4JCUR+mR3z/ppgABBgMCyg3wwMRP8CAA//VfMQ8/6ZYgAAY/AooN8ICET/AgAP/0ryEPP+g2AA -EGAwACtSwMGDDIgMAIEEAPkaBp0DDbsBC5kCKVbAY/6qKVLAweML7gwA4QQAyhoA4QQA/RoG3QMN -mQEKmQIpVsBj/qdsEAgY+Cn3CgAv5xAoMPoKFCQHEEgw8woUIgAAMjBtOg8kYXAFRAH5QQhwBAIx -sLF3x3v3FgAiAAAyMPcKACQnEEgw0w9tqg8rYXAFuwH5sQhwBAIxsLF3x3v5SkciAAAyMPcWASAU -EHAw/AoUIAAQODBtyg8tYXAF3QH50QlwBAIxsLF3J/r79xYCIgAAMjD3CgAkZxBIMNMPbeoPL2Fw -Bf8B+fEJcAQCMbCxdyf6+/lKhyIAADIw9xYDIBQQUDDzChQgABA4MNMPbToPJGFwBUQB+UEJcAQC -MbCxdyf6+9aA9xYEJKcQSDD8ChQgABA4MG2qDythcAW7AfmxCXAEAjGwsXcn+vvAYPcWBSTHEEgw -/goUIgAAOjBtyg8tcXAF3QH50QlwBAI58LFmJvr79hYGIAAQODD4SuciAAAyMNMPbeoPL2FwBf8B -+PEJcAQCMbCxdyf6+/QcAA//EFgw9xYHIAIQGDDTD206CYhA9oBrYAgCITDBw/f4MBABEFAw9BwA -AAIQSDBtmk2FQPIGQApEAL1gLXKEAFEEAG4aAFEEAK8aC/8DD90BDt0CLXaEYAAdI3LABc0MANEE -AGgaANEEAKkaC5kDCTMBCDMCI3bA8hIUAAgCITDAINEPxyvRDwAAbBAEFfgVwJH/KyFv/xAwMCRS -hAAhBAA3GgAhBACYGgaIAwhEAQdEAiRWhNEPKlLAwdMC3QwA0QQAOxoA0QQAnBoGzAMMqgELqgIq -VsDRDwBsEAQV95wmCgD8UMEv5xA4MPj3/R//EFgw+goBIAgQaDD9zAEABxAgMPwkOAFAEEgw+UIC -ABQQGDBtOg8uUXAH7gH+ITlwBAIpcLFmx2sigsD09/AQExA4MAZ3DABxBACvGvMK/yQRAF/w80Qh -IgBAKLDzRCIuCQAX8C+GwNEPb2vLLIKEAGEEHvfjAKka/Qr/LhEAXnD95CEsAEB7MP3kIigJAGZw -KYaE0Q8AbBAEFPfW/ysWYAEQKDAjQoUAIQQAUhoCMgECUjnRDwDyQsEh4AJAsACBBABTGgMiAQJS -OdEPAABsEAQU98jAgf8rHm//EDgwJUKFACEEAIMaADMRBzYDBlUBBTMCI0aF0Q8mQsHBpwKqDACh -BACFGgdZAwlmAQZVAiVGwdEPAABsEAQU97oEJQojVuEMAgAkQurRD2wQBBP3tg4iEaMigiDRDwAA -AAAAbBAEGPeyBEsR+D0QDEgBKDD0KhANgAQ7IP2qAgoJAGbw+/dnGgkAWrAFDEcMqgILqgIqhpgm -hpkngpgZ91oJdwEnhpjRDwAAbBAEH/c10w8v8jMv8hTyCgAgARBwMP+PUgAAEEgw8JEEAAICU/D6 -HRQAHgB+sADiGvICRwIAAEuwZNCC8JEEAAQCGnDw6xoAAgJicPDBBAoJAFyw8OwaCuABXDD8uwIC -AC4jYAAxBPDsGgAGAlJwAKEEAOga/YwAAfwCU3D5zAAACAJCcG2pJ/CBBAACAmIw+IwCKuABWDDw -6RoKCQBOsPDBBAzgAVgw8O0aCgkAbzALC0cJuwILC0cNuwILAkfd8PoKBSAIEFgw/PdvEgAAcLBY -BJ/RDwAAbBAEEvb/ASQEE/dqIiIzJCJnIiJoBCIYFPdo8/cUEgBAGLD0IgwAARAgMAJCOSI0gNEP -AGwQBGRAWSkgAGSQUygwAPpBOWAAEDgweYkxbQgdB0YM+GE8YAICOfBqYhOiefmQACgAIBnwKIAA -eYkQY//bAKJ5+ZAAKAAgGfAogAB4kwz5gxFwARAQMMAg0Q8Axy/RD8Ag0Q/RDwAAbBAEE/dHoyLR -DwAAbBAEG/bVK7Iz/DwAAAAQUDD4Gv8gCAJIsPmDCXoAIBbwirFj//+LsFgGkwtCAdEPbBAEHfc5 -GPc5+dwAAbwQUDBtqgUACIYASWH79zUSAABTcFgGvtEPAGwQBhP3Kvj3MRAAEBAwGfbdJDKuCUQB -JDauIoaoIoapIoaqIoarWIG7Fva1KjroKmYxWIGVWIGB9qDtYgAAErBYgWj2oOJiAAASsFiBJPag -12IAABKwWH/o9qDMYgAAErAb9xsc9wgrsn78vQEIAGFi0Fv/2RX3F/JGRnABEDgwL2IyBUkB+Tau -J9AQQDAI/ygY9xHA0C2G8Az/EQf/Ai82ty4ytw3kMQECACwyt2bAC20IBSoyt2agAmP/88CjWi3a -WH7R9qBgYgAAErBYfqf2oFViAAASsFh+NfagSmIAABKwWH3Y9qA/YgAAErAiMq50L1cFKwErNq5Y -fZhYfY32oCViAAASsBr29Rv29Fh4kBz28/rGfyEQADagG/bytLxYeF3SoGcgBMChWqpZ0Q8uYjHA -pPz27BAAEFgwDt0sWAQNY/8rAABj//wAAoVC+PZnFYAEPWAFBkcOZhH2FgEmACBBsCZifwWEFNpA -C2AACglBaJEH/wIAAgBnHmAZ9qKIEamIKIJ/2lALgADOpRv2nooRq6qaENpAC2AACglBaJECaZN5 -iBAPAgAogn/aUAuAAGSv4ZehHPbNnKAa9nAb9swCCVL5pIAqAEBcsPs2riIAAFEwC2AAGPZFgxGo -OCiCf9ag+woBIgAAUXALgAAY9kGoOPiCfy/8EFgw+2sBAAoQYDD8uwICAABRMAuAAP8CAAP/mgiQ -Y/7eAAAAAADz/49gABBQMPz2sxABEFAw+woBL/QQaDBYA8/z/udv9BAQMAAAAAAA8/9BYAAQUDBs -EAQd9iv7PAACAABQsP3SMSAAEGAwWARO87wAAgAAErDRDwBsEAQD6jAW9jIkYn90MwcjZn8iYn7R -DyJifvNmfyACAhCwImZ+0Q8AbBAE9yIHL/8QKDCGc4MiiXKZYIhy9oYBIAAQMDD2dgMkEQAtMPZ2 -AiIAQCzwkyLRDwAAAGwQBIQn+gpkICACITBaPOn/IgAiAABw8PusAABkEGAw/faCEgAAUTBaPJ/R -DwAAAGwQBIUn9VwQIgAAUPBaPN38PAACAABxMP0KACIAAFqw/yIAIgAAUXBaPJOCJyIsENogWjJn -aKEC0Q8A2iBaMoQS9jkLqBH0oDZiACBAsAzqMCsihYuwsKL8uwgCAABQsFgFuRz2ZirCf/AhBAAB -EFgwALsaC6oCKsZ/WAXu0Q8A+goHIAEQWDBaqe0sIn8sJoPRDwBsEAQU9c0kQIDy9cwQBxBAMPg4 -KAAlADUgJSJKG/XJ+FMbcAAQSDAqIkkrsn/7qgEP9BAQMAqSOdEPwCDRD8DQ/AoAL/QQEDANwjnR -DwAAAGwQBARJAvg8AACCADTgbzR2ZDBz1FDy9bMQBhAYMA8CAG06EfMtBCH4AiEw9UIIIAgCELCV -MBf2IRL2OAZ2OItijGONZI5lj2aHZ5conymeKp0rnCybLRT1oxv2MQiKEAqaAvP1nxoJAFqw+kb/ -I+gQEDBtCAqwImQgtStC/3swAmP/7sAg0Q/HItEPHPWXLMCAZM9+FPWVLUJK/woHIAUQcDAG/jn/ -AgAKAEl3UBf1kCNCSSdyfwczAcCC+DQAICACQPACBYYASGMABYYASGHLaCU8MAYGhgBFZwQGhgBF -ZfwKBS2ABD5gDc0CnTEqIAYrCoD8RkkqCQBasPokBiAAEBAw0Q8AAAAAAAD1CgMngAQ+YAdXApcx -LiAGLwqA9UZJLgkAe7D+JAYgABAQMNEPxyvRDwAAAAAAAADz/3pgABAYMGwQBGQwW280VGQwURn1 -ZQg2EPj1YhYJADEw9pb/I+gQEDBtCAqwImQgsyeS/3eAB2P/7gAAAAAA8vVaEAYQGDAPAgDTD206 -EfMtBCH4Ailw8zIAIAgCELCTWMAg0Q/HItEPGPVQKICAZI+gE/VPKTJKZJBzFvVOJTJJJmJ/BlUB -+iIAIAEQSDD5VAArgAQ9IPlUCCAAECAw9FQJKgkATvCbUQzqMPiqEQADEFgw/FUFKgkAWrCaUycg -Bogi+TZJIAICOfD3JAYoCQBKMPgmAibAATww9yQGIgAAETDRD8cr0Q8AAAAAAADz/5RgABAoMGwQ -DBj1u/lMAAIAADjw8AgHAgAAGHAAQ2EAQ2HwCAcAQAIYcABDYQBDYWRwkv8CAAAAi4Xg/wIAAgCP -geBvdHtkcHgS9Rv0HAAABhAYMA8CANMP0w9tOhHzLQQh+AIhMPVCCCAIAhCwlTAU9Z/zCgYgQAIQ -cG06D/MiByH4AhCw80YIIAgCITAY9Qoa9ZgIdhAGlgL19QYWCQBRsPaG/yPoEBAw0w9tCAqwImQg -uSeC/3dQA2P/7ADAINEPxyLRDxj0/SiAgPr1fxsABD1gmxL6FgovbwA2IBT0+CpCSv8CAAYAR0ag -FvT1I0JJJmJ/BjMB+AoCICACOPD4NAAiAAAocAIFhgBHYwAFhgBHYfc8MCBAAihwBgWGAEdnBAWG -AEdl+J0RAAUQYDAM3QKdMSogBisKgPxGSSoJAFqw+iQGIAAQEDDRDwD+9WsfMAQ9YJ8Tnhtj/uUA -9vVoGHAEOWCYFZYdY/7VAMcr0Q8AAAAA8/9+YAAQGDBsEAjz9V0SAAAw8NlA8AMHAgAAGHAAQ2EA -Q2FkYIFvZHpkYHcS9MX0HAAABhAYMA8CAA8CAG06EfMtBCH4AiEw9UIIIAgCELCVMBL1MfT1SBAG -EBgwbToP8yIHIfgCELDzRgggCAIhMBj0tBr1QghlEAWVAvf0sBQJAFVw9Yb/I+gQEDDTD20ICrAi -ZCBxJoL/dnADY//sAMAg0Q/HItEPGPSnKICAZI96E/SlKjJKbqVVFfSkJDJJJVJ/BUQB+AoCICAC -OTD4RAAiAAAwcAIGhgBHYwAGhgBHYfidEQADEGAwDN0CnUEqIAYrCoD8NkkqCQBasPokBiAAEBAw -0Q8AxyvRDwAAAADz/7JgABAgMGwQBMo5bzQhyT4U9Ibz9IYT6BAQMG0ICrAiZCCnJTL/dUACY//u -wCDRD8ci0Q8AABj0fyiAgGSP0CogBvinc3ABEEgwE/R7KzJKZLCCGPR5JjJJKIJ/CGYBjiApZACZ -YSlkCCRkCQXqMPjuEQADEHgw9WUFLgkAe7CeYywgBo0iscz8JAYsCQBPcJ0iiyIsIAb5NkkvjRBQ -MPsLQAAAEBgw/AxGAgUAXrD8JAYiAAAQ8NEPgyIv+o3zA0AAABAQMAPyOdEPxyvRDwAAAAAAAADz -/4VgABAwMGwQBJcj+DsRCKAEPSD69FQaCQBdsPj0Ux0ABDlg+CYCKAkAZnD7JgEoCQBWcPkmACAg -AhCw0Q8AbBAEFvRLFPRL9WKAIBsANOCkJCRA3SJieKNEpCIJIhGiUiIsgNEPACRid6JCCSIRolLR -D2wQBBn0QIgw9AsGCuABEDD7NAYoAEBKMPg2ACSkAD6gaKN6GPQ5DqMRqDgogn8ChBQESgILgAAY -9DWoOCiCf9Wg+woBIgAAULALgAAY9DGoOPiCfy/8EFgw+1sBAAoQYDD8uwICAABRMAuAANEPGvQj -K6J3AowUKqKArLsJuxGrqouni76NsMnT/LIBIAAQcDCesP62ASIAAFjwC9AA0Q9sEAQCCkdopS0Y -9BcOoxGoOCiCfwKCFNogC4AAGPQVqDgogn/8CgMiAABasPy7AgIAAFCwC4AA0Q8AAABsEAQZ9A0o -IQMJSTbwmRECAABQ8PmIAgIAAGFw+CYBIgAAWLBb/7/AINEPAGwQBCMlEpQrJSYQJyRQKCANjRmM -GikhB4sb+yUqK0AEOaD8JF0pYAFMMP0kXCgJAFZw+SUHIBAANiCMHY0cLSQhLCQg0Q/RD2wQBBjz -8WQgQ/rz8BAAEDgw+ICAIAAQMDBtKREAYAQICRv/lwZwAgIxsLh30w8roWsrRQAqojanqqo6+kUC -K/ABUDD6RQEgABAQMNEPHPPfLcFrLUUALMI2rDz8RQIt8AFgMPxFASAAEBAw0Q8AAABsEATy89YQ -/hBAMCsg1ykg1iwg2PiZEQuABDrg/KoCCAkAXnD6mQMCABBQMPrzzRgJAFZwCYcUCHcB90QAKABA -VnApRAEmINkmRAIlINolRAMiINsiRATzRAUgABAQMNEPAAAAbBAEKCANyYIrIS3aMPsLRgIAAGFw -W//h0qDRD9ow+0wAAgAAYXBb/8HSoNEPAAAAbBAGHPOzJyAHjTEuMQWPM4o0mhCJNZkRiDb4FgIg -KBBYMPgyByYgATww+BYDIAIQUDBYAUMrIAWMIm64O/jzlhBfALcgDHYR+vOjFgAgQbAtYjoKegr6 -opckvAE7YCliOfqbAQ4AKdZQ+iwAAgAAYPBar1jAtCtmOQUMR2jCGIon+0wAAAAQYDD6rCAiAABp -MFqk69Kg0Q/AINEPAAAAAPosAAIAAFjw/EwAAgAAaXBaqffSoNEPAPosAAAwAlnw/AoBIAQQaDBa -rSxj/9EAAGwQBCggBI0g/iEJKDgAOiD6CgIgABBYMPzzfBIAAHjwWAETxirRDwD/PAAABRBQMPzz -dxAAEFgwWAENwCDRDwBsEATeMP0iACACEFAw/PNwEAAQWDBYAQbGKtEPbBAEAtJC0Q9sEATLKcBQ -9/rwLwAQMDDTD20IDXJgDQKCFPQgHmAQAilwY//pcnANAkIU8AAHYAgCKXAAsVUCEhRlL/fSUNEP -wCDRD2wQBCYgAiggACcgAfQKACAQECgw8yADI4AEOiDwQQQCCQAR8PAiGgeABDmg8FEEAgkANPAA -MxoDIgLRD2wQDJUWFfNK8lLoIgAASLCTHZYYKCIWlxuUF/Q8AAKiADYgK1IW8woAIpcANuCSFfkW -BCCQAkEw+BYJIAAQMDD2FgogABB4MJ8cFvMqYABfiRyJmMmVixyMuZnAjbj81gEgABBQMJq4mrkq -tguOG4oaiRwoQhP7EgkgAgJSsPoWCiBAAnpwn4CYmZuY/0YTIAEAh6D/AgACAPyDoPtSFiAAEGgw -nRyxM/8CAAoA8tjQKGJuJ1LiqDgJiBGod4p3LqkUZO/gKXAFLAqW8qIJIa4EYnBkL8+OcI9AfvHI -ixWKFCuyFpsQiqCaEYlKiZCZEoh6/PMQEgAAaPD4ggAgMBBYMPgWAyAFEFAwWACgjBv/AgACAFaP -IP8CAAAAUqcgixeJIoojC5kMixb4CgEgABBwMAmOOPuqDAAAEHgwCo84/wIACACAe5CMHGTPTsCg -LCA4LyA5+yA6IBAQSDD4IDstgAQ7IPChBA4JAGfw8P8aC4AEPuDwkQQICQBaMACIGgj/Ahzy7S5w -BY1wiCOJIvkWACAFEFAw+BYBIDAQWDBYAHuMHIrCK/qa+8QFLqMANqB+p2iLHMedCakBmbJj/o+N -G/8CAAQAjJ9gwKAsIDgvIDn7IDogEBBIMPggOy2ABDsg8KEEDgkAZ/Dw/xoLgAQ+4PCRBAgJAFow -AIgaCP8CjRj/AgAP/6F7UIhAj3COHAj/DA9+OZ4cY/8sAAAZ8sf/AgAP/xZWUGSgqMCwbQgQLfoA -etAuCooU9KBAYBACWvBj/+iLQIpw/wIAB/9+XpCOFI16juCN0IwcDt0MDXw4nBxj/uLH8HrwDApK -FPAABmAIAlrwsbsKGhRlr/f6Egwv/xBgMFqq4WP9xAAAAAAAAMAgiBrMi4ob+qz7IAIQSDAKkjn8 -8qcQBRBQMP4SCiAwEFgw/xILIgAAaLBYADPRD8Cwmxrz/85gABAQMAAAAAAAAADz/6VgABBYMAAA -AMCi/PKYEDAQWDBYACjz/6NgFhAQMGwQBBjylC1gBy9hByxhCP0pQAwgAWww/w9KDQAEP2D6mRAP -wAQ/4Pn/AgwJAGsw/fJzHgkAR/CfIB/yh45glCOdIvjuEQwJAHsw/CYELgkAcPD+JgEgABBwMJ4l -AEeNAgKP/yYGILAQcDCeJy1gDCtiBwDdEfuyDiwJAGsw/CYEIGACYXD8JgcgUAJQsFv3Bgw4Eagi -0Q8AbBAKGPJxG/JvJhYIKYCCK7GmKoIeJxYJ9RYHK4AEPuD7pQgKAHiWUAvqMBryaC+if/aMAAoA -cf7QHfJTK6Z/F/JkKqJ+/dIxIAAQYDBYAHabUfJUDiAgAklw/nIAIBgQaDDzVA8gChBAMPpWACAk -EBgw/lYCIAICe7D/dgAgDBBQMG2qBQAEhgBJYdpQ+FTOICACcHD+FgEgYAJ4cP8WACAAEBAw8lTP -IAwQQDD4FgIgCBBIMG2aMfiMBCIAAFuw+BYCLAAEQ1BgAA4AwZ35igZyAABb8NgwmBKrjCzN/yzC -P/ymNCAIAlKw+xoAIgAAUXBYAikd8jcoYUAt0pKxiP2NFAngAUAwfYkEImVA0Q8oZUDRD9EPAC6i -fvbyKxACAnOwLqZ+Y/8PAAAAbBAIHPIqAGWOGvIpKSIYjyAjIActkASImv6SACB4EFgw+5QFJOAB -KDD7JAUoCQBSMPiWCiIgARww8xYAIAUQUDD2FgEgMBBYMFv/ovosAAAQEFgw/RwQIgAAYPBarZ35 -8hUQSQA2oIggwLD7pAkoCQBKMJigjymfoR7yDww9EfwSBCwAIHdw/NYAIjoAOSCKJ/sKASAAEGAw -+qwgIAEQaDBao0zAINEPwCDRD9og/PIDEgAAWbBarWnAINEPAAAAAAAAAGwQCCMWASIWAPUWAyIA -AFDw9BYCIgAAWXBYAY8oEgKCEAODKAUiKKMi8qIIAgAAGvDRDwAAAAAAbBAI2iD2PAAAIBBgMPtc -AADnADUg908ECgAHoJDzCgAgABAQMNEPAPfIDAKQADXgAIAEAgMZAHEEBU0Y9i8YD+ABaDDxBAQD -8AFoMAI0LgIzLPPsKAngAXww/0QYAgAAWPD8SxpyAABRMATaCP2jD3H+AljwfKsH+toIAfwCWPAM -rwwC+C4C/yz/7CgPAAQ6IP6eAgIAAFPw/OsZcgAAE7Cu0v0jD3H+AlPwfCsH+vz+IgAgE3D8IgwD -AAQ+4PBxBAIJAB6w8FsaAgAAUPBYAVR6IxLwcQQOAF7QkABoGv8CAAoAWVoQ8goAIf4CGPDRDwAA -AAAAAAD5XwQKAE+okMiaAJEEAFsaAyIYADMaAQQE+wxPDfABXDANJC4NIizyyigJ4AEYMPNEGAIA -AHiw+ksZcgAAcTCrTvvjD3H+AniweusH/r4IAfwCeLAK6gwNqS4NrSz9zigNAAQ6YAyMAv7LJHIA -AFNwq8z7wxpx/gJTcH7LEvDzEQH8AlNw86MCAAAQEDDRDwAA8xHzowIAABAQMNEPwCDRDwAAZFFL -D78EZPFND8kMAPEEALsaAJAE+gQZDfABXDANRS4A8QQNRCwAqBrwkAQN4AFYMATOKAYCGQDxBABj -GvEEBAIJAECw8lUYAgAAeTD+WxlyAABRcKta+6MPcf4CeTB+qwf6uggB/AJ5MP6oDAXgARQwDYQs -DYgu9MIoCQAEOiD4VQICAABxMPJbGXIAAFFwq1r7ow9x/gJxMHKrB/q6CAH8AnEwAP8R8qIMDgkA -f7ABBAQNJS4NJCz0yigJ4AEYMPNVGAIAABEw+lsZcgAAcXCrXvvjD3H+AhEweusH/r4IAfwCETAK -6gwNqS4NrSz9zigNAAQ6YAyMAv7LM3IAAFNwq8z7wylx/gJTcH7LIfPc/ikABDig+DMCAgAAE/DR -D3JDAnUzHfMKASAAEBAw0Q8AIxHzowICAAAT8NEPAAAAAAAAAPMKACAAEBAw0Q/AsQW7LGP+qwui -DPsNXw3gAVgw8/9KYAEQeDAAAABsEAraMPssAAAgEGgw/FwAARcANSD2TwQKAIWkkGRhtwbXDABw -BAICGQBhBAVEGPO+GA/wASQwDyguAQQEDyIs/ogYDeABJDAC3Cj4FggiAABYsPyLGXIAAFIwqEr0 -ow9x/gJYsHyrB/pKCAH8Aliw/K4MA+ABcDAP6C4P7iz+3CgNAAQ+IP0tAgIAAFOw/NsZcgAAE3Ct -QvQjD3H+AlOwfCsH8kIIAfwCU7DwYQQPAAQ+4PBVGgoJAHqw/CIMAgAAWXBYAJX9rAACAABy8PBh -BAoAC1SQ8DwaAFIIULB7yyFgAAkAAAAAAGEEADwa9esMAAEQUDD02AwKAANfkMCgCooMCi0MC8oM -8HEECgBV1xAA2BoAYAQKAxn9AhkCCQBE8NEP0Q8AAP5fBAoAa6iQyOoA4QQAXBoDIhgAOhoBBAT8 -C08N8AFkMA0jLg0vLA+/KAozGP87D3IAABDwrDJ8IwV/KwICwgj/KgwJ4AFQMA2pLg2qLPq7KA0A -BD5gDY0C+9sjcgAAU3Cs2nyjGXurFvDgBAoAIFMwC6MM8wMZAAAQEDDRDwAAAOAEC6MM8wMZAAAQ -EDDRD/BxBAH+AhNwACgaAGAECgMZ8gIZAgkARPDRDwAAAAAA9TwMCgAGFRD/AgAKAIss0HwzCfSy -DAIAABsw0Q8EsgzyLP8iAAAbMNEPAGRQ9Q7PBGTg+w7aDADhBADMGgCgBPsJGQ3wAWQwDZQuAOEE -ALgaDZksAKAEAwIZAOEE8DoaC+ABZDAJsyjxBAQCCQBAsAJEGPNLDnIAAHkwrE988wRz+wGvz/P5 -DAPgARQwDZguDZIs8rIoCQAEOiAIMwLyOw5yAAB48Kw/fPMEcvsBr88C8gwBBAQNIy4NLywPvygK -Mxj/Ow5yAAAQ8KwyfCMEfysBosL/KgwJ4AFQMA2pLg2qLPq7KA0ABD5gDY0C+9shcgAAU3Cs2nyj -F3urFPDgBAoAIFMwC6MM8wMZAAAQEDDRDwDgBAujDPMDGQAAEBAw0Q/RD8DBBcwsY/8BAAAAAPyy -DA3wAWQw8/93a+ABZDBsEAIDBV/1JhwF8AEQMANLHKtm+QoAKgADWZCxmQEEBAaZGAMrHABmGqtm -e2sBsZkFQhz5IggCAAAZsNEPAAAAbBAC8EEEDAAGiSADIhgAMxrRDwDwMhoAABAYMNEPAABsEALw -QAQMAAaJIAMjGAICGdEPAPIDGQAAEBAw0Q8AAGwQAgLqMNEPbBACzCUD8DFgAA8AbyIFA/ExYAAF -byMFA/IxAAIA0Q9sEALMJQLwMNEPAABvIgQC8TDRD28jBALyMNEPwCDRD2wQAiIKgCMKAG0oDig3 -QCg3RCg3SCg3TCM9AdEPAAAAbBACIgqAIwoAbSgOKDdQKDdUKDdYKDdcIz0B0Q8AAABsEAImJwDR -D2wQAiUnANEPbBACAgRFpDMjPD8DYxRtOQUmJwAiLEDRDwAAAGwQAgIERaQzIzw/A2MUbTkFJCcA -IixA0Q8AAABsEAICBEWkMyM8PwNjFG05BSUnACIsQNEPAAAAbBAC0Q8AAABsEAIC5DHRDwAAAAAA -AAAAAAAAACAGvzggBsJAIAbZUCAGwlgAAAAAIAbcFCAG3PQgBraAAAAAAAAAAAAAAAAAAAAAACAG -sjggBrCwAAAAACAGr+ggBq/gIAau8AAAAAAAAAAAAAAAAAAAAAAgBqtYIAarSAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAACAEz6AgBNSQIATVXCAEyagAAAAAAAAAACAE1bQAAAAAAAAAAAAAAAAgBNQIIATTZCAE2IAg -BNZgIATLtCADPDwgAz0UIATUmCAEy1QAAAAAAAAAACADPYAgA0H8IANBoCADQLwgA0BkIAM/MCAD -PrgAAAAAAAAAAAAAAAAgAz4gIANAECAE2IAgBNZgIAM7ZCADPDwgAz0UIATUmCADOuQgAyi0IAgo -LCAIKUggAzrcIAP15CADM1AgAzIQIAMw7CADONwAAAAAAAAAAAAAAAAgAzf8IAM2ICADLhQgA/aI -IAMv1CADKaggAy9QIAMw5AAAAAAAAAAAAAABAgABAAAAAAAAAAAAAAEAAQIDBAUCMjIAAAAAAAAA -AAAAAAAAAAIAAAAAAAAAAAAAAAAAAAADEAAAAAAAAAAAAAAAAAAAAAAAAf8BAAAAAAABAAAAAB/8 -4TAAAAAA4AAA4AEAAAAgCRjoAAAAASAJDxwAAAACIAkJ8AAAAAEgCQZgAAAAASAJAAAAAAAEIAjs -PAAAAAEgCOswAAAAAQAAAAAAAAAAAAEAAQAAAAAAAAAAAAAAAAQAAAAIAIkGAAAAAAAAAAAEAAAB -CACJFAAAAAAAAAAABAAAAiABDLwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAgA -iQYAAAAAAAAAAAQAAAEIAIkUAAAAAAAAAAAEAAACIAEMvAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAEAAAACACJBgAAAAAAAAAABAAAAQgAiRQAAAAAAAAAAAQAAAIgAQy8AAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAQAAAAIAIkGAAAAAAAAAAAEAAABCACJFAAAAAAAAAAABAAA -AiABDLwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/AAAADv8AAQAAAAAAAAoBAIEA -CgEAAQAKAQABAAoBAAEADgMBAQAe/4GBAB4CgQEAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAABGwQDAwEbAgMDARsDAwMBGwIBAQEfBIGBASv/gYEBKgGBgQEpAYGBAR8DgQEBHwOBAQEs/4GB -AT0CgQUBPP+FAAE8/4UAATkBBQUBPg8FBQEuBIGBARsCAQEADgKBAQEuAoGBAA4CAAEADgKBAQAO -AgEBARoBgYEBDgIBAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAHAMB -AAAOAwEBAE8EAQEAXwQBAQA8BAEAAAAAAAAAbP8BAQBMBAEBAB4CAQEAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAABzAAAAfQAAAIcAAACRAAAAAB8AAAAAAAABAAAAAAAAAAIBAAAAAAAA -BAIAAAAAAAAGFAAAAAAAAAcSAAAAAAAACAMAAAAAAAAKFQAAAAAAAA8TAAAAAAAAEAQAAAAAAAAg -BQAAAAAAAEAGAAAAAAAAgAcAAAAAAADAFgAAAAAAAQAIAAAAAAACAAkAAAAAAAMAFwAAAAAABAAK -AAAAAAAIAAsAAAAAAAwAGAAAAAAAEAAMAAAAAAAYABoAAAAAACAADQAAAAAAQAAOAAAAAACAAA8A -AAAAAMAAGgAAAAAA4AAbAAAAAAEAABAAAAAAAYAAHAAAAAABwAAdAAAAAAHgAB4AAAAAAgAAEQAA -AAAGIXoAAAAFAAAABAAAAAEAAABSAAAAEwAAABsAAAAWAAAAEAAAAAAAAAAAAAAAUgAAAFsACBsy -AAAABgAAAAwAAAACAAAAZwAAAAAAAAAdAAAAFAAAABAAAAAAAAAAAAAAAFcAAABjAAmJaAAAAAcA -AAACAAAAAgAAAP0AAAADAAAAHgAAABoAAAAQAAAAAwAAAAAAAABbAAAAaAAKIf8AAAAHAAAAAgAA -AAIAAABnAAAAHAAAAB4AAAAeAAAAUAAAAAAAAAACAAAAXAAAAGwACrqVAAAACAAAAAoAAAADAAAA -9QAAAAEAAAAeAAAAGgAAABAAAAABAAAAAAAAAF0AAABtAAsgTwAAAAgAAAAKAAAAAwAAAGoAAAAC -AAAAHgAAABoAAAAQAAAAAgAAAAAAAABeAAAAbgALp/EAAAAIAAAACgAAAAMAAAApAAAAAgAAAB8A -AAAcAAAAEAAAAAIAAAACAAAAXwAAAHEADDUAAAAACAAAAAoAAAADAAAAUgAAABMAAAAbAAAAGwAA -AFAAAAAAAAAAAAAAAGEAAABzAAxC8wAAAAgAAAAKAAAAAwAAAPUAAAABAAAAGwAAABsAAAAQAAAA -AQAAAAIAAABhAAAAcwAMtzYAAAAJAAAABgAAAAMAAAD9AAAAAwAAABwAAAAcAAAAEAAAAAMAAAAA -AAAAZAAAAHAADRzvAAAACQAAAAYAAAADAAAAKQAAAAEAAAAcAAAAHAAAAFAAAAABAAAAAgAAAGQA -AABxAA491QAAAAkAAAAGAAAAAwAAACoAAAAfAAAAHwAAAB8AAAAQAAAAAAAAAAIAAABmAAAAcgAO -1b4AAAAKAAAACQAAAAQAAABqAAAAAgAAABwAAAAcAAAAEAAAAAIAAAAAAAAAZgAAAHcAD0JAAAAA -CgAAAAkAAAAEAAAA/QAAAAIAAAAdAAAAHQAAAFAAAAACAAAAAgAAAGYAAAB3AA+8UgAAAAoAAAAJ -AAAABAAAACkAAAABAAAAHQAAAB0AAABQAAAAAQAAAAIAAABrAAAAeAAQRgQAAAAKAAAACQAAAAQA -AABqAAAAHwAAAB4AAAAeAAAABQAAAAIAAAACAAAAawAAAHkHADsAAgA4AAQDOwEAAAAAATYBbAGW -APABIAFTAOEBMQFhALwA5AELAPUBIgFJAMYA6wEPAMIA6gETAJ0AvwDeAMsA7QENAKoAyQDkAKMA -xADgAIEAogC9AK8AzADjAJQAsADGAIsAqAC/AAAAgQCdAJoAswDHAIEAmwCvAG4AjwClAAAAAAAA -AIkAnwCxAG0AiACbAAAAYQByAAAAAAAAAHoAjwCfAAAAcgCHAAAAAAAAAAAAAAAAAAAAAAAAAAAA -+wEmAWoA2QD/ATYA7gEuAYwAqwDTARMA1gD5ASoAuQDaAQoAtwDiATAAjACtAOEAugDZAQYAogC+ -AOcAlgC3AOoAZQCMALoApAC/AOYAjgCoAM0AegCYAMMAAAAAAJQAkQCqAM0AewCVALcAAAB6AKMA -AAAAAAAAgACYALgAZACBAKMAAAAAAH0AAAAAAAAAbQCGAKUAAABmAI4AAAAAAAAAAAAAAAAAAAAA -AAAAAAEAASkBVQDOAPMBFQDHAO4BFgChAMMA4ADNAO0BDACrAMoA4wCiAMEA3QB9AJ4AtwCtAMkA -3wCTAK0AwQCGAKIAuQAAAAAAiwCXAK4AwAB9AJYAqQBMAIEAmQAAAAAAAACFAJoAqwBiAH8AkwAA -AAAAAAAAAAAAAAB2AIkAmQAAAFYAeQAAAAAAAAAAAAAAAABmAHoAiQAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAN8BAwExAL8A3wEQALwA5AEsAI8ArwDiAL0A3AEHAKQAvwDnAJYAtgDmAFcAhgC0 -AKQAvwDkAI4ApgDKAHYAkwC8AAAAAAAAAI8ApwDIAHgAkQCyAAAAbQCZAAAAAAAAAHwAlACyAFoA -egCYAAAAAAAAAAAAAAAAAGcAgACeAAAAAACBAAAAAAAAAAAAAAAAAAAAagCKAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAA2wEAARsAtwDXAPAAsADPAOgAkACuAMYAswDOAOQAmQCyAMYAjQCnALwA -AAAAAI0AmQCvAMAAgACXAKkAAAB8AJQAAAAAAAAAhQCZAKgAWgB7AI8AAAAAAAAAAAAAAAAAdACH -AJUAAAAAAAAAAAAAAAAAAAAAAAAAYQB1AIMAAAAAAAAAAAAAAAAAAAAAAAAAAABgAHEAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAADMAOsBGACyAM8A9gCpAMwBAACCAKEAygCtAMgA7QCYALEA1QCD -AKAAxwAAAAAAlgCVAK0AzgCAAJgAuQAAAHUAnQAAAAAAAAB/AJYAtABVAH0AnwAAAAAAAAAAAAAA -AABmAIAAnQAAAAAAfQAAAAAAAAAAAAAAAAAAAGIAhgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAXwAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAD/8P/w/8AP8P+AnbD/APpQ/gCZkPwACZD4AAAA8AAAAP -/A/8D/gF/A/wD7QP4AW0D8AAtA+AAAAPAAAADAAAAA/AAAAOwAAAD4AAAA6AAAAPAAAADgAAAAwA -AAABAAAAAAE4gAAAaCoAAE4gAAGGoAABhqAAAgjVAAII1QAAACgAAAAyAAEEagAAaCoAAEpnAAGG -oAABhqAAAgjVAAII1QAAACgAAAAyAAFbAQAAaCoAAFAgAAII1QABhqAAAgjVAAK2cQAAACYAAAAy -AAEpbgAAaCoAAE0pAAII1QABhqAAAgjVAAK2cQAAACYAAAAyAAEEQQAAaCoAAEpnAAII1QABhqAA -AgjVAAK2cQAAACYAAAAyAAF0BgAAbIEAAFQBAAKLCgACCNUAAosKAAK2cQAAAB4AAAAtAAFFhQAA -bIEAAFFhAAKLCgACCNUAAosKAAK2cQAAAB4AAAAtAAEhWgAAbIEAAE7qAAKLCgACCNUAAosKAAK2 -cQAAAB4AAAAtAAEEagAAbIEAAEyXAAKLCgACCNUAAosKAAK2cQAAAB4AAAAtAAGGoAAAb5sAAFbO -AAKLCgACCNUAAosKAAMNQAAAAB4AAAAoAAFbOAAAb5sAAFR1AAKLCgACCNUAAosKAAMNQAAAAB4A -AAAoAAE4gAAAb5sAAFI8AAKLCgACCNUAAosKAAMNQAAAAB4AAAAoAAEcGAAAb5wAAFAhAAKLCgAC -CNYAAosKAAMNQAAAAB4AAAAoAAFsdAAAcuMAAFdjAAMNQAACiwoAAosKAAMNQAAAABsAAAAjAAFL -UgAAcuMAAFVYAAMNQAACiwoAAosKAAMNQAAAABsAAAAjAAEvtgAAcuMAAFNlAAMNQAACiwoAAosK -AAMNQAAAABsAAAAjAAEYWQAAcuMAAFGIAAMNQAACiwoAAosKAAMNQAAAABsAAAAjAAF6ugAAdl8A -AFo+AAMNQAACiwoAAosKAAMNQAAAABkAAAAjAAFbKwAAdl8AAFhWAAMNQAACiwoAAosKAAMNQAAA -ABkAAAAjAAFBXgAAdl8AAFaBAAMNQAACiwoAAosKAAMNQAAAABkAAAAjAAEpkwAAdmAAAFTBAAMN -QQACiwsAAosLAAMNQQAAABkAAAAjAAERKgAAb5sAAE/dAAII1QACCNUAAosKAAMNQAAAAB4AAAAo -AAEXBAAAbIEAAE4gAAKLCgACiwoAAosKAAMNQAAAABsAAAAjAAAAACtoI4MYag0GCyoAAAAAAAAA -AAAyAAAD6AAAACgAAE4gAAAAAAAD0JAAmJaAAA9CQACYloAAABwgAAAASwA9CQAAA9CQAJiWgAEB -AAADAwICBQUEBAcHBgYAAAAyAAAD6AAAAGQAAMNQAAAAAAAD0JAD0JAAAExLQAPQkAAAABwgAAAA -SwA9CQAAD0JAI8NGAAMCAQAHBgUECwoJCA8ODQwAAAAyAAAD6AAAAAoAAAH0AAAAAAAAA+gAmJaA -AA9CQACYloAAABwgAAAASwA9CQAAA9CQAJiWgAMCAQAHBgUECQkICAsLCgoAAAAAAAAAAAABABAA -EQAeAA0AEgAHAB8ADgALABMAFQAbAAgAFwAgAA8AHQAMAAYACgAUABoAFgAcAAUACQAZAAQAGAAD -AAIAAQB7AHUA+wD1AEUAbwB9AHcAXwBpAMUA7wCjAD8A/QD3ALsAOQDfAOkAzwCdAEcAcQAPAFkA -JQC/ABMAYwB/AHkAbQBdAD0AuQCbAA0AYQBrAAsACQBRAB8AMQBTAMcA8QAhALUAjwDZAK0AMwCl -AEEAVQCXAJMA4wApAMkA/wD5APMAwwDtAN0A5wAjAL0AOwC3AE8AHQCNANcAkQDhAOsA2wAbAIsA -iQCHAK8A0QCfADUALQCxANMAFwCnAEkAcwBDAGcAoQA3AM0AVwARAFsAmQAHAC8AswCrAJUAJwDB -AOUATQDVABkAhQArABUAZQDLAAUAqQBLAIMAAwCBAAAA+gD0AHwAdgDEAO4A/AD2AN4A6ABGAHAA -JAC+AH4AeAA8ALgAYABqAFAAHgDGAPAAjgDYAKQAQACSAOIA/gD4AOwA3AC8ADoAHACMAOAA6gCK -AIgA0ACeALAA0gBIAHIAoAA2ABAAWgAuALIAJgDAANQAGAAUAGQAqABKAIAAegB0AEQAbgBeAGgA -ogA+ALoAOADOAJwADgBYABIAYgBsAFwAmgAMAAoACAAwAFIAIAC0AKwAMgBUAJYAKADIAPIAwgDm -ACIAtgBOANYAkADaABoAhgCuADQALAAWAKYAQgBmAMwAVgCYAAYAqgCUAOQATACEACoAygAEAIIA -AgABAAMAAgAEAAAAAAAAAAAAAQACABwAGwAWABUAHgAdABgAFwAMAAsAEAAPACAAHwAaABkAFAAT -AAoACQAOAA0AEgARAAgABwAGAAUABAADAAEAAAACAAQAAAAAAAAAAAAAMAQAADAQAABZ3AAAWoAA -AFqEAABaiAAAWowAAFqQAAGUMAAAECQAABAwAAAQPAAAENwAABEMAAB7LAAAezQAAeKMAAHmjAAB -6owAAe6MAAHyjAAB9owAAfqMAAH+jAAAjcwAAI6AAAB+dAAAj9wAAZFYAAGScAAAj/wABBMcAAQT -GAAEExAABJMcAASTGAAEkxAAAHfgAAB35AAAd/QAAHgEAAGcPAABEHQAARCEAACUCAAAmFwAAJYM -AACWFAAAliAAAJYsAACWxAAA0CgAAJAIAAMI3AADSNwAA4jcAAPI3AADCOQAA0jkAAOI5AADyOQA -AZBUAABgHAABoNgAAZCQAAB34AAAd+QAAHf0AAB4BAAAeNgAAHjgAAB49AAFAHgABQh4AAUTCAAB -lAQAAePAAAHnwAAB68AAAe/AAAHzwAAB98AAAfvAAAH/wAABlAwAAAAAAAAAAAAAAAAAADAAv/// -QAAAMAi///9AAAGUEJ////8AAZQ0AAAAEAABlAif/+P/AAGUOAAAABAAABAo4f///wAAECzh//// -AAAQNAH///8AABA4Af///wAAEEAABAAAAAAREAD///8AABEUAP///wAAeygAff/iAAB7MD//7v8A -AHs4AAAAAQAAe0A//+7/AAB8JAB9/+IAAeKIAAgAAAAB5ogACAAAAAHqiAAIAAAAAe6IAAgAAAAB -8ogACAAAAAH2iAAIAAAAAfqIAAgAAAAB/ogACAAAAACNyP////AAAI3Q////8AAAjnwAAADwAACO -hAAAAPAAAH5wf7//fwAAfnh/v/9/AAB+AALAAAAAAZFUAf///wABkZwB////AACP2AN///8AAI/4 -/////wAEExQAAAAHAAQTDAAAAAsABJMUAAAABwAEkwwAAAALAAB33AAAAAMAAHf0/////wAAeAQA -AAADAAGcOAABwEAAAZz4AAHAQAAAkAQAAAA+AAEQeAD///8AARB8AP///wABEIgAAAAAAACUBAAA -//8AAJQQAAD//wAAmFQAAAH/AACYWAAAAf8AAJYIAAAAAAAAlhwA////AACWJAD///8AAJYoAP// -/wAAljAA////AADQIAAAAAcAANAkAAAABwADCNgAAAADAANI2AAAAAMAA4jYAAAAAwADyNgAAAAD -AAMI5AAAAAMAA0jkAAAAAwADiOQAAAADAAPI5AAAAAMAAZBQAAAA7wABoNQAAAGDAAGQjAA4AAAA -AZCYADgAAAAFAHQAAAAHAAUAcAAAAAUABQh0AAAABwAFCHAAAAAFAAUTBAAAAAEgAxCwIAjYHCAD -ELQgCOeIIAMQwCAI5pggAxDMIAjmSCADEOAgCOWcIAMQ+CAI5DAgAxEIIAjjGCADERAgCOLwIAMR -JCAI4sggAxE0IAjimCADEUAgCOF8IAMRSCAI4VQgAxFcIAjhICADEWggCN+8IAMRcCAI3xQgAxGA -IAjeECADEYwgCN0AIAMRnCAI2/ggAxGsIAjazCADEcQgCNecIAMR3CAI11AgAxHwIAjWvCADEgQg -CNaUIAMSGCAI1ZQgAxIcIAjUpCADEiggCNOkIAMSMCAI03wAAAAAAAAAACADEjwgCNM8IAMSQCAI -0sAgAxJIIAjSiCADElAgCNJQIAMSXCAI0hggAxJgIAjR4CADEmggCNGoIAMSdCAI0XAgAxJ4IAjS -+CADEoAgCNE4IAMSiCAI0QAgAxKQIAjOICADEpggCNCwIAMSpCAI0KggAxKsIAjQcCADErQgCNA4 -IAMSvCAI0AAgAxLEIAjPyCADEIggCMvgIAMSzCAIy6ggAxLUIAjLcCADEtwgCMs4IAMS7CAIywAg -AxL0IAjKyCADEvwgCMqQIAMTBCAIymggAxMQIAjKQCADExwgCMoQIAMTNCAIyeggAxNQIAjJwCAD -E2AgCMmYIAMTcCAIyXAgAxOAIAjJSCADE5AgCMkgIAMToCAIyPggAxOwIAjI0CADE7wgCMioIAMT -yCAIyIAgAxPUIAjIWAAAAAAAAAAAIAMT4CAIxyQgAxPkIAjFNCADE/AgCMRUIAMUBCAIxCAgAxQM -IAjD7CADFBggCMO4IAMUHCAIw4QgAxQgIAjDUCADFCQgCMMgIAMRLCAIwiAgAxQ0IAjB+CADFDwg -CMHQIAMQlCAI6oAgAxCcIAjptCADEIAgCOkEIAMQqCAI6GAgCwAAAABBjAAAQYgAAEGGAACAAAAA -QYEAAKgZAACoGgAAwwAAAKgbAACoHAAAqBcAAP//AAAQBAAA5Z8AAB8RAADuCQAA//4AAOr/IAsA -IAAA/wAAAKgYIAsAYAAAYA0AD0JAAADerSALAKAgCwDw4QBeAP7//v8BAAAAAQABACAwAAAgC3tA -IAt7YAAAIEAAAYagIAt7kAAAQA0gC3vAIAt78AAAMNQgCwFQAABhqAAA/+kgC0iAH/+cuB//nMgf -/5OwAIAAAP+fAAAgCAEAABAAAEAIAAACAAAA+MAAAAAAYCz/f///IAulgOEAcgAf/5xM4QQSAACL -FEDhA/4AAAQASOEEAgAABAAhhhAAAAAEAECAAAAAgBQAA4AWAAOAEgAjgBGyA4AQAAUAKvEvAAGG -nwAK8S8gC6XAAAQACCALSKAf/5uQIAdYgB//rfQgC6aA4AAAAOEAdgAgC6XwIAumMCALSNAf/56Q -H/+cmOEBmgA/////AAGeDOEBngAAAZ50AAGerAABntgAAZ7wAAGfHB//npgAAf//AAGfTAABn5wA -AZ+w4QB6ACALSfAgC0pAIAtKoAAAD/8gC0sAIAtLcCALS7AgC0vwIAtMMCALTHAgC0ywIAtM8CAL -TTAgC01wH/+ctCADDrDhBJIAIAMOUP8A//8ADAAAgAAAH4AAAD8f/5yw/8D//x//rgQAAAgAACYM -ACALTbAgC04QIAuoECALp6AgC6bAIAunACALp1DhAC4ABAAAAB//lZThAFYAAoBQAIAAAIAAAEAA -//EAgAHwAACOAeCAAAAfACAIAAAgCBP0AAAU8OEBkgDhAA4AAAEAAAACAAAAfwBAAAMAAACCAIAA -wQDA//8j/wAAyAAQIAEgH/+cBB//rjzhAH4A4QCOADwAAAD//7//UGjoR5IAAADwAPAA37//+yAA -AAR/9///gABCAP/3/38ABgAA/wAAAABJJJIAAH4YEAEQAQAAfkAgASABFRUVFYQhhCEQEBAQ4QGO -AAAA/n8EBAGAH/+qzB//lWzMzMzMiIiIiEREREThAM4A4QCOBOEAjgjhAI4M4P/+ACAIDaQAAAjA -gAHEEcQRxBEA/wD/AEAAQP//P/8gC6jAIAuo8P8P///MiEQAIAuogAgICAggC6kg///gAUREAADM -zIiI//D/AAACADMqKhUV/v///x//m3Qf/6u8H/+tsB//mVgf/51wH/+d0B//mzwf/640AAD//R// -qzTjAAIA4v//ACALUGAgCOhgIAuqECAAAAAAMAAAAGAAACBgAADQAAAAIAupUCALqeAAABAAIAMI -yB//sDAf/6w0H/+AsB//rLD///AAIAuq4CALUqAgC1MgIAtTkCALqpAgC6pQIAuqsB//rEwgC1Qg -AAQAAOEAMgAf/4DgH/+BIB//gWAf/5rM/4AP///+AB8AAHtgH/ziAAgAAAAf/5yoH/+VqB//qrgf -/5UU4QL+AOEDvgDhAz4A4QN+AOEB4gDhAQ4A4QCSAP//8P8f/6mwAAAJAOEBDgThAQ4I4QEODP/w -AAAAAoAG//v//yALXGAgC1zAH/+B4B//nCgf/5swH/+uOBAAAAD/8P//IAMMgB//mbAAAH5M4QCK -AAAA8AAgC10wIAtdkCALXfAf/64IH/+sKB//qiAgC14wIAtesCALsMAgC15gH/+dZCALXzAgC17w -H/+bsCALr0AgC1+gIAtf4CALsbAgC7EQ39AAACALsVAf/6xAH/+sBP+AAAD//+AAIAtgICALYFAg -C67wIAMMjCAHVFQf/634IAtgkB//rEQf/7LMH/+zAB//rIQEAAAIEgAAACALrGAf/7G8gQAAAAAA -MAAgC6yQIAMMiB//sRwf/7PYH/+usB//sxhJAAAAIAursCALq/AgC6sAIAurYC4ANgAADwP/AxEA -AAMVAAAgB1KAIAtg0B//q2Af/5pQH/+qDB//nYwf/57AIADEIB//7TAf/+x8H/+trB//7jQf/6tE -AgCCEAIAAhAAACAAAgAAEAAaAAAA+saIACAAAPAAAAAf/6w84QMGACAHUtQgB1N8H/+B8CALYSAg -C2DwIAthUCALrpD//3//AAAyAB//m1wf/510IAuusAAA+AAAPDw8H/+xMB//lhgf/5nUH/+tnAoU -KFAgCA8EH/+sOCAHVBAf/5WcIAMOtB//lEj//8f/AAL/wB//mwQgC7BwIAuwECALsqAf/5usIAth -8CALskAgC7IQIAthgCALsnAgC6+gH/+bqB//m6Af/6m4H/+ptB//gnAf/6qQH/+q5B//m+Af/5vc -H/+b1B//m9Af/4KQH/+q6CADCTAgAws4IAdUYB//grAf/6pwH/+qZB//qmgf/6psH/+qoB//qpwf -/6qYH/+qlB//qogf/6p8H/+qgB//qoQf/4LgH/+p8B//mygAAEADH/+UtB//qaSH////H/+cCB// -m4wf/5xoAA//8CALZuAf/4NwIAtnQB//g4Af/65UIAtngB//nIQgC2fQH/+cWCALaAAgC2gwH/+D -kB//m8gAACWAIAtoYCALaKAf/5lwH/+DsB//m3Af/5oEH/+ZbB//g7j//wAAA+f8GCALaNAf/4PA -H/+rICADCYQf/6scD////yADDuAgC2kwIAgT5P//6yAgCBOU///rcCAIElQgCBOM///ssCAIEXQg -CBJM///tkB//m+wD///gAARyDAAEchQABHIcAARwFAAEcCgABHAgAARwLAAAhYA////gAARAJAAE -QEwABEokAAQA4AAEAAQgC2vQIAtsAAAEAsQABALAIAu3gCALt7AABHBkIAu34AAEQcAABEHEAARB -yAAEQHgABEDcAARBQAAEQUQgC2zQIAttECALbUAgC2wwIAtscCALbKAABHBYAAAQKAAEQWAgC22Q -AAB3dwAAMzMgC27QIAtt0CALblAgC26QIAtuECALbWAAACAoAAR0GAAESsAABEDAAARAxAAEQfAA -BEH0AAR0FCALt0AgC2/wIAtvsCALb3AgC28wIAtu8AAEAnAAAAjwAAQCdAAEAnwABAKQAAC/KAAE -cFwABHQAAADwBgAES/AABHIoAAGbLwABYx0ABAEwAAQA7AAEAQgABHYAAAQBBAAEdgQAAP/4AAQB -AAAEAOQABHYIAAD/wQAEcgAAAFVVAARyBAAEAPgABADcAAQA2AAEckgABAE4AAQBGAAEckwABAD0 -AARyUAAEdAgABHIIAARASAAEStwgC3AwIAtwcCALcLAABEEsAARBPAAEQOAgC3DwAARBACALcSAA -BHBIAARwYAAEciAABHYMAAR4BIAQAAAgC7ggIAMPcIASAAMABADogBAAAwAEAMAAAE4gAAQAzAAE -AMQABADIAAQBNCAIBtQgCAsUAAIAIQAEAIAAD/8pAAQARCALcVAABAJEAAQCTAAEAkgGBgAAAAQB -gAAAHngAATCwAAQA0AAEANQABADwAAQA/AACCNUAAQRqAAQBDAAEARAAAKLCAAQBFAAEARwABAEk -AAQBKAAEASwABAFAAAQBRAAEAlAABAEgAAQCYAAEAmQABAJUAAEAIXc1lAAABEH8AARL/AAAgCAA -AAgIAARwMCAIA8AABGDAAARgxAAAiAAABEHUAARL1AAEQdgABEvYAARB3AAES9wABHA4AARwACAL -cYAABHAEAADAACALccAABGDIAACAJAAEQBwABEocAAAXLCALcgAgC3IwO5rKAAAEYOQABGDQIAty -YAAEQAAABEAEAARADAAEQAggAwrUAARKBAAEcDQABHAIAARQAAAEVAAABGDMAAB/AAAEQdAABEvQ -AARwUAAEUGgABFBAAARUaCAIB/AABFBQAARUUAAEUiQAAEBAAARSKAAEUiwABEAQAARIEAAEQBQA -BEgUIAgIEAAEQeAABEvgIAgINAAEQegABEvoAARB5AAES+QABEHsAARL7AAEQBgABEoYAADu8AAE -UGAABFRgAAARAAAAETAAABAwIAgD6CAIAzwgCAVIIAgEnCAIBqggCAX8AAQTBAAEEyQA////AIEC -IOEB4kDhABIA/8AMAB//lEQf/5WwIAt1IAH///8D39JAAhYOwOEDRgAf/5p4AARUsAAA4+8ABFCw -AARg4AAAwfMf/6yIH/+EEP/8f/8AAH7ogAAHAIAABQCAAAYAgAAEAIAAAQCAAAIAIAk/gCALdXAf -/5SAIAlBACADCMAgC3WgAA///yAHVAgf/60wH/+sAB//ruAf/68AH/+Usx//rDAAVAAAIAwAAOEE -/gDhBQYAACQAAOEB3gDhAFoA+AAD/9///gAAAIBgAABACQAACcQgC7tAIAu7kCALu8AgC7yAIAu8 -UCALuxDerb7vIAgMRCAIDlQACYloIAgORCAIDAQgCA6UIAMPoCALvLAgC3XQH/+rkCAKAAAACgAA -IAt2AOL//gAf/6vQH/+t1B//mQwgCqAAIArgQAAAAABsEAbApPz8thAUEFgwW8le/Py1EAAQUDD7 -Ch4gABBoMFudpPz8sRAAEFAw+woeIEAQaDBbnaD8/K0QABBQMP38rBAeEFgwW52b/PyqEAAQUDD9 -GnwgHhBYMFudlvz8phAAEFAw+woeIEAQaDBbnZH8/KIQABBQMPsKASAAEGgwW52N/PyeEAAQUDD9 -/J0QARBYMFudiPz8mxAAEFAw+woBIBAQaDBbnYP8/JgQABBQMPsKASAAEGgwW51+/PyUEAAQUDD7 -CgEgCRBoMFudevz8ihAAEFAw+woBIAAQaDBbnXX8/IYQABBQMP38iRABEFgwW51w/PyEEAAQUDD9 -/IYQARBYMFuda/z8gBAAEFAw/fyCEAEQWDBbnWf8/HwQABBQMPsKASAJEGgwW51i/PxyEAAQUDD7 -CgEgBBBoMFudXfz8bxAAEFAw/fxyEAEQWDBbnVj8/GwQABBQMP38cBABEFgwW51U/PxoEAAQUDD9 -/GwQARBYMFudT/z8ZBAAEFAw+woBIAkQaDBbnUr8/FsQABBQMPsKASAIEGgwW51F/PxXEAAQUDD9 -/FoQARBYMFudQfz8VBAAEFAw/fxaEAEQWDBbnTz8/FAQABBQMP38VhABEFgwW503/PxNEAAQUDD7 -CgEgCRBoMFudMvz8QxAAEFAw+woBIAwQaDBbnS78/D8QABBQMP38QhABEFgwW50p/Pw8EAAQUDD7 -CgEgIRBoMFudJPz8ORAAEFAw+woBIAIQaDBbnR/8/DUQABBQMPsKASAJEGgwW50b/PwqEAAQUDD7 -Ch4gABBoMFudFsCk/PwzEBQQWDBbyMj8/CQQABBQMPsKASAAEGgwW50O/PweEAAQUDD7CgEgABBo -MFudCfz8HxAAEFAw+woBIDgQaDBbnQTAcPcVACIfADTgB3QC9vwgEAAQKDC0GvssAAAEEGAwW7+1 -jRH8/BAQABBQMP2PVw6ABDtg/Y0UCABAM3D4iBEMAEA3cPjuAgwJAH9w/t0CAAEQWDD9FgEt8AFs -MFuc7fz8ABAAEFAw/REDIAEQWDBbnOguEQDTDw8CAH/vGPz8BRAAEFAw+woBIgAAaHBbnLkvEQB/ -9+b0TAEgCAIpcP8CAAAIAhCw/wIAC/+1nVD6CgQt4AQ9IPz79xAUEFgwW8iJ/PvqEAAQUDD7CgEg -ABBoMFucz/z74BAAEFAw+woBIAAQaDBbnMv8+9wQABBQMP372xABEFgwW5zG/PvZEAAQUDD7CgEg -ABBoMFucwfz71hAAEFAw+woBIAAQaDBbnLz8+9IQABBQMPsKASAJEGgwW5y4/PvHEAAQUDD7Ch4g -QBBoMFucs/z7whAAEFAw+woeIAAQaDBbnK4nFQQT+88V+8/0+88QABAQMLEi/Pu6EAAQUDD7CgEg -EBBoMFucpfz7thAAEFAw/fu1EAEQWDBbnKD8+7UQABBQMPsKASAKEGgwW5ybKBEE0w9/jxj8+7kQ -ABBQMP0cCCABEFgwW5xtKREEf5fm/PumEAAQUDD9HAogARBYMFucZ/z7oxAAEFAw/RwMIAEQWDBb -nGItEQXTDw8CAP4RBiBqBBtwc+EtdNEKdOEH/wIACf+wlVD6CgIgFBBYMPz7pBIAAHiwW8gxxyvR -DwDz/oViAAAh8P0sAAAEEFAw/PudEBQQWDBbyCn8+4IQABBQMPsKHiAEEGgwW5xwwCDRDwAAbBAG -+DEIb+oQEDDRDwAAFvuRKGKEGvuQGfuRCogBCYgC+GaEIBQQUDBbwPsrYoQc+4z8uwIAABAQMPtm -hCBkEBgwwaRbwPSxInMp9Rv7hgBKEftcAAoAIFqwW/6q9qCnYgAAErDApPz7gBAUEFgwW8gHFPt+ -E/t+/Pt/EAAQcDD+FQAgABAQMLEiDCwvzcH8TAAABBBQMPsKFCIAAGiwW8f7+goAIAEQWDD8CgAi -AABocFucGsCqW8DYLhEA/PttEY4IG7D9LAAABBBQMPz7ahAUEFgwW8ftHPtp+woeIAAQUDD6FQEg -BAJocFucDC0RAQ3tFGjREsCi/PthEBQQWDBbx+LHK9EP0Q/ApPz7XhAUEFgwW8fdwCDRDwBsEAQt -ICIY+1n4JTQgDQC3YFrA8WagUC0gIsCk/PtVEBQQWDBbx9IpICLAMPT7UhAOALZgwaRbwLGxM3Q5 -9dogWsCHZqAh2iBawF1moBkc+0r6ICEgBxBYMP4KACEAEGgwWyta0qDRD9Kg0Q8AAAAAbBAG+goF -IAgQWDD8+0ASAABosFvHuxn7PtMPKJAYyobz+z0QzBAgMAQkKPT6+yIAICTw+iwAAgAAWPBbdQt0 -oQJlr+7SoNEPKJDkZY/RG/syLLJIK7JKwKz8CUIAABAgMPsNQgHyAnJw/qQ5BgCNh2DA4/5EAgAB -EFAwaZcCaNeeE/sRCss4+3VTD/8QMDAvMrPDgAhIAgaIAwj/Af82syABEFAwW8B5KTKzwaAKmQL5 -NrMgARBQMFvAdCoyshv7FwuqAio2sikKgCk2ri8yrxj7FAj/AS82r/37EhA0EHAwDl4o+PsRHAAg -d3CJ2YvaiteP1va7EQggBD5g+6oRCAkAXnAKmQIJ/wII/wIvNrAe+wcuNrErMrKO1YjYH/sFjdTz -iBEPgAQ7oPz7AR4JAEOw/7sBDAkAd3ANuwIMuwL7NrIgARBQMFvAUCgyshn6+/r6+RAQEFgw/Qp4 -IBAQYDD5iAEAFBBwMPg2siIAAHhwWm2OyajAovz68hAIEFgwW8dfY/6ZAADz/u9gABBQMMGkW8A+ -wrAqMrMLTAIGzAMMqgEKSgILqgL6NrMgFBBQMFvANmP+aABsEAQZ+uL5ksAgCAA0oMAg0Q8b+t8r -sH8c+uDz+t4b8AQ+4Ay7AgnqUfs2SSPCAj6g9/raEAEQKDD2CgIgEhBwMC52sCZ2sy0KyC12sSwa -9Cx2sttQ/QpkIAEQYDD6+tAQChBwMPV2kSAAEHgwWm1h9qJfYgAAIrDA7/8KByAGEEAw+goFIAQQ -WDD5+sYQABBgMB36xS12oMTRLXaAwNgtdqMrdrXE2i12tih2ty92uCx2uSh2uip2uy52vMHVLXa9 -KHa+K3a/KnbAwNotdsEqdsIvKgAvdsMrdsTBgCh2xS8KZC92xisqmyt2xyV2yCp2ySp2yigKAyh2 -yy8KEi92zC52zRv6lyuySCoKTv46ACpUAVww/nbOK9AEPuALqgwqdrQslhQmlhUoChgolhka+qAb -+qD/+p0QARBwMP92kCAAEHgwWm0r9qGHYgAAIrAa+pgb+pj8CgAgChBoMP76lhAAEHgw/naQIAEQ -cDBabSH2oV5iAAAisBr6jRv6jvwKACAKEGgw//qNEAEQcDAPAgAPAgD/dpAgABB4MFptFfahL2IA -ACKwGvqCG/qC/AoAIAoQaDD4+oIQARBwMPh2kCAAEHgwWm0L9qEGYgAAIrAa+ncb+nj8CgAgChBo -MPn6eRABEHAw+XaQIAAQeDBabQH2oN1iAAAisPv6bhAAEGAw+vpxEAoQaDD6dpAgARBwMPr6ZxAA -EHgwWmz29qCyYgAAIrAb+mn7NkAgARBQMFu/qBb6Zx76Zhz6Zvw2QCAAECAw1eAtMkD/AgACAFXr -UMCqW7+gsUT2Sehx/gJxcP4KAC/7EBgw+goFIAgQWDD8+loSAABo8FvGs/YwVGIAACDw+vpWEAcQ -WDD9CmQgARBgMP8KACABEHAw/naBIAoQcDBabNT2oCliAAAisPr6SxAHEFgw/QpkIAMQYDD+Cgog -AhB4MP92gSAAEHgwWmzJ1KDJR8Cl/PpCEAgQWDD9LAACAABxMFvGmNJA0Q/SQNEPAAAAAAAA8/9s -YAAQGDBsEAQT+jj0CgAgABBQMPYKzCCAECgw9TgIAAEQODAogMD5MlEgGAA2IPRMASIAIDTw+ULp -aAAgKPDSoNEPZJ/o8EEED+UANKAAexpkv9raQFu/a2av4mP/zwAAAGwQBBP6IgIiCgMiCiIinNEP -AAAAbBAEGPodAiMKCDMKIjKbIzKa8gJfA4AEPOADIgLRDwBsEAQb+hYV+hT6soIv4BBgMPiyfSA+ -Amjw/7KBLABAZ3ANqgz0gBJkAEBisC6yfg/+Of8CAAoAZXEQwEDAoPz6CBAGEFgwW8ZcAisKBbsK -9LaZIP0ANSAd+gMc+gPTD/1NCAABEBAw87acIgAAe3AqwnbTDw8CAH+nDS7CcAzuEP7fDAoASmuQ -/qcXcAIQGDAuwnHTDwzuEP8CAAoASnuQDv8MfacmLsJyDO4Q/wIACgBIe5D+/wwAMADusMCh/Pnr -EAAQWDBbxjzGKtEPe6frKMKC/IgQAAYQSDD/g91wBhBwMC+2mym2msCl/PnhEAAQWDBbxjHAINEP -AAAAAAAA9LaCL/+cZpACKwoFuwoktplj/0IAAAAA/babIAAQYDD8tpoiAAB7cPP/vWAAEHAwIraa -L7ab8/+vYAEQcDAAACO2mi+2m/P/n2ACEHAwAAD8+ckQARBQMPsKAC/0EGgwW8YVxyTRDwBsEAra -EFt0pvah3GIAABKw+/nAEgAAUHBaZNv2ocliAAASsBf5vBT5vSlyf/z5ux//EBAw+AoFIAAQGDDz -dn4oAEAmcPl2fyIAAFDw0w9tihjbIMDZfaMB2zD+zQQgCAJSsPvmACAIAmMwGvmtW3SFHPmt+goA -IBEQeDBt+hTbIMKBeKMCAzsCK8YU+qwEIAgCYzAa+aVbdHsc+aL6CgAgBRBIMNMPbZoT2yDA2X2j -AdswK8Yo+qwEIAgCYzAa+ZtbdHAc+Zj6CgAgDBBwMG3qE9sgwvF/owHbMCvGLfqsBCAIAmMwGvmS -W3RmHPmO+goAIAUQQDBtihPbIMCZeaMB2zArxjn6rAQgCAJjMBr5iVt0XBz5hPoKACAMEFgwbboT -2yDC0X2jAdswK8Y++qwEIAgCYzAa+YBbdFLaEFt0PPv5fxIAAFBwWmSP9qCZYgAAErAW+XspcoEV -+XIf+W/zdoAoAEAmcCl2gS7ygRj5LAjuAi72gSZWUPNWUSAIAmFw88ZRIBACWXDztlEgGAJRcCOm -URr5bFt0Hxn5YiZWZPOWZSAIAnpw8/ZlIBACcnDz5mUgGAJqcCPWZRr5Y1t0FRn5WCZWafOWaiAI -Alpw87ZqIBACUnDzpmogGAJCcCOGahr5Wlt0C9EPAABsEA4T+R6KICsyMguqKFt24i0yMowh/coo -AgAAIrBbdt4vMjKOIv/qKAIAADqwW3baGPj6JjIyE/lL+GYoAf4CSTAAkAT2BBkCAAAqsPz5RhAF -EFAw8JkRAf4CKXD9IgApgAQ54P4iASgJAEow/yICKAkAQXD4NuQgCBBYMFvFeRz5O40jjiSPJYsm -mxCKJ5oR+SIIIAgQWDD5FgIgBRBQMFvFcBz5M40pjiqPK4ksmRD4Ig0gBRBQMPgWASAIEFgwW8Vo -iyWOIxr41QBQBAYNGQ6uLA7dLP4iBioABtqQCrssC0soYAAFC6ssC0ss/qsScgAAYvAf+MkP7ywP -TyhgAAoAAB/4xg7/LA9PLI4nnxT/FgUqAAhykB/4wA/vLA9PKGAACB/4vQ7/LA9PLJ8WjiiGKYUq -nxf0ZigKAAhykB/4tw/vLA9PKGAACB/4tA7/LA9PLI4rBFUonxj/FgkqAAhykBf4rgfnLAdHKGAA -CBf4qw53LAdHLI4sfqsNH/inD+8sD08oYAAKAAAf+KQO/ywPTywnFhCfGo4tnxssFhH7FhIqAAvy -kBr4nArqLApKKPAAEWIAAHnwAAAa+JjfcA6qLApKLBf4iZocHvjq+hYNKAMAO/CYHvgWDyoAX++Q -FPjTLTbtwKD6NuUqAGVlEIwU+zbmKgBt5RCNFo4V/jbnKgB2bRCPGIgX+DboKgB+/RCJGfk26SoA -iDUQ9jbqKgCRrRCKHvU26yoAmlXQixr/AgAKAKPd0I4fjRuMHADuEQ7dAv027CoAqGUQjC6PHf82 -7iAAEEgwA50K/NbUIAgCWLD7sg4gAgJicAPMCvvG1CAQAlCw+qIOIAQCWnADuwr6ttQgGAJAsPiC -DiAGAlJwA6oKKKbUwCDRD8Cj/Pi2EAgQWDBbxO8rEhIsEhEd+LFj/ysAAMCj/vidEgAAazD8+K4Q -CBBYMFvE5hv4mWP/Ho0U/PirEAMQUDD++JUQCBBYMFvE3x74kp4VY/8LjRb8+KQQAxBQMP74jRAI -EFgwW8TYH/iLnxdj/vqNGPz4nhADEFAw/viGEAgQWDBbxNEY+IOYGWP+6d1g/PiYEAMQUDD++H8Q -CBBYMFvEyhb4fGP+2N1Q/PiSEAMQUDD++HgQCBBYMFvEwxX4dWP+xY0e/PiMEAMQUDD++CAQCBBY -MFvEvBn4HpkfY/6ywKP8+IYSAABq8P74GRAIEFgwW8S1GvgWmhtj/p+NHPz4gBADEFAw/vhjEAgQ -WDBbxK4b+GCbHWP+lgAAbBASG/h5EvhVHvh4jbgssBcqsjuO4P4WACIAABhw+7DjIAAQIDApInH5 -DlsJYAFMMPTQ7GgAIHZwH/gvL/JB8J4RCXQBaDAOjgIuJnKhzi7gAA8fQA7/EQ/uAi4mdC4idi8K -BP/uAggAIE4w/iZ2IMQANqAY+F8ogkHwnBEPdAFUMAz/Ai8mgi0idKO+LuAA//qPKAEBQDD6iBEP -wAQ7oP/dAQ4JAEOwDt0CLSZ0KCJ2wcAMiAIoJnYkJnPAqComehv4TPkKDSAgAlBw+SZ7IGAQYDBb -u3ka+CH5ChggIAJAcA8CANMP0w9tmg/5ggAgCAJSsPmmPyAIAkIwLSK7H/g+Hvg+D90BDt0CLSa7 -HPg8LCaDG/g8+yaEIAAQEDDRDyQmcigidsfLDIgB+CZ2L0QAtqAkJoJj/34AAGwQChf4Mhb4DA8C -ACh99yiAwSpx3ftx3yAfEGAw9HHhIBIA/jCJeWSSXyVx4/5x2SwAIFbwpN2l3foPRAoBZO+Q9fKW -aIABWDD1go5ogAEkMGWShv8CAAgBQasQKHH4Cu8MDekMKXXl/3XcK+ABeDD7rAwD4AQ6oPx13iHu -ADYgBMsMK3XgCbkM+XXkKeABTDAFmwwrdeIvcd7yZoQv4AQ/4C9mhy5x4g6dEf1mhS/gBDugLmaG -LHHi/Wb9LeAEOyD8ZvwgABAQMPNydCAAsKygyzv4PP8qATjE4P8CAAgBQJoQ2jBbwx8sYooe9/nw -rREMAEBzMPtx2CwJAGswLGaKK2b+KWKBGvekCpkCKWaBwKhbnNIW9+8iYof5YoYiAAAisP9igi1Q -BD6g/SIMD/AQYDD4YoMiAEBgsPosAAATADfgCZg5eCMIImaHYAAEAAAAwKD5rAAA2AA2oPkWCCHI -ADZg9ffdEAAQEDD5ZncgABAYMCpid8C40w/yqggAABBgMFu767Ez9TnocgAgETDAIGYgmFtynfag -kmIAABKwHPemDwIADwIAK8KBHffMDbsCK8aBW/3a9qByYgAAErArcd8kceElceMucdkvcfgjcnQm -ceX6cd0gKQA34JQQlhGVEpMT/Pe+EgAAevD97AAABhBYMP6sAAAEEFAwW8Pc0Q8c97iTE5YSlRH0 -FgAiAAB68P3sAAAGEFgw+HHqIgAAcrD4FgQgBBBQMFvD0dEPKmJ9K2J++WJ7IB4CUrD0sI5qAEBi -sCxifAycDAy7Ni5iecjqqtx8swYsZn1gAAIAwKDz/vZiAABKsAv9DATdDC114AXYDAmIDPh15Cng -AWwwBZwMLHXiY/4MLnI8Ze2ZLnHZ+nHdIAAQeDD/dnQgIBBYMPt13yIAECgw/a0IJaAQIDD0deEh -gAJrcPV14yoAI++QCghEZI2SYAAOAAAAAPP/e2IAAFpwAAAAlRD894ISAAB5MP68AAIAAGqw+goC -IAYQWDBbw57z/c1v6hAQMAAAAAAAAJQRlRIc93j7FgAiAAB6sPoKAiAGEFgwW8OU8/2lb/QQEDDy -nAAAABBQMPz3cBAGEFgwW8ONImZ38/5Qb/QQEDAAAP08AAACEFAw/PdpEAYQWDBbw4Vj/bEAAP08 -AAACEFAw/PdkEAYQWDBbw39j/ZkAAGwQCBn3YC0qQC2Wqi6ShSiShhz3XRP3XvsKAyAAEFAw/OwB -D/wQaDD9iAEKBQBi8Pb3WRgJAFIw+JaGIFgAF7D/91MQCBBIMPkWASAIEFAw+hYAIAgQWDD79H4g -CBB4MJ8SYAAlAAAA+PdJEAEQeDD/FgIgABBIMPkWASADEFAw+hYAIAIQWDArhH4KvQr190IcACBu -cPkWBCwAIG/w/Z0IACAQYDANzAz99zgQABAgMP53UgAEEEAw/kJSBkAEPeD81H8iAwBAsMKoW8JI -GPcv+IB+KAMAFrAPmRAJeQIJiAIGiAIpMtAFmQEJiAL4NtAgIAIhMPM8EC2eAj0g9PckEAAQKDD2 -9yUSAAAYcMKoW8I2LELgH/ciLTIAAq42D+4Q/8wBDAkAd3D9zAIAMBBYMPVcECwJADMw/EbgIAgC -GPD0TBAhkAhZcCoKKFvCJxj3ECmC7PL3ExoDABawHPcSGvcSjxT/uxAIAEBmcPuqAgIJABHw+iIC -DgkAT/AC/wL/huwgABAQMNEPAGwQBBX3CPYiACAgEBgwbToGh1B2ewW4VcIg0Q+XICJQBNEPAGwQ -CJUV8hYCIgAAYTD29v0SAABQ8PksAAAAEBAw/BYEIAICGnD6FgMgQAIhsCdifw8CANpwW5ue+zwA -AgAAKrD6fAACAABhcFu+bvSgE2AQAjGw9GnWcBACELDAINEPAAAAjBKxXa3MKsAAxd3/CgAmAIhu -kPX8AAIAAHBw8woAIAAQMDD0CiIgLxA4MG0IFGSgfMlhd6EvaGJMscrcoCqgAH2hUGP/5HSp7PZs -ASACAlMw+uYAIAgCc7Dz/+BiAABisAAAAAAA/8QAIAICMbD6zAEiAAAbMPrmACAIAnOw8/+7YgAA -YrB0qa8vxADz/6liAAArMMppaGFXaGJHyDEnNABkX1T0VAAgABAQMNEPyDEnNABkX0L0VAAgABAQ -MNEPAI4T9uYAIAcANOAnNABkUEEY9rUf9k2o//RUACIAIHiw0Q8AixX6EgEgABBgMFuZ74sU+hIA -IAAQYDBbmeyJE/aWACAHADTgJzQAyFEkVABmruUb9qQa9j2rqqoi0Q8d9qEc9jr9EgMsACBrMP/W -ACIAIGCw0Q8AAABsEASLMCawACcKAPhpSWIAAErwZGBBC7kC+BoAIAAQUDD8CgkgIxBoMG0IKGhs -FXxhEn1hNviM/yACAlKw9iQAIAICELCxd6t5JpAAaGlQZIBjZGBKY//QwED0JAAgAgJCcPg2ACAA -EBAw0Q8skAD4ySlgABAoMKt79rAAIgAAOvBtCBT0YBhgAgIpcCZwAbF3+GkJYgAASfBj/+Sre6tZ -yYLA0P0kACACAnJw/jYAIgAAErDRD8Yq0Q8AAGwQBlv+/famtmIAABKwFPZqGfY7/PZoEAEQWDD9 -9mgQABBwMB/2Zy/GpS3GpC7Gpxj2ZSjGph/2ZC/GqR72ZC7GqC3Gqxj2YijGqi1Cgh/2YR72YQ8C -AA/dAQ7dAi1Gghz2XyxGhitGhyhCqg8CAAgYS/8CAAYD8U4QF/ZZKHI09vZZFpQANiDy9lgf/hBI -MPP2GB//ECgwG/ZVGvZVDwIAKrYALzLAGPZTCP8BLzbALTLQLurA/t0BARUQcDAO3QItNtAc9k0s -NtEqMtsb9ksLqgIqNtsY9kooJjUuMt0v+t8P7gEuNt0rMtId9kYc9kYNuwEMuwIrNtIrMtIa9cIq -oMAJuwH7NtIiOAE6oCwy0x72Ph32Pw7MAQ3MAiw20yU29CU29cD0LjLeD+4CLjbeLDLBHfY4/cwB -AIAQaDANzAIsNsEqMsIb9jQLqgIqNsIvIi0Z9jIY9jL69jIeAEBP8Pz2MR4JAEfw/yYtIAUQWDBb -lyIa9iv89iwQBhBYMFuXHhr2KPz2KBAHEFgwW5cbGvYk/PYlEAgQWDBblxca9iH89iEQCRBYMFuX -FBr2Hfz2HhAKEFgwW5cQGvYa/PYaEAsQWDBblw0a9hgc9hoY9hj4Jg4gIBBYMA8CAFuXB/r2ExFB -EFgw/QoAIP8QYDBbmSj69g4RQRBYMP0KACD/EGAwW5kkGvYJ/PYLEOQQSDD5JgogIxBYMFuW+Br2 -BPz2BhAkEFgwW5b1GvYA/PYCECUQWDBblvEb9gGbLJsrmy0pcESZEC0y3C0WASwy2A8CAA8CAAxM -U/wWAiTyADZg+RYAIAKMhmD5FgAiAteCYP8CAAIC54Zgxir09YQQAiEsoCkKzPIKgCAAEFAw8k4I -AAAQKDAu4MD7QlEikAA3oPVcASQAIEkw+VLpbgAgETD2pAxiAAASsBT14i9C1Bn14Rj14Qn/Afj/ -AgAuEFAw/0bUIAcQWDBbcLwqYX3/AgAAAfPqkPsKAiCvEFAwW3Cn+gorIAEQWDBbcKT6CisgKRBY -MFtwsfoKMiABEFgwW3Cf+goyICkQWDBbcKv6Ci8gARBYMFtwmfoKLyAsEFgwW3Cm+gomIAEQWDBb -cJT6CiYgKRBYMFtwoPoKOiBHEFgwW3Cd+woBIKkQUDBbcIz7Ci0gqRBQMFtwmPoKNiADEFgwW3CG -+go2IC4QWDBbcJL6CjcgARBYMFtwgfoKNyA8EFgwW3CN+golIAIQWDBbcHv6CiUgAxBYMFtwh/oK -OyACEFgwW3B2+go7IAYQWDBbcIL7CgEgsxBQMFtwcCthgSIKGA8CAP8CAAgBh9iQ+wpWILMQUDBb -cHj6CkcgARBYMFtwZixhgf8CAAgBf2CQ+gpHIDoQWDBbcHD6CkYgARBYMFtwXi1hgQ8CAA8CAP8C -AAgBc+iQ+gpGIDkQWDBbcGb6CkAgTBBYMFtwY/oKMyBNEFgwW3Bh+go5IE4QWDBbcF76CrIgYhBY -MFtwW/oKSSBPEFgwW3BY+gpNIAEQWDBbcEf7CmEgTRBQMFtwUygy/hn05gmIAig2/i5CkC8KLw/u -Ai5GkFv8+vaiJGIAABKwHfVr0w8t0n9k084c9Wn8wIAgABBYMG3ZDACwBAwNG3/XAbG5sbsc9WYU -9WT+CgQh+gIScP9heyIAAFpwAus4EvVd/y9AAgAASvD/9VsaBQB/sCjCgC5he8fbDYgB+MaAIH4A -f7D/AgAAAYSGYP8CAAIBtgJgaZRPJHYoL3Yp8nYqIAAQQDAodidgADtkvXDaUFu6HfkKzCH+vC6g -Y/1gAP8CAAABRgZg/wIAAgGiAmD/AgAEAb4CYP8CAAABPwbg/wIAAgGeAuAV9QsU9SAc9HosRowl -RpAf9Tss9owl9pAe9Tos5owl5pAd9Tgs1owl1pD4CgAgEBBIMG2aDQSJCiyWn/WWryACAkIw2lBb -/GX2oQxiAAASsBv1LixCcSpyNMHR+hYDLAkAazD8RnEgJQA2oMCgW4kRG/Um+64IAAAQeDAv5oGI -Eyjmgi/mgxn0mynmgCdyZ2RwHyoKAVuJBxv1HPuqCAAAEGAwLKaBJ6aCLKaDGfSSKaaAGPUW+vr/ -IFUQSDBtmgz5gn8gCAJCMKuZKpaALWF+0w9k0PXA0Br0+/wK/yAnEFgwW5gSGvT3G/UKHPUKW5Xp -H/UIL0ZwGvTzHPUIHfUIHvUG/kZ4IkAQWDBbmAjEoMCzC6osChkU+ZwDL/wQWDD4YX4oAEBecPKb -EQmABD5g/qoRCAkAXnD69MYYCQBWcPmmpSAUALYgLGF/zMktYYDM1C5hgWTiONEPAAAAAAAA+got -IAEQWDBbb676Ci0gKRBYMFtvu2P8AwAAAAAAAAD7CgIgsxBQMFtvpmP85gAA+gpHIAIQWDBbb6Jj -/PcAAPoKRiACEFgwW2+eY/0OAAAvcmdl+WkoYX5kgb8pQqQa9NkKmQEpRqRj+VQrYX9lvwMsYYBl -zv0tYYFl3vfz/vZgDBBoMADApPz00BAIEFgwW8ClGvS4jxKJEf4SACABEEAwCP82/xYCKAkAVnD5 -FgEgIgI/oMCk/PTFEAgQWDBbwJoa9CvSoCwy2B70wY0S/N0QDABAczANzAIsNtgc9BuLEI0RLTbc -C7sLDLsLK70L+7wgIEgQYDBbt4/aIFv68fP6rmIAABKwwOD+dich/sUe4C9wtShwsitwrPxwryAA -EEgwKXSwKXSzKXS2+XSvKgAgZvD5dLIoACBaMPl0tS4AIEfwL3SsY/1VHfSiLXYnY/1MwKT89KAQ -CBBYMFvAch/0n4gSjhHAkAmINvgWAi4JAHuwnhFj/xkAAMCk/PSYEAgQWDBbwGgS8/pj/2wAAFtv -X9ugKkKqHPSTC0sUK7z+/7sRCgBAYrALqgIqRqpj9/9j/Egc9I0d9Iwtdicsdihj/NsAAAAA/3Yo -IAAQcDAudidj/LkAAC9wsihwrClwtftwryAAEGAwLHSwLHS2LHSv/HS1KAAgWjD4dKwuACBP8C90 -smP8lyR2KC92KfJ2KiAAEGgwLXYnY/xzLmF/Ze45L2GAZf4zKGGBZY4tY/eOLTLgH/RvHvRvD90B -Dt0CLTbgLDLABcwCLDbAKTLYG/QQGvRE/PRoGAkAXnD5NtggIBBYMFuVMxnz4igywhr0YwqIASg2 -whz0HS/CGMDkDv8CL8YYLcIZDt0CLcYZwLErxiAokoHHrgqIASiWgdEPbBAYGPRY0w8ogj4T9FX6 -9FYVNgA2IMAw9woAIAAQEDD/CgAgABAwMPQKACAAECgw+AoAIAAQWDD+9E0QABBoMP0WGyAAEGAw -/hYXIAAQaDD8FhwgABBwMPsWFCAAEGAw+BYYIAAQWDAoonca9AQqol2oOAmIEaiqia4rFiH4ohYp -8AQ+YJmu+RYWIYAQSDD6FhUuAAVGUCgSFmSBMhnz9yiRf8iMKBIVKIIa+xYhIUEANiAvFh0uFh4t -Fh8sFiArEhUsEhacEpwXKbESmRX7sgsiAABQ8PsWASIAAFhwW27oKxIhLBIgLRIfLhIeLxIdKRIV -+hYRIAJgrqAqEhcjFiImFiOIFCiVE4YQgxUjlRKWnIYR9pYLIgAgHjD4pd8h/gIY8COl4CgSFCMS -GCWWESqQbpOf9pBvIgAgNPD6kHAoACBCsCMWGCgWFCMSHCgSGySUUfaQUCIAIB2w+pIQKAAgQrAj -FhwmFhIjkhooFhv2kTEkACAxMCiRMPqRMyQAIFVw85EyJgAgPPD48/YeACB+MPqQbSwAIGKw85Bs -KgAgXPD4gAUiACARsPYSIywAIG6w+vPtHgAgcPDzEiIgrAD+MCgSEiiUXigWE2AASwApohrImQ+Z -ESkWFpmuY/68GfOEGPODmK4pFhZj/q4AAC8WHS4WHhnzfigSFi0WHywWIPkSFSgDAEowKxYhKBYW -mJ5j/p0okF4oFhMoEhMZ89H4EhcmACBBsCmSPvM8ASAIAkIw+BYXK/8YTNAY88oogkAqEhQpEhH5 -FgggzAA2IMAwbQi+JhYjFvPEGfPCJmJ5KZL9pjYJZhH2EhwoACA2cCiQb6aGJhYcKJBwJhIb9JRR -JgAgMjAmFhsmkTAokFAoFhn2kTIuACB9sPiRMSQAIEEw9pIaKgAgXbD4kTMiACASMPaQbSYAID2w -+JBsLAAgYjD2kG4sACBtsPjzph4AIHIwJZYR9pIQKgAgUbAogAXzPAEkACA1cPYSIyAgAP4wKBIZ -KJReKBYaYAAFKJBeKBYaGfOYKBIaKZJA+TsIdgAgQbBj/zoA8/OSEdYANKAY85QqFhQihX8rhYH8 -hYMgMwA14C8WHRnzji4WHi0WHyyVgyuVgfKVfyIAAFHwW6VmLRIfLhIeLxId8AARYgAAOrAALIWD -K4WBIoV/KhYUKhIUJzbDG/NBLLF++bF/IVsANyAisYAoMIH+NIAoBQATcPg0gSATADZgKjSCLRIb -LhIcLjSDLTSEKrF9+qcTcAAQaDAY828tNH0tNHwthYNgAAJkwVIc82cpwj8X82f0xkYhxwA2YMAw -KHJ4IrJdqDgJiBGoIokuD5kRmS4tsX/6nAAApQA3YCwiGmTAii8WHS0hEpobKhYQjiv+FgogSAJY -cP0WDiAQAlDwW24ZLxId+/MXEgAAcrD5Eg4gAMYuoCQkUSUmES0gUIoZKSUSjBqIHSglE5wrKRIY -miz5Jg8gARBQMPzzRBgAIGZw+CIQLAEAV3AtJFAswAX9DUcAAgIY8PhVCAQAIGkw+RYYIEoA/zAt -JF5gAB0a8tr/Fh0qAwBScJouY/9kLxYd8/9eYgAAUnAtIF4c8y8swj/9ZggL/5Rk0BLzLCixfS0i -JyUmPPQmQSgGAUAw9iZCLAUAR/D9JiciAAATsNEPZZ6lKLGBZY6fIrGAKjCBAto5KjSBY/61Zb4q -Zc4nZH55KhYULxYdGPMbLhYeLRYfIoV/K4WBLIWDY/4dAABlnqkpsYFlnqNlLqAe8xMtNH0tNHwt -5YMp4X0s4Xko4X8t5kQJzAwIzAws5YFj/nwa8wcqokBkoG79CgAgABBwMPcKACAAEGAw+woAIAAQ -EDD/CgAgABAwMPQKACAAEEgw+RYcIAAQQDD4FhggABBQMPoWGyAAECgw8/y7YAAQUDAlxjwrwick -xkH2xkIsBgFUMPISCCoFAG/wK8Yn0Q8A0qDRDwAAAAD9CgAgABBwMP8KACAAEDAw9AoAIAAQSDD5 -FhwgABBAMPgWGCAAEFAw+hYbIAAQKDDz/YFgABBQMGwQBBjyoNMPIoF7wDXzKDBwABAwMBfytSly -f8qS9PLXEgAAKbBtCBUmROAqcn/1XAEh/gJSsPWjB3ACAiEwY//jI4F9eT8Wej8TK4F+zL0sgX/M -yC2BgMzTLoGByODRDx/yyCb2Zib2mdEPAGwQBBPyxRTyxSIxfwQiASI1f9EPbBAEwCDRDwBsECz5 -8sAS3gA0oP8CAAABcgSg/wIAAgF4gKD/AgAEAYyAoGglA8Yq0Q8c8f0swMEb8rb68rYQJAB/MCOh -xAczEfAADGIAIFzwI6HDBzMRqzP6CgQgARBYMPzyrRIAAGiw/lwAAgAAePBbvmfApfsKASIAAGDw -W75kLDAAIxZE9QoAISgCUHD0wNhg/xBAMP0KACYAaEcQ/RZLIAAQODDwADhv6hAQMAAAAGRyJCsS -RYhxLBJG/RJHICACUHALgAD2oldiAAASsCwSRCzAANVg9MCBYP8QaDB9wXkrHQH6HBAgIAJa8Fv7 -nSwQEPoWTCACAjFwDwIA/QpbL80ANyB9yacoHJSopS5Qe8X9DwIAf+mXLR0B+hwQICgCW3D83Bgg -OAJrcFv7JvesAAM8ADagLhJLZeMkGPJ3j6F4+YkqEkT78nAQARBIMCkWSwOqDCq2HWP/cmYhwhzy -a8CxK8SMYABGwGD6VQgP6hAQMPoKAiABEFgw/PJoEgAAabBbviLAovsKASAAEEgw+VR9IAoQQDD4 -VHwgIAJgcFu+GxvyWcCh+rSMIADBrKAV8lYlUh3/CgAiAABY8PUqFAAAEGAw9KFsaEIBKDAKOhRt -iQuJsLH/+7wELAAgYnBkoI6JsIixJLIC/rIDKAAgZnD9sgQoACBKMPyyBSQAIEEwBO4IDt0I/bIG -LAAgazAv/Aj4vCAh/gJKsP6yByIAAFtwbZlH+YIAIBACe/D8ggEsACBm8P2CAioAIGuw+YIDKgAg -VnD8ggQqACBfMP2CBSoAIF9w+4IGKAAgXnD+ggcoACBPMPncCABAAkIwrLys7PP5Cg4gASgw+B0B -IEoAN6D98iIQBBBQMPmSACBAAkIw+RZIKAAgQ7D+qgwAABBIMA8CAA8CAA8CAG2pB/mEACACAkIw -KhJIwLH71IwsACBisCzWHtEPHfIQ/NYeIAEQWDAr1IzRDyUSTPgclC/qEBAw8/6MZAAgRXAZ8g0A -NRHz/WJiACBNcBXyCxjxOgAzEaU18/1PYgAgRPAAABXyBxjyBwAzEaU18/06YgAgRPAlEkwoHJTz -/khkACBFcMCRKbSM0Q/z/zhgABBgMPvx/RAGEFAw/QoBIAEQcDDwNREAEBB4MPOSHCIAAGFwW4V1 -wHAH5BYBAgAlFkn88fIQBRBQMPwWSiAAEFgwW72kFvHsDwIADwIAAAaGlhAW8esqCgX7CgAiAAAg -8PAEoAIAAGGwW72a+vHjEBAQWDBbv+8H5Bb6CgYgABBYMPwKACAAEGgw/goAIAAQeDBbhVooMAAp -Cv//AgAGAE7OECwSSRPxyvvx0xAGEFAw//F7EAEQaDDzMhwgARBwMFuFTsBwB+QWAQIAwKX8Ekog -ABBYMFu9fxrxyvjxxxIAAEjw0w9tqgUACIYASWEqCgX7CgAiAABhsFu9dhrxvhvxaFu/zAfkFvoK -BiAAEFgw/AoAIAAQaDD+CgAgABB4MFuFNmP79cCi/PG3EAEQWDBbvWjz/QFv6hAQMBjxtAIIi/AD -og/+EBAw0Q9sEAQV8bDTDyRSISNSICJSIvbxlR//EDgw9DMIAAAQQDDzIggAABAgMG0pWyJidyNS -3/JCCAACAiEwCSIRojIoJCEoJCAoJRMoJRKYLJgrKCYQKCYRKCRRKCRQKCReKCRfKCUqJyR2KCUx -KCUyKCUzKCYaKCRsKCRtKCRuKCRvKCRwKCR1KCU50Q8AAGwQDiQWEBTxjSIWEYlGiECKRYxEjUOO -Qo9BnxGeEp0TnBSaFZgQmRaIR5gXhEj0FggiAABY8PQsAAGSADSg/PGAEYoANOD+8X4QCRBoMC0m -ESXC3Cj6BPzC1y/wEGgw/+LbJAAgRXD+4tgkAEBtcPpcAAAVADcgD/45//FxGgAFdVAl9txgAAHA -oPWsAAFZADag/PD6EaEANWAlRhL7Fg0iAAAwcPlCBSAAEDgw+RYPIAAQGDCIHYVgIkISCFUo8DAE -AGQQQDAIVSwFZRSVHgUFGfpcAAIAIDiwW5XDHvFYL+LclRz54tciAABqsPr/DA/4EFgw+OLYLgBA -X/D6/AAAEwA2YCni2wmYOXjzBS/m3GAAAcCg9awAAG4ANqBkUK71JgAiAABRcPsSDCAAEGAwW7Ti -ADEELBIQjh6LH5shniP8JgQgARBoMADdGp0m+u4RDAAgY7D8FhAh/gJjMPwmBSoAIHbw+xYPIYAC -WvCbIipCEfZsBCACAhjw93wcK/+a1NDAINEPJeLSLOLTKOLR+eLQIA4CKXD0wB9kAEBdcAiYDAjM -NinizvSQFm4AIC9wf8MOL+bSY/9iAPP/52IAAGJw8/9WYAAQKDDBJtEPwKD88KoQBhBYMFu8yPUm -AC/0EBAw0Q8Z8RYY8RUlktIsktMogtH5ktAgHgIpcPTAUmQAQG1wCJgMCMw2GfENKZLOKl0B9JAT -YfgCUrB6wwsc8QgqxtJj/mgAAADz/mJgABAoMAAAAMCg/Qr8IAYQWDBbvK71RhIgDBAQMNEPAAAA -AAAA8/+0YgAAYnBsEAhbbhkX8N4sfeYswMH7cncgARAYMPwMQAAFECgw+HKAJAUAZPD1uggCAAAi -sPZ88CuQBDqg+ooIBOABLDBbbgcqJh0rcncqYoSrWwm7EauqW239KyIdKiYe+0kKcAAQYDAsJiFg -AAt7SwgEvQwNbRQtJiEV8Nb7rQwABBBwMP3cASIAAFCw/SYfIAwQWDAW8EQZ8NUsJJwuJJ8uJJol -JhgjJJ0rJhn7JKAgAhBAMCgknikmGvZi2iAOEEgw+SSiIBAQQDD4JKMgDRBYMPskoSfwATAwJiYb -9iYcIAAQWDBt6hIvoKAA8QQAPhr14QlwAgJSsLG7KwoECw5H/iSaJADDg6D88LkQBRBQMP3wsBAw -EFgwW7xiKyIdKiIeC6oMsaoKahT5rAABrAA2oAoMX2TCbsKgCY1XZNJzCc5TZOJ4Ce9RZPJ/9hYE -If4CQrAJijvBcQenNvkiISH+AlHwAKEEADYa+WkIAf4CMbD2JiAh/gJKcCkmIlttsNWgW226CloM -saoKahT5rAACSwA2oAoLX2Sx28KgCYxXZMHgCc1TZNHlCe5RZOHssK8J+jse8FCFFCokmABxBAA6 -GvckmSH+AlKwKiYjKSCY+OLZIAwCSnAAkQQAPxr4JiQh/gJ78C8mJRzwgi7i2i8iIy0iJCkiJZkQ -KCCY+BYBIAUQUDD4IJkgMBBYMPgWAi+gBD/gW7wjHPB4LyIeLiIdKCIfmBAtIhudEfsiHCAFEFAw -+xYCIgAAaTD1FgMgMBBYMFu8GBzwbSgiIi8iIS4iI/0iICAJEEgw+RYDIfAQWDCbEfsWAiAFEFAw -+BYAIDAQWDBbvAsKaxH8IiEgwAJQsFv+ycAg0Q8AAAD88F0QBRBQMP3wUBAwEFgwW7wBKiCgLSIY -AKEEAD4aAKAEDQkZZJEyLCIZsMvwsAQB/gJLsPkJGQ//EFAwbQgKCRkU9JARYAICUrBj/+6WFPP+ -d2AAEFAwAMEEAD0a8LAEAf4CW3D7CxkP/xBIMG0ICgsbFPSwCGACAkpwY//uAPwkoCIAAFiw+p8M -AAYCczD+JKMgAgJTMPokoSAEAkMw/yScIAAQUDD4JKIgAgJr8P0knSAEAkPw+CSeIAYCe/D/JJ8g -BBBwMNMPbeoSLbCgANEEADwa9cEIcAICWvCxqsCkCg5HLiSaY/2RAKkR8/4fYBAQUDAAAAiZEfP+ -GGHwAlKwDJkR8/4TYfgCUrAAAA6ZEfP+DGH8AlKwAKkR8/2MYBAQUDAAAAiZEfP9hWHwAlKwDJkR -8/2AYfgCUrAAAA6ZEfP9eWH8AlKwAAAAAADz/dRgABBQMAAAAMCi/PAGEAAQWDBbu6z88AUQBRBQ -MP3v9hAwEFgwW7unY/0RAABsEAgW7/8Y79zTDypi2SuCdyiN5iiAwfm7EQIAACjw/4cQegAgWrAq -rQEqrIBbimZgAAgqrQMqrIBbimMZ7z8tkhH+Yg0gKgA3YMAghGuLao9sjm2UEZIS+xYAIAUQUDD8 -7+kQMBBYMFu7i9EPAAAA+u9kEDQAN6D/YgwqABZ2kMvy+2IKKgAYfpDLtPRiCyoAGV6Q+QpAIAcA -NSB0mzDz/7Fv6hAQMACEa4tqj2zz/6Jv6hAQMIRri2rz/5Zv6hAQMIRr8/+Mb+oQEDAAAAAA++9b -EGgCGLCTLfMmDiB4AiCwJCYP9CYQIkAQUDBbg1b3rAACgBBYMPQWBiJAEFAwW4NRi2uaFfe6KACA -EFgwWAZT9GILIW4ANqD1FgQgNwA1IPWsAAAAECAw+lwAD/8QWDD970ISQBBgMFgGLI4tuK2d4Z6i -k6OdLYxrsUT8Q9l0ACAt8IcVimr3qigAgBBYMFgGPtWg+2IKIQYANqDLsfMSBiAAECAw+lwAD/8Q -WDD8KkAigBBoMFgGGIwvuKubwZyik6ObL4tqsUT7Q9l0ACAt8NqwW5P3JGL1LmLw3aD6RAwP8BAo -MPTgF2QAQCkwKWL0KGLxCZg5eEMGJGb1YAACAMBAZEGA9+8QELwANSD0JhIiAABRMPtiCiAAEGAw -W7MZimxbk+MkYvX6YvAiAABqsA1EDPSgFmQAQCkwKWL0KGLxCZg5eEMFJGb1YAABwEBkQWpkQQr0 -JhQiAABRMPtiDCAAEGAwW7MHimtbk9HBsFgGBiomE/RiCyA4ADag/AoAIgAAWTBbsv+KaluTycGw -WAX+KiYR+2IKIFIAtqCEax3usY9sjm0t0hHz/dRv9BAQMItqHe6sj2yObS3SEfP9wG/0EBAwwKD7 -CgYiAABh8Fu6/R3upCQmEoRri2qPbI5tLdIR8/2ab/QQEDDAwFuy5YptW5OvJGL1LmLw+kQMAgAA -arD04BtkAEApMCli9Chi8QmYOXhDCiRm9WAABgAAAAAAwEBkQPVkQMr0JhUiAABRMPtiDSAAEGAw -W7LS+xIEIgAAULBb/jcb7octshHAwQrNOC22EfP9H2IAABKwAADccPoKACAGEFgwW7rWHe5+JCYU -hGuLao9sjm0t0hHz/P9v9BAQMCRi6ypi7Pli6SAeAiEw9KC9ZABAKTAsYuoMnAwMrDYuYufI6aTa -esMFKmbrY/5P8/5MYAAQIDAkYusqYuz5YukgHgIhMPSgjmQAQCkwLGLqDJwMDKw2LmLnyOqk2nrD -Bipm62P+ZQDz/mFgABAgMNxw+goAIAYQWDBburEd7lgkJhWEa4tqj2yObS3SEfP8am/0EBAwAAAk -YusqYuz5YukgHgIhMPSgNmQAQCkwLGLqDJwMDKw2LmLnyOqk2nrDBipm62P+2gDz/tZgABAgMPP/ -TGIAAGJw8/97YgAAYnDz/9NiAABicGwQBhXu7xTuzChS2SpCdylN5imQwfMWACuQBDqg/5czeAAg -UjAqjQH2CoAhAAJSsFuJUCxCdytS2QnMEay79roIAgAAIrBbiUgKRgxgACsAAAAAACqNA/YqgCEA -AlKwW4lFLkJ3LVLZCe4Rrt322ggCAAAisFuJPApGDC9S8CRS9QZtCv5S8S3QBD9g/UQMD/AQODD0 -8BVkAEA5MC9S9A/+OX5DByRW9WAAAwAAwEBkQgD47kkTJQA1IBrutQ1JFJQlAAqLbZkCAERhK1Lw -JFL1Bm0L/1L0LdAEP2ANRAz0sBNkAEA5MC5S8Q/+OX5DBSRW9WAAAcBAZEIOZEGd9CYGIgAAUTD7 -CgAiAABjcFuxYQ9jEdowW5MLJFL1KFLwKVL0+kQMAgAAarD0gBNkAEA5MChS8QmYOXhDBSRW9WAA -AcBAZEIbZEGp9CYBIgAAUTD7PAAAABBgMFuyL9pgW5L5JFL1+lLwIgAAarANRAz0oBZkAEA5MClS -9ChS8QmYOXhDBSRW9WAAAcBAZEIRZEG59CYCIgAAUTD7bAAAABBgMFuyHSpSCQ8CAA8CAPqkCQB4 -EFgw+6ooABAQWDBYBRf6JgcgCAC2oMck0Q+KWfqmCgDIEFgw+6ooABAQWDBYBQ/6Jggv4wA2oNpA -W5LWwbBYBQr6JgMv0QA2oPtMAAAAEGAwW7IF2mBbks7BsFgFA/omBC+0ADag+2wAAAAQYDBbsf0f -7mn9Uh8gAhBYMCsksSsksysktPslWyABEEgwKSSwKSVdKSVfKSVh+SVkIGQQcDD+JVwgBRBAMCgk -svglZSADEFAwKiVeKiVg+iViIAAQYDAsJissJjMtJCQvJjX/JjYkABBoMP0mOCAEEGAwLCVjihBa -Wh7AINEPwKD87c4QBhBYMFu57PQmBi/0EBAw0Q8kUusuUuz7UukgHgIhMPThM2QAQDkwKFLqCLgM -COg2KVLnyJ+k23uDCytW62P9zwAAAAAAAPP9xmAAECAwAAAAwKD87bgQBhBYMFu51vQmAS/0EBAw -0Q8kUusuUuz7UukgHgIhMPTg5GQAQDkwKFLqCLgMCOg2KVLnyZCk23uDDCtW62P9wQAAAAAAAADz -/bdgABAgMAAAAMCg/O2iEAYQWDBbucD0JgIv9BAQMNEPJFLrLlLs+1LpIB4CITD04JRkAEA5MChS -6gi4DAjoNilS58mQpNt7gwwrVutj/bQAAAAAAAAA8/2qYAAQIDAkUusuUuz7UukgHgIhMPTgXmQA -QDkwKFLqCLgMCOg2KVLnyJqk23uDBitW62P9vgDz/bpgABAgMAAAAMCg+woGIgAAYjBbuZz0JgUv -9BAQMNEPAAAAAAAA8/7WYgAAQvDz/yViAABC8PP/dWIAAELw8/+rYgAAQvBsEAQY7ezAkCmG+/go -CgeQBD1g9koRCVAEPOD6mQIGCQA9sPiNBCYJAE3wl4DRDwBsECQb7eH6HAAAQBBgMFuwhBvt3vwK -QCCAAlBwW7CA++3cEP4CUHD6rAEggBBgMFuwfBbt2BLtNvcKACACEBgwJGGu2kBbuG/7Ch8h/gJi -sAy7DPtFBnIAAGKwsaz+CgAiAABAcPt1EQAgEEgw8MwRCoAEPeD6HH8qCQBm8PwcQCACAlKw+0sC -AAICOfD7JvkhsAIhMG2aO/mBACwJAC+w/cEALwAEP2D7ogAgAgJzsPlJKAAIAlKw890RAAQCYzAL -mSzzmTUABAJCMA2ZAg+ZAikm9/8CAAAEAjGw/wIAC/+wHeDAINEPbBAEEuzP0w/TDykirxPtOMqR -KTahKCKb9AoAIBkANiD6CgAiAABZMFuAgikim7FE0w95Q+opIrHKkSk2oioinvQKACAZADag+goB -IgAAWTBbgHgrIp6xRNMPe0PqKSKzypEpNqMsIp30CgAgGQA3IPoKAiIAAFkwW4BuLSKdsUTTD31D -6i4inPQKACAXADeg+goDIgAAWTBbgGYvIpyxRH9D7Cgit/SAUGMAEFAwKyK3KjLPKzbOKq0DG+zf -LTK8H+18sK4OfhT07hEMAEB/cA7dAi02vCwyrH/HCC8yyw8PS3vxOikyzMCHeYAILDLMDFxLe8EG -wCDRD2P/vC0yzB/tbS6t/g5uFPvuEQwAQH9wDt0C/TbMIAAQEDDRDwAALzLLGe1TKKzA+GgUDgBA -T/AI/wIvNstj/6oAAGwQBBTsuvcKACAFEDAwBwJH+woAIgAAULBbgF0pCggJeQIJCUcpRlIoQlMl -CgD1RlYjUAQ8oAMzFCU9ARrtUPsKAiAAEGAw/zgQAAUQaDD2iAIAARBwMPhGWCAAEHgwWl8O9qBy -YAICGPB1Oc7AsCtGWLF3+UJYIAAwLqBpdo4S7Skf7T/+7T8QABBoMPwKACAQEBgwA9sCCwtHK0ZS -DIkUAJkRDpkCKUZT/dwBKOABaDDwAgcJwAQ6IPlCUygAIHow+II5IIAQSDBtmgIASGH8zQgpggI7 -YNKg0Q9sEAQa7SrTDyqifys66AuqLCit/SiM4G6IBSsal3q7USoKZBTtI/pFfiABEFgwW4BM8+0c -EAAQEDD6RX8gABAoMNogW4A+2iBbgDX1NgIgABAgMPosAAIAAFkwW4AcsURpS++xIvM8ECWwAjig -wCDRDwAs6nCsrG7IBS0Kz3rbCvP/n2AyEFAwAAAALvo4rq5u6ATF93r7B/P/h2AZEFAw8/9/YAoQ -UDBsEAYa7QLTD9MPKqJ/9goAIIcANqAV7P707PEQABA4MC1Q3fluEQAAEBAw8woAIFkAN2CeECoK -gFu3iMDBLEb7sq0A0QQAaxqrO/cpCAoAICbw+70EKwAEOmCasMCzK0b7iBD0mQoLAAQ4oPmdBCgJ -AFIwmJAvUN2xIv8jt3AIAhjwGuziKqJ/9VwBIAICMbD6Y4x2ACA8sNEPAABsEBQU7IkPAgArQn/X -EPjshxQqADbg+ICAIAAQSDBtuQwAkAQICxt/twGxmrGZHexm/goEIfoCerAP6jj6HBQAABAQMPzW -gCIAEBgw2iBbf5axInMp9RrsyBvsyBzsyf7syRAAEEAw/QoAIEAQSDDTD22aJAqJCimdBJ2QDIkK -KZ0EnZAOiQopnQSdkPuJCgACAkIwKZ0EnZBbf2YY7Lv5CgAgCBBQMNMPbaoFKYYwKI0EKEJ/0w/y -CgAgPAA2IBPsrhXsOMCQKTbCKTbDKTbEKTbF+TbGIgAAULBbfy4rMsAqQn8FuwL7NsAgAgIQsPM9 -QCv/6VSQGOw0+QoAIAgQUDAPAgDTD9MPbaoH+YaEIAgCQjAa7J4T7J7AkCmmrywymi0KIP3MAgAA -EEAw/DaaIwgQWDBtuhYppsYppscppsgppskppsr4psUgAgJCMPZ8ECAAEEgw+goQIgAAQbDTD22q -B/mGACAIAkIw9QqIIAAQEDD7bAACAABQsFt+8yIsAXUp7itCfyIKAvgKACA1ADbgHOx5FexzbQge -L8KABf8CL8aAK0J/LM1A844KAAICQjDy5o0qAAbaEGP/2gAAAAAAAPUKACAuADbgFuxrGOwXKICA -bQgXAFAECAkb/wIAAgENflCxVfZtQCoACFlQY//hAAAAGOwNKICAK3xg/7wABYAEPiAW7GEoYoAZ -7GEJiAEIVQIlZoAd7F8u0sb+7hEP8BAoMP7sDyAAEDAw9jaLLgBAK7AOHgz+dhwiAABjsAHhAC3d -6i3QwcCA+exTEGQQMDD9DUAAARBwMPpqACwFAG+w/ak5AAQQcDD97A0QBhBQMG3qYS7dAS7sgCPg -vC7gvQOTHMdeBjMs/mUMAgBALPD+PigABgJrcPUzKA/+ECgwBu4sBjMs9fr4IgBALPDzIzcEAEAv -sPXGAC4AQFOw/MwELgAgG7D+9gAkACB1cP/8BCgAICoweJsmwMDTD20IHAvPCo3wscwMDEH63P4i -GAA7YPr2ACH8AkIweJsCY//a+YsscAAQYDDTD20IHQvPCo3wLMwBDAxB+NIMYAQCc3D+9gAgBAJC -MHmLBGP/2QAAGOwWibD5hoQgCAJ68BnsF4/w/5aEIBACcvAf7BWO4P72hCAYAmrwHuwTjdAt5oQp -chyOkLSdjdAuhoi4nPzCACAIAnIwLeaIvJv7sgAgEAJqMCzWiLyMK8aILnIcGuudjOCP443iDDwU -juENPRQPPxT+PhQO4AQ/4PTdEQ+gBDug/90CDAkAczD9zAIAKRBYMFuMhMAg2iBbfdLaIFt9ybEi -aSTwL0J/8goAIBcAN+D7Wu4iAABQsFt+LyhCf7EieCPswCDRDwDaUPx8UCAAEFgwW7XgK3EoK2aK -KnxSKaEAKqEB+0J/KQAEPmAKmQL5ZokgAgIpcPZtQCv+0F1QGOt+KICAY/2/Y/vuAGwQCBfrOhTr -OBPrEhzrPihB+CVB3ftB3yAfEBAw9IGHYSACSzAmQeEqQeP+QdksACAu8Kbdqt31D0QKAbJvkPXz -OWiAAVgw9YMxaIABNDBlkyn16AwIAZNQkChF3AuPDA3iDCJF5Qb/DP9F4CngAUAwC4kMKUXeAv8M -/0XkL+ABfDAK+Qz5ReIp4AQ6ICJB3vg2hCPgBDigIjaHIkHiDv8R/zaFI+AEOKAiNoYsQeL/Nv0t -4AQ7IPw2/CAAEBAwZiK1IkJ0yyj4LP8qAXzEoP8CAAgBhJIQ2iBbtiktMorwrhEMAEA/cPxB2CwJ -AHdwLTaKLDb+KTKBG+qvC5kCKTaBKkHY9uuaEAAQEDD165kQMAA2oGAABSpB2HorIi8yvfwoEQ4A -QDfwCP8CLza9JTa8W4/A9K/fYAICELDHLtEPCqsKGusPKaF++zaNIcEANmAsMoEd64gNzAEsNoEi -QnYnQeUqQeMmQeErQd8uQdkvQfglQd0iNozyQnQhqAA34JYQlxGSExzrfP+8AAIAAGuw+hYCIgAA -cXD6CgQgBhBYMFu2+sAg0Q8qMoQpQdgoQdkKmQwJKRQpRd0JiAwoRdwlMoQmMocvQdwGVQwFJRQl -Rd8F/wwvRd4tMocuMoUO3QwNLRQtReEqMoUrQd8lQd0sMoYmQeH+QdkugAEsMPyqDAwAIC7w9t0I -DeIBUDD82AgL4gFQMPpF4yoA/seQZfHQCwhEZYHKBglEZZHE/wIACADg0JAsQfgF7wz/RdwoACBX -cAnpDPlF5S3gAXwwC94M/kXeIQMANyAG6wwrReAJuQz5ReQp4AFMMAqbDCtF4g7YESJB3vg2hCPg -BDigIjaHL0HiDp4R/jaFL+AEP+AvNoYsQeL+Nv0t4AQ7IPw2/CAAEBAwZiDPKTKBe5ZXKDKKCAhV -8IEEAAEQEDAAIhryRnQuPgA0oP8CAAoAvMSgsCn/AgAIAMOSUNogW7WpLjKK8K8RDgBAO7D9Qdgu -CQB7sC42ii02/isygRzqLgy7Ais2gWP9+sCAKEZ0Y/3yKaF/ZZ48K6GAZb42LKGBZc4wY/45ABzr -FpIT9xYCIgAAevD2FgAiAABrsPoWASIAAHFw+EHqIAQQUDD4FgQgBhBYMFu2jsAg0Q8L+AwGiAwo -ReAKjAwJzAz8ReQp4AFEMAqeDC5F4mP+99EPAAD9XAACAAB5sPoWACIAAHLw+goCIAYQWDBbtn3z -/SRv6hAQMJYR+xYAIgAAYnD6FgIiAAB5cPoKAiAGEFgwW7Z08/z/b/QQEDD6CgIgBhBYMPzqURIA -AGiwW7ZtY/0mAAD6CgIgBhBYMPzqTBIAAGiwW7ZnY/0O3VD/bAAAAhBQMPwWACIAAHLw/OpAEAYQ -WDBbtl/z/pJv6hAQMJYRHOo7+hYCIgAAeXD7FgAsACBXcPsKBiACEFAwW7ZV8/5qb/QQEDAAAAAA -APoKAiAGEFgw/OoxEgAAaLBbtk1j/KYAAPoKAiAGEFgw/OosEgAAaLBbtkdj/I4AAGwQBhnqwhrq -wiiQBCgUBCmSACkWAFv8vBXqvxrqTvxReiFAEFgwW4s/Guq7DwIADwIAKqF/8hoAIgF/6pD66kUR -QRBYMFuLM/Tp9BwIAVAwLFRUK0LTZrMALlIbLVIaH+qvrtj/AgAKAYHH0Md/KVIY/UbEIt8ANmAp -RsYsUhz26iQSgwA3IClSHmSSeItfwDH+shVgABBQMG0ICrGqAKEEAD0ae9sCY//uLVDCGeqbKFIR -KlYSC5ksDYgs+VYUIfwCQjAoVhMsRsiIXy5QwqHuLuAALVIRAu4Q+EbNLAkAd3ApUhIpnPX9Rs4p -AAQ+YC1C2x7qiw7dAfhSHigJAG5wKUbbKEbKjl4tUMOh3S3QAClSEAHdEP5GyygJAG5wLlIhLVIg -DtgI+UbMKgEoR9Ab6n0uUMMqUMIvUhH8UhAuACBbsP7ggCoAIFqwKqCA/8wICgAgcrD76nQaACBi -sChQwy9SEC5SEflQwiAYEGAwDP8sDO4sCe4sCP8sAP8R+mYbLgkAe7AuRscKqhH9RsUqACBTcC2y -cAzdLArdEfpmGCoAIGqwK7JxDLssCrsR+mYZKgAgWrAqZhoa6lz9KgAgABBgMP4KASACEFgw+2YT -IAAQeDBaW/v2obFiAAASsCNmE8TwL2YhLVIlK1IkHupQGendDXs4K1YkLFIlKlIkrKr7ltsh/gJS -sChSJy1SJiqW3Ah9OC1WJi9SJyxSJq/M/ZbXIf4CYzArUikoUigsltgLeDgoVigqUikvUiiq//jm -9SH+AnvwLFIoL+b2LVIpK1Iorbv8lt8h/gJa8CpSKy9SKiuW4Ap/OC9WKihSKy1SKqjd/+b3If4C -a3ArUiot5vgsUisqUiqsqvuW4yH+AlKwKFItLlIsKpbkCH44LlYsL1ItLVIsr93+luEh/gJrcCxS -LypSLi2W4gx6OCpWLitSLyhSLquI+pbpIf4CQjAoluouQvAf6hcP7gIuRvAtQsItVjcsQtgsVjgr -QtkrVjkqQtsqVjopQvApVjsoQuwoVjzRD8AgJ0bGJ0bIIkbNIkbOJ0bKIkbLIkbMImYbIkbHJ0bF -J2YYJ2YZJ2YaY/61AAAAAAAA+umHEUEQWDBbinQCrAL66YMRQRBYMFuKdWP86CdGxmP9IR/o9S7w -wcCECO4CLvTBY/ztwKH86fIQBhBYMFu1aMYq0Q/Aofzp7xAGEFgwW7VkxirRD8Ch/OnsEAYQWDBb -tWDRD2wQBBvpCv0KASIAAHiw0w8qsnYPAgB/pxIssnD8zBAAABBwMPwvDAoAPhMQ/qcQcAIQcDAs -snEMzBB/y3gM/wx9pygssnIMzBB/y3X8/wwAPgDusPoKASAAEFgw/Oj1EgAAaLBbtUXGKtEPAHun -5C2yggzdEP/T23AGEHAwn0D+NgAgBhBwMP0sAAAFEFAw/OjpEAAQWDBbtTnAINEPAAAAAAAA8kYA -IAAQeDCfMPP/1WIAAHiwnTCfQPP/yWABEHAwn0CeMPP/vWACEHAwAABsEAQmWkD6CoAiAABBMP36 -wCBAAliw8yYAIGACYLD8JgwgABBIMPwmDSIfASQw+SUUKgBAbvD1pToAgAJa8PsmCSBwAlCw+yYI -KAUAGbD4JRUiAABZcFtmigNkOftcAAIAAFEwW3z9Cm4ULiUC0Q8AbBAEGumZAwsG8qKGIgAAaLAo -ooEpooUNIgz0gBNiAEAS8CiiggmYOXgjBSKmhmAAAcAgzyEionwson2jIvmieiH+AhCw9MAzYgBA -EvAronsLmwwLyzYsonjIya0sfLMFLKZ8YAABwCDIINEPwKD86NMQBhBYMFu08dEPAPP/1mIAAFpw -bBAGE+k8KDIjFekj8goAIEUANiAmUnokMt8PAgCmJglmEaZEJkIHJmIOKyoA/AoAIgAAUbBbrND6 -Rg4gQBBYMPpsUCAAEGAwW6zLmk8oMiOxIngjviwyIA8CAPIKACEZADcgFOk/wGD7QN0iAABQsFt8 -tCwyIPIsASYBAFGw/CPmcAICITAqMiEpMiL6FgIqACBisKqZ9AoAINYANmCxbPwWACAAEFgwmxNg -AFMtIFArJFH72wgCAABS8JsS/RIAKgAVWpCCIAq4DG2JHShSeiky3/qICAACAlKwCYgRqJgnhAwm -hA2SiC2ENygyIS8yIC4yIqj/9EwBLgAge7B+S24iUncqMt+iQgkiEaoiJiANixL3IAwvlgA1oIsT -KVJ6q5kJmRH4IgAoACBOsJkRJ5QM9pQNIgAAWbD4lggiAABR8Ft8gI0RjBMpIFAq1Df8JFEgAgJj -MPwWAyMgATpgihKqnvokUSH+AluwmxJj/0zRDwAAAAAAAPP/CmAAEDAwbBAE9ukZEgAAaLD36RgQ -BRBQMPzpFxAAEFgw9mYAIegCIbD0dn8iAABw8PR2gCegBDyg9mYBIgAAIfBbtH8p+sD5MwEAABAQ -MPxi9SIDAB3w+2LyIG4ANOAqYvQPAgAPAgD+YvAgfgJSsP1i8yoAQEqw9KwAAAoANyANuwwLyzb0 -4A9qACAasHqzBypm9GAAAwAAwEBkQL9kQRT1PAAAHAA04JJO8kYPIgAAUTBaVHslXMD1X+xggAIh -MPN0DA/AEEgw+mL+IE0ANSAvYvksYv0Eqgz08BNqAEBKsCti+gzLOXujBSpm/mAAAcCgyqdkoJXz -TAAAHgA1INSgkk7yRg8iAABRMFpUZSM8wPU/7GCAAiEwwCDRDyti8ipi9Cxi9S5i8P36wCB+AlKw -9MANagBAarAtYvMNuwwLyzbI76SsfLMLLGb0Y/+kAAAAAAAA8/+bYAAQUDAkYv4vYvnzRAwPwBBA -MPTwFmQAQEEwKWL9KGL6CZg5eEMFJGb+Y/8X8/8UYAAQIDD6CgAgBhBYMPzoChIAAGkwW7QnwKH8 -6LUQBhBYMFu0JMck0Q8AAAAA/TwAAAAQUDD86LAQBhBYMFu0HcCh/OitEAYQWDBbtBrHJNEPbBAW -GOgV0w8ogX8iFhz8PAAAgBAoMPgIQQAAEBgw+FM5D/8QUDD8FgUiAABY8Ft7+dKg+woAL/8QUDBb -e/YqFhr6KkAgABBYMFt78ioWGfr6/yEAEFgwW3vvKhYY+vr/IQAQWDBbe+sqFhf6+v8hABBYMFt7 -6CoWFfsKAC//EFAwW3vkKhYU+woAL/8QUDBbe+GaH/sKACBAEFAwW3vdmh76+v8kgBBYMFt72ioW -E/saACJAEFAwW3vXKhYS+1qAIkAQUDBbe9MqFhH7OoAiQBBQMFt70CoWEPsKAC//EFAwW3vMKhYN -+woAL/8QUDBbe8kqFgz7CgAv/xBQMFt7xZob+vr/JwAQWDBbe8KaGvr6/ycAEFgwW3u/KhYJ+vr/ -JwAQWDBbe7uaGPr6/yCAEFgwW3u4mhf6+v8hABBYMFt7tdag+woAL/8QUDBbe7HXoPr6/yOAEFgw -W3uu1aD6+v8ggBBYMFt7q5oW+woAL/8QUDBbe6iMGIkaFOhGIxYejh6DHC1CIi9CHyhCIw7dKAP/ -KC8WIS5CFS8SEQmIKCMSEg/uKC9CFigWICgSFAP/KCNCEStCJSkSFwgzKIhPDLsojE0JiCgpEhkr -Fh8rEhoJzCiJTAuZKItLCysomxT5EhgqACBO8PxCDioAIGbwCcwo+BIVLAAgQzD8QhAqACBm8AjM -KPMSEywAIBsw/EISKgAgZvADzCj/EhAsACB7MPxCFCoAIGbwD8wolhH+Eg8sACBzMPxCISoAIGbw -lxIZ6BsOzCiOHf1CHiwAIGswKZF/iEsO3SguEiGDFvxCICoAIGbw/hILLAAgd3CPGf0SICoAIG7w -DswoLkIk/RIHLAAgazD8QiYqACBm8A/uKC8SHw3MKC1CKP9CLC4AIHuw/kIpKgAgdvAG3SgF/yj9 -Qi0sACBrMPxCKioAIGbwB+4oA90o+swoDgAge7D1FgMqACB28PMSHiwAIGsw+YsMegAgZvCFFJoQ -YAAIAPoWACAAECgw+wqAKgAgKvBYAjXZoPesAAAEW6qgZFBDjUsPAgAPAgD2CgAgMQA3YPoWHSIA -ACqw+lwAD/8QWDD8+v8iAABo8Fv+IFpTT45LsWb+Y+J0ACAssCkSHQJnKNMPp5eIFQ8CAGSAZIUV -KIoACFUBAlUsJRYbBSUo+lwAAIAQWDBYAhj/AgAABAoqoCkSGw8CAPMWFiA3ADZgwFD2PAACAAAa -sPo8AA//EFgw/Pr/IgAAabBb/gRaUzMqEhslXAHTD/pZ3nIAIBywIxIWKxIc8xYWJnIANuDzCgAg -ABAoMCZC4qVmk2AsQsj4QgsqAAdk0KyI/wIACgB6RNAsQsn5QgwqAAdk0KyZ/wIACgCTzNAsQsr6 -Qg0qAAfk0AyqCP8CAAoAnNTQLELL+0IOKgAHZNCsu/8CAAoAplzQLELM/UIPKgAHZNCs3f8CAAoA -r+zQLELN/kIQKgAH5NAM7gj/AgAKALj00CxCzv9CESoAB2TQrP//AgAKAMJ80CxCz/hCEioAB2TQ -rIj/AgAKAMvE0CxC0PlCFioAB+TQDJkI/wIACgDYzNAsQtL6QhUqAAdk0Kyq/wIACgDmVNAsQtP7 -QhQqAAdk0Ky7/wIACgDz3NAsQtH9QhMqAQZk0Kzd/goVKgEBaNAuZARgAC/6fAACAABY8P0SFiAA -EHgw/2QEL/8QYDBb/bDHn/mmACAAEEAw+GYHJgAgPLBaUtoqEhz1XQEgAgIY8PVcgC//WtDQYAUT -AAD6fAACAABY8P0KACACEGAw/GQEL/8QYDBb/Z4tEhqaZ/P/xGYAID9w+nwAAgAAWPD+CgMiQBBg -MP5kBCAAEGgwW/2ULxIZmmfz/5xmACA/8Pp8AAIAAFjw/Pr/IAQQQDD4ZAQhABBoMFv9iikSGJpn -8/90ZgAgPnD7PAAP/xBgMPoKBSEAEGgw+mQEIgAAUfBb/YArEheaZ/P/TGYAID7w+nwAAgAAWPD8 -CgEhABBoMPxkBC//EGAwW/12LRIVmmfz/yRmACA/cPp8AAIAAFjw/Pr/IAYQcDD+ZAQgABBoMFv9 -bC8SFJpn8/78ZgAgP/D6fAACAABY8Pz6/yATEEAw+GQEJIAQaDBb/WKaZ4quKRITlqDz/tBmACA+ -cAAAAAD6fAAAGRBgMPxkBC+WEFgw+2QFIQAQaDD8KkAiAABY8Fv9VC0SEppn8/6cZgAgP3D6fAAC -AABY8P8KFyJAEGAw/2QEL5AQcDD+ZAUlgBBoMFv9SCgSEZpn8/5sZgAgPjD8KkAiAABY8PoKFiOA -EGgw+mQEL4UQSDD5ZAUiAABR8Fv9PCsSEJpn8/48ZgAgPvAsQtf9QiEqAAdk0Kzd/wIACgCj7NAs -Qtj+QiIqAAfk0AzuCP8CAAoArPTQLELU/0IeKgAHZNCs//8CAAoAtnzQLELV+EIfKgAHZNCsiP8C -AAoAv8TQLELW+UIgKgAH5NAMmQj/AgAKAMjM0CxC2fpCIyoAB2TQrKr/AgAKANJU0CxC2vtCJCoA -B2TQrLv/AgAKANvc0CxC2/1CJSoAB+TQDN0I/wIACgDk7NAsQtz+QiYqAAdk0Kzu/wIACgDudNAs -Qt3/QigqAAdk0Kz//wIACgD3/NAsQt74QikqAAfk0AyICP8CAAoBAMTQLELf+UIsKgAHZNCsmf8C -AAoBCkzQLELg+kItKgAHZNCsqv8CAAoBE9TQLELh+0IqK/6OZNCsu/8CAAv+iVjQ+nwAAgAAWPD9 -CgAgGxBgMPxkBC//EGAwW/zojRCaZ/P862YAID9wAAAAAAAAAPp8AAIAAFjw/Pr/IAgQcDD+ZAQg -ABBoMFv83I8fmmfz/L1mACA/8AD6fAACAABY8PwKQCAJEEAw+GQEIAAQaDBb/NKJHppn8/yVZgAg -PnAA+zwAD/8QYDD9CgAgChBQMPpkBCIAAFHwW/zIix2aZ/P8bWYAID7wAPp8AAIAAFjw/QoAIAsQ -YDD8ZAQv/xBgMFv8vo0cmmfz/EVmACA/cAD6fAACAABY8Pz6/yAMEHAw/mQEIAAQaDBb/LSPG5pn -8/wdZgAgP/AA+nwAAgAAWPD8+v8gDRBAMPhkBCcAEGgwW/yqiRqaZ/P79WYAID5wAPs8AA//EGAw -+goOJwAQaDD6ZAQiAABR8Fv8oIsZmmfz+81mACA+8AD6fAACAABY8PwKDycAEGgw/GQEL/8QYDBb -/JaNGJpn8/ulZgAgP3AA+nwAAgAAWPD8+v8gEBBwMP5kBCCAEGgwW/yMjxeaZ/P7fWYAID/wAPp8 -AAIAAFjw/Pr/IBEQQDD4ZAQhABBoMFv8gokRmmfz+1VmACA+cAD7PAAP/xBgMP0KACASEFAw+mQE -IgAAUfBb/HiLEppn8/stZgAgPvAA+nwAAgAAWPD8ChojgBBoMPxkBC//EGAwW/xujROaZ/P7BWYA -ID9wAPp8AAIAAFjw/Pr/IB0QcDD+ZAQggBBoMFv8ZI8Wmmfz+t1mACA/8AAAAChCI/dKoCKQEBgw -9QoAIGQANiAW5b4mYnciQuKmVglmEaYihieGbsDA+yESIMACUbBbqW+LK5oq82oIAAAQYDBbqWsr -IhCaLfdqCAAAEGAwW6lnKiYSKyBQKm0H+qywIAAQYDBbqWIqJhMrQiMlXAF7U58sQiTTDw8CAPUK -ACBkADcgFuWiJmJ4IkLiplYJZhGmIoYnhm7AwPshEiDAAlGwW6lTiyuaKvNqCAAAEGAwW6lPKyIQ -mi33aggAABBgMFupSyomEisgUCptB/qssCAAEGAwW6lGKiYTK0IkJVwBe1OfLEIl0w/TD/UKACBj -ADcgFuWHJmJ5IkLiplYJZhGmIoYnhm7AwPshEiDAAlGwW6k3iyuaKvNqCAAAEGAwW6kzKyIQmi33 -aggAABBgMFupLyomEisgUCptB/qssCAAEGAwW6kqKiYTK0IlsVV7U6DAINEPAI0VHOXIKULzL0Ly -KEL08xYWIgAAcXD5/wwABBBQMPj/DAAGEFgwW7EsIxIWY/gBAMCh/OW9EAYQWDBbsSfHJNEPAAAA -AAAAAGwQBBrlrvKifCIAAGiwAwwGK6J9+KJ7IgAgGLD5onoh/gIQsPSwUGIAQBMwCJgMCLs2KaJ4 -9JANbgAgaLB+swUupnxgAAHAIM4kIqKGK6KBKaKFDSIM9LAUYgBAEzAoooIJmDl4IwYipoZgAAIA -wCDILNEPAAAAAPP/tmIAAFpwwKD85ZMQBhBYMFuxAdEPAGwQEhLk8dMPIiKDKgqk8ghDAAgQWDD4 -FgAgHxBgMFushPsKBCAfEGAw+hYIKGQBEDD4FgEguBBQMFusffsKACAfEGAw+hYJKGgBFDD5FgIg -zBBQMFusdvoWCiAcEFgw8spDAB8QYDD6FgMg3BBQMFuscPoWCyAfEGAw8gtTAPAQUDD7FgQgGBBY -MFusafoWDCAUEFgw8kxTAQQQUDD8FgUgHxBgMFusYvsKECAfEGAw+hYNLHgBFDD9FgYhGBBQMFus -W/sKDCAfEGAw+hYOLnwBEDD+FgchLBBQMFusVRLkTpofKiKbW5be+iKeIgAAMrBbltv6FhEgABAo -MPQcICCAAjhw8xwAAAAQEDD2FhAgABB4MP8WFiABEFgwiUAoMgApnAsAkQTwthoAFAJCMACABAYG -G8tkKhIQBqosW6+tKhYSKhIRBqosW6+qLhISLBIWAFEE8K0aAAEQWDDw7hoMCQBrMPwWFiIJAHCw -9VwEIAgCITD3SaNwCAIY8BjkkiKGhMGw/xIWIAAQSDD5FhggABBQMPoWFyAfEGAw/4a9IUAQUDBb -rCL6FgggFBBYMPoaTCAfEGAwW6wd+hYJIBgQWDD6GlggHxBgMFusGfoWCiAcEFgw+hpkIB8QYDBb -rBT6FgsgABBYMPoadCAfEGAwW6wP+hYMIAQQWDD6GoAgHxBgMFusCvoWDSAIEFgw+hqMIB8QYDBb -rAYS4//6Fg4gDBBYMPoamCAfEGAwW6wAKwoB9BwgIAAQKDD6Fg8iAAAYcIlAiDC7mQCRBPC2GgAU -AkIwAIAEBgYbZGBNKiKfW5Z/KhYTKiKnW5Z9KhYUKhITBqosW69fKhYVKhIUBqosW69cLhIXLBIY -LxIVAFEEAK0a8P8aDAkAazD8FhguCQB7sP4WFyABEFgwtFXyLAQgCAIhMPdJinAIAhjwGORCKRIX -KYaFIhIY8oa+IAAQEDDRDwBsEAgc5OAW5N6TFZIULWBELmBFL2BG+mBHIAYQWDD5YEgmACBvsPhg -SSYAIH3w+RYBJgAgVfD4FgImACBN8PoWACYAIEXw9xYDIAUQUDBbsDdkcfLApfgSBCAGEFgw/OTK -HgAgFPD/FgYiAABosPj/DAIAAHDwW7At+hwQICgCWHD8CkAgQBBoMFt3zCpgRIQU9GYEIA8AtqDw -AChgABBgMAAAAPsSBSBAECgwW7Ix/AoAIgAAafBbsKf1DQYMAQAq8A3MASpgRfxmBSQAICMw9GYG -IBAAtqDwAClgABBQMAAAAAD7EgUgQBAoMFuyIfwKACIAAGnwW7CX9QsGCgEAKvALqgH5CgAsAKIW -oClmOSpgRv36wCB+AmJwDcwB/GYHJAAgIzD0ZgggEgC2oPAAK2AAEEgwAAAAAAAA+xIFIEAQKDBb -sgv8CgAiAABp8FuwgfUKBggBAC7wCpkBKmBH+WYJJAAgInD0ZgogEAC2oPAAKWAAEEgwAAAAAPsS -BSBAECgwW7H7/AoAIgAAafBbsHH1CgYIAQAu8AqZASpgSPlmCyQAICJw9GYMIBAAtqDwAClgABBI -MAAAAAD7EgUgQBAoMFux6/wKACIAAGnwW7Bh9QoGCAEALvAKmQEqYEn5Zg0kACAicPRmDiAQALag -hxbwACxgABBQMAAA+xIFIEAQKDBbsdv9fAAAABBgMFuwUfULBgoBACrw9xIGKgBAWrDdIPzkWhIA -AHDw+mYPLgAgJrD/FgQgBhBYMP9/DAAFEFAwW6+5ghTRDwAAmmeUFFuus4QU8KEEAAEQSDAAmRop -Zjlj/qgAbBAQkx4V4+cX4+vy484SAABIsJkUK3JmJlKALVJ3KlJ5LFJ4+FJ/L8AQGDD5qhENkAQ/ -YP1tCA2QBDsg/RYSLAAgYbD8FhMqACBRsPoWFCmQBDog9bAQZgAgQbApcpnOlYkeYACJAADAoFt3 -oaKpKpKAZ6ALbQgFK5KAZ7ACY//zKXKZ0w/JmsChW3eZoqkskoBnwAttCAUtkoBn0AJj//Mpcpkv -cmYU48/8480QAhBQMCpWnSxWnvRWliAAEGgw9FaYIAEQcDD5/wgCAABZMP9WlyQAICPw9FaZIH4C -e/D0VpwuAEAf8Ft3QIkeK3JmKZw/A5kB+VaRJH8ANuAuUpAvUpIJ7gwP7gyx7Q7tOw0dEmbUwS8K -ZA/fLP//CgAFEFAw/OQBH8AEO+D+Fgcv4AQ/4P8WBSAAEFgwW69iW3dxE+PT0w8qMrArMq8sMq4t -Mq0uMqwoMqopMqkvMqspVmf5MrQoACBKMChWaPgysS4AIEfwL1Zp/zKzLgAge7AuVmr+MrIsACB3 -cC1Wa/0yvCwAIGswLFZs/DK9KgAgZvArVm37Mr4qACBasCpWbvoyvygAIFZwKVZv+TLAKAAgSjAo -VnD4MsEuACBH8C9Wcf8ywi4AIHuwLlZy/jLDLAAgd3AtVnP9MsQsACBrMCxWdPwyxioAIGbwK1Z1 -+zLHKgAgWrAqVnb6MsooACBWcClWd/kyyygAIEow+FZ4LgAgR/D/VnkuACB7sP5WeiAAEEAw+FZm -LAAgd3D9VnssACBrMPxWfCoAIGbw+1Z9KgAgWrD6Vn4oACBWcClWf1ungCoWEFunfylSkiRSky1S -kP76gCD+Akpw9EANaABAdnAvUpEP3QwNTTYoUo7IjAmoEaiYeNMFKFaSYAABwJD5Fg8i5wA2YCwS -D2TDQSxWgCpSdyhSfytSeC0ywfRSeSmQBDog+bsRC5AEOqD6yQgNkAQ/YP1KFAoAIF8w+xYIKAAg -QzD4FgklkAQ5IPgSEiQAICMwbakFAAiGAElhKhISDWsUW3b1LTLCiRjTD/gSEy2QBD9gDUoUbakF -AAiGAElhKhITDWsUW3bsLTLD+BIULZAEP2ANShRtqQUACIYARGEqEhQNaxRbduQtMsj5EgktkAQ/ -YP1KFAIAAEGwbakFAAiGAElh/WsUAgAAUbBbdtsmUpAqUpOaGvpmDAAAECAwBOQWAQIA22BbsScE -5BYqCgFbds6WEBTjZy8SCvzjZBAIEFgw/QoBIgAAcrD6FhEuACAn8P8WCyAFEFAwW67CwLDZsCoS -EfwSCyIAIBKwLCaBJiaCKyaDCeQWmRwBAgAoIoME6jDAslt2tAjqMC0iiP0WDSAVADdgBOow+hIR -IAIQWDBbdq0I6jCOHA7kFgSKDFt2otig/hINIAUQUDD840YQCBBYMP8iiCXQBDmgCEQs9BYAIAEQ -aDBbrqMW4z8pMqkqUpD7UpEgABBgMCxWkyxWkQuqDPpWkCFoADZgLlHFwNN+0BfC8P8WBi/AECgw -8AAaYAIQEDAAAAAAAADyCgAvwBAoMPIWBiAGEBAwhBUqEhAY4yqLFyg2lfg2liAYAkIwKDaYKDaZ -W/puixaKZQK7CPuqKAIAAFkwW/n09qCxYgAAErBb+ZEsYvPTD2TATCpi+RvjGyhi+BnjGyxi+v9i -9SgAIFow9GLyKABASjAoZvT4ZvcgABBoMPSEDAABEHAw+P8MAgAAWjD0zAgAfgJ78Pxm+i4AQC/w -W3Y0LHJmZMCRwIAtYurM1vAAPmAAEEAwI2Lu0w/7YusiACBE8Ppi8CB+Ahjw/GLxIgBALPD7PwwA -ABBoMP/8PyABEHAw82bsLgBAL/BbdiHYMCkSBCiWAFup7Fuwl9EPKnKZZat+8/uSYAAQaDApUpwr -UpcJrBEMmQz0sBZoAEB2cC5Smy1SmA7tOX2TBSlWnGAAAcCQmR9j/O8ocpllj2cY4jZj/2Ml+sD6 -EhAgABBYMFv6JfP+8mAAEBAwAMCl/OLbEAYQWDBbrjgd4ixj+yoAAPmtEQAGEFgw/OLEEAAQUDBb -rjEc4tP5Eg8gARBQMPlWgCAGEFgwW64sxyTRDwAAAGwQBlt2XRbiutMPJmF/BqY3W3ZHHOI9G+LH -GOIg9OKhEAIQcDD34sQQARBoMPiBfiAAECgw+uIZHgEAVbD5/xEB6AIx8P9GGyAcALYgL6F/0w8P -AgD4oYAgDAC34PmhfSGfADYgW3Yu/uH5FAAQWDD9Cj8qAJdW0Pm8AAABEFAwCZwP/cwLD8AQaDAN -zAEsRhkb4cUpQhkc4hwqtvH64qcQAKuuYCzAgC9wfihwfCtwfSmgfP1wfygBAEFw+6B9KAEAWjD/ -iDcIAQBNcP+gfiABECgw+qB/KAEAajD8HUAIAQBecPwHQAACEFgw/5k3AAICQjD3WDkOAgFkMPw8 -QAgFAGrw+pk3AAMQaDD/2DkABBBQMPVAwygFAGKw90DCIfoCWjD7qDgAAgJKcPpCaSQBAE1w9QVH -BgEARfD1RMMm4AE8MPdEwiCAADagi04qQg+mXws+LAotLC/wgP7bCAoAIDHw+qCAIBgQEDAC2CwC -6Syrqqr/AvIsr5mpiPzibRIAIECw+iIRAAYQWDDyFgAgBRBQMFutxPJGISAAEBAw0Q8b4g36sw9y -AABK8PP+z2ACEFAwAAAA+uMpcgAAS7Dz/rxgAxBQMChCnItO+kIPL4AAtiAL6zb7Rg4qAwBTsJpP -Y/9rG+Gj+bwACgCTVtDz/oxgBBBQMNKQ0Q8AAAAA/6GBIA4A6nBk8SES4kwqcHwocH0vcH75IHwq -AQBRcAiqN//AgCoBAHqw+XB/LAEASXD5IH0qAQBKsCggfiIgf/nMNwACAlKw+Mw3CAABeDD/GUAK -BQBDcP8oQAwBABcw/EDDLgMBfDD56jkAAxAQMPgqOQAEEHAw+UDCKgUAe7D/rP0gAgJrcP/qOAwB -AGsw/AxHCAEAVnD5CUcOACA3MP/wgCIAIDJwIiCABf4R/r4UDVAEPKANvRQN6Aj44VUSACBAsPhG -Di4AIBfw+EYPIBgQEDAC6iwC2Cz8RMMqACB6sALyLPqICAIAAGLw+CIIAAYQWDD5RMIjoAQ4oPIW -ACAFEFAwW61o8kYhIAAQEDDRDxvhwXqzCdmw8/1iYAUQUDAZ4Ovz/VdgABBQMNJQ0Q8AAABsEAYZ -4QTy4gESAAA4sCiSEvYidCAfADYgIgoA3WD+YgwgBRBQMPzh+hAwEFgwW61R0Q8AJiKKKyKF+SKG -LxwQQDD4ZggP8BBQMPSwFGYAQFGwKyKJC7k5eWMGJiaKYAACAMBgZGBk9hYBIKEANaDaYPwK5CAA -EFgwW6RNjREa4Uz9JnQhQBBYMFuCOfoSASIAAHKw23D8PAACAABpMP6mDCIAAHFwW/Kd+woBIgAA -SrD6uTkCAAASsPkWACBnADagwPAJvzhl/1LRDwAmIoArIoEoIn/5In4gHgIxsPSwm2YAQFGwCJgM -CLs2KSJ8Km0B9JAPYcgCUrB6swcqJoBj/2QAAPP/X2AAEDAw/OD6EAAQUDD9CuQgBhBYMFutFcck -0Q8AihH7fAACAABg8P1MAAIAAHFwW/FpHeC2/xIAIAEQcDD80hIgABBYMPKsAAABEFAw/6s4AgAA -SLDy7DgIBQAXsPzWEi9hADbgwIAJqDhljqdj/1EAAAAAAPP/a2IAAFpwbBAMGeGjKyAMGOChKpLb -KZLS+IINJlgAOuAb4Hv7sMEpkAQ+YKmpI50B8zyAIA4AfvBgAAIjnQPyCgAgGAA2INEPqbMJMxHz -/+1iACAesAAAAAAAG+GP0w/7sgkiAABQ8FteaB3hi/vSCCIAAGKw/NbgIgAAUPBbXmMf4YX78gci -AABysP723yIAAFDwW15dHeGAK9L3KtbeKNLy+73QL/AQYDD83AAKAEBm8Pq8AAAZADYgLdL2LMLz -Ddw5fLMIHeF0K9b3YAABwKDyrAABUQA2oP7gpxGRADSgFeFu8lY9IgAAUPBbe4byrAACAABQ8Ft7 -hgKvDPr8ASABAQPgG+EKGOFlmxvwCwcADBBIMNMPbZoCAEhhFuFjF+B5EuFgFOEZKlUjKlU7KlVT -HuBMH+Ff/OFaEAAQWDD7VDQv/xBIMClUNilUZilUlilUxvwWDiCAEEAw+BYKIAEQaDD9VGQgAhBA -MPhUlCADEGgwLVQ3LVRnLVSXLVTHLVTEHOFKKvXznBz8zNAt4AFUMPwWCSwJAHdwLRYNLkI6iB71 -CgAiAmFDoCiCly9COfj9AQ4CWkfQihvwCgcCAABLcABJYQBJYYwcHuE5GuE6iB2S0P8yACAQEEgw -mdOW1CfVDPjWBSAyEFgw+P8RAAIQQDD61gIuCQBH8P/WASAFEFAw/uGrIAAQaDBbrHrAsvtGOSAA -EFAwZV+B8qwAAGYANqDRDxnhHCqS7SuS7sfA+ZLrIB4CUrD0scFqAEBisBzhFSzC7AycDAy7Nh3h -Ei3S6cjdLK0wfLMIHuEOLObtYAABwKDz/m9iAAASsPoKACAGEFgw/eEREgAAY7BbrF1j/lwAABjh -BIiJwSAIIjb6PAACAABYsFtd3Bnf/vqWCyAIALagxyTRDxvg+yqy9yuy8gItEf2qDA/wEGAw9LAc -agBAYrAc4PQuwvYswvMO7Dl8owge4PAq5vdgAAHAoPSsAAEiADagZKFiH9/q+fr0IAAQEDAEkjj0 -9gwgIwA0oNEPwKP84O4QMhBYMP7f/hABEGgwW6w48/3pYAEQUDAX4OgS4OgW4OsU4Okc4Of8Fggg -EAIpsCpCRCYmfy2hAiYmgCUmgfUmgiCvADdgWks+GeBhKZJqKEJAqpkJmRGpiCmAB/pwgCD8EFgw -C5kBCQlH/+DZGAkAVnAphAefFI6AwMH6ggcgIAJYcPjuEQABEHgw+CaDLgkAe7D+FgUgQAJSsFpT -QYoYsXfyLDAgYAIxsPVcMCECCFGw2jBbetr7rAACAABQ8FtdkBvgug8CAA8CAPq2CSIAAFDwW3rS -+woyIgAAarD84L0QBRBQMFusARzgsIzJZcBmxyTRDwDz/ktiAABacBngpCqS7SuS7sfA+ZLrIB4C -UrD0sIlqAEBisBzgnSzC7AycDAy7Nh7gmi7i6cjtqt5+swkf4Jcu9u1gAAIAwKD0rAAOoQC2oMCg -/N/JEAYQWDBbq+dj/owAABvgjfuyCiIAAFDwW11nHeCKH+CQ/OCaEDIQWDD90goiAABysP72gyAF -EFAwW6vaH+CIL/KD/vr0IAAQEDAP4jjIK9EPAADz/4NiAABacAAV3+UiUtv1UtwiAABQ8FtdUBjg -fPqGSSIAAFDwW11HHOB5wJApxk0twkkqxkotxksNqwwC3QwLaxSbxw1tFP3GTCIAAFDwW11FHOBu -+sYGIAgAtqDHJNEPHuBqHOB2/eJJIgAAeLD+4kogBRBQMPUWACBgEEAw+BYBIDIQWDBbq7If4GAc -4Gwt8kz+8ksgBRBQMP/yByAyEFgwW6urwCD637kRQBBYMFuAphjgViqGgvrftBFBEFgwW4Ci3KAd -36H637ARQRBYMPngXBEAEHAw+dYILAkAczBbgJ74Cj8sABBwMPwKBiAAEFgwGt8/K0bTK0bSK0bU -K0bZK0bYK0beK0bgK0blK0bkK0bmK0bqK0bsK0b3K0b2K0b8K0b+LkbxLkbz/kb5IAEQSDApRuIs -RucsRv0oRvD4RvIv/xB4MP9G1SADEGgwLUbc/0bbIAAQaDD9RvogGxBoMP1G6CAQEHgwL0b0GN7a -ma0c4DYsRuv4RtogGhBIMClG1h/fzv7gMBAeEFAwKkbQ/kbhIBEQUDAqRu4vRt//3ysT/xBIMPlG -+CAPEEAwKEb/L/LCG+AmK0bt0Q8AAAAAiBr4jP8gARBIMPgWCiACAiow9ZU5Af3NGiD6CgUgMhBY -MPwSCSAAEGgwW6tY8/t/YBAQUDBsEAQV3v0oUhXyCgAgCwA2INEPAAAAAAD6318RQRBYMFuATBPg -AykxR/QKECAAEBAw+5cTcgAAWrAd30Ya4Agc4AMs1ggipnz631MRABBgMPy8AgFBEFgwW4BCJDb0 -HOAAHd+ZH96fG9/6Ijb6GN/6Gd/5KTbr+DbtLAAQUDAqNvEqNvMqNvn7NuEv/xAQMCI21fI22yA/ -EHAwLjbwLjbyLzba/TbfIBEQeDD/Nu4gDxBoMP02/yAAEHAwLjbTLjbSLjbULjbZLjbYLjbeLjbg -LjblLjbkLjbmLjbqLjbsLjb3Ljb2Ljb8/jb+IAYQEDDyNucgLhBYMPI2/SAeEFAw+jbQIBsQUDD6 -NuggGhAQMPI21iABEBAw8jbiIAMQcDD+Ntwj/xBwMP42+CAEEFAwW6sJ8lYVIAAQEDDRDwAAAGwQ -BPPfyBH8EFAwFN8XCgw/KULfCVkUKTYcKELhCGgUKDYdJELjBFQUJDYeEt67IiLeAgJA8jSQIAAQ -EDDRDwBsEAT037kQABAQMCJGRSJGRCJGQyJGQiNCSyNFfCNFfSNFfiNFfwMzFCNFgCNFgSNFgiNF -g9EPAGwQBhveSRnfqxTfrPXfqxAAEDAw+RYAIBAQaDD3TQMgEAIRMPNcAAIAAFCw2DD836QSAABJ -MA8CANMP0w9t2iD8hlEgYAJCMCuFlCmGQfmGQiBgAkpwKoZD+oZEIGACUrAc35n7XQQgABBQMJq9 -+9+VECQCUbBbXGob3iuMECItAyVdA/M9AyACAjGw930DIBAQaDD0TQMgQAI58PRMICBAAhjw9Vwg -IEACELD/AgACAABQsP8CAA//umFQF9+EFd4+8t99EAAQGDD2fAQjIBAgMC9SMStifPpygSB9EEAw -+P8oCgAgXPD4LQQrkAQ+4PvfeBoAIFqwL4YSW6b/iRCkIvkpzHACAhjwwCDRDwAAbBAEFN9wKkJ1 -8t5hEAAQSDD4SgAqfAFQMG2KCgybEPsm+yACAkpwZKBPaaFMI0J2KkJgW5CvW6mT+t5vEgAAKrBb -qZD3Nz1wIBBoMBzfXxvfXwN+QP7LOQAUAGTwH951D7sCejcFGN9aCLsCezcYGd7U8AASagkATvDA -INEPAAAAAAAAABvfU/w3DHAIEGAwHt500w8OuwL9NyFwBBBIMB/d8NMP0w//uwIAJAB48ChAfdMP -DwIAf48CDbsCfzcCCbsCDLsCKyb8BaYMBGMQIyb9Gt9BDwIACjoCKib+Iyb/KS0EKZIAG98++t54 -Hz8QaDD83zoYAEBucP0tBCgJAF5w+dYAIDgQWDBbf2Qa3m/8bBEJQAQ5oPhuEQyABD2g/GkQDwAE -PaD5/wIMCQBs8PjuAgwJAH9w/GwCDAkAd3D9zAIAORBYMFt/VCpCXBjd6/xWEAAwEBgw9QoAICEA -NqAIZgLcYPreWRIAAFjwW39LKUJcsVX5U+pwAgIY8MAw+jwAAAAQWDD8CgAgABBoMFtb9rEzaT7n -IwoA2jBbW+SxM2k79SoiwBvfDwuqAvomwCAAEBAw0Q9sEATAINEPAGwQCBbfCRLfCRndt/hiIyAA -ECAw/N8HEAEQWDD/CgAgABBQMPWcAAO6ADYgnBSfFisWBR/fAPoWByAAEEAwmBD/FgEhWAJD8PgW -AiCoAnvwLxYDF95XJ3J7I2Ldp0cJdxEHMwgnMgcncg4rYiH6fFAgABBgMFuiBvo2HSAAEGAw+2Ih -IOACUfBbogH6Nh4gABBoMC12JS12JCxi2PtdASAREHAw/jQELAAgYTD8NgAhAAJa8CuwjMD1+goB -IAAQaDD7CEYP/xA4MPsJRAwACaIg/TQkIB8QQDAoNCFgABIAwND6NCQgBRB4MPk0IS//EDgwJDQi -LFDcLTUcJzRwLTQgLTUdLTUeLTUgLTRFLTQqLTQrLTRm/TYfJe4QcDAuNRktNDQvNCktNCwtNDUt -NEP9NTQiAABRMP00byABEEAw+DQtLIABYDD8NCMgAhBYMFtvrIcQ+woBIgAASrD5NGsiAABRMFtv -pyo0ai4wI40X/DBrIBAQWDD7NGwgARBIMPk0bSwJAG6w/RYHJgkAPzD3FgAsARIToB/eqA/vCo/w -iRUK8AD63osQEBBIMCk1IPo2HyDAEEAw+DUeIMAQUDBbb4YrMR4KuwIrNR5gAE4sIoAt+s/9ChAs -AEBrMP3MAgIAAFEw/CaAIAAQWDBbbupgAdcAZEDQ+N53EAgQeDD/NSAgwBBwMC41Hvg2HyDAEFAw -W29yKTEeCpkCKTUe2jBbbtcKCk0qNRxbb2wrMRwKugIqNRz6NR0r4AFQMPo1HyIAAFDwW27I+hYG -IAEdLqAqMCNbbrQrMCMtMGsuMGovMRz8rAACAABRMFuJDPoWBiABCq6gKzAjLDBq/TBrIgAAUTBb -g336PAAAARBYMFtudvoWBiAA+K6g2jBbbXwpMRz/AgACAHnuUP8CAAIAffJQ/wIAAADuelD6TAAA -AhBYMFtutWABAwD6CgcgARBYMFtvG2P/IC5dAS7sgC7glIgT+OcbYAgQeDD6CgIgGBBYMPzeUhIA -AGkwW6l1YADLACg2Hy81IGP/FAAAyJ1bbrz6FgYgALkuoMCgmhUuXQEu7IAu4JRo5i//EgIoOAA7 -oPoKAiAYEFgw/N5CEgAAaTBbqWNgAIQAAP82HyACEEAwKDUgY/7HAAD5EgEgAhBQMCo1ICk2H2P+ -tAAAjRT9Nh8gCBBgMPw1ICDAEFgw+zUeIMAQUDBbbxUuMR4K7gIuNR5j/okAAAAA+kwAABAQWDBb -bn1gACQAAPpMAAAIEFgwW255YAAUAAD6CgIgGBBYMPzeIRIAAGkwW6lBL2Ij8i1AIAICITD1XAEr -/jz9EGAAFADAoPoWByAAEEgw+RYAIAAQQDCYFoMQhRf6CgUgFhBYMPzeER//EEAw+FUDAhEARPDz -A0cE4AEsMP1cAAIAAHDwW6krF91K9t4JEAAQIDAAQAQFCBt/hxj63dYSAABZMFuHoweqCCmigNMP -BpkBKaaAsURpSNjAQABABAMKG3+nFfrd+xIAAFkwW4eZp6wrwoAGuwErxoCxRGlI2xzcmizAwXvP -A4IW0Q9bbNqCFtEPxirRDwAAAGwQFBXd7hndqhzd7SpSGSuS0i3BfihSIS7BfJ4SKBYSnRErFhaa -FCuSLSqSLCzBgC1SGy0WFZwQ+ZIuIAAQODD8Uh0gABAQMPwWFCoAIFqw+1IfKAAgVnD7FhMjxQA2 -YPkWBS/AEDAw+t3XEAAQQDD4FgMv/xAQMPoWFyAAEFgwGN0mHN2LKIJ3JMLrqHj5EhYpkAQ6IPzC -FSQAIEEwg0ce3YT6EgQgABBoMPMyDiApADcgHdy7LEEwnDOZMi/iFKnJ+RYWIH4CSrD2mQEKAAn/ -UJ80YAAOAC1FMGP/1AAAAC9CGp80mTUoUJj4FhsgZgA2ICtSGipAbJoemx9bquD9EhsgABBgMFup -VQKqAf0KASAAEGAw/Nw5CgUAU3D2vgEKCQBisPsKACAkADagLBIXjR/+Eg4gAhBQMP8SGyAAEFgw -W6i98AAGb+oQWDCeNmayyYk1KhIViDYqrD8GqgH6NgcoACBKMP9QmSB+AkIwBogBmBT/FhogaQA3 -4CtSHCpAbZocmx1bqr79EhogABBgMFupMwKsAf4KASAAEGgw/e05DAUAY7D2vwEMCQBrMPsKACAn -ADcgLBIXjR3+EgwgAhBQMP8SGiAAEFgwW6ia8AAJb+oQWDAAAACfOGayPIo3KRIUjDgpnD8GmQH5 -NgksACBTMPhQmiB+AmMwBswBLBYV+BYZIGYANiArUh4qQG6aGpsbW6qa/RIZIAAQYDBbqQ8CqgH9 -CgEgABBgMPzcOQoFAFNw9r0BCgkAYrD7CgAgJAA2oCwSF40b/hIKIAIQUDD/EhkgABBYMFuod/AA -Bm/qEFgwnTpmsbGJOS4SE4g6DwIALuw/Bu4B/jYLKAAgSjD/UJsgfgJCMAaIASgWFP8WGCBoADfg -K1IgKkBvKhYIKxYJW6p2/RIYIAAQYDBbqOsCrwH5CgEgABBAMPiYOQ4FAH5w9rkBDgkAR/D7CgAg -JAA34CwSF40Z/hIIIAIQUDD/EhggABBYMFuoU/AABm/qEFgwmTxmsSGOOyoSEo08/xICIH4CUrD5 -Mg4qAEAysPo2DSwAIHdw/FCbIH4Ca3D6FhwsAEA3cC0WE/0SACCBADcgLFCcK1IiKkBwmhabFywW -EFuqTv0SECAAEGAwW6jD9rgBDABAFrD/CgEgABBwMP7+OQwFAG/w+hIcLAkAd3D7CgAgKwA3YCwS -F40X/hIGIAIQUDD/EhAgABBYMFuoKYo9jz4vFhHwAAlv6hBYMCgWEZg+jRCOEY8SjBNgAAaOEYwT -KRYRKEE5LzUkKUExLjUmKTUl+UEyLgAgfnCfEpw/LTUoKTUn+UEzLgAgcnAoNhD4EhEsACBiMPwW -AyACAjnw+TUpLAAgbnD5EgUoACBCsP4WASB+AkIw/RYAKABAMjD4FhIv/jPJ0NKw0Q/RDwBsEAYZ -29743GATgBBYMPLcXhAAECAwbZoMJCb7+Y0EIAgCQjCbkBrcSiqiIPUKACAXALagYADUGtxGKqIg -sVX/AgAKAGTRUBbcKxPcQSZidyMy36ZWCWYRpjMnMQcmMRMqMAz7MA0mbAE8MFtvzRrczSkxBygx -EgqZAfaZAgAAEFAw+TUHIDQANiD1fRENkAQ5YPJrCgwJAGswbQgYJCb7LjESL70E+7wEIAICUrD8 -9gAqAARykGP/4ACJPmSfd4w8ijvAsPSfbmoAIGKw9X4RDZAEOWDyrQoMCQBzMG0IJC96//8CAAv/ -qFfQJCb7Kd0EnJCIPvqsASACAlrw/dwEK/+bQtBj/9QAAAAA9woAIQwANqAb3CqbEmAAFxrcDSqi -IIsS93wBIAICWvD7FgIqAHZR0B7b8IwSHdwFLuJ3LdLf/MDdLgAgcfAJ7hH+3QgAABAoMP0WASBB -ALcgY//B22D+XAACAABQsPwKACIAAGnwW+4Yj07yLAEgAgIY8Ph6/yoAA/jQcovVihIpEgAqoN36 -m4ZyAAAqcIoRsVP6oAwiAABY8FulqZMQJqEH8qETIgAAIrArQA36oAwmbAEwMFtvdxrceClBByhB -EgqZAfkpAgAAEBgw+UUHICYANiDaIPtsAAABEGAw/XwAAgAAcXBb7fgrQRKxM/sz4nACAhCwiEyJ -ToJL8woAL34ANmD1kBBiACBAsGP/bgDRDwAAAAAAAPP/X2f/EEAwbBAIG9vWwELAYfS2+yAIEEgw -9AoAIgAAQvAPAgBtmgn5jQQgCAJCMJSQGNvM9rb7JAAQSDBtmgn5jQQgCAJCMJSQBEoCW+6kE9ru -9qAsYgAAErBb7mr2oCFiAAASsCoyMvjbIRH0EFgwC6ooKoaQGdxDKYaPJIaLW2768hYEIACyLKAd -2wEU20r32zof/xAoMJXQldGV0pXTldSV1ZXWJdYHLHKFK0KCDHxS/MwCLH8QaDD5zBEKAEBu8Ay7 -AitGghrbPShCghncL/7cLRCAEHgw+ogBAEAQaDD2lIAsBQBH8C3kgFvt9voWBCAAe66gFdwmFNs8 -0w8vUk6fQVvw9PoWBCAAb66gL1KwEtwg+ttKH/8QQDAI/wkPbxQuotnTDw8CAPr/EQ6gAXAw/VKx -LgkAe7AuptktpuUsQnkd3BQpIncMDEMNzAL8RnkpgAQ+YCuikAsLRwuZAimmkFvvv/oWBCAAP66g -W+6s+hYEIAA6LqAb2sgqUk2rqip2q1vugBXbfvgKgCAAEBAw/fr0IAMQODAsUjr/2/0SmgE/IC5S -OS/yUf/rAQ4AH/+Q+goAIAEQYDD9CgAgABBIMPkWASAAEHAw+RYCIAEQQDD4FgAgABB4MFpN3CdW -OfIWBCAmADSgghTRDwCwiPuMASABEHAw++s5AAICYjD80jgPlgC24GP/1RjbGRvbGPr6/yBVEEgw -0w9tmgz5gn8gCAJCMKuZKpaAKDDB0w9/jzT429kQThBIMG2aD/mCfyAQAkIwKoJ+q5kqloApMMBu -khMsQnbAsgvMAixGdipCfguqAipGfh3byirSrca/+woQKgBAWrALqgIq1q1bqUod2s0s0oLyEgQs -CQAzMCzWgtEPAABsEAQd28HTD9MPLNIg0w8PAgBkwEH4zP8iAABbMPjKAQ4AD8cQbQgP+az/IgAA -WrD5qgEOAATO0GP/6QAAD7sRHtuzL8wfD18UK+R8/+R9IAAQUDAq5H740t4ggBBQMAgAP1ulugoB -P9EPAABsECQY26gV26gS2qoU26iGVopVi1SMUy1QAv9RACBAAnBwL+UA/eQCIGACSHCckJuRmpKW -k/vbCRBgAlBw/UJ2IEACMHDzIqQgOAIpcPxQAiCAAnhw/PQCIAEQSDD1UQAgABBwMPX1ACoAQFzw -+544Ai4BHDD+hH0mACAw8PZgACAIECgw9oR8IAcQWDBtWg8soQcqrP78RekqAARrELC7x78A4QQA -zBosRncoIqQa24DTD/W5EQgAQFIwCYgCKCakW/WK+0J1IIACUHD82g4aACBQ8CqgAAu5CS7Cnf/C -nimgBD5g+TkUD8AQMDD67igAfgJKcP//CQgAQDZw+UaKID8QQDD47gsPoAQ/4A8/FP/8Py4AQDOw -/kaOLgBAN/AvRowtIsl11xUd22IqIs//AgAGBmbukNmgDp4RLkaSG9reLbKqL7KtIrK8KrK+J7LA -KbLCKLLHLrLGI7LBJbK/+LK9LgAgQ7D5srIiACBM8PeyrCQAID1w+rKpKAAgUjDysqsoACAWcP93 -CAoAIGqw/7KuIgAgULD3sq8iACA4sC2ysCqysfeytC4AID/w8rKzLgAgF/D3ssQsACA/cPKqCAwA -IH9w/7LDKgAgarDysssoACBWcP2yyigAIEow9/8IBAAgRXD7ssgiACAs8PPbMh4AIB/w8t0IDgAg -e7D+3QgACBA4MP8ydCoAIG7w/TKnIH4CWvD2uwEAABBIMPs2AyRqADfg9dwABGoAN2AqMO3/MhQA -GQC2oCcxfN2Q/HcQAAAQeDDwAK9mAwA+cCsw9C0w8C4w8S8w8iww8/ow9SgAIG+w+hYCKAAgfnD7 -FgEoACBmcPwWACgAIF5w/NqxGAAgVnD5FjUgBhBYMPkWAyAFEFAwW6YVKhI1zKjEoPAANWAAEHgw -wLH7FjQgCBBYMFuoIC4w7S0SNf7dCAAAEGAwW6aUKBI0+AgGDgEARvD4/wEAQBBQMClAKfgxfCAI -EGgwD90MDSco/IgQCAkAVnD5RCkmAwBF8A8oKPoKBS90ATgw/NruGXQBQDD4FgAgBhBYMFul9QUy -FPosAAIAAFiwWlQ8KzDsKTF7/EApIAgQcDD67QwAgBBwMP8CAAjABD5g/wIAAAQuquANKygJuzbV -sA7MAixEKfza2Rl0ARAw+hYBIAAQeDD6CgUvdAFYMP8WACAGEFgw+BYCIAAQeDBbpdr6XAACAABZ -8Fv3rfai82IAABKwW24J+TLfIH4CerD12lIeAEA38C82ZvSQB2nABDpgKDbiKTJ0G9lSKlF//jIm -J/MANmCIMyxCjCuytikyLC0y4gy7Cf6ZCAgAIFow/fIICAAgSjD6pwpyACBAsA4iDA0iDPoKBSAG -EFgw/NqxEgAAaLBbpbj6HEQiAABYsFv2BfaibGIAABKwFdo2HNpVHdqpGtlcKRIRJzJ0H9ov+tz8 -KAAgVnD5FhEnhwA14Cjxf/8CAAAAwWoQL0KQ/pwAABkAN+Cvnv8IBgH+AnOwCO4B/kaPLgAge7CP -MydCkihCji1Civkw7iB+AnOw+0KMLgBAM7D+RoksACB3cP4yZigAIC5wKZCA/UaLKgAgbvArRo39 -MhooACBaMP0WPyYAIEXw+EaRJgAgffAnNmUNmSj5FjcuACA7sJ4y/+4IAAYlqmCt5/0PBgH+Amnw -+TYoLABAf3D9NiciAAA6cC8w7/QyGS4AIC/wL/CABPkorX75FhIgBiIqYPk2Ki4AICOw9AgGAf4C -c7D/FiUuAEBDsP42KSIAAGpwKjDuBNksKDIa/xIlJAAgLrAlUIAIeCz5NhskACBKMPg2HCQAICkw -/pwABAAgd3D0ChguACAn8ASKLASbLP+7CAIAAGow+6oIAH4CKXAE9Cz6RAgEAEA1cPpEEQAGEFgw -9BYAIAUQUDBbpVUrMuIlNiv0NiwsACAtMPoyJioAIG7w/PqAIP4CWvD9NuEqAEBm8Ps2JSoAIFqw -W2z90Q8AAP0ypyAEfing+dwAAAR6K2AoMO0pFjYHPRT9Fj0hXQC2ICgxfPsKACAAEHgw/IgQAAAQ -UDDwAfNqAwBCsKdZ9wwGAf4CSnAJyQF/m20qMj9/r2cY2XktMO8F/gz42bQeAwBDsKjdLdCADv4M -+zIqLgAgcfD33SgB/gJzsPUWES4AQHMw/jYpKgVE6tCufrDu/TYqLgBAczAuNikoQCnApPsKBiAg -EEgw/NoWGAkASjD4RCkiAABr8FulGtEPAAAAAPXcAAdnADdgBfs3CzsU+xYxIgAAUvBaU1wrMPQt -MPAuMPEvMPL8MPMiAAASsPkw9SgAIGuw+RYCKAAgejD7FgEoACBiMPwWACgAIFow/NmaGAAgSjD4 -FiwgBRBQMPgWAyAGEFgwW6T+KTDtJTDsAnsM/bwAAAT/qmAqEiz5FikiAABy8PwKACwAIE1w/BYr -LAAgbrD9Fiol8AC2oP+cAAABEEgw+RY0IAAQQDD4Fi0v/xBIMCkWLmAGBSsw9C0w8C4w8S8w8iww -8/ow9SgAIG+w+hYCKAAgfnD7FgEoACBmcPwWACgAIF5w/NlzGAAgVnD5FjIgBhBYMPkWAyAFEFAw -W6TXKhIyzKktEj3wADVgABB4MMCx+xY0IAgQWDBbpuIuMO0tEjL+3QgAABBgMFulVigSNPgIBg4B -AEbw/RI9LgBAR/AuQCn8MXwgCBBYMA+7DPvaKABAEEAw/MwQDgkAQ7D+RCkqAwBisBzZsSoWGf/Y -KA90AVAw/bwAAAYQWDD4Fj4pdAFAMPgWACAFEFAwW6SzKRIZ0w/+Ej4l4wA2YBrY9ik2Kvo2KSgA -IFZwLRI2/9krEAoAN6ApNi0uNi4r8nYq8ncLqgwqFjwNOxQrFjNaUu0uMOwpMXv8EjwgCBBoMArd -DPTlnGjABD5gLhIz0w8N7igJ7jYvQCkoCoAI/wIvRCnA8PoWASAAEEgw/hYYK3QBZDD82YcfdAFw -MPsWAiAFEFAw+RYAIAYQWDBbpIwpEhjInBzY0fk2KCwAIGHwLDYnLTInZNDhLTIpZNDbLRYdHNl8 -/jIqIAUQUDD+Fi8gBhBYMFukficyGStCiiww7ygyJCpCjv9CkiwAICswLMCALhIv+vkICAAgWjD3 -zCgIACBKMAjuDPwWEioCk2OQLhIdp+73CAYB/gJzsPw2Ki4AQEOw/jYpIgAAazAc2WL9MicoACBz -cC4yKC4WOy0WMChGifsKBigAIFow+EaNKAAgUjD4RpEuACB+MP82IyAFEFAwW6RaLzDu9zIaLgAg -L/Av8IAuEjsH/yj/AgAKAnH7kCgSMKeI9wkGAf4CQjD/NigoAEBKMCg2JyoyLdMPyKQrMi5b8/8v -QpD1EhEgGQA34K9V/wgGAf4CKXAIVQH1Ro8kACB9cChCiSVcP/SENGQAQDVwKEKNKUKMJUaL9IQz -ZAAgLnAvQpLI9CpCkWSkM4gzKzInLDJm9TYCJAAgLjAlNmX0sIVkACAvMCkyKWSQei8w7xrYsScy -GikyGS4yKi0yKCgw7gnuLAfdLKqI+ICALgAgV/Av8ICu16h39woYLgAgP/AH2CwH6Sz82MMYACB+ -cPmICAAGEFgwB/cs/jYbJgAgRfD9NhwnoAQ94PcWACAFEFAwW6QVJVw/9zYsJABANXD1NiskACA9 -cCky4igyJtMP9TbhKAAgLnD1+oAg/gJKcAWVAfU2JSQAIC4w2lBba7ovMilk+6snMhn/AgAL/ZOr -0BjYhCQw76hEJECAKjIqB00oJRYR9TYpKgHR6pD9NiooACA9cPcKBgH+Akpw/xYiKABAVnApNikY -2HclMO4H3iwvMhotMij82JMUACBFcCVQgA/dLP7fCAAFEFAw9f8IAAYQWDD0ChguACB9MATYLATp -LP42GygAIH5w9TIrKAAgSjAE9Cz9NhwkACBBMPVcPyWgBDkg9BYAJABANXBbo9olNiskNiwtEiL+ -MikgBBBQMPzY0BAGEFgwW6PT0Q8AwFD5VTYIAqYDYNtQ8/efYAAQaDAoMqdliArz+ElgABAQMCgy -p/kWFCh2ALYgHtgN/ZwAAgAAEnD82MASAAA6cPnuDAAFEFAw/hYXIAYQWDBbo70vQpDJ868n/wgG -Af4COfAIdwH3Ro8mACB98CgyJCoy4ikyZisyAyxCki1Cjv9CiiB+Ajnw/kKMJgBANfAnRon3MiYu -ACA/8P9Giy4AIHuw/kaNLAAgd3D/MOwsACBrMP1GkSoAIGbw/DYCKAAgXnD7NmUqACBKsPk24SgA -IFIw+fqAIP4CQjD6NiMoAEBKMCg2JfXwCmYAIEXwKDDtZIbOJxYVKzD1LTDwLjDxLzDyKDDz/DD0 -KgAga7D4FgAqACB6sPsWAioAIEKw/BYBKgAgYrD82B4aACBasPoWFiAGEFgw+hYDIAUQUDBbo4Ii -MO4nMhr7MOwiACAosCIggCsWGvciKATVALbg/woAJtAANKAiFjcoMO0oFilgBQ37FicgARBIMPkW -NC//EEgwKRYuW6WDLRIqLBIrW6P4LBI0+xIuLAEAYvAuEif/EikqAEBm8CsWLf0SLSIAAFuw/RYo -IgAAU/BbpXUtEiosEitbo+soEi4vEjQtEi0Pvzf4/wEMACBssPwSKCwAIG/wDX0MKTF79FN8aMAE -PmAqEjEK2igJqjbVoCsydGSzIS5AKSgKQAjuAi5EKScSMSgxfJITnBH8eygPdAFQMPzYRxAFEFAw -/3coCXQBPDD5FgQowAQ6IPtLWwYDAEXw+xYCKXQBPDD5FgAgBhBYMFujPmP1jBfXhBXXg2P1gym8 -PwaZASkmz2PzLBnXf2P6KMDg+e42CAG6A2Dz+mVgABBoMC9CiiVGifP7xmQAIC/wAAAoQo4lRo3z -+8dkACAuMAAAJUaR8/vFZAAgfXAALjYqGtgkLRIS+RIdIgAAYfD5NikgCAJasFtqxC9Cki0yKi4y -KSpCjitCimP6zAAAKhIwKjYnGtgY/jYoIgAAYfD7rAQiAABr8FtquGP7GQAa2BEvFiIlNin7rAQi -AABh8FtqshjXkSQw7ycyGf0yKiQAIEEwJECAY/xQACzydvrydyoBAG3wCzsUKxYkDKoMKhYfWlFM -KzD0LDDzLTDwKhYmLjDxLzDyKjD1+hYCKAAgb7D7FgEoACB+cPwWACgAIGZw/NeMGAAgXnD6mQgA -BhBYMPkWOCAFEFAw+RYDIMACOzBbou4vEjguMOwsEiYqMO36FikgCBBYMAy7DP28AAJhADag3bD4 -CgAoACBXsPgWISgAIH5w+RYgICUAt+D+FhogARBYMPsWNCAAEFAw+hYjL/8QWDArFi5gAD0AAAAr -Fhv+FhogARBoMP0WNC//EGgw/RYuIgAAU/BbpOItEiAsEiFbo1coEjQvEi4IuDf9EhsuAEBH8C8W -IyoSKSkSI/kWHCIAAFtwW6TWLRIgLBIhW6NMLxI0KBIu0w/5EiMuAQB+8PgSJi4AQEfw/hIaKAAg -SjD4+AgACBBoMAjdDCkxeysSHPThpWjABD5gLhIkDt4oCe42KDJ0ZIFvKUApxKAKmQIpRCkuFh4p -EiQoMXybEQ+aKPuXKAIAAGHw+xIfKMAEOiD4EiYqAwBCsCoWOfgWAyt0AVAw+hYAK3QBXDD7FgQr -dAE4MPoWAi90AXAw+goFIAYQWDBbopgpEjlkkQEe1t0pNionFjr+NikuACBycC0SOvkSHiAPADdg -LTYu/jYtLgAgc3BkmBQuNicpNihj+AsoMqdkjOMpQCkrCoALmQIpRClj/NQAABrXgi8WIvusBCIA -AGHwW2okLxIiLjIpY/Vv21Dz8l1gABBoMMDw8/yBYAAQYDDAUPlVNggBOwNg2lDz/INgABBoMBrX -cv42JyIAAGNw+AoAIgAAanD4NiggCAJasFtqEBzXDxrXaScyKC0yJ2PzpQAuNikb1179EhIgABBI -MPk2KiIAAGEwW2oGHNcEJDIZKjDvLTIqLjIp9zIoKgAgKrAqoIAqFiVj86YAAAAAAAAA8/cBYAAQ -aDAe1p0nFjpj/wUsMqdkzpQoQCkpCoAJiAIoRClj/oXAoCoWHPP+VWAAEHgwwOD57jYIAQODYPP+ -WmAAEGgwKhIaKxIXLRIVLBIULzDtLxYp/cwMAAEQQDD4FjQqACBm8FukUC8SKS4SGi0SFq/u/t0I -AAAQYDBbosIoEjT4CAYOAQBG8Aj/AfIWNyoA2xPQLRIV9w4GDAAgP3DyEjch/gJrcPI2KCwAQHdw -LTYnLzDvLhIp9zIZLgAgL/Av8IAvFiX3/ygAFQC3oPwKACEyADfg8ABYbAAgbLAALxYSLBIU+xIX -LAAgbLD9FhMiAABTsP3MDAABEGgw/RY0KgAgZvBbpCguEiktEhb+3QgAABBgMFuimy4SNC8SEv4O -BgwBAHLwDswB/RITKgBsexCn3vcIBgH+AnOw/zYqLgBAQ7D+NikiAABr8BzWpyow7gfZLCgyGv8S -JSQAIC6wJVCACCgs+TYbIgAgSjD4NhwiACAosP6cAAQAIHdw8goYLgAgF/ACiiwCmyz/uwgCAABq -MPuqCAB+AilwAvIs+iIIBABANXD6IhEABhBYMPIWACAFEFAwW6HpLBIUKxIX9TYrKgAgKLAKzAzy -NiwqACBm8Fvxl9egHdYnd9sKLkApwvAP7gIuRCkY1iTyCgAr+YQ6EMck0Q/aUPP6I2AAEGgwAACt -LRrW1C02Kfw2KiIAAGvw+6wEIgAAYfBbaXQnMhkpMO8tMiouMinyMigoACAucCmQgCkWJWP/DwAA -8/xoYAAQaDAqEhUqNica1sP8fAACAABosP82KCAIAlqwW2ljIjIoLTInKzDtKxYpY/5AAAAAAABs -EAbaIPscAAA9EGAwW3joGNa2iRAign8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt43hjW -rYkQIoJ/CpI78oZ/IgAAErDRDwAAAGwQCh7Wp4vjiOWJ5IziLeIBLRYBLBYCKRYEKBYF+xYDIgAA -ULD+4gAiAAApMP4WACA9EFgwW3ok86wAAD4ANqAvoAD61pgQgQA34CYcGPUWCCAAECAw0hCFIAVa -Alt6KPtcAAIAADqw+jwAAgAAYfBbnPjIp7gidindxirRD6N8K8AAwpz5sQpyAABTMGW/5GAAAbHK -+SIBL+EANqAroADToPW/sGQJACJwLAr/fEk0HtZ9jRgvCoCv7v7dCAAAEBAwItS80Q8AKQqA9RYI -KAAgSrD4UggAABAgMPQkvCAAEBAw0Q8Y1m+CGCkKgKmIqCL0JLwgABAQMNEPAAAAAABsEAbaIPsc -AAA9EGAwW3iU+NZmEAALLqDyEgAoACBBMPKE4CIAABKw0Q/SoNEPAABsEAbaIPscAAA9EGAwW3iI -+dZbEAAMrqAESAnyEgAoACBKMPKE3iIAABKw0Q/SoNEPAAAAbBAG2iD7HAAAPRBgMFt4e/nWThAA -DK6gBEgJ8hIAKAAgSjDyhN0iAAASsNEP0qDRDwAAAGwQBtog+xwAAD0QYDBbeG751kIQAAyuoARI -CfISACgAIEow8oTgIgAAErDRD9Kg0Q8AAABsEAbaIPscAAA9EGAwW3hh+dY2EAAMrqAESAnyEgAo -ACBKMPKE3SIAABKw0Q/SoNEPAAAAbBAG2iD7HAAAPRBgMFt4VPnWKhAADK6gBEgJ8hIAKAAgSjDy -hOAiAAASsNEP0qDRDwAAAGwQCh7WIYvjiOWJ5IzijeGdEZwSmRQoFgX7FgMiAABQsP7iACIAABkw -/hYAID0QWDBbeZj1rAAAjQA2oC+gAGTwhvMWCCAwAjhw8ABTYAAQIDC4InchbYMgDwIADwIA2jBb -eZr7PAACAAAysPpcAAIAAGGwW5xqZa/XpWwrwADCnPmxJ3IAAFMwZb/F+SIBIDUANqAroADVoPSw -F2QJACJw8/+yYgAAEHAAAADz/9xgAgJTMB3V9owYrcz0xOAgABAQMNEPxirRDx/V8P9PCAAAEHAw -/vTgIAAQEDDRDwAAbBAIJgoAJhYA9hYBIgAAULD2FgIgWxBYMFt5ZvOsAAHCADagwLD/HBAgXRBQ -MPgKCyIAACvwbYoco74t4AD60RxyAABi8PTQL2ACAlrw/fQAIAICe/D8CgsgFgJw8PocECACAhuw -9ckIAgAAWHD2lAAgABBgMFt39fo8AAA9EFgwW3lM86wAAVoANqCKEMDADwIA9aA1YCACaHAZ1Xv7 -1QcbkAQ5IAqZCCmdAvALBwDAAkpwAElhAElhAElhAElhAElhAElhAElhAElh+gosIAsQWDDTD226 -F6POK+AAerEX9LEBYAICYzD71AAgAgJrcPwKCyAWAnDw+hwQIAICG7D7HAQsACAvMPbUACAAEGAw -W3fOZqDUEtWm2iBbeTPcoPssAAIAAFDwW5wFzKfwAB9gABA4MAAS1Z/aIFt5K9yg+ywAAgAAUPBb -m/1loJLAcfo8AAAsEFgwW3kW/AoAIIIANqD+CgsgIAJIcNMPbeoVK6AA9LARYAICUrArlAD8zAEg -AgJKcMDLKhwQ+xwILgAgLzD29AAgABBgMFt3q/0SACAAJK6gGNWEixINSQv8EgEpwAQ+YPCxBAgA -IEowLYSDJ4SF/IVDIAEQSDDwmRoABBAQMCKEgPmEhCIAABKw0Q/GKtEPxqrSoNEP0qDRD2wQDB/V -cov0iPby8gkiAABQsPTyCCIAABkwhfeJ9YzzjfKO8Z4RnRKcE5kVlReUGJIZmBabFC/yAP8WACA9 -EFgwW3jg9qwAAEYANqAooADAkPvVMhCvADYgCZQC8xYMIFACEHDTEIUwDwIADwIABVoCW3ji+1wA -AgAAOrD6bAACAABh8FubssinuDNyOdfGKtEPpnwrwADC3P2xCnIAAFMwZb/kYAABscr5MgEv4QA2 -oC6gANag9e+qZAkAInAb1ReKHC8K//9BUnoAIFqwGdRuLK0B/MyAIAEQWDD0xLwgGgB9MC2Rfwvd -Ai2Vf35HJS6Rf8D0D+4C/pV/IAAQEDDRDwAAKQqAqbj4OAgAABAQMCKEvNEPwCDRDwAALK0B/MyA -IAAQWDD7xLwgABAQMNEPAAAAbBAG2iD7HAAAPRBgMFt3RhjVI4kQIoJ/CpI78oZ/IgAAErDRDwAA -AGwQBtog+xwAAD0QYDBbdzwY1RqJECKCfwqSO/KGfyIAABKw0Q8AAABsEAbaIPscAAA9EGAwW3cy -GNURiRAign8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt3KBjVCIkQIoJ/CpI78oZ/IgAA -ErDRDwAAAGwQBtog+xwAAD0QYDBbdx4Y1P+JECKCfwqSO/KGfyIAABKw0Q8AAABsEAbaIPscAAA9 -EGAwW3cUGNT2iRAign8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt3ChjU7YkQIoJ/CpI7 -8oZ/IgAAErDRDwAAAGwQBtog+xwAAD0QYDBbdwAY1OSJECKCfwqSO/KGfyIAABKw0Q8AAABsEAba -IPscAAA9EGAwW3b2GNTHiRAign8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt27BjU0YkQ -IoJ/CpI78oZ/IgAAErDRDwAAAGwQBtog+xwAAD0QYDBbduIY1MiJECKCfwqSO/KGfyIAABKw0Q8A -AABsEAbaIPscAAA9EGAwW3bY+NS+EAALLqCJECKCgAkiKPKGfiIAABKw0Q/SoNEPAABsEAbaIPsc -AAA9EGAwW3bMGNSziRAign8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt2whjUqokQIoJ/ -CpI78oZ/IgAAErDRDwAAAGwQBtog+xwAAD0QYDBbdrj2oB9iAAASsPo8AAIAAFkw/QpwIgAAYXD/ -EgAgARBwMFgc8dEPAAAAbBAG2iD7HAAAPRBgMFt2qvagH2IAABKw+jwAAgAAWTD9Cm8iAABhcP8S -ACABEHAwWBzj0Q8AAABsEAbaIPscAAA9EGAwW3ac9qAfYgAAErD6PAACAABZMP0KbiIAAGFw/xIA -IAEQcDBYHNXRDwAAAGwQBtog+xwAAD0QYDBbdo72oB9iAAASsPo8AAIAAFkw/QptIgAAYXD/EgAg -ARBwMFgcx9EPAAAAbBAG2iD7HAAAPRBgMFt2gPagH2IAABKw+jwAAgAAWTD9CmwiAABhcP8SACAB -EHAwWBy50Q8AAABsEAbaIPscAAA9EGAwW3Zy9qAfYgAAErD6PAACAABZMP0KciIAAGFw/xIAIAIQ -cDBYHKvRDwAAAGwQGBvUUPwKkCIAAFBwW5Y2+iwAAD0QWDBbd7vyrAACFgA2oCigACMWJiUWJfQW -JCCHADYg9QosIAAQSDD5Ficg/gIgcPAAW2AiAiEwuGb/AgAGAPGlkCdiAAd6Alt3uPt8AAIAABqw -+iwAAgAAYPBbmohlr9aiNy1wAPXRMXIAAHHwZd/G+WIBIawAN6AqEico4AD6mgICAAATsPoWJyAd -ADYg8/+vYgAAMHAAAAAA8//SYAICcfDAsCsWJyoSJiwSJfsSJCBYEGgw/xInIAQQcDBYHHUsEif6 -0zcQAhAQMA8CAP/HDXABEHAwLaHDAt0CLaXDLxIn/fcNcAgQKDAoocMFiAIopcMpEif8lw1wEBBo -MCuhww27AiulwywSJ/rHDXAgEBgwL6HDA/8CL6XDKBIn+YcNcEAQMDApocQOmQIppcQrEifTD9MP -eLcNLKHF0w8PAgAOzAIspcUvEifTD9MPd/cNKKHF0w8PAgACiAIopcUc0/QvEiQpEicrwn8oGoAI -mAEI+zn7xn8gHgBacCmhxtMPDpkCKaXGKxIn0w/TD3W3Cyyhxg8CAALMAiylxi4SJ37mCC+hxgb/ -Ai+lxigSJ3SHCCmhxg2ZAimlxisSJ3O3CCyhxgPMAiylxh7T2x/T2y7hfn/sTS8SJ3L3Ciihx8CV -CYgCKKXHKxInDwIAcbcKLKHHwOYOzAIspccvEidw9wgooccFiAIopccpEid/lhMroccNuwL7pccg -ABAQMNEPxirRD8Ag0Q8AAGwQCtog+xwAAD0QYDBbddT2oMxiAAASsBvSuA8CAA8CAPuwgCAgAmBw -/woBIAQQcDD9CgggAhAwMPsHQAACEEgw9xYIJgBATvD5EgAgAE3+0P8WBCAAXfrQ2vCfFPyvCgAC -AlKw9vYAIAgCe/D+tgEOAAf20CqsAf72ACAIAnvw/bwBDgAGbtD99gAgAgJSsGSgTP+XFHAAEHgw -ixiIFMDxC484YAADAAAAAH6XCvgKAiC+ADXgCP8CfZcFZGDIDv8CfJcFZMB3Df8C2jD7TAACAABh -cP0KXSABEHAwWBvi0Q8AAAAAAAD+twxwABBQMGP/ZwAAAAAA/rYBAFgAdvDfwPP/b2AAEFAwAAAA -AAAA/rYBAIgAdvDa8PP/V2AoAnhwAAAAAAAA/bwBAWgAcvAvHBDz/1FgABBQMAAAAAAA+QoDICAC -WHAKmS4LmQqJkPP/d24JAH5w/bwBAIgAcvDa8PP/ImAoAnhwAAAAAAAA+woBICACQHAKuy4IuwqL -sPP/NW4JAH7w/goCICACQHAK7i4I7gqO4PP/Jm4JAH+w8/7vYgAAU/BsEAbaIPscAAA9EGAwW3Vq -9qAfYgAAErD6PAACAABZMP0KaCIAAGFw/xIAIAQQcDBYG6PRDwAAAGwQBtog+xwAAD0QYDBbdVz2 -oB9iAAASsPo8AAIAAFkw/QpmIgAAYXD/EgAgAhBwMFgbldEPAAAAbBAG2iD7HAAAPRBgMFt1Tvag -H2IAABKw+jwAAgAAWTD9CmQiAABhcP8SACACEHAwWBuH0Q8AAABsEAbaIPscAAA9EGAwW3VA9qAf -YgAAErD6PAACAABZMP0KYiIAAGFw/xIAIAIQcDBYG3nRDwAAAGwQBMAg0Q8AbBAG2iD7HAAAPRBg -MFt1MPagN2IAABKw+jwAAgAAWTD9CmAiAABhcP8SACACEHAwWBtpiBAa0nT7CkAgDwA2ICmhfwuZ -Aimlf9EP0Q8AAABsEAbaIPscAAA9EGAwW3Uc9qAfYgAAErD6PAACAABZMPxcAABcEGgw/xIAIAEQ -cDBYG1XRDwAAAGwQBtog+xwAAD0QYDBbdQ72oB9iAAASsPo8AAIAAFkw/FwAAFQQaDD/EgAgAhBw -MFgbR9EPAAAAbBAG2iD7HAAAPRBgMFt1APagH2IAABKw+jwAAgAAWTD8XAAAUBBoMP8SACABEHAw -WBs50Q8AAABsEAbaIPscAAA9EGAwW3Ty9qAfYgAAErD6PAACAABZMPxcAABAEGgw/xIAIAQQcDBY -GyvRDwAAAGwQBtog+xwAAD0QYDBbdOT2oB9iAAASsPo8AAIAAFkw/FwAADgQaDD/EgAgBBBwMFgb -HdEPAAAAbBAG2iD7HAAAPRBgMFt01vagH2IAABKw+jwAAgAAWTD8XAAALBBoMP8SACAEEHAwWBsP -0Q8AAABsEAbaIPscAAA9EGAwW3TI9qAfYgAAErD6PAACAABZMPxcAAAkEGgw/xIAIAIQcDBYGwHR -DwAAAGwQBtog+xwAAD0QYDBbdLr2oB9iAAASsPo8AAIAAFkw/FwAACEQaDD/EgAgARBwMFga89EP -AAAAbBAG2iD7HAAAPRBgMFt0rPagH2IAABKw+jwAAgAAWTD8XAAAIBBoMP8SACABEHAwWBrl0Q8A -AABsEAbaIPscAAA9EGAwW3Se9qAfYgAAErD6PAACAABZMPxcAABeEGgw/xIAIAEQcDBYGtcZ0jAo -kH3AoQqIAiiUfdEPAGwQBmgxA8Yq0Q/aIPscAAA9EGAwW3SL+NJ4EAAPrqD5EgAoACBBMCiNASKA -PQkiNvKEPSIAABKw0Q/SoNEPAABsEAbaIPscAAA9EGAwW3R9GNJriRAign8Kkjvyhn8iAAASsNEP -AAAAbBAI+iwAAD0QWDBbdc7zrAAA7AA2oP4KLCAAECAw9RwAAgAAaHD8CgAgCxBAMG2KF6PKK6AA -frEX9LC3YAICYzD71AAgAgJrcPwKCyAWAlDw86wBICACWHD6HAAIACAvMPSUACAAEGAwW3Rc9qCK -YAAQSDD6HAAACxBYMNMPbboaKzAA+ZwBIgAAYnD0sA9gAgIY8PukACACAlKwLAoL2hD1zAgAKAJY -cPTEACAAEGAwW3RK+NI5EAAhLqAe0JyNFIkVIuJIL+JK85kQDFAEP2D53QICAEBAsPj/AQIJABNw -8uZILAkAf3D95koiAAASsNEPAMaq0qDRD9Kg0Q/RD2wQCPosAABbEFgwW3WO8qwAANcANqDzCgAi -AAAgcP8KXSIAAHBw+woAIAsQQDBtihyiui2gAP/RHHIAAGLw9NAvYAICWvD95AAgAgJzsPwKCyAW -AlCw8qwBICACWHD6HAAIACAnMPOUACAAEGAwW3Qb+iwAAD0QWDBbdXL5CgAgaQA2oPscAAALEGAw -0w9tyhotoAD5nAEiAABicPTQD2ACAlKw/bQAIAICWvAsCgvaEPTNCAAoAlhw89QAIAAQYDBbdAby -EgQgABCuoP/R9BDMEEAwCCIo/hIFLgAgF/D+9N8gABAQMNEPxirRDwAAAGwQCPosAABbEFgwW3VS -8qwAAOgANqDzCgAiAAAgcP8KXSIAAHBw+woAIAsQQDBtihyiui2gAP/RHHIAAGLw9NAvYAICWvD9 -5AAgAgJzsPwKCyAWAlCw8qwBICACWHD6HAAIACAnMPOUACAAEGAwW3Pf+iwAAD0QWDBbdTb5CgAg -egA2oPscAAALEGAw0w9tyhotoAD5nAEiAABicPTQD2ACAlKw/bQAIAICWvAsCgvaEPTNCAAoAlhw -89QAIAAQYDBbc8r+EgUgABkuoPIKACAGADeg0Q+CFP/RthDMEEAwCCIoov/4/QEgBxAQMCKEPfP2 -UiAAEBAw0Q/GKtEPAABsEAbaIPscAAA9EGAwW3O3GNGpiRAigIAKkjvyhIAiAAASsNEPAAAAbBAG -AioC+xwAAD0QYDBbc61moHod0ACLEC/QwS3SMvjQwxQAEEgw/w9AAAEQcDD73SgOBQB/sA+YOfjT -JnAAEFgw+AoKIAwQYDAPjDltCA+xzADBBADpGvnTCHACAlrwY//pAB3QWC/SrMCH8tA3GAMAQvAA -gQQA7Bry/wENAAQ7IA/MAvzWrCIAABKw0Q/SoNEPAGwQBtog+xwAAD0QYDBbc4j2oBhiAAASsBvP -2o0QK7IyDbooCkoUb6EGW3Nv0Q8AAB7Rc8CkC+4s/NFyEAEQWDBbnEkaz6tj/98AAABsEAYY0W3T -D9MPKYEAKRUAKIACKBQCW3NnCoNBbzNE2iD8Cj0gCAJYcFtzbvzQIhAAJi6gK8LxjRGhPv7gACPo -EHgwD90oDt0s+wtHDYAEP2ANuwL7xvEiAAASsNEPAAAAAAAA+goCIAEQWDD80VQSAABo8FucKccr -0Q8A0qDRD2wQDBzRT8ffnRSLwYjDicIpFgIoFgMrFgH8wgAiAABQsPwWACBbEFgwW3So8qwAAVIA -NqAqoAAuCmB662QvCnp6817TEPcKOiAgAihwhDDaQFt0rPtMAAIAADKw+iwAAgAAYbBbl3zKo7gz -dTne8goAIAAQGDD5CgEgABBQMPKaOAAAEEAwCpg4zYdgAPOibCvAAPrMAAYA8r7QZb/KYAHcAMAw -/AoAIF0QaDD7HCAgABAgMP4KCyIAACrw0w9t6heizirgAH2hF/SgL2ACAmMw+rQAIAICWvD8Cgsg -FgJwsPocICACAhOw9c8IAGACWHD09AAgABBgMFtzGvosAAA9EFgwW3Rx8qwAAHcANqAroAD9Ci8g -HAA24AqsAm0IDX2xYivAAfSwCGACAmMwY//rAIgUZIBQwMD6CgsgQAJIcG2qFSogAPSgEWACAhCw -KpQA/MwBIAICSnDAy6XL/AoAIEACUHD0tAAgaAJYcFty/Qr+UP0KASAAEGAwDtw4ZcCqxirRD5QU -wMD/CgsgQAJQcG36F6LOK+AAfbEX9LCbYAICYzD7pAAgAgJSsPwKCyAWAnCw+hwgIAICE7D1yAgA -aAJYcPSEACAAEGAwW3Ll+vZQAABkLqDAwPoKCyBAAkhwbaoVKiAA9KARYAICELAqlAD8zAEgAgJK -cMDLpcv8CgAgQAJQcPS0ACAgAlhwW3LV+woBIAAQYDAGvDj0z2FoHwFUMMDQCb04ZN9UyTNoO1XB -4X4xNMAg0Q8A8/+Qb+oQUDAYz7aCHKgiKCKAiRT/Eg0v/xBQMAqZAwmIAQj/Av8mgCAAEBAw0Q8A -Gs+ZixyMFI0dW3KywCDRD7HKgzHz/fJiAAASsBrPlIscjBSNHVtyq8Ag0Q8AAAAA+goBIAAQSDAG -qThln4Jj/tQAAABsEAr6LAAAPRBYMFt0BPOsAAEPADag9QoAIgAAMHD3CgAgIAIQcPQKLCAAEFAw -+AoLICACcHBtihyjrCvAAPSxHHIAAGqw9LBiYAICUrD75AAgAgJzsP0KCyAWAmDw+hwQIEACWHDy -2QgAAgIbMPeUACAAEGAwW3KPZqAv+hIIIAICKXD6ZAAgAgIxsPlSnmAAEFAwHNCAKxABLRAALcR8 -+8R9IAAQEDDRDwAA+TwAAAAQUDD+CgsgIAJYcNMPbeocLpAA3aD6rAEiAABicPTgFGACAkpw/rQA -IAICWvD9CgsgFgJg8PocECBAAlhw8t8IAAICGzD39AAgABBgMFtybWagIP8CAAH/ugVgsV380GIQ -BBBQMPsKASACEHAwW5szxirRD9Kg0Q8AAABsEAb6LAAAPRBYMFtzufOsAADyADag9dBWEAAQMDDy -HAAAABA4MPQKLCALEEAw+goAIgAAYHBtihyjrSvQAPSxHHIAAHKw9LCWYAICUrD7xAAgAgJjMP4K -CyAWAmjw+hwAAAICG3Dy6QgCAABZcPeUACAAEGAwW3JE96BOYAAQcDDZEP08AAALEFAw0w9tqhQq -0ADJpvqUACACAnOw/dwBIAICSnD+CgsgFgJo8PPcASIAAFBw8u0IAgAAWXD31AAgABBgMFtyMGag -HPVcBCACAjGw+AoLJf+rmaDSoNEPAPP/lW/qEFAwaGTv3WD80CEQAhBQMPsKASAEEHAwW5rwxirR -D8Ag0Q9sEAb6LAAAPRBYMFtzd/OsAAD6ADag9dAWEAAQMDDyHAAAABA4MPAAFmAsECAwAAD2bAEg -CxBAMPVcBCYAZkWQwKD+HAAACxBIMG2aHKOsK8AA9LEccgAAarD0sKJgAgJSsPvkACACAnOw/QoL -IBYCYPD6HAACAABZcPLdCAACAhsw99QAIAAQYDBbcf33r59gABBoMNkQ/DwAAAsQcDDTD23qFCrA -AMmm+pQAIAICa3D8zAEgAgJKcP0KCyAWAmDw+hwAAgAAWXDy3wgAAgIbMPf0ACAAEGAwW3HpZ69Q -wIt4YSPdYPzP4xACEFAw+woBIAsQcDBbmrDGKtEPAAAA8/+Jb+oQUDDSoNEPwCDRD2wQBvosAAA9 -EFgwW3Mz86wAAO4ANqD1zjYQABAwMPIcAAAAEDgw9AosIAsQQDD6CgAiAABgcG2KHKOtK9AA9LEc -cgAAcrD0sJZgAgJSsPvEACACAmMw/goLIBYCaPD6HAAAAgIbcPLpCAIAAFlw95QAIAAQYDBbcb73 -oE5gABBwMNkQ/TwAAAsQUDDTD22qFCrQAMmm+pQAIAICc7D93AEgAgJKcP4KCyAWAmjw89wBIgAA -UHDy7QgCAABZcPfUACAAEGAwW3GqZqAc9VwEIAICMbD4Cgsj/6udoNKg0Q8A8/+Vb+oQUDBoY+/d -YPzPnhACEFAw+woBIAMQcDBbmmrGKtEPbBAIH8+Zi/SI9vLyByIAAFCwifWM843yjvGeES0WAiwW -AykWBSIWBygWBisWBC/yAP8WACA9EFgwW3Lm9KwAADYANqDCfPIcAABAAihwgyAPAgAPAgADOgJb -cuz7PAACAAAysPpMAAIAAGGwW5W8yKe4InUp18Yq0Q+kbCvAAPexCnIAAFMwZb/mYAABscr4z3cf -4wA2oIkhwCAKkjnyhIAgABAQMNEPbBAM+iwAAD0QWDBbcsj0rAABCwA2oPMKACIAADBw989qEAAQ -EDDwAClgLBAoMACOHMWn/wIACgB28pD/AgAKAHL10P5lACACAhjw9mwCKgB3hODAoPsKCyBAAmhw -bbocpKwrwAD1sRxyAABysPSwMmACAlKw+9QAIAICa3D+CgsgFgJhMPscMCBAAlBw9MwBLAAgV7Dy -1AAgABBgMFtxSWevi/lMAAAAEFAw/goLIEACWHDTD23qHC2QAN6g+qwBIgAAYnD00BRgAgJKcP20 -ACACAlrw/goLIBYCYTD7HDAgQAJQcPTMAS4AIFew8vQAIAAQYDBbcTP2oGRgDxBAMP8CAAf/lcTQ -sT38zzAQBBBQMPsKASAQEHAwW5n4xirRDwAAAPoKBCABEFgw/M8pEgAAaPBbmfHGKtEPAAAAGs5Q -+BwAABAQSDBtmg/5gQAgBAJSsPmlrSAEAkIwwCDRD9Kg0Q9sEAbaIPscAAA9EGAwW3EU9qAXYgAA -ErCIEBvOFQgJR/i0fiQSAL5gaJMB0Q/GKtEPAAAAbBAG2iD7HAAAPRBgMFtxBxjPC4kQIoJ/CpI7 -8oZ/IgAAErDRDwAAAGwQCBnPBQ8CAA8CAIiRKBYB+ZIAIgAAULD5FgAgPRBYMFtyUvOsAADwADag -wFD3HAAAIAIQcPYKACAsECAw8AAPYAsQWDAAsVX7CgsiAFuRYPwcECAAEFAwbboco60r0AD0sRxy -AABysPSwj2ACAlKw+8QAIAICYzD+CgsgFgJo8PPcASAgAlBw91sKDAAgF7D21AAgABBgMFtw2vev -p2AAEHAwKRwQ/TwAAAsQeDDTD236FCrQAMmm+pQAIAICc7D93AEgAgJKcP4KCyAWAmjw91sKAAIC -G3Dy6AgAIAJQcPaEACAAEGAwW3DG969XYAIQKDDSoNEPAAAAAPP/nG/qEFAwG87GiRGMECy0gPm1 -RSIAABKw0Q/GKtEPbBAG2iD7HAAAPRBgMFtwtvagFGIAABKwiRAbzbcJCEf5tH8iDAC+INEPxirR -DwAAbBAG2iD7HAAAPRBgMFtwqhjOsYkQIoJ/CpI78oZ/IgAAErDRDwAAAGwQBtog+xwAAD0QYDBb -cKAYzqiJECKCfwqSO/KGfyIAABKw0Q8AAABsEAgZzqIPAgCIkZgR+ZIAIgAAULD5FgAgPRBYMFtx -7POsAADwADagwFD3HAAAIAIQcPYKACAsECAw8AAPYAsQWDAAsVX7CgsiAFuRYPwcECAAEFAwbboc -o60r0AD0sRxyAABysPSwj2ACAlKw+8QAIAICYzD+CgsgFgJo8PPcASAgAlBw91sKDAAgF7D21AAg -ABBgMFtwdPevp2AAEHAwKRwQ/TwAAAsQeDDTD236FCrQAMmm+pQAIAICc7D93AEgAgJKcP4KCyAW -Amjw91sKAAICG3Dy6AgAIAJQcPaEACAAEGAwW3Bg969XYAIQKDDSoNEPAAAAAPP/nG/qEFAwG81e -iRGMECy0ffm1RCIAABKw0Q/GKtEPbBAI+iwAAD0QWDBbcavzrAABWAA2oBfNDvbMfRAAECAw9Qos -IAAQYDD8FgUgABBQMPAAO2ALEEgwGcx1BB8UB/8KLfKu+goAL/8QcDD+mAMAAgIhMPjdAQALEEgw -+BIFLAkAbvD99q4mAH+RIGWA990QbZoco64r4AD1sRxyAAB6sPSwumACAlKw+9QAIAICa3D/Cgsg -FgJw8NoQ8+wBICACWHDx/ggAABBoMP3kACAAEGAwW3AmCqIC9yBaYgAAcPABGQL/CgAgCxBAMG2K -FCvgAMm2+5QAIAICe/D+7AEgAgJKcP8KCyAWAnDwseP7HBAiAABQcPkKACwAIA/w+dQAIAAQYDBb -cBL2oFpiAAASsC4KAS4WBRrNQ44UKqJ/DqsoLDroDLsse2Mb+c4TE/+E/RDz/wRrAAQ+4AAAAADz -/3Zv6hAQMB/ODfzODRABEFgwCv8s/UwAAAQQUDBbmMobzCtj/8QA0Q/RD2wQDhvOBfocAABIEGAw -W4/H+iwAAD0QWDBbcUzyrAAAfAA2oCigANMPZIBv9woAIJACMHDwAA1iAAAYcAAAAAC4M3YxVoQw -2kBbcU77TAACAAAqsPosAAIAAGFwW5QeZa/dolwqwADC3P2hN3IAAFswZa/L+TIBICQANuAusADS -sPXvr2YJAD5wLxoMf3AMEs3j9yZ/IAAQEDDRD8Yq0Q8AAAAA8//MYAICWzBsEAT6LAAAPRBYMFtx -JfKsAAAbADagE83X2jBbcS/coPs8AAIAAFCwW5QAyKLGKtEPGM3RGs3SIoJ/Gc0OCiIBCSIC8oZ/ -IAAQEDDRDwAAAGwQFhvNy/wKeCIAAFBwW4+I+iwAAD0QWDBbcQ30rAAAgAA2oCigAA8CAA8CAGSA -qfkKACDwAjBw+RYhICwQODDwAA1iAAAQcAAAAAC4InYhToMg2jBbcQz7PAACAAAqsPpMAAIAAGFw -W5PcZa/dpFwqwAD3oVlyAABbMGWvzfkiASAeADbgLBIhKrAA/JwCAgAAIvD8FiEvqAC2oGAAPwDG -KmYgMhrNey0SIC6hfn3sBi2lf9EPAAD+oX8gARBYMPzNnRAEEFAwW5hVxirRDwDz/6pgAgJbMNEP -wPAvFiEiEiEiFiDz/7lgABAQMAAAAGwQFhvNj/wKeCIAAFBwW49M+iwAAD0QWDBbcNH0rAAAiQA2 -oCigAA8CAA8CAGSAl/kKACDwAjBw+RYgICwQODDwAA1iAAAQcAAAAAC4InYhV4Mg2jBbcND7PAAC -AAAqsPpMAAIAAGFwW5OgZa/dpFwqwAD3oUlyAABbMGWvzfkiASAnADbgLBIgKrAA/JwCAgAAIvD8 -FiAvqAC2oB7NQvzlfiAAEBAw0Q8SzT4vIX7/JX4v6hAQMNEPAAAAAAAAAPP/umACAlswGc03wID4 -lX4gABAQMNEPAGwQBi0gAPs8AAIAAGEw+lwAAD0QeDD/0SlwABAwMMU7c9Ef3iBtCBX00EhgAgIx -sC3gAf/RDHACAnOwc9EEY//jAAAXzUubEvwWASAAEBgw+hYAICACIfAlcn/bIPxsAAIAAFFwW5Nr -yKy4d/R56HAQAhjwxirRD9pQW3CRdqnpGst/GM08qjp4oeiLEiitFCiCOYwR/RIAIgAAULALgADS -oNEPbBAG3ED6IAAiAABpcPIWAyAAEDgw/jwAAD0QeDD/oS5yAAAYsMUrcqEk2zAPAgDTD20IFfSg -SGACAjnwKrAB/6EMcAICWvByoQRj/94AABbNIZ4S/BYBIAAQEDD9FgAgoAIpsCRif9sw/HwAAgAA -UTBbkz/IrLhm9WnocBACELDGKtEP2kBbcGV3qekay1MYzRKqKnih6IsSKK0UKIIljBH9EgAiAABQ -8AuAANKg0Q9sEAbcQPogACIAAGlw/wo9IgAAcPDyFgMiAAAYsP+hLHAAEBAwxUt0oSLbMA8CANMP -bQgV9KCNYAICELAqsAH/oQpwAgJa8HShAmP/3hXM+J0SnBGeEBbM9fAAD2AAEDgwALhm9WFdcBAC -OfAkYn/bMPwsAAIAAFEwW5MRZa/i2kBbcDpyqdoayygYzOqqenihMosQabEUjBEtOv99yQwezAIv -4oAu4n+v7p4RixAorRMoghWMEf0SAiIAAFDwC4AA0qDRD8Yq0Q8AAABsEAbcQPogACIAAGlw/wo9 -IgAAcPDyFgMiAAAYsP+hKHAAEBAwxUt0oR4DOwJtCBX0oFpgAgIQsCqwAf+hCnACAlrwdKECY//j -FczJnhKcEZ0QFszG8AAPYAAQODAAuGb1YSpwEAI58CRif9sw/CwAAgAAUTBbkt9lr+LaQFtwCHKp -2hrK9hjMu6p6eKkExirRDwCLEiitEiiCHYwR/RIAIgAAUPALgADSoNEPAAAAAGwQBBrK5xnMsCyi -SPqiSiDMEGgwDS0o9MrlEDQQWDDyyjgIACBucPmQ3Sh3AVAwC4gc88yvFAAgQTD0QgAgygA2YP8C -AAAAYQZg/wIAAgBdAmD/AgACAFkGYP8CAAQAVQJgE8yaCtlByJlokQppkg1gAAcAAAMzFANDFANT -FAMKSVt8twoMX/vMkhIAAFCwWj1kG8uJA9oU0w8LqgJbfLAKDF/7zIwSAABQsFo9XQOqQlt8qwoM -X/vMiBIAAFCwWj1Y+8s7G/AEOSBbfWDcoPvMgxIAAFCwWj1SG8yB+iwAAAoQYDBaPU4bzH/6LAAA -ChBgMFo9SxvMfPzMfBIAAFCwWj1HwCDRD2P/WQBsEAgXyqQTzHkockgnckoUzHYVzHfyhzgAABAw -MPosAAIAAFkwWjo3CuhB+ilBAhoAuiBvkgVvogJusgHAYfosAAIAAFjwWjovJE0C8z0CIZoIKTD6 -TkIMQAFQMPrPQgxIAVQw/903DAEAczANzDf7zGEcACBhsPzMAyIAAFCwWj0l+8xdEgAAULD8Cgcg -ARBoMFo6O/vKnhIAAFCw/AoHIAEQaDD4HBAgChBwMPgWACABEHgwWjoYyaDAovzMUBAIEFgwW5bt -xyvRDwD7zEsSAABQsPwKByACEGgwWjoo+8qMEgAAULD8CgcgAxBoMPkcECAKEHAw+RYAIAEQeDBa -OgbJpsCi/Mw+EAgQWDBbltvHK9EPAAAAAAAAAPvL1hDMEHgwDy8o/QqAIAAQcDD/uwgMOwE4MPm9 -ASo9ATgw+pQ+ICEANyD4wUtgARB4MGjCTGnDFi6UPC6UPWAADQAAAAAAAP6UPiIAAFOwLwr//bwI -D6AEOqD7wLwsAwB/sP3EviIAAFCw/MC9LOABbDBbfKHSoNEPL5Q8L5Q9Y//MLpQ8L5Q9Y//DbBAI -+8wWEgAAULD8CgEgARBoMFo58fvMEhIAAFCw/AoBIAAQaDD+CmQgIAJAcPgWACAUEHgwWjnOyK/A -ovzMCRAIEFgwW5ajxyvRD/vMBBIAAFCw/AoBIAAQaDBaOd77zAASAABQsPwKASABEGgw/gpkICAC -SHD5FgAgFBB4MFo5vMmmwKL8y/gQCBBYMFuWkccr0Q8AAAAAAAAA/MsVEgAAULD9yeYQIAJYcPsW -ACDIEHAw+8vuEAoQeDBaOazIr8Ci/MvrEAgQWDBbloLHK9EPwCDRDwAAbBBa0yD7y9USAABQsP0K -ACIAEGAwWjm6GMvkGsvhGcvhG8veHMvRH8vh/xadIIACaHAtFp8sFpkrFpopFpwqFpv4Fo0gwAJQ -cPoWoCAFEEAw+BaSIAAQSDD5Fpgg/gJwcPvL1BBiAnOwLhah/MvREEACcHAuFp4sFo8rFo4pHQH5 -FqIgoAJKcCkWkGABJwAAAAgiNcCl/MvIEAgQWDD9TAACAABwsFuWVd1A+goFIAgQWDD8y8IQDAIQ -sP4sAAIAAHiwW5ZOJBKN+jwAAgAAWTBaOWzyBUYACBBYMPoCRwWABD1g/Mu3EgkAKLD6CgUiAABo -sFuWQdwg+jwAAgAAWTBaPGQiEpglEo4mEo/3EpAiAABQ8PsSnSAAEGAwWjxdKhKiKRKhLBKeLhKf -LxKgLRKcKxKbKBKdJE0CJm0CJV0C9RaOIAICELAiFpj2Fo8gIAI58CcWkCQWjSiNAiu9Av3dAiAg -Anvw/xagIAgCc7AuFp/9FpwgCAJjMCwWnisWm/gWnSAgAkpw+RahICACUrAqFqIpEpkqEpooEpIp -nQIqrQL6Fpoh/gJCMCgWkvkWmSJYADYg+xKZIgAAUPBaOTEkEo4lEo8mEpAnEqItEp4rHQIuEqAv -EqEvFqj+FqchQAJi8PwWpSFgAlrwKxam+tYAIAAQEDD6PAACAABZcFo5ISoWpPtMAAIAAFDwWjkd -LRKkKBKnLhKoDZ9G/4YALMEBbDD95gAoAQBr8P35Ng7JAVAw/nYAKsEBUDD66zcMAwBTsPwSpigD -AGZw+xKlKAEAWjAqZgAoxgAptgAcy1kpFgMoFgQtFgD+FgEgCBBYMPoWAiIAAHCw/RKYIAUQUDBb -ld70TAggEAIpcPkSqCAIAjGw+BKnIAgCOfD/EqYgAgIQsP4SpSAIAkpw+RaoIAgCQjD4FqcgCAJ7 -8P8WpiAIAnOw/halJf+XmKD7EpoiAABQ8Fo469Kg+xKbIgAAUPBaOOj7EpwmyAEQMPYWcCTAARQw -9RZxJMgBUDD0FnIiwAFQMPIWcyIAAFDwWjjd/WwAAgAAcXD/TAAABBA4MPIWACAIEFgw/MsoEsgB -UDDyFgEgBRBQMFuVsSoSmPMWjCACEHAw+qz8IgAASfD66TgA/xAwMPcKACAAVyZgIhaL9B0CIP8Q -MDDzHQIhQAIhMPSUCgAAEDgw9BapIUACKPD0PLAhgAIY8I9AjVCOMPISiywAIH9wDR0U/tgMAAUQ -UDD4IggCAAB4sPzLCBLAARAw8hYAIAgQWDBblZAoEqn2JjYGAQA8sPM8BCAIAilw+Fm1cAgCITAj -Eoz6Ep8kACAx8AQUFPRM+i/6EEAw9KYAKIABJDDynOAr/kwWYMAl8/yRYgEAknBj/8/aMCoWjFgB -F2akBCoSjBvK7hzK7lo7nSoSjBvK2PwKASABEGgwWji0G8rV+hKMIAEQYDD4HQIgABBoMP4KZCGg -AkIw+BYAIBQQeDBaOJBlo8MqEowbysn8CgEgABBoMFo4pRvKx/oSjCABEGAw+R0CIAEQaDD+CmQh -oAJKcPkWACAUEHgwWjiCZaPGG8q/HMngHciyKhKMKB0C/grIIaACQjD4FgAgChB4MFo4eGWjdBrK -qxnKqx/KxvjKuxAAEGgw/RaKIAAQYDAsFoQoFpUvFqP5FoUgQAJYcPsWlCD+AnBw+haGIIACWHD7 -FpMgwAJQcPoWlyBiAnOwLhaJKR0BHsqqKRaI/haWIKACSnApFocjEockEoglEokmEpcnEpYiEpWM -YI1QKhKMDwIA/90RDXAEOyD9zAICAABYsFo7U4xAjTAqEoz/3RENcAQ7IP3MAgIAAFnwWjtM/hKj -IAgCGPD0TAQgCAIpcPZsBCAQAhCw/imtcBACOfAlEoYjEoQkEoX6EowiAABZcFo4O9ag+hKMIgAA -WTBaODj8yo0SAABCsP4SlCpIAVQw+xZ9KCoBNDD5FoEmJgE0MPcWgiIuATAw8haAJkABVDD3Fn8i -AAB5sP7iACIiATAw8haDJkwBUDD2FnwiRAFQMPIWfigqAXQw+RZ5Ki4BcDD6FngoIgF0MPkWeyom -AXAw+hZ6IgAAaPD4FgAgBRBQMFuU9SsSff0dAiH4AkDw/woCIAQQUDAI+jj6oUFgABBwMP/c8CHA -Aktwbaoli5DTD/SxFmAIAkpw/wIAAACXBuD/AgACAJwG4LHu//wEIAgCa3AnEn8rEn0iEn4mEnwc -ylf6EowvgAQ64PwtEQ9ABD2g/X0CDgkAe7D+3QICAABZMFo4Fi0Sk43Q/MpNECACa3D9FpEsJQFs -MPoSjC/ABD9g9NgRD4AEO2D47gIMCQB/cP7dAgIAAFlwWjgIJhKHJxKIIhKJ/Mo/EAUQUDD9EpEg -CBBYMFuUwCkSlCoSkysSlygSli8SlS4SoyVdAiRNAiQWhfUWhiAgAjGw9haHICACOfD3FoggIAIQ -sPIWiSACAhjwIxaELu0CL/0C+I0CICACWvD7FpcgCAJSsPoWkyAIAkpwKRaUKBaWLxaV/hajJf7a -nOAiEorRD4bQaGMP/wIAA/9ymaCL8My2YAB/AIvwy7KwvJzwY/7diND/AgAD/2geIIvwZb/pYAAx -itBlrsaL8P+zQGACAmLwnPBj/rcAAAAAAAAA+goCIAgQWDD8ygoSAABo8FuUi8cr0Q/6CgIgCBBY -MPzKBRIAAGjwW5SGxyvRDwAA+goCIAgQWDD8ygASAABo8FuUf8cr0Q/6CgIgCBBYMPzJ+xIAAGjw -W5R6xyvRD9Kg0Q8AwKL8ydoQCBBYMFuUdGAADMCi/MnZEAgQWDBblHD8yfAQAhBQMPsKCC/7EGgw -/RaKIAUQaDBblGkiEorRD8Ci/MnMEAgQWDBblGVj/89sECz7ydgSAABQsFo3g/vJ1RIAABqw/Mnf -EgAAULBaOoIbyd0cx7Udx7TzFj4iAABQsFo3mCYKAPkcUCIAAFBw9cnXEAAQWDAUydYTydYrFj0q -FjwpFjvaIPtMAAIAAGGwWjpx2iD7PAACAABhsFo6biRNAvM9AiG+CCkw2iBb/Y/ToPYWTyAEHK6g -IxI7JBI8FsnEF8nDJxZLJhZMF8nCFsnD+xJLIgAAULBaN1uaQPsSTCIAAFCwWjdX+jYAIgAAWfD8 -CgAiAABQsFo6V9og+2wAAAAQYDBaOlMmbQIpEkwoEksnfQIpnQL4jQIgIAIY8PgWSyAgAiEw+RZM -IUoIKjAmEk/7yaoSAABQsFo3QisSPSZtICkSOyoSPCZsIPmcBCACAlrw+qwEJf+OmuAhFkUcyZsb -yZv/yZ8QABBAMCgWRi8WR/sWQSCgAlBw+hZEIIACaHAtFj8sFkMtFjgsFkguEkQoEkWJ44rijeGP -gIyDK4IBKIIC/uIAJsgBeDD2FjAuwAF8MP8WKCTIAVww9RYxKsABXDArFin+i0YOwAFwMP4WSSTI -AUAw9BYyKMABQDD4FiouyAFkMP8WMyzAAWAw/BYrKMgBaDAoFi0rFiz+FjQswAFsMP0WNSrIAUww -+xYvLMgBUDD8Fi4qwAFQMPoWNijAAUww+RY3KAMkEaAoCnj6CgEqAyQ2EMDgb1gBsaopCnh1mwGx -7m9IAbGqKwp4dLsBse5v+AGxqiwKeH/LAbHuy6DK7m9oCC1tAS3cgC0WMG9YCC5dAS7sgC4WMW9I -CChNASiMgCgWMm/4CCn9ASmcgCkWM/YKACAAECgw8xoAIAAQIDD/bP8gABA4MP4cfyAAEFAw/uxB -IAQQWDBtuhvIYX+hDojg0w8IMzb4VQgEAQBBMPqsASAIAnOw80kMAAgQWDB5uiGxZv8CAAQC9ZWg -9QoAIAEQUDD6FjkgABAgMPABt2EAEBgwJxY5+QoBIgAAQbD2mDkABBAgMAhEDARULPRgJ2TAASAw -/h3/If4CebD8EkchgAJzsP5uCgAFEFAw/uJ/IgAAaTBbk5wvEigqCgD/+AdgABBwMCoKASkSKCgK -eHmLAcDhKxIpb7gBsaotEiksCnh9ywGx7i8SKm/4AbGqKRIqKAp4eYsBse4rEitvuAGxqi0SKywK -eH3LAbHuy67L7C4SKNMPb+gIL+0BL/yALxYoKRIpb5gIKp0BKqyAKhYpLBIqb8gILc0BLdyALRYq -LxIrb/gIKP0BKIyAKBYr9goAIAAQKDDzGgArgAQ5IPoWQCAAECAw/2z/IAAQODD+HH8gABBQMP7s -ISAEEFgw0w9tuhrIYX+hDSjiAAgzNvhVCAQBAEEw+qwBIAgCc7DzSQwACBBYMP8CAAgAak7QsWb/ -AgAEAj4VoMCR9QoAIAAQIDDwAF1hABAYMAAtEkAsEkLaIPsSQywJAGswWjl4LhJG0w/TD/8CAAQC -C6OgKRJNLxJFKBJEKhJDLBJBKxJGKq0CLM0C/BZBIAICWvArFkb6FkMgIAJCMPgWRCAgAnvwLxZF -ZZ8/LRI5DwIAZd3fLxJFLhI//wIAC/5f+5AbyLwcxpP6LAAAABBoMFo2d/vIvhIAAFCwWjZVG8io -/BI+IgAAULBaOVYbyKQcx//6LAAAABBoMFo2bcAg0Q8AAPoKASIAAEmw9qk5AAQQQDAJiAwIWCz3 -Fk0owAFAMPgWQiAnADWg/h3/IAUQUDD8EkchQAJzsP5uCgH+Anmw/uJ/IgAAajBbkxgvEkb/AgAF -/36L4CgSLCoKAP+IB2AAEHAwKgoBKxIsKQp40w97mwHA4SwSLW/IAbGqLxItLQp4f9sBse4oEi5v -iAGxqisSLikKeA8CAHubAbHuLBIvb8gBsaovEi8tCnh/2wGx7suuy+woEizTD2+ICCmNASmcgCkW -LCsSLW+4CCy9ASzMgCwWLS4SLm/oCC/tAS/8gC8WLikSL2+YCCqdASqsgCoWL/YKACAAECgw8xoA -IAAQIDDwACRgABA4MPZsASABEEgw9QoAJAFGFaD0CgAhABAYMPcKAC4pADZg/2z/IAAQUDD+HH8g -CBBYMP7sMSAEEGAw0w9tyhrIYX+hDSjiAAgzNvhVCAQBAEEw+qwBIAgCc7DzSQwCAABBsPmynHAE -EBgwwJH3Fk4oBQAycAgzDANTLPRgJ2LAARww/h3/If4CebD8EkchYAJzsP5uCgAFEFAw/uJ/IgAA -aPBbkr8oEkn/PAAAABBQMPsSSSAAEHAw+Qp4KAwAuiDAofwSNSoAA9pQLgoBKBI1/Qp4KAwAuyCx -qvkSNioAA8NQLuwBLBI2+wp4KAwAumCxqv0SNyoAA+LQLuwBKRI3+Ap4KAwAu2CxqnmLAbHuZKBP -KhJJZOBJb6gLK60BK7yAKxZJKxY0LRI10w/+3QEoFAC7YC7sgC4WNSkSNvqdASgUALpgKqyAKhY2 -LBI3DwIADwIA/c0BKBQAuyAt3IAtFjf/FjghABAYMPgd/yAAECAw+IzQIAAQKDD4FkogABAwMPhh -FGAAEDgwKRJJ0w8JMzb5VQgEAQBJMPoSNSIeADmgCjM2+lUIBAEAUTBoYxErEjbTD9MPCzM2+1UI -BAEAWTD4ZBRgCBBYMCwSN9MPDDM2/FUIBAEAYTAuEkoDTQx9uib2bAEgCAJzsP4WSiT2AL2gIxoA -9AoAIAAQKDDwAEdgARA4MAAAAAD6CgEiAABBsPaoOQAEEHgwCP8MD18sDw9G/xY6IBwANaAuEkrA -pfwSRyIAAGvw/uJ/If4CebBbklgvEjovFkhlfzUpEk5j/ccAAAAAACgKePoKACv84DIQ8/m6YAEQ -cDAcx9j9EkYgAhBQMFuSS8cr0Q8tEjgsEkj43RECAABQsPsSQSwJAGswWjhpY/vQAAAcx839EkYg -AhBQMFuSP8cr0Q8cx8n9EkYgAhBQMFuSOscr0Q8cx8b9EkYgAhBQMFuSNscr0Q8AAPzHwhACEFAw -/RI9IAgQWDBbki/SMNEPAGwQChPFs9MPKDJIJzJKG8e5FsWyAoc493dTADQQQDAIeBwcxen9x7QW -ACBBsPZiACIAAFCwWjVhG8exHMXj+iwAADIQaDBaNV0bx60cxd/9x10SAABQsFo1WfvHqhIAAFCw -/AoCIAIQaDBaNVQbx4f8x6YSAABQsFo4NRvHpPosAAAAEGAwWjgy+TKFI+sANKD5q1IKXQFIMAuq -DCqsBRvHnBzHnPStEQAGEHAw/t0CAgAAULBaNUETx4cUx4UVx5baIPwKACIAAFkwWjgh2iD7PAAA -ABBgMFo4HSRNAvM9AiG+CCkwG8eN/MVVEgAAULBaOBdudxj7x4oSAABRsFt4H/AAF2IAABqwAAAA -AAAA+8eFEgAAUbBbeBjToPvHghIAAFCwWjUG1aD7x4ASAABQsFo1A9Sg+8d+EgAAULBaNQAbx3z8 -xUMQGRBoMAXdDP09Nw4AIFEw/uwGIBwCa3D/7hENgAQ/YP7dAgIAAFCwWjUS+8duEgAAULBaNPHA -slt3/tOg+8dsEgAAULBaNOzAslt3+v7FsRwAIFTw+8dnEAQCa3D8x2Yd0AQ/YP7dAgIAAFCwWjUB -+8deEgAAULBaNN/UoPvHXxIAAFCwWjTc06D7x10SAABQsFo02fo+CAAQAmkw/sUOHAEAd3Abx1f8 -x1gdoAQ/YP7dAgIAAFCwWjTuG8dU/MdUEgAAULBaN9Abx1L8x1ESAABQsFo3zPvHQhIAAFCwWjTG -KwoCW3fTW3e5W5Ce1aD7x0oSAABQsFo0v8CyW3fNW3ezW5CY1KD7x0USAABQsFo0ucCyW3fHW3et -W5CS06D7x0ASAABQsFo0s8CyW3fBW3enW5CM+8c7HcAEOOD4ThENQAQ9YP7dAgwJAGKw/cwCAgAA -ULBaN6z7xzMSAABQsFo0pSsKAlt3s1t3mVuQfdWg+8cuEgAAULBaNJ/Aslt3rVt3k1uQd9Sg+woC -IBkQUDBbd6hbd45bkHLToPsKAiAoEFAwW3ejW3eJW5Bu+E0RDUAEOWD9zAINwAQ84PvHHBwJAGsw -+iwADAkAYrBaN477xwQSAABQsFo0h9Og+8cIEgAAULBaNISqOvqs/iACEFgwW3eQW3d2W5Ba06D7 -xw0SAABQsFo0fMCyW3eKW3dwW5BUG8cJ9D0RDYAEOqD9zAICAABQsFo3ePvHBBIAAFCw/ApgIGAQ -aDBaNI4bxwAcxTX9xTQSAABQsFo0ihTGsxPG/BXGsxbG/Nog/MWREgAAWXBaN2jaIPtMAAAAEGAw -Wjdl2iD8xRgSAABY8Fo3YiM9AiVdAvRNAiGeCDFw2iBb/NNmonz7xpgSAABQsPwKASABEGgwWjRz -+8aUEgAAULD8CgEgABBoMP4cECAUEHgw/hYAIGQQcDBaNFDIr8Ci/MbeEAgQWDBbkSXHK9EP+8aG -EgAAULD8CgEgABBoMFo0YPvGghIAAFCw/AoBIAEQaDD+CmQgIAJ4cP8WACAUEHgwWjQ+yq7AovzG -zRAIEFgwW5ETxyvRDwAAAAAAAAD5S1IKVwFIMAuqDPP8GGAKAlKwAAAAAAD7xnASAABQsP3EYhAg -AmBw/BYAIMgQcDD8xYsQChB4MFo0KMmhwKL8xrkQCBBYMFuQ/scr0Q8AABfGtx7EgfvGtBAYEGgw -/RYJIBUQYDD8FgogABAgMPsWCyAAEBgw/i4KAAgQMDD+FgcgBRBwMP4WCCAHECgwixeMGSuyiADA -BPsLGQ//EGAw/MakGhEAZvALC0L7FgUqACBc8Py7CgIAAFCwWjQD3KD7EgsiAABQsFo3A/oKBSAI -EFgw/MaYEgAAaTD/EgUiAABxsFuQ14sXjBorsogAwAT7CxkP/xBgMPzGkBoRAGbwCwtC+xYGKgAg -XPD8uwoCAABQsFoz7dyg+3wAAgAAULBaNu36CgUgCBBYMPzGhBIAAGkw/xIGIgAAcXBbkMEnfQKN -GYwY+BILIAICITD+Egoh/AIpcPM9ASH8AjGw+I0CIQACGPD4Fgsh9AJzsP4WCiH+AmMw/BYIIfQC -a3D9FgkvDQC3IBvGI/osAAAIEGAwWjbR+8ZrEgAAULBaM8vIrPvGaRIAAFCwWjPHZa39+8ZmEgAA -ULBaM8T7xmQSAABQsFozwfvGJxIAAFCwWjO++8ZgEgAAULBaM7wCKgJb+hnSoNEP0qDRD2wQChfE -Gw8CAClySChyShTEGwKYOPh4UwA0EEgwCYgcqEQkQgD7xAYSAABRMFt2u/zGTxAFEDAw+mY3AAoQ -QDAIZjYIYxD7xB4cCQBg8PwWBCIAAFCwWjamG8QZHMQa+iwAAAAQaDD4HBAgChBwMPgWACABEHgw -WjOf/MY9EkIAtqD7xhISAABQsFozlf3EDhH2AiqwCVwRDDwC+8QJHAkAazD8FgQiAABQsFo2kRvE -BBzEBPosAAAAEGgw+BwQIAoQcDD4FgAgARB4MFoziWWiDRzD/vvD+hwJAGDw/BYEIgAAULBaNoIb -w/Ycw/b6LAAAABBoMPgcECAKEHAw+BYAIAEQeDBaM3tlofRkIZgrckkqckocw6odxhf5HCAoPgFY -MAmICoXTjtGP0p+SnpH1lgMqAEBmsP3SACAAEFAw/ZYAIAAQKDD4ggAgARBIMPuaOAACEEgwCpU5 -/cYHFAkARXAMXBEMPAL7w9UcCQBrMPwWBCIAAFCwWjZdG8PRHMPR+iwAAAAQaDD4HBAgChBwMPgW -ACABEHgwWjNWZaGC+8X3EgAAULBaM03VoPvFyhIAAFCwWjNK/wIACgBjkWAlXPz8VREEAGeWoMCI -eosH/wIACgBvxqDAoAepEfgaACQJAE1w/cXmFAkARXAMXBEMPAL7w7McCQBrMPwWBCIAAFCwWjY7 -G8OuHMOu+iwAAAAQaDD4HBAgChBwMPgWACABEHgwWjMzZaEZ+2oaIgAAUTBbdjr7xbYSABBgMPos -AAwBAFMwWjYqG8OeHMOj/BYEIgAAULBaNib7w5kSAABQsPzDmBAKEHAw/RwQIAEQeDD9FgAgABBo -MFozHmWg6MAg0Q8lXPT8VREABBBAMPhVAgX/nMagwIj/AgAL/5pWEPP/NmH4AlKwK3JHKnJIY/5j -AADAslt2GWP/HgAAAAAA9hYAIAIQUDD7CgggABBoMP4KACAAEHgwW4/fxyvRDwCWEPzFox/QBDlg -+goCIAgQWDD9CgMgAhB4MFuP18cr0Q+WEPzFmxACEFAw+woIIAMQaDD+CgAgAxB4MFuPz8cr0Q8A -lhD8xZISAABxcPoKAiAIEFgw/QoDIAEQeDBbj8bHK9EPlhD8xYoSAABxcPoKAiAIEFgw/QoDIAAQ -eDBbj77HK9EPAAAA/MWCEAIQUDD7CgggBRBoMP4KACAAEEgw+RYAIAAQeDBbj7PHK9EPAGwQChXD -N9MP0w8qUkglUkoWwzfTDwKlOPV4UwA0EEgwCYgc+8ULEAEQYDD4ZggAABBoMPNiACIAAFCw9QdC -BIUBKDBaMuLaMPYWBifQEFgwW3XO3KD7xWcSAABQsFo1wBzFZvvFZhIAAFCwDwIADDwsWjW7G8Vj -/ArIIgAAULBaNbgbxWD8KgAiAABQsFo1tPvFWhIAAFDwW3W8G8VbCqwK/8wRAgAAULBaNa0YxVgE -RAvTDwhECvtCfyIAAFDwW3WzG8VT+3sJAgAAMrD7sX4iAABQ8Ft1rdeg+0KFIgAAUPBbdaorQoOa -GfcWBSIAAFDwW3WmLEKHG8Li96wAAgAAUPAMuyxbdaHA1AfXN9twW3We9bhRBBwAvqDwABNgABBQ -MAAAwOH6CgIh9gJ6sA/qOP6qEAIB0QYgG8U20w8LqgIbxTX8xTUQCBBoMPosAAwJAG6wWjKd+8Uy -EgAAULD8CgEgARBoMFoymPvC7RIAAFCw/AoBIAEQaDD+HBAgFBB4MP4WACAyEHAwWjJ2yaPAovzF -JBAIEFgwW49LxyvRDwAAAAAbxSD6LAAACBBgMFo1axvFHvosAAABEGAwWjVoG8Od/DoAIgAAULBa -NWT7xKASAABQsPwKAiACEGgwWjJ7jBYswgEdxRMsFgcMbAz7xRAdgAQ7IP3MAgIAAFCwWjVXG8UN -+iwAAAAQYDBaNVQexQr8xQscVAEsMA7dHBvFCf3MDAPoEGgwDcws/BYIIgAAULBaNUqFFxvFA/os -AAAEEGAwWjVGjRX6LAAAJBBgMPvEyRwBAGswWjVB2iD7xMUSAABhsFo1PhvEdfosAAACEGAwWjU6 -G8S4+iwAAAAQYDBaNTfaIPvE2RIAAGGwWjUz2iD7xKkSAABhcFo1MPtCgCIAAFDwW3U4+8ToEA8Q -YDD6LAAMAQBTMFo1KftCgSIAAFDwW3Ux+8SwEBQQYDD6LAAMAQBTMFo1Idxg+8SkEgAAULBaNR7c -cPvE2RIAAFCwWjUb+8TXEgAAUPBbdSP7xJQQBBAwMPosAAwBAFGwWjUT+8TREgAAUPBbdRv7xIoQ -BhBgMPosAAwBAFMwWjUM+8TIEgAAUPBbdRQKbDf7xIASAABQsFo1BRvExPwqACIAAFCwWjUC+0KE -IgAAUPBbdQr7xL8QAxBgMPosAAwBAFMwWjT6+8S7EgAAUPBbdQP7xLkQChBgMPosAAwBAFMwWjTz -+8JLEgAAUPBbdPuEGPvEeBBAEGAw+iwADAEAUzBaNOv6wjISAABZMFt08xvEq/ysAAIAAFCwWjTl -+8I4EgAAUPBbdO37xKUQBRAgMPosAAwBAFEwWjTd+8IxEgAAUPBbdOUKTDf7xJ4SAABQsFo014gZ -JAoDDwIA+EQ3AgAAULD7xJgSAABhMFo00PvEjhIAAFDwW3TY+8RDEAwQYDD6LAAMAQBTMFo0ybFM -+8SPEgAAULBaNMUbxI36LAAAABBgMFo0wvvEihIAAFCw/AofIAAQaDBaMdgTwh0bxIb6LAAAARBg -MFo0ufkyhSBnADSg+apSCl0BTDAKuwy1uwW7CAuqCPqs/yACEFgwW3S63KD7xHkSAABQsFo0rBvE -ePosAAAAEGAwWjSp2iD7xHUR/gJpcP0dFAAfEGAwWjG+wCDRDwAexHDz/GNqCQBysAAAAAAA+UpS -ClcBTDAKuwzz/5tgCgJa8ABsEAT7xGcSAABQsFuQgv08AAAAEGAwW473+8HaEAICUvBbdJvAgQiq -N1t0jtEPAABsEBD6wewSGQA0oCaiSiiiSSgWEgZ1U2RRyf8CAAAA4wVgblIMwJ11kwfwAAZgARA4 -MMByE8RQFMRQ2iD8xFASAABY8Fo0eyM9AnQ57BnCLf3ETBIMAT1g3ZAbxEr8xEgSAABQsFoxjhrE -SNMP0w8KegonoIApoIEooIIqoIMbxEP8iBEJYAQ+YPN3EQvgBDqg+XcCCAkAUjD8waIWCQBF8Pos -AAIAAGnwWjF9LhIS/8GVEAEQUDD+blEGDAC9YMCgG8QzHMGY+cG+EDQQaDANVRyWH/jEMBQAIE1w -JRYQhVL47REOBQBWMP4WDiwJAH9w/RYRL/AEOWD+CkAsCQB3cP7dAgIAAFCwWjFlKRIS0w8PAgDz -xCEYYwFMMPRYEQWABD5g9sQeFAkARXD9XAACAABQsPzBhBIAAFjwWjFYIz0CdjnmE8QWFcQX3HD6 -LAACAABY8Fo0NyM9AnU57CcSEfPEERBAEEAw9cQQFgkARfDccPosAAIAAFjwWjQuIz0CdTnswKJb -hugbxAkcwV76LAAAABBoMFoxQvMKACAyECgwwaRbhuCxM3U59RvEAhzBYv3C3hIAAFCw/gpkICAC -SHD5FgAgFBB4MFoxG8mrwKL8w/kQCBBYMFuN8Mcr0Q8AAAAA8/5MYAAQODAbw/Qcw/T9wUQQZBBw -MPocECAUEHgw+hYAIgAAULBaMQvJrcCi/MPsEAgQWDBbjeDHK9EPJqJIK6JHKxYSY/3nAAAbw+b8 -w+YSAABQsFoz/iMSEIMw+gogIgAAWPBb/2EVw+EXw+HaIPzD3hIAAFlwWjP1+gogIgAAWPBb/1ol -XQJ3WeH6w9oSAABY8Fv/VRvDzRzBsPosAAAAEGgwWjEF+gogIgAAWPBb/04bw8z8w7gSAABQsFoz -5PoKICIAAFjwW/9IFcPI/MEcEgAAULD9w7ASAABZcFow9/oKICIAAFjwW/9AJV0Cd1nb+gogIgAA -WPBb/zwVw6TaIPwKACIAAFlwWjPRJV0CdFns+gogIgAAWPBb/zMZwSwnEhIpkoUHd0P5KFEIMAFM -MPRxgmgFABYwih5kpan/AgAAAvuGoP8CAAIDIAKgKxISCw1C/doJDj4BWDD+Eg4qACBysAu8QvzP -CQpyAVww+fkIAgMkh6CdHJwbKxYKKRYJ/MOdEAgQWDD9fP8h/gJysP9cAAAFEFAwW42HjRr8w5cQ -BRBQMP4SCSAIEFgw/dz/IgAAeTBbjX8fw5H4HBYgHxBwMPgWBymQBDzgBPosBf8sD58sCpks+BYN -KAEAz7D5FBQuAQD7sP4UFSAoAiBwHMDLJUAAG8OD0w/8XAICAABQsFozkvs8AAfQEFAwW/72HMNy -2iD7w3scCQBhcFozi/vDeRIAAFCw/AowIDIQcDD9HBAgFBB4MP0WACAwEGgwWjCEZaBeG8Nu+iwA -AAAQYDBaM377w2wSAABQsFoweP4cFCpgAVAw+hYEIBQIcTCaHWAAHgAVw0+NFPwK8CIAAFCw/N0R -AgAAWXBaMIslXQJ2WeSOF7FE/wIAD/+qcRAnFhNgACjAovzDWBAIEFgwW41BxyvRDygSEicWE/8W -DShAAUQw+RYMKEsBQDCYG4QfGMNTGsNO98NOFCMBIDAIRAokQn8Ww0z1w0wQABBYMPQMQwAPEEAw -KxYU+hYIJgHBRxArFhT6FggiAdEHICVdAiZtAid9AvqtAiAPEEAw9EQUAAICWvD0DEMFmgI+4PoK -ICIAAFjwW/6sFMM2FcM5+iwAAgAAWTD8CoAggBBoMFowWiRNAnVJ5voKICIAAFjwW/6iFMMr/AqA -IgAAULD9CgAiAABZMFowUCRNAnVJ5voKICIAAFjwW/6YHcCQLdKFG8Ml/a5SDFQBbDD8wHAcBQAX -sPjdEQIAAFCwWjBDG8MeHMGI/cFMEgAAULBaMD8UwxsVwxvaIPzAXRIAAFkwWjMfJE0CdUns+zwA -ACAQUDBb/oItEhAt0gsbwxMcwxP43RECAABQsFowMCUSEA8CAIVcE8MO9MMPFYAEPWD9XAACAABQ -sPzDCRIAAFjwWjAmIz0CdDnmJRIT+8MHEgAAULD8ChAgEBBoMFowHxTDAxPDAxrDBIkb/AoCIAEQ -aDD11TkAABBYMPbC/hoFAC8wC5kK+hINKAAgVnArFgYokID5kIEnwAQ+oPSsEQuABD6g/IgRCgkA -ZvD4mREGCQBd8PmFAgYJAFXw3HD6LAACAABZMFoy6tog+zwAAgAAYXBaMuckTQLzPQIhvggxMBPC -5xTC59xQ+iwAAgAAWPBaMt8jPQJ0OewbwuL8wuMSAABQsFoy2hvC4fzC3xIAAFCwWjLXG8Le/MLc -EgAAULBaMtMTwtwUwtzaIPzACRIAAFjwWjLPIz0CdDnsG8LX/MKvEgAAULBaMsoTwtQUwtTaIPy/ -/xIAAFjwWjLFIz0CdDnsgxYbws78wqUSAABQsFoyv4gcGcLLA4gKqYglgIAogIETwsn8VREJgAQ6 -IPTCxxQJAEVw2iD7PAACAABhcFoysyM9AnQ57CgSEhnCwQiIUgmICiSAfCiAfRPCvvxEEQmABDog -9sK8FAkAQTDaIPs8AAIAAGEwWjKlIz0CdjnsE8K2FsK33FD6LAACAABY8FoynyM9AnY57BPCshXC -stxA+iwAAgAAWPBaMpkjPQJ1OezBpFuFU8GkW4VSwKJbhVEoEhKFHxPCqfUFUwhbAUAw84kRCXAE -OiD8VREICQBKMPTCoxQJAEVw/VwAAgAAULD8wqASAABY8FovoCM9AnQ55ioSEtMPCupD88KbGUAE -PqD4pREJwAQ6oPqIAgQJAE1w9MKWFAkARXDaIPxcAAIAAFjwWjJ2Iz0CdDnswCDRDwCLGPy/rhIA -AFCwWjJw2iD8wosSAABZ8Foybdog/MKJEgAAWbBaMmpgACcAixj8v6wSAABQsFoyZdog/MCKEgAA -WfBaMmLaIPzCfxIAAFmwWjJf2iD7XAAAABBgMFoyXIoYKxIUY/wlJBIS+MJ4GkABIDCaHPqqCQo+ -ASQw+8JzGgAgWrAHdQkKVQoLVQn1UX8qSwEkMPsWCyRyASAwlBoLuwn0RAkKACBecJsZC0QKCEQJ -JEF+Y/pHJBIS+MJmGkABIDCaHPqqCQo+ASQw+8JhGgAgWrAHdQkKVQoLVQn1UX8qSwEkMPsWCyRy -ASAwlBoLuwn0RAkKACBecJsZC0QKCEQJJEF+Y/n2KxIS++xRCkABWDD6FgwsSwFcMP0WCypyAVww -mxoN3Qn6qgkMACBPcJ0Z8/nJagAgYrCZGZsanRycGxjCRQd1CQu0CQpVCghVCRjCQglECiVRfwhE -CSRBfmP5mwBsEATIJmghBMYq0Q8AHMES9r92EMwQaDANLSgbwjj+YkgsACBrMPzA3yABEHgw9WJK -IAAQGDDy8zgCAABQsP/MEQAFEGgw8+U5DAkAazBaMgYpYkgoYkr0v2cYBQAacPh4UwA0EEgwCYgc -qESEQfpM/CACEFgwW3IHKWJIKGJK979dGAUAGnD4eFMANBBIMAmIHBvCG/7CHBYAIEXw93IBIf4C -STD1P0EIAAFMMPWtEQjwBD5g9QhCBgABPDD6iBEHYAQ94PndAgYJAEXw//8RDAkAP3D8wgscCQB/ -cP7dAgIAAFCwWi77wCDRDwAAAABsEAQdv2LTD9MP+79hHAAgbLD+CgEiAAB7cNMPKrJ2DwIAf6cS -LLJw/MwQAAAQSDD83wwKADTrEP6nEHACEBAwLLJxDMwQf8tfDP8MfachLLJyDMwQf8tX/P8MADAA -7rDAofy/TBAAEFgwW4udxirRD3un6y6yggzuEP/j4nAGEEAwmDCfQP4yACAFEFAw/L9CEAAQWDBb -i5LAINEPmTCdQPP/4WIAAHtwnjCfQGP/1ZIwn0Bj/84AbBAEHL8y/vrgID4CaLD6woIgPgJY8PjC -fSoAQHbw+wsGDABAd3ANqgz0gBBiAEBS8C/CgS7Cfg/+OX4rEsAgwKD8vyMQBhBYMFuLd9EPAADy -xoIp//xS0GP/4wBsEAQYvvgkgoMEg1L1wEcWGAA84AS4UmiHKtEPBGxQAioRpaotooLH7g7dAQ3M -AiymgimigsC+9IKDKAkAXnAppoJj/84fvujB0P9PAQAAEHAwD945AiwRpcwvwoLGPwP/AQ/uAi7G -givCgi0K4A27AivGgtEPAABsEAQUv6LzwaIQABAQMNogW4ZlCghBaYEh/K8ecgAASPAABIsASWEA -SWEASWEASWH6LAAAABBYMFuGVrEi8z0EKZoCOKDAINEPAAAAbBAE+cGRG7AEOWD2TBEKUAQ84Py7 -AgoJAFHw+SkLCgkAWrAqlkAoHCCIgABqEQqIAiiWQdEPAABsEARuLgHRDxa/FQYmCyVi4BjBgAQ3 -EfdHAgQAQEVwB1UCJWbg0Q8AbBAEFr8MBiYLJWLQGMF4BDcR90cCBABARXAHVQIlZtDRDwAAbBAS -Fr8D0w8mYoVbhkoTwXAVwW70v4UQABAQMPo0QCABEDgwACAECggb/4cKcAICSLApRn9gAAgqUC1/ -pxIqMECxImko3fIKACAAEH6QYAFewKD7CgIiAABgsP4KACB4EGgwW4YiY//UAMBw9AoCIgDwepD0 -CgQiATD2kP8CAAIBdHKQ/wIAAgG47pD/AgACAftqkP8CAAICPOaQeKd9Khok/L5aEBIQWDBbhoIc -vlf7ChoiAABqsP1V5iGQEFAwW4Z8wbX6NEgn/xBgMPc0UC7gAVAw+ho0JgAgdfBbhnX6FgkgIBB4 -MP9kAQ4CVP2QwLD4rAIn/xBgMPgiCAGcEFAwW4Zs9qwABKAANSApUEjTDwkJRP8CAAoCZYJg/L47 -EJQQUDDyv0AQHRBYMFuGYSo0USkwRCswQS4wQy8wQicmgP0ify+ABDug8P8RCoAEPuD/uwIICQB2 -cP4wQCgJAF5wKRYA+jBIIgAAYrAvMEcoMEYrMEUMDEfwiBEPgAQ/4Pi7EAoJAHqw+LsCAgAAefD8 -FgIqCQBasPzBDhAAEFgw+hYBIAQQUDBbirbRDwAAKgqc/L4WEA4QWDBbhj4cvhP7Ch4iAAB6sP9V -3yE4EFAwW4Y49zRRIBEQWDD6NEEgABA4MPc0SSf/EGAw+gdHAKwQUDBbhi8oCiD4ZAEIAA9BkBu+ -gymy0B3A8CysAfTMEQgAQG5wDJkCKbbQ8qwCIAQQWDD8ev8hRBBQMFuGIfoWEiQ6ADUgLlBIDg5E -/wIACgLcA6AqMEBj/iAAAAAAACoKsPy97hAKEFgwW4YWHL3r+woCIgAAerD/VeAhSBBQMFuGEPQ0 -USANEFgw9zRKJ/8QYDD6NEIo4AFQMPh3CADAEFAwW4YH+hYEICAQSDD5ZAEOAafNkMC4+qwCJ/8Q -YDD6GlAiACBQsFuF/voWEyPlADUgK1BICwtE/wIACgKcguAqMEBj/ZsAKgrE/L3MEAYQWDBbhfMc -vcn7CgYiAABqsP1V4SFUEFAwW4XuwLn6NEMn/xBgMPc0Sy7gAVQw/3cIAAMQcDD+NFEg1BBQMFuF -5foWCiAgEEAw+GQBDgFsRZDAvPmsAif/EGAw+SIIAVwQUDBbhdv6FhQjkgA1ICpQSAoKRP8CAAoC -XgKgKjBA9AoEIf6QcpAqCtj8vagQAhBYMFuF0By9pfsKCiIAAGqw/VXiIWAQUDBbhcr0NFEgBRBY -MPo0RCf/EGAw9zRMLuABUDD6CugmACB18FuFwfoWBSAgEHgw/2QBDgEv/ZDBsPisAif/EGAw+CII -AWgQUDBbhbj6FhUjPQA1IClQSNMP0w8JCUT/AgAKAh0CYCowQP8CAAH+S+6QKgro/L2EEB4QWDBb -hascvYH7Cg4iAABqsP1V4yFsEFAwW4WmwLH6NEUn/xBgMPc0TS7gAVAw+gr8JgAgdfBbhZ/6FgYg -IBB4MP9kAQ4A9H2QwbT4rAIn/xBgMPgiCAF0EFAwW4WV+hYWIuoANSApUEjTD9MPCQlE/wIACgHf -gmAqMEDTD/8CAAH+CWqQKgr8/L1gEBoQWDBbhYgcvV77ChIiAABqsP1V5CF4EFAwW4WDwb36NEYn -/xBgMPc0Ti7gAVAw+hoMJgAgdfBbhXv6FgcgIBB4MP9kAQ4At/2Qwbj4rAIn/xBgMPgiCAGAEFAw -W4Vy+hYXIpUANSApUEjTD9MPCQlE/wIACgGhAmAqMED/AgAB/cfmkCoaEPy9PhAWEFgwW4VlHL07 -+woWIgAAarD9VeUhhBBQMFuFYMG5+jRHJ/8QYDD3NE8u4AFQMPoaICYAIHXwW4VZ+hYIICAQeDD/ -ZAEOAHx9kMG8+KwCJ/8QYDD4IggBjBBQMFuFT/oWGCJEADUgKVBICQlE/wIACgFlgmAqMEBj+wgA -AIsZwKf7vAEiAABgsFv+jooZY/tGLDBI9AoAK10ANyCxrS0WGSowUCsSGdMP+koIAgAAYLBb/nor -MEj0TAEgBAJhsPtD3nIAIGCwY/sqAAAtUC3A6A7dAi1ULWP7KosUwKH7vAEiAABgsFv+d4oUY/yg -ixrAovu8ASIAAGCwW/5yihpj/ReLFcCj+7wBIgAAYLBb/m2KFWP9kIsWwKT7vAEiAABgsFv+aIoW -Y/4HixfApfu8ASIAAGCwW/5jihdj/oCLGMCm+7wBIgAAYLBb/l6KGGP+9wAAACwwQfQKACvDADcg -sa2dGyowSYsb+koIAgAAYLBb/kosEhIrMEH0TAEgBAJjMPtD3nIAIGCwY/uRLTBC9AoALBgAN2Cx -rp4cKjBKixz6SggCAABgsFv+PCwSEyswQvRMASAEAmMw+0PecgAgYLBj++YtMEP0CgAsawA3YLGu -nh0qMEuLHfpKCAIAAGCwW/4uLBIUKzBD9EwBIAQCYzD7Q95yACBgsGP8OS0wRPQKACzAADdgsa6e -HiowTIse+koIAgAAYLBb/iAsEhUrMET0TAEgBAJjMPtD3nIAIGCwY/yOLTBF9AoALRMAN2Cxrp4f -KjBNix/6SggCAABgsFv+EiwSFiswRfRMASAEAmMw+0PecgAgYLBj/OEtMEb0CgAtaAA3YLGuLhYQ -KjBOKxIQ+koIAgAAYLBb/gQsEhcrMEb0TAEgBAJjMPtD3XIAIGCwY/00LTBH9AoALbkAN2Cxri4W -ESowTysSEfpKCAIAAGCwW/31LBIYKzBH9EwBIAQCYzD7Q91yACBgsGP9hS1QLcDoDt0CLVQtY/o9 -L1AtwIgI/wIvVC1j+rwpUC3AqAqZAilULWP7OQArUC3AyAy7AitULWP7uwAALVAtwOgO3QItVC1j -/DYAAC9QLcCICP8CL1QtY/yzAAApUC3AqAqZAilULWP9KgAAbBAEF70eFr1t0w8ocn8avdv1vWkQ -ABAQMPS/VhBbADYg06ApooAFmQL5poAiAABQsFuBwdogW/2HHb9QKGJ/H7xm/L9NE+gQcDAOiCwI -/ywPzCwP3SwO3SgOzCgE3TYtNoMrcn8qPUD0zDYAAgIQsPw2hCv/1tyQGrxwKaDcL6Ddwb/5CUQA -DRBgMP8PRAByBGJwK6DB/b25EDYAfvAs0oEuuv4OzAEs1oFbgUjAINEPAAAAAFuBSvev7mIAABKw -0Q8AAAAAAAD4oN4hjghb8AgIRHuJvCmg3wkJRHyZsxy/KCvCgB282A27AivGgGP/oQAAAGwQBhW/ -I/a+wBAAEBgw978hEfQQIDDaMFuB0PagR2IAABKwH7xIL/DB8r62EHgAf/BkMG/yCgAiAABQ8FuB -wvhSlyATADagyIvaMFuBpvagFGIAABKw9V0BIAICGPD1XMwjaAI44NEPANow/L8LEgAAWLBaLtQi -LQJ2KewSvwjaMPwKACIAAFiwWi7OIi0CdynsG78D/L8DEgAAUPBaLslj/5AAHLwzLMLAnBAbvDPA -rvq2QCABEFAwW4F/HrwvwN/95kAgABAQMMGkW4F7sSJ0KfWPEA/vUf8CAAP/rB/gGbwmGLw1KJZA -Y/9HbBAEGbwVHL7tFrv/+JDBIAEQUDDzCgAgFBAoMPQKGC//EDgw8pwAAgDu/hAjxh8jxh7zxIAg -MBBwMCshcB++4AtcRv/PCgoAKPMQj/AK8AAAAG87GilihAAxBACoGgCIEQeMAwyZAQmIAihmhGAA -JCxiwMHnA+4MAOEEAKkaB50DDcwBDJkCKWbAYAAHANowW4NpwKErIXAHvAP0ywEOAAknEGi4Umi7 -N/8CAAQAqiMQ8iwCIAICGPD/AgAAMBBwMP8CAA//uqjQ9wqHIAAQGDDyu+EQDhBYMPAAVWAUECAw -L2LHADEEAKsaB7kDD58BD78CL2bHYAAIADEEAKsaB7kDKGLGCYgBCLgCKGbGY/+fAHupDPo8AAAB -EFgwW4L5wL7zPAEh/gIhMPRAt2AEAhCwKiFwd6EXClpGaKIsaKpZ/wIABgBFrpBpocVgAIAALWKW -Hr6c/rwuHABAd3AO3QItZpZj/7oAAAAA2jBbgyT7Cg4vrgC2oC0hcBm+kPiQgCxAAWww8NEEAAEQ -YDAAzBoMiAIolIBj/4cA2jBbgxj7Cg4vfgC2oCohcBu7qwoKQqurK7DcCwtEW4LmHb6AwM8s1IDz -/1pgDhBYMAAAAAD6PAAAARBYMFuCyvP/Q2AOEFgwAABbhFVbhEsfvnQq9h4r9h8uYof+ZocgABAQ -MNEPxirRD8Ag0Q8AAABsEAYavG0bvBYcu8r4CgAgEBBIMNMPbZoVC4kCKcb5+cL5IAQCUrCxiAkJ -TSmlrRa8Qg8CACZhwhi8PwdmEfO7+xYAIEGw+r5dEAEQWDD8CgEgChBoMPgqACAAEHAw+DY6IAAQ -eDBaLh4Zu3gokMLyvlQSAAAhsPe+UxABEFgw+rg7AAAQKDD4lMIgAR8uoJYQi0KKQSs2O4lAKjY8 -KTY9Gr5H+woBIAEQYDD/VhEAChBoMPdoAgAAEHAw+DY6IAAQeDBaLgdmof/6vjwQARBYMP0KCiAA -EHAw8mwCAAAQeDD8NjogARBgMFot/fah2WACAilw+V6ZYBgCITAtEgAXvjISvjL93QYgABAoMP0W -ACAA3C6gDdQCi0KKQSs2OylCACo2PCk2PRq+JfsKASABEGAw/1YRAAoQaDDyaAIAABBwMPg2OiAA -EHgwWi3lZqF3+r4aEAEQWDD9CgogABBwMPdsAgAAEHgw/DY6IAEQYDBaLdv2oVFgAgIpcPlemGAY -AiEwLRIAFrtG/d0GIAAQKDD9FgAgAJmuoA3UAo1EjEMtNjuLQiw2PIpBKzY9iUAqNj4pNj8avgH7 -CgEgARBgMP9YEQAKEGgw9ogCAAAQcDD4NjogABB4MFotwfag5WACAilw9EwUL2oCOWCEEPa9+BAA -ECgwLU0KjdQsTQqMwy02OytNCouyLDY8Kk0KiqErNj0pTQqJkCo2Pik2Pxq95/sKASABEGAw/1gR -AAoQaDD2iAIAABBwMPg2OiAAEHgwWi2n9qCFYAICKXD0TBQtTAI5YIQQ9r3fEAAQKDAtTQwt0iQs -TQwswiMtNjsrTQwrsiIsNjwqTQwqoiErNj0pTQwpkiAqNj4pNj8avcz7CgEgARBgMP9YEQAKEGgw -9ogCAAAQcDD4NjogABB4MFotjPagDGACAilw9EwULUICOWDSoNEP0qDRD9Kg0Q/SoNEPAAAAbBAI -2iBbT1eUEBy77/sKCCIAAGiw/zwAAgAAMrD6CgUiAABxsFuHTsCAFbttpWUjVoEkVoIoVoMI5BaY -FAECACNSgwPqMPpsAAACEFgwW09BCuowJ1KIyHvaYAPqMMCyW088CuowiBQI5BYDqgxbTzHYoP0s -AAIAAHHw/LvVEAUQUDD/Uogp0AQ9IAiZLPkWACAIEFgwW4cywCDRDwAAAGwQBBO9mPy7RhABEGgw -+AogIgAAUPBtigoroAV7IAJ/t2G8qhu6rS7AgCmw3C201P+w3SAeEFAw/e4CCgADzpAuxIAtsN5/ -owoowIDAkgmIAijEgCiw332jDS7AgMD0DwIAD+4CLsSA+cCAKgAKRpDAqAqZAvnEgCAAEBAw0Q8A -wCDRD46gHL14/eAAIAgQWDD+4AEgAhBQMFuHCdkw/wogIP4QUDBt+g0okAUKiAH4lAUgGAJKcMcr -0Q8AbBAGKCAA+QqCIgAAULDyLQQgFARKMMcu0Q8AACesFv8CAAoAt5HQFL1jmhATvWGTERO9YfAA -dmBAECgwAAAAAAC8M3QxVSgwBQWIAfkwBC3iAj4gdpnp2nD7MgAgAhBgMFuCV/wKAC/qEFgwCss4 -Zr/OjREsMQOIMtpg+3wDLAAgazALgAD2oRpgARB4MC4wBdMPD+4CLjQFJnAC871HEAYCQbCod/8C -AAoAdBHQAzsC+nwAAAIQYDBbgkHzvTsQCgA2oCZwAmP/e4kQtHr/AgAKAGVSUAl6DLSq+gtCAAAQ -SDBtuQ6LECywALG7+xYAKAAgTzAKOxRksJCIEA8CAA8CACyAACqAAS+AAv6AAygAIE8w/YAEKAAg -TrD8gAUuACBP8K/uDt0I/YAHLAAgazD5gAYh/gJS8PvcAAAQAkIwbalC+YAALAAgYnD8gAEqACBi -8C2AAvmAAyoAIFZw/IAEKgAgXzD9gAUqACBfcPmABioAIF5w+4AHLAAgWzD83AgAEAJCMAyZCKm5 -GrokKaTVxKBb/2vSoNEPAPP/7GAAEEgwjjAcvQX94AAgAhBQMP7gASAIEFgwW4aSY/7ZAGwQBBm6 -yCqSfymSgAmrEauZ+pYAIBsQQDAolARbfspbfpLAINEPAABsEAQTu7D6vPQQqhBIMPQKBiAAEBAw -Ago/Agc/Agg/Ags/BAk/CgQ/CQU/AgY/+DJXIIAQUDAIAD9bhXX/vOgQABBgMAoBP/q85hANEFgw -8vR+IAEQcDD+9H0gCBBAMPj0fCIAAHCw+LzfEBgCSrD/CoAgHxAQMNMPbbpA/oZRKgAgfjD5hlAg -LAJrMPmGTywDABdw/oZOIAICYzD6hk0gQAJKcPqGTCBAAlKw/rTJIEACQjAutMgttMouhkv0NHwg -AhBIMCk0fVt+mRy52hu8xhq8xgAMiwBLYQBLYQBKYQBKYdEPAAAAbBAEGbqb0w8ikiD7uawQABBw -MP/6/yFNADSg1uD4CgAgABAgMPoKASANEDgwbQhLJZLWIpLfpWUJUxGjIo0rlSAvJHYrJSmYLCQl -EyYkIiokICokIS4kDfYkDCAIEBgwIyQFJyQELJIgIiES9mwBKAAgajD8awd0ACARMGP/rSqSIWSg -lvW8oB//ECAw+goAIAAQMDDwADdggBA4MILbJNQMLNQNJtQimNwr1Sn/1HYvgBAYMCPUICPUISOS -IbCq/MwBIAICMbDza1B4ACASMCOS1y2S36NjCTIR8goOLAAgF3Ai1ATz1gAgCBAYMPPUBS+rALag -sVWnWiqgvbFE/AoBL5oAtqBtCA6xVadaKqC99a+IYAICITBj/+orkiLLt/W5nxAAEDAw+AoHIA8Q -ODBtCCIvktgtkt+vbwnyEaLdn9Al1hYu1A0o1Awn1AQskiKxZnxrAmP/1sAg0Q8AAAAAAADz/xdi -AABDsGwQBBi6ORy5xBO8ZRu8Zx+8ZS827vs27SABEFAwKjb0KzbvKzbyLDb1+DbwIAAQaDD4NvMg -ARBwMFtNrSsyEIw/jT6OPY88iToqMgkoMgsqNsf6MhQoACBWcCk2yPkyESgAIEowKDbJ+DITLgAg -R/AvNsr/MhIuACB7sC42y/4yHCwAIHdwLTbM/TIdLAAgazAsNs38Mh4qACBm8Cs2zvsyHyoAIFqw -KjbP+jIgKAAgVnApNtD5MiEoACBKMCg20fgyIi4AIEfwLzbS/zIjLgAge7AuNtP+MiQsACB3cC02 -1P0yJiwAIGswLDbV/DInKgAgZvArNtb7MioqACBasCo21/oyKygAIFZw+TbYKAAgSjD4NtkuACBH -8P822iAAEEgw+TbGLgAge7D+NtssACB3cP023CwAIGsw/DbdKgAgZvD7Nt4qACBasCo231t+BSwy -7voy8y2QBD6g/dwfL+AQcDD/MvIsAEB3cP2qDA+AEFgw9MANYgBAWrAuMu8P/jl+KxvAIMCg/Lk6 -EAYQWDBbhY3JKfI24CAAEBAw0Q8A8jbzKf/42pBj/9oAAAAAAPI24C/0EBAw0Q8AAGwQBBW5CBa5 -KRK5lxi6Wfe6VxCAEHAw8iJ/IAkQWDD5jKwgDhBgMPqMVCFYAmnw0w9tKRgkUNzTDwQERGtCFGZA -ESdmmPVcASAoAjGwwCDRDwAAAPhCLWIAIHVw+EMZbgAgdXBrRwJrRQh7QQV0wtRqStEtZphj/8sv -8JRp98UqZphj/78jMJRoNghpOLYpZphj/7AoZphj/6oAAGwQBha5lfq4zBAAECgw9LjeEAEQGDAl -poElpoMlpoAlpoIoQMHBkPmmiiCEAP4wG7vKLWJNGLvH/7i8EGoQcDAO3SgeuocP3SwvgoDAzNMP -/cw3DgBAd/APzwIvhoAtsoAO3QENzAIstoArsoAbuRT8u7sQABBQMFv95SoKAfy5ghAAEFgwW/3i -W/oYGbkcwnAokoUvQMAHiAL4loUiVAC74Bi7r/v6/SAEEEgwbZoXKYKQKo0EC5kBKYaQKaKQKI0I -C5kBKaaQGrunKfr/KaYgKaYhKaYiKaYjKaYkW4CGHLkVLQoILsKPLkTAK8KKDbsCK8aK+7ucEAAQ -QDD8u2wQiBBIMG2aDQyJCyuWQPWWQSACAkIwH7jWEruUJfaQI/aRIyaAW/m9KCKAGbuR0w8JiAIo -JoBb/QH7QMEgAKMuoH+3DfVleiQAEFAwKmV4YAAIJWV6GrnWKmV4J2V9JWV6JWV7JWWHCiwULGV5 -W/xw/WJNIACHrqAXuRL/CmQgAxBwMA/dLA7dLClChP12vCJAAUgw9CFoYkoBTDD/AgAAANWEoP8C -AAQA0YSg/wIAAADNhOD/AgAEAMmE4G4kBAk4RpgQbjQECdpHmhEZuFv5ko8iAMqYoP8CAAIAloDg -ZTGd+WtTAAAQUDD6FgIr8AQ+4CpChwCwBAoKGQoKQVt/FMow/wIAAgCOAOD/AgAGAMSY4PsSASQA -XkCgjBD/AgAOAFlbEMCgZqBYW/wGZqBS/QqAIgAAUTD+CgQg/xBgMNMPbeoMrasrsIz8uTpwAgJS -sMCQLEDB0w9/xzMdu0YtdsAtdsBb+7pmoBcfuG0l9nIu8nbHKwLuAf72diAAEBAw0Q/SoNEPAAt5 -FGP/xQAAGrs6KGJNCogsKIz+CBgU+kDcKbAEOiD4mAIACBBYMAuIAvh2wCQOADqgaaOnK3LAxsL8 -CgUqAEBm8Ay7Ait2wGP/kY0S/AoBIOAQUDD/shENwAQ/YP0NRwIAAFiwW35D+awAADwANqBnnymZ -E2AAtGQ/IWAA0QAA/kKGKnYBTDAPuxEAsAQODhkODkGeEmP+0AAAihJbfbxj/vkAAAAAAPoK4CIA -AFiw/AoDIDAQaDBbfi7z/7FiAABKsN0g/jwAAAIQUDD8uwkQCBBYMFuEhPP+w2/aEFAw/SwAAAIQ -UDD8uwQQCBBYMFuEfWP/4QAA/TwAAAIQUDD8uv8QCBBYMFuEd2P/yQAA/TwAAAIQUDD8uvoQCBBY -MFuEcWP/sQAAAAAAAAD9PAAAAhBQMPy68xAIEFgw+Q4GAgAAEnBbhGjz/lNiAABQsP08AAACEFAw -/LrsEAgQWDBbhGFj/jYAAGwQChO35SgwwRK34fUyQiBqAH4wJSZ7HLgaGbriKiJ7KSZ9/MKOI+gQ -WDALqiwqNjILzCgsJnwLzCz8NjEgABAQMNEPACoayPwK/yAPEFgwW3/X+woXIgAAIrD6GsggAxBg -MFt/08G5/AofIgAAMrD0FgghyBBQMFt/zcG+/AofIgAAIrD2FgchyBBQMFt/yMC4/AoDIgAAMrD0 -FgYhzBBQMFt/w/sKFSIAACKw+hrUIAEQYDBbf77BtvwKASIAADqw9BYFIdQQUDBbf7kUurf9urYR -TQA2oARuCS7hftMP0w8OXiz1MkIhtBBQMP4fFAAeEFgw/yZ7IP8QYDBbf6z7CgYiAAA6sPoauCAD -EGAwW3+nwLj8Ch8iAAAysPcWBCG4EFAwW3+iwL38Ch8iAAA6sPYWAyG4EFAwW3+dwbf8CgMiAAAy -sPcWAiG4EFAwW3+Y+hYJIAQQWDD6GsQgARBgMFt/k/sKBSIAADqw+hrEIAEQYDBbf470awkAxQA2 -oCuxftMPC1ssLCAYFbdvFreD+yZ8IM4ANyAbuoUpUq8sUrD6UrIgdACicP4ifCAA0T5QKCJ7KTJC -+mxQA+gQeDAP7iz+NjEqBQBmcA+ILPg2Mi3gBD7g/SZ9IAAQEDDRDy0yQv8ieyiAAWQw9JkJDhYB -UDD5kX4qBQB3cP0ifCPoEHAwDv8sCbksDt0s/zYyKeAEPmApJn39NjEgABAQMNEPwLEHtzlkcjv/ -AgAAAOGF4P8CAAP/UYHg8/6oYAAQcDDAwQfHOWRxuP8CAAAA1YXg/wIAA/+VgeDz/ytgABBYMAAA -LSDkZd8vLlKzL/rAD+4B/lazIAEQUDBbfKQoUrPBkAmIAvhWsyABEFAwW3yfHbdFKFKyBogCKFay -LwqAL1auLFKvHrc+DswBLFavGLc+idmL2orXj9b2uxEIIAQ+YPuqEQgJAF5wCpkCCf8CCP8CL1aw -Hrc1LlaxK1KyjtWI2B+3NI3U84gRD4AEO6D8tzAeCQBDsP+7AQwJAHdwDbsCDLsC+1ayIAEQUDBb -fH8pUrIftyr6tygQEBBYMP0KeCAQEGAw/5kBABQQcDD5VrIiAAB4cFopvWShi8Ci/LcgEAgQWDBb -g45j/kwAAAAA+boWFioBYDD8BUQGiAFUMP0yQijgAVAw+YgJCD4BZDD5FgEuFgFQMPqBfioFAHdw -W4WRHroMjRHTDw7dCf3RfiAAEGAwW4P2HboIDX0J/dF+IAAQYDBbhAAdugQNbQn90X4gABBgMFuD -/ARdCf3RfiAAEGAwW4P4LiJ8/yJ7KeAEOuD4Jn0j6BAQMALuLAL/LC82Mv42MSAAEBAw0Q8AAPP8 -8WIAAHFw8/2MYgAAWXAAABu56YoUC6oJ+qF+IgAAWXBbhW0eueeNE9MPDt0J/dF+IAAQYDBbg9Ie -ueONEg7dCf3RfiAAEGAwW4PbHrnfjRnTDw7dCf3RfiAAEGAwW4PWBG0J/dF+IAAQYDBbg9Jj/SQA -AIoYDaoJ+qF+IgAAWXBbhVUeuc+NF9MPDt0J/dF+IAAQYDBbg7oeucuNFg7dCf3RfiAAEGAwW4PD -HrnHjRXTDw7dCf3RfiAAEGAwW4O+BG0J/dF+IAAQYDBbg7rz/CFiAABy8MGkW3wQLlKzwv8P7gL+ -VrMgFBBQMFt8C2P8tQBsEAbAoPsKCCAPEGAwW36vGLmzAaIKi4GMgCwWACsWAfiCAiIAAGqw+BYC -IuYAvqAiIgAoSgDztp8QAC8soHKCbvIyNCQAEFAwW36d/KwAAQAQSDD6LAACAABDMA8CANMPbZoS -+YIAIAgCQjAEmY75pgAgCAJSsPtKACIAAFMwW4Vf8jY0IBQANKDaIFv8AtKgx555IWDRD9EPxyvR -DwDAovy5jxAIEFgwW4L8xyvRDyIyNBq21Ft+gtgg/BoAIgAAWrBtyhGNsPLdGgAIAlrw/YYAIAgC -QjArSgBbhUjyNjQvuAA0oAIqAlv76/evoWIAABKwY/9JwKL8uXoQCBBYMFuC5tEPAABsEAQTtmoP -AgDyMjMgABBQMFt+afysAACAEEgw+iwAAgAAQzAPAgDTD22aD/mCACAIAkIw+aYAIAgCUrD7KgAi -AABTMFuFK/I2MyAJADSgwCDRDwDHK9EPbBAGGLaxGblgHrYmHblgLZYZ/pYaIAAQWDD7lTYgBBBQ -MCqUbhy5WsfwD8wBLIa2HLlZKIKuKZLlmRD4FgEggBB4MFuCvsAg0Q8AAGwQBBu5Uhm5UiyygSyW -1fuygiAEEGAwLJY1LJY0LJY4LJZDLJZCLJY++5bWIAAQUDD6llIgARBAMCiWQPiWOyAIEBgwI5Yo -GbYwErZbHbd4Hrc6IiKB9Ld2FAAQeDD1tzYUABAwMPOQwSAeALywAwJAAv05LeV+0Q8IOgIKB0D6 -lMEkBQA5sCRVftEPbBAEHLkyGrkyGLkvKsZ/+q1AIIgQWDD6hn8gABBgMFt6gR62Fh25Ky3mMy3d -Ai3mNNEPAGwQBBq2w/us8CCiADygaCJo9JB+YAICEnD/IhNgARAgMGAANGlkBQWoCCeGAHJLKPo8 -AAIAAFkwW4CL+GESYAICITD5Yt1oACAusCeVAGP/26WrJ7QAY//T0Q8roncpooCjuwm7Efhhb2gA -IF5waGJuaWTkpZyXwNEPGbbXqTkpkN1oQDb0kF9gAgIRMHJDn2P/xSyidymyhKPM+cwRAAICITD4 -YRtoACBmcGhiG2hkIP8CAAv/vZUQY/+cZZ9RY/+WpZgnhABj/+almielAGP/3qWbl7Bj/9elnCfE -ANEPpZ0n1QDRDwDRDwAAAAAAAAAAAAAAAAAAIAMQAAzAAAYgByasIAMQBAjAAAwgByasIAMQCDzA -ABAgByX8IAMQDAbAADggBygQIAMQEAjAADwgByasIAMQFALAAEAgBygQIAMQGAjAAEQgByasIAMQ -HAhAAEwgByc8IAMQIAhAAFAgByc8IAMQJAhAAFQgByc8IAMQKAhAAFggByc8IAMQLCBAAFwgByc8 -IAMQMCBAAGwgByc8IAMQNCBAAHwgByc8IAMQOCBAAIwgByc8IAMQPCBAAJwgByc8IAMQQCBAAKwg -Byc8IAMQRCBAALwgByc8IAMQSCBAAMwgByc8IAMQTAxAANwgByUMIAMQUAxAAOggByUMIAMQVAxA -APQgByUMIAMQWAxAAQAgByUMIAMQXAxAAQwgByUMIAMQYAxAARggByUMIAMQZAxAASQgByUMIAMQ -aAxAATAgByUMIAMQbAhAATwgByc8IAMQcAhAAUAgByc8IAMQdAhAAUQgByc8IAMQeBBAAUggByc8 -IAMQfBBAAVAgByc8YmNtODQ4NTZfbG9hZHNlcXVlbmNlOiBTdGFydGVkCgBiY204NDg1Nl9sb2Fk -c2VxdWVuY2U6IFVwbG9hZCBpbWFnZSB0byBQSFkgb24tY2hpcCBtZW1vcnkKAAAAAAAAYmNtODQ4 -NTZfbG9hZHNlcXVlbmNlOiBkb25lIGxvYWRpbmcgaW1hZ2UgKGkgPSAldSkKAAAAAAAAAAAAAAAA -AGJjbTg0ODU2X2xvYWRzZXF1ZW5jZTogRE9XTkxPQUQgRkFJTEVEIChsbyA9ICUjeCwgaGk9JSN4 -LCBjbnQ9JXUpCgAAAAAAAAAAAAAAAAAAYmNtODQ4NTZfbG9hZHNlcXVlbmNlOiBEb3dubG9hZCBj -b21wbGV0ZWQgYWZ0ZXIgJXUgbG9vcHMKAAAAAAAAAGh3X2NsNDVfaW5pdFsldV0gYWNhcHMgJSN4 -CgAAAAAAYmNtODQ4NTZfaW5pdFsldV0KAAAAAAAAAAAAAAAAAABod19iY204NDg1Nl9sb3dwb3dl -clsldV06IGVuYWJsZT0lZAoAAAAAAAAAAAAAAABod19iY204NDg1Nl9sb3dwb3dlclsldV0sIGZh -aWxlZCB0byBzZXQgMzAuMHg0MDBBIGJpdCA3OyAzMC4weDQwMEUgYml0PTEgYWZ0ZXIgNW1zLCBy -ZWc9JXgKAAAAAABod19iY201NDgyX2NmZ21kaVsldV0gc2V0dGluZyB0eXBlICV1CgAAAAAAAAAA -AAByZW1vdmUgbXVsdGljYXN0IG1hYyBbJXg6JXg6JXg6JXg6JXg6JXhdIGZyb20gVENBTQoAAAAA -AAAAAAAAAAAAaXB2Nl9qb2luX2dycDogaWQgJXUsIHJlZl9jbnQgJXUKAAAAAAAAAAAAAAAAAAAA -ZGhjcDZfdGltZXJfY2I6IHJlc2VuZGluZyBESENQNlNPTElDSVQgYWdhaW4KAAAAZGhjcDZfdGlt -ZXJfY2I6IHNlbmRpbmcgREhDUDZSRVFVRVNUCgAAAAAAAAAAAAAAZGhjcDZfdGltZXJfY2I6IHNl -bmRpbmcgREhDUDZSRU5FVyByZXF1ZXN0CgAAAAAAZGhjcDZfdGltZXJfY2I6IHNlbmRpbmcgREhD -UDZSRUJJTkQgcmVxdWVzdAoAAAAAZGhjcDZfdGltZXJfY2I6IERIQ1A2UkVQTFkgcmN2ZCwgc3Rh -dGUgJXUKAAAAAAAAZmFpbGVkIHRvIHJlbmV3L3JlYmluZCBkaGNwdjYgYWRkcmVzcwoAAAAAAAAA -AAAAdHlwZSAleCwgeGlkICV4LCB0eXBlX3hpZCAleAoAAABpcHY2X211bHRpY2FzdF9xdWVyeV90 -aW1lcl9jYiBub2RlX2lkICVkCgAAAAAAAABpcHY2X2dlbmVyYWxfcXVlcnlfdGltZXJfY2IKAAAA -AGFkZCBtdWx0aWNhc3QgbWFjIFsleDoleDoleDoleDoleDoleF0gaW4gVENBTQoAAGNobmV0X2lw -djZfZGFkX2NiOiBoYW5kbGUgJXUsIGFkZHJfaWQgJXUsIGFkZHIgc3RhdGUgJXUKAAAAAAAAAABp -cHY2IHByZWZlcnJlZCBhZGRyIFslMDR4ICUwNHggJTA0eCAlMDR4XQoAAAAAAABTdGFydGluZyBh -ZGRyIHZhbGlkaXR5IHRpbWVyIGZvciAldSBzZWNvbmRzCgAAAABWYWxpZGl0eSBleHBpcmVkIGZv -ciBhZGRyX2lkICV1CgAAAAAAAAAAAAAAAAAAAABzZW5kaW5nIGlwdjYgZWNobyByZXBseQoAAAAA -AAAAAGNobmV0X2lwdjZfcnNfb3V0cHV0OiBsMmRldl9mYyAweCV4CgAAAAAAAAAAAAAAAGNobmV0 -X2lwdjZfbnNfb3V0cHV0OiBsMmRldl9mYyAweCV4LCBmbG93Y19pZCAweCV4LCB2bGFuIGZsYWcg -MHgleAoAAAAAAAAAAAAAAAAAY2huZXRfaXB2Nl9uYV9vdXRwdXQ6IGwyZGV2X2ZjIDB4JXgKAAAA -AAAAAAAAAAAAY2huZXRfaXB2Nl9tbGR2Ml9yZXBvcnRfb3V0cHV0OiBsMmRldl9mYyAweCV4CgAA -Z2F0aGVyX3Rhc2tzX3RvX3R4X2xpc3Q6IHRhc2sgaW4gdXNlIFsldV0KAAAAAAAAZ2F0aGVyX3Rh -c2tzX3RvX3R4X2xpc3Q6IGlkeCBbJXVdLCB0YXNrIGZpZCBbMHgleF0sIHRhc2sgc3RhdGUgWzB4 -JXhdLCB0YXNrIGNvbm4gWzB4JXhdLCB0YXNrIGZmbGFncyBbMHgleF0sIGNvbm4gZmlkIFsweCV4 -XSwgZGRwIFslZF0KAAAAAAAAAAAAZ2F0aGVyX3Rhc2tzX3RvX3R4X2xpc3Q6IHRhc2sgWzB4JXhd -LCBzdGF0ZSBbMHgleF0gb24gY29ubiBbMHgleF0gbm90IHZhbGlkIHRvIGdhdGhlciwgc2tpcHBp -bmcKAAAAAAAAAAAAAAAAAAAAAGdhdGhlcl90YXNrc190b190eF9saXN0OiB0YXNrIFsweCV4XSwg -c3RpbGwgcXVldWVkIG9uIHR4IHBlbmRpbmcgbGlzdC4gUmVtb3ZpbmcgaXQuCgAAAAAAAAAAAAAA -AGdhdGhlcl90YXNrc190b190eF9saXN0OiBjb25uX2ZjLT5mbG93Y19mbGFncyBbMHgleF0sIGxp -c3RfZW1wdHkgWzB4JXhdLCBhZGRfdGFza19jb3VudCBbMHgleF0KAHRvX3R4X2xpc3Q6IG5vIHRh -c2sgdG8gY2xvc2UgZm9yIGNvbm4gWzB4JXhdLCBiYWlsaW5nIHRvIHJlY292ZXJ5IHN0YXRlIFsw -eCV4XQoAYXV0aGVudGljYXRlX3RhcmdldDogS0VZX0NIQVBfUkVTUCAtIFsweCV4JXgleCV4JXgl -eCV4JXhdCgAAAAAAAGF1dGhlbnRpY2F0ZV90YXJnZXQ6IEtFWV9DSEFQX1JFU1AgLSBbMHgleCV4 -JXgleCV4JXgleCV4XQoAAAAAAABhdXRoZW50aWNhdGVfdGFyZ2V0OiBJbmNvcnJlY3QgcGFzc3dv -cmQKAAAAAAAAAABDSEFQX0M6IGRpZ2VzdCBleHBhbnNpb24gZXJyb3IKAENIQVBfTjogVGFyZ2V0 -IHVzZXJpZCBtaXNtYXRjaAoAQ0hBUF9SOiBkaWdlc3QgZXhwYW5zaW9uIGVycm9yCgBpU0NTSSBT -ZWMtcGFyYW1zIHJlY2VpdmVkaGF2ZSBlcnJvcnMhIQoAAAAAAAAAAABUYXJnZXQgbW92ZWQgdGVt -cC4gY29ubiAleCwgc2VzcyAleAoAAAAAAAAAAAAAAABMb2dpbiBGYWlsZWQhIS4gY29ubl9mYyBb -MHgleF0sIHNlc3NfZmMgWzB4JXhdLCBzdGF0dXNfY2xhc3MgWzB4JXhdCgAAAAAAAAAAAAAAAFBy -b3RvY29sIEVycm9yIGNiaXQgJWQgdGJpdCAlZCBjc2cgJWQgbnNnICVkCgAAAHJlY3Zfbm9waW46 -IGN0cmwgdGFzayBhbHJlYWR5IHBlbmRpbmcKAAAAAAAAAAAAAG9mbGRfcnhfZGF0YTogYWllZSwg -aXNjc2kgY29ubiBbMHgleF0gZm9yIHNlc3MgWzB4JXhdLCB0eXBlIFsweCV4XSB0cmFuc2l0ZWQg -aW4gdG9lIG1vZGUuIEtpY2tpbmcgcmVjb3ZlcnkgCgAAAABvZmxkX3J4X2RhdGE6IGNvbm4gdGlk -IFsweCV4XSwgcnhfZGF0YS0+c2VxIFsweCV4XSwgcnhfZGF0YS0+bGVuIFsweCV4XSwgcnhfZGF0 -YS0+c3RhdHVzIFsweCV4XQoAAAAAAAAAAAAAAAAAAAAAb2ZsZF9yeF9kYXRhOiBjc2sgeyBpZCBb -MHgleF0sIGNzb2NrX29mZnNldCBbMHgleF0sIGRsZW4gWzB4JXhdIH0KAAAAAAAAAAAAAAAAAABh -Y3RfZXN0OiB0Y2JfZmMgWzB4JXhdLCBmbG93Y19mb2lzY3NpX2Nvbm5fZmxhZ3MgWzB4JXhdCgAA -AAAAAAAAYWN0X2VzdGFiOiB0Y2JfZmMtPmZsb3djX2J1ZiBbMHgleF0sIHRjYl9mYy0+Zmxvd2Nf -dHlwZSBbMHgleF0gdGNiX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIG5wYWdlcyBbMHgleF0sIGZs -b3djX3RwX3NuZF9tYXggWzB4JXhdCgAAAAAAAAAAAAAAAAAAYWN0X2VzdGFiOiBhdGlkIFsweCV4 -XSwgdGlkIFsweCV4XSwgb3AgWzB4JXhdLCByY3ZfaXNuIFsweCV4XSwgc25kX2lzbiBbMHgleF0s -IGNzb2NrLT5mbG93Y19zdGF0ZSBbMHgleF0sIHRjcF9vcHQgWzB4JXhdLCB0Y2JfZmMtPmZsb3dj -X2lkIFsweCV4XSAKAAAAAAAAAAAAAAAAAGNza19mYy0+Zmxvd2NfY3NvY2tfY29va2llIFsweCV4 -XSAKAAAAAAAAAAAAAAAAAG5ldF9sMmRldl9maW5kX2J5X2FkZHI2OiBsMmRldl9mYy0+Zmxvd2Nf -aWQgWzB4JXhdLCBsMmRjLT5scG9ydCBbJXVdLCBsMmRfZmMtPmZsb3djX2lkIFsweCV4XSwgYWRk -ciBbJTA0eCUwNHglMDR4JTA0eF0KAAAAAAAAAAAAY2huZXRfcXVldWVfeG1pdDogZmMtPmZsb3dj -X2lkIFsweCV4XSwgYnVmX2xlbiBbMHgleF0sIGJ1ZmZlcmVkIFsweCV4XSwgZmlmby5udW1fYnl0 -ZXMgWyUweF0KAAAAbmV0aWZfZG9fZGhjcHY2OiB3ci0+cGFyYW0udmxhbmlkIFsldV0sIGwyZGV2 -X2ZjLT5mbG93Y19uZXRfbDJkZXZfdmxhbmRldiBbMHgleF0KAAAAAAAAAAAAAAAAAAAAbmV0aWZf -ZG9fZGhjcHY2OiBpcHY2IG5vdCBlbmFibGVkCgAAAAAAAAAAAAAAAAAAZGhjcCByZXNwIHRvIGRy -aXZlcgoAAAAAAAAAAAAAAABsM2luNl9kZXZfY29uZmlnOiB3ci0+cGFyYW0udmxhbmlkIFsldV0s -IGwyZGV2X2ZjLT5mbG93Y19uZXRfbDJkZXZfdmxhbmRldiBbMHgleF0KAAAAAAAAAAAAAAAAAABs -M2luNl9kZXZfY29uZmlnOiBpcHY2IG5vdCBlbmFibGVkCgAAAAAAAAAAAAAAAABuZXRfbDNpbjZf -ZGV2X2NvbmZpZzogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgYWRkcmVzcyBhbHJlYWR5IHVz -ZWQgYnkgcG9ydCAlZCwgYWRkcl9pZCAlZAoAAABuZXRfbDNpbjZfZGV2X2NvbmZpZzogIGFkZHIg -WzB4JTA0eCUwNHglMDR4JTA0eF0sIHJlZl9jbnQgWzB4JXhdIGluIHVzZQoAAAAAAAAAAGwzaW40 -X2Rldl9jb25maWc6IHdyLT5wYXJhbS52bGFuaWQgWyV1XSwgbDJkZXZfZmMtPmZsb3djX25ldF9s -MmRldl92bGFuZGV2IFsweCV4XQoAAAAAAAAAAAAAAAAAAG5ldF9sM2luNF9kZXZfY29uZmlnOiBs -MmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBhZGRyZXNzIGFscmVhZHkgdXNlZCBieSBwb3J0ICVk -CgAAAAAAAAAAAAAAAAAAAG5ldF9sM2luNF9kZXZfY29uZmlnOiAgYWRkciBbMHgleF0sIG1hc2sg -WzB4JXhdLCBndyBbMHgleF0sIHJlZl9jbnQgWzB4JXhdIGluIHVzZQoAAAAAAAAAAAAAAAAAAGwy -ZGV2X2ZjIFsweCV4IF0gRmFpbGVkIHRvIHN0YXJ0IHRpbWVyIGZvciBpcHY0IGRhZAoAAAAAAAAA -AAAAAAB3cmhfY2huZXRfaWZjb25mOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBsMmRldl9m -Yy0+Zmxvd2NfdHlwZSBbJTB4XSwgaWZjb25mX3dyLT5zdWJvcCBbMHgleF0KAAAAAAAAAAAAAAAA -AAAAd3JoX2NobmV0X2lmY29uZjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgdW5rbm93biBz -dWJvcCBbMHgleF0KAAAAAAAAAAAAAAAAAAB3cmhfY2huZXRfaWZjb25mOiBsMmRldl9mYy0+Zmxv -d2NfaWQgWzB4JXhdLCByYyAlZAoAAAAAAAAAAAAAAAAAbmV0aWZfaXBfY29uZmxpY3RfdGltZXJf -Y2I6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGV4cGVjdGluZyB0aW1lciBoYW5kbGUgWyVk -XSwgYnV0IGdvdCBoYW5kbGUgWyVkXSBleHBpcnkKAG5ldGlmX2lwX2NvbmZsaWN0X3RpbWVyX2Ni -OiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBpbmRldmN0eHQtPnN0YXRlIFslZF0sIGluZGV2 -Y3R4dC0+cmV0cnlfY250IFslZF0KAAAAAAAAAABuZXRpZl9pcF9jb25mbGljdF90aW1lcl9jYjog -bDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgaW5kZXZjdHh0IFsweCV4XSwgaW4gZnJlZSBzdGF0 -ZQoAAAAAAAAAAABjbWRoX2NobmV0X2lmYWNlOiBmYyBbMHgleF0sIGZjLT5mbG93Y19pZCBbMHgl -eF0sIGZjLT5mbG93Y190eXBlIFsweCV4XSwgcCBbMHgleF0sIGxlbjE2IFsldV0sIGxvYyBbMHgl -eF0KAAAAAAAAY21kaF9jaG5ldF9pZmFjZTpsMmRldl9mYyBbMHgleF0sIGwyZGV2X2ZjLT5mbG93 -Y19pZCBbMHgleF0sIGwyZGV2LT5mbG93Y190eXBlIFsldV0sIGwyZGV2X2ZjLT5mbG93Y19uZXRf -bDJkZXZfZmxhZ3MgWyUweF0KAAAAAABjbWRoX2NobmV0X2lmYWNlOiByMlswXSAldSByMlsxXSAl -dQoAAAAAAAAAAAAAAABjbWRoX2NobmV0X2lmYWNlOiBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2 -X2ZsYWdzIGNoYW5nZWQgZnJvbSBbJTB4XSB0byBbJTB4XSwgcmMgWyVkXQoAAAAAAAAAAABjaG5l -dF9sMmRldl91cF9tYl9jYjogcmMgWyVkXSwgcG9ydCBbJXVdLCBzdGF0ZSBbJXVdLCBjb29raWUg -WzB4JXhdCgAAAAAAAAAAAAAAAGRoY3BfcHJvY2Vzc19jYjogbDJkZXZfZmMtPmZsb3djX2lkIFsw -eCV4XSwgZGhjdHh0LT5zdGF0ZSBbJTB4XSwgZGhjdHh0LT5ydHJ5X2NudCBbJXVdCgAAAAAAAAAA -AGRoY3BfdGltZXJfY2I6IERIQ1BESVNDT1ZFUiBzZW50LCBidXQgbm8gcmVwbHkgZnJvbSBhbnkg -cG9zc2libGUgc2VydmVyIG9uIHRoZSBuZXR3b3JrLiBSZXRyeWluZyBhZ2FpbgoAAAAAAAAAAABk -aGNwX3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBzZW5kaW5nIERIQ1BESVND -T1ZFUiBmb3IgZGhjdHh0IFsweCV4XSBvbiBwaWQgWyVkXQoAAABkaGNwX3RpbWVyX2NiOiBsMmRl -dl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBESENQT0ZGRVIgcmVjZWl2ZWQgZm9yIGRoY3R4dCBbJXhd -IHBpZCBbJWRdCgAAAAAAAAAAAABkaGNwX3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4 -JXhdLCAgREhDUEFDSyByZWNlaXZlZCBmb3IgZGhjdHh0IFsleF0sIHBpZCBbJWRdCgAAAAAAAAAA -AABkaGNwX3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBkaGN0eHQtPmlwYWRk -ciBbMHgleF0KAAAAAAAAAAAAAAAAAAAAAGRoY3BfdGltZXJfY2I6IHN0YXJ0aW5nIHRpbWVyIGZv -ciBsZWFzZSBbJXVdIHNlY29uZHMKAAAAAAAAAAAAAABkaGNwX3RpbWVyX2NiOiBsZWFzZSB0aW1l -IG9mIFsldV0gc2Vjb25kcyBleHBpcmVkLCBzZW5kaW5nIHJlbmV3IHJlcXVlc3QKAAAAAAAAAGRo -Y3BfdGltZXJfY2I6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIG5vIHJlcGx5IGZyb20gZGhj -cCBzZXJ2ZXIsIHRpbWluZyBvdXQKAAAAAAAAAAAAAAAAAAAAAGF1dGhfbmVnb19zZWN1cml0eTog -c2VuZF9mbGFnIFsweCV4XSwgYXV0aF9wb2xpY3kgWzB4JXhdCgAAAAAAAABhdXRoX25lZ29fc2Vj -dXJpdHk6IEtFWV9DSEFQX1JFU1AgLSBoYXNoWzB4JXgleCV4JXgleCV4JXgleF0KAAAAYXV0aF9u -ZWdvX3NlY3VyaXR5OiBLRVlfQ0hBUF9SRVNQIC0gaGFzaFsweCV4JXgleCV4JXgleCV4JXhdCgAA -AGF1dGhfbmVnb19zZWN1cml0eTogS0VZX0NIQVBfUkVTUCAtIGVycm9yIGVuY29kaW5nIHRvIGhl -eAoAAAAAAABhdXRoX25lZ29fc2VjdXJpdHk6IEtFWV9DSEFQX1JFU1AgLSBlbGVuIFsweCV4XQoA -AAAAAAAAAAAAAAAAAAAAYXV0aF9uZWdvX3NlY3VyaXR5OiBLRVlfQ0hBUF9DSEFMIC0gZXJyb3Ig -ZW5jb2RpbmcgdG8gaGV4CgAAAAAAAGF1dGhfbmVnb19zZWN1cml0eTogS0VZX0NIQVBfQ0hBTCAt -IGVsZW4gWzB4JXhdCgAAAAAAAAAAAAAAAAAAAABsb2dvdXRfdGltZWRvdXQ6IGxvZ291dCByZXF1 -ZXN0IHRpbWVkb3V0LCBwb3NzaWJsZSBuZXR3b3JrIGlzc3Vlcy4gRm9yY2VmdWxseSBicmVha2lu -ZyBwYXRoIGZvciBzZXNzIFsweCV4XQoAAAAAcGluZ190YXJnZXQ6IHBpbmcgdGltZW91dCwga2lj -a2luZyByZWNvdmVyeSBmb3Igc2VzcyBbMHgleF0KAAAAAGNzb2NrX2ZhaWxlZDogY3NrX2ZjLT5m -bG93Y19pZCBbMHgleF0sIGNza19mYy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBzZXNzX2ZjLT5mbG93 -Y19pZCBbMHgleF0sIHNlc3NfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwgZXZ0IFsweCV4XQoAAAAA -AAAAAAAAAGNobmV0X2ZpbmRfaXA2X2wydF9lbnRyeTogZmluZCBwcmVmaXggbWF0Y2ggWyUwNHgg -JTA0eCAlMDR4ICUwNHhdCgAAAAAAAAAAAAAAAAAATm8gcm91dGVyIGNvbmZpZ3VyZWQsIGwyZGV2 -X2ZjLT5mbG93Y19pZCAweCV4CgAAClJvdXRlciBsaWZlICV1IGV4cGlyZWQuIGRlbGV0aW5nIHJv -dXRlciBbJTA0eCAlMDR4ICUwNHggJTA0eF0KAFVzaW5nIHJvdXRlciBbJTA0eCAlMDR4ICUwNHgg -JTA0eF0gdG8gcmVhY2ggWyUwNHggJTA0eCAlMDR4ICUwNHhdCgAAAAAAAAAAAAAAAAAAbDJ0ZW50 -IFslMHhdLCBsMnRlbnQtPmlkeCBbJWRdCgByYyBbJWRdLCBjc2tfZmMgWzB4JXhdLCBjc2tfZmMt -PmZsb3djX2lkIFsweCV4XQoAAAAAAAAAAAAAAAAAAAAAcmVjb3ZlcnlfdGltZW91dDogc2VzcyBp -ZCBbMHgleF0gc3RhdGUgWzB4JXhdLCByY291bnQgWyVkXSwgZmxhZ3MgWzB4JXhdCgAAAAAAAABy -ZWNvdmVyeV90aW1lb3V0OiBzZXNzIGlkIFsweCV4XSBpbiBsb2dvdXQsIGFib3J0IHRoZSBjb25u -ZWN0aW9uCgAAAAAAAAAAAAAAAAAAAHJlY292ZXJ5X3RpbWVvdXQ6IHNlc3NfZmMtPmZsb3djX2Zv -aXNjc2lfc2Vzc19mbGFncyBbMHgleF0sIGNvbm5lY3Rpb24gcmVxdWVzdCBwZW5kaW5nLCBiYWls -aW5nIG91dAoAAAAAAAAAAAAAAABmb2lzY3NpOiBSZWNvdmVyeSB0aW1lZCBvdXQgYWZ0ZXIgWyV1 -XSByZXRyeSwgYmFpbGluZyBvdXQKAAAAAAAAVENQIGNvbm4gZXN0YWJsaXNobWVudCBmYWlsZWQg -JWQKAAAAAAAAAAAAAAAAAAAAZGlzY292ZXJ5X2RhdGE6IHNlc3MgeyBpZCBbMHgleF0sIGZsYWdz -IFsweCV4XSwgYnVmZmVyZWQgWyV1XS4gfQoAAAAAAAAAAAAAAAAAAABkaXNjb3ZlcnlfZGF0YTog -c2VzcyB7IGlkIFsweCV4XSB9LCB1bHB0eGNoIFsldV0gbm8gY3JlZGl0cyBhdmFpbGFibGUsIHJl -c2NoZWR1bGluZyByZXF1ZXN0LgoAAABJbnZhbGlkIG9wY29kZSAweCV4IGluIGN0cmwgcGF0aAoA -AAAAAAAAAAAAAAAAAABERFAgZXJyb3IgWzB4JXhdLCBhYm9ydGluZyBjb25ubiBbMHgleF0KAAAA -AAAAAAByeF9kYXRhX2RkcDogUmVzcG9uY2UgcmVjaWV2ZWQgZm9yIHRhc2sgWzB4JXhdIHdoaWxl -IGludmFsaWQgdGFzayBvciBjb25uZWN0aW9uIHN0YXRlLiB0YXNrIHN0YXRlIFsweCV4XSwgY29u -biBzdGF0ZSBbMHgleF0sIGNvbm4gZmxhZ3MgWzB4JXhdCgBpc2NzaV9oZHJfcng6IFJlc3BvbmNl -IHJlY2lldmVkIGZvciB0YXNrIFsweCV4XSB3aGlsZSBpbnZhbGlkIHRhc2sgb3IgY29ubmVjdGlv -biBzdGF0ZS4gdGFzayBzdGF0ZSBbMHgleF0sIGNvbm4gc3RhdGUgWzB4JXhdLCBjb25uIGZsYWdz -IFsweCV4XQoAAAAAAAAAAAAAAAAAAAAAaXNjc2lfaGRyX3J4OiBJbnZhbGlkIHRhc2sgc3RhdGUg -MHgleCBmb3IgdGFzayAweCV4LCBpdHQgWzB4JXhdLCBvcGMgWzB4JXhdCgAAAABwcm9jZXNzX3Rt -Zl9yZXNwb25zZTogYnVmZmVyZWQgWzB4JXhdLCBpc3Rhc2tfZmMtPmZsb3djX2J1Zi0+c2NoZWRf -bm9kZS5uZXh0IFsweCV4XSwgaXN0YXNrX2ZjIFsweCV4XSwgaXN0YXNrX2ZjLT5mbG93Y19pZCBb -MHgleF0KAAAAAAAAAAAAAAAAAABwcm9jZXNzX3RtZl9yZXNwb25zZTogd3Igb3AgWzB4JXhdLCB0 -bWYgb3AgWzB4JXhdCgAAAAAAAAAAAAAAAAAAcmV0dXJuX3BlbmRpbmdfdGFzazogY29va2llIFsw -eCUwOHhdLCBbMHglMDh4XQoAcmV0dXJuX3BlbmRpbmdfdGFzazogZGVsYXkgcHJvY2Vzc2luZywg -Y29ubiBmbGFncyBbMHgleF0KAAAAAAAAAHJldHVybl9wZW5kaW5nX3Rhc2s6IERvbmUgc2VuZGlu -ZyB0YXNrIGVycm9yIHRvIGhvc3QsIHVscHR4bGVuMTYgWyV1XQoAAAAAAAAAAAAAcmV0dXJuX3Bl -bmRpbmdfdGFzazogZGVxdWV1ZSB0YXNrIFsweCV4XSwgc3RhdGUgWzB4JXhdIGZyb20gdHhfbGlz -dAoAAAAAAAAAAAAAAAByZXR1cm5fcGVuZGluZ190YXNrOiBhbGwgdGFza3MgcmV0dXJuZWQsIHJl -Y292ZXJ5IHN0YXRlIHRyYW5zIHRvIFsweCV4XQoAAAAAAAAAAGNsZWFyX2RkcF9tYXA6IGlzdGFz -a19mYyBbMHgleF0sIGlzdGFza19mYy0+Zmxvd2NfaWQgWzB4JXhdIGJ1ZmZlcmVkICV1CgAAAAAA -AAAAY2xlYXJfZGRwX21hcDogaXN0YXNrX2ZjLT5mbG93Y19mb2lzY3NpX3Rhc2tfbnBwb2QgJXUs -IG5wcG9kICV1LCBwcGRhZGRyIFsweCV4XQoAAAAAAAAAAAAAAAAAAAAAY2xlYXJfZGRwX21hcDog -YWxsIHJldHVybmVkIHRhc2tzIGRkcCBjbGVhcmVkLCByZWNvdmVyeSBzdGF0ZSB0cmFucyB0byBb -MHgleF0KAAB3cmhfZm9pc2NzaV9ub2RlOiBub2RlX3dyLT5mbG93aWRfbGVuMTYgMiBbJXhdCgB3 -cmhfZm9pc2NzaV9jaGFwOiBpZF9sZW4gWyV4XSwgc2VjX2xlbiBbJXhdCgAAAAB3cmhfZm9pc2Nz -aV9jaGFwOiB0Z3RfaWRfbGVuIFsleF0sIHRndF9zZWNfbGVuIFsleF0KAAAAAAAAAAAAAAAAc2Vz -c2lvbl9ibG9jazogc2Vzc19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBzZXNzX2ZjLT5mbG93Y19zdGF0 -ZSBbMHgleF0sIGNvbm5fZmMtPmZsb3djX2lkIFsweCV4XSwgY29ubl9mYy0+Zmxvd2Nfc3RhdGUg -WzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0ZSBbMHgl -eF0KAAAAAAAAAAAAAAAAAAAAc2Vzc2lvbl91bmJsb2NrOiBzZXNzX2ZjLT5mbG93Y19pZCBbMHgl -eF0sIHNlc3NfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwgY29ubl9mYy0+Zmxvd2NfaWQgWzB4JXhd -LCBjb25uX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBj -c2tfZmMtPmZsb3djX3N0YXRlIFsweCV4XQoAAAAAAAAAAAAAAAAAc3RhcnRfbG9nb3V0OiBTZXNz -LWlkIFsweCV4XSBhbHJlYWR5IGxvZ2dpbiBvdXQuCgAAAAAAAAAAAAAAAAAAAHBlZXJfY29uOiBj -c2tfZmMgPT4gZmxvd2lkIFsweCV4XSwgZmxvd2NfYnVmIFsweCV4XQoAAAAAAAAAAAAAAABhbGxv -Y19zZXNzOiBsb2dpbl9yZXRyeSBbJWRdLCByZWNvdl90aW1lb3V0IFslZF0KAAAAAAAAAAAAAAAA -AAAAZm9pc2NzaV9jdHJsOiBzdWJvcCBbMHgleF0sIHNlc3NfdHlwZV90b19lcmwgWzB4JXhdLCBz -ZXNzX3R5cGUgWzB4JXhdCgAAAAAAAAAAAABmb2lzY3NpX2N0cmw6IHJlY2VpdmVkIGJsb2NrZWQg -ZnJvbSBkcml2ZXIsIHRyaWdnZXJpbmcgcmV0dXJuIHRhc2tzIG5vdy4KAAAAAAAAAHdhdGNoZG9n -IGNtZCBoYW5kbGVyICh0aW1lICV1IGFjdGlvbiAldSkKAAAAAAAAAFdBVENIRE9HOiBkZXZpY2Ug -c2h1dGRvd24KAAAAAAAAV0FUQ0hET0c6IHBvcnRbJXVdIHBhdXNlIHdhdGNoZG9nIHRpbWVvdXQK -AAAAAAAAV0FUQ0hET0c6IGJ5cGFzcyB0aW1lb3V0CgAAAAAAAABXQVRDSERPRzogRkxSIC0gbm90 -IGltcGxlbWVudGVkIHlldAoAAAAAAAAAAAAAAABXQVRDSERPRzogdGVtcGVyYXR1cmUgb2YgJWRD -IGV4Y2VlZHMgdGhyZXNob2xkIG9mICVkQwoAAAAAAAAAAAAAZmlsdGVyOiBwb3JncmFtbWluZyB0 -aWQgJXUgKGxlIHRjYW0gaW5kZXggJXUpLi4uCgAAAAAAAAAAAAAAAAAAAGZpbHRlcjogcmVxdWVz -dGluZyBjb21wbGV0aW9uLi4uCgAAAAAAAAAAAAAAAAAAAGNobmV0X2lwdjZfbGlua19jaGFuZ2Vf -bm90aWZ5OiBsMmRldl9mYyBbMHgleF0sIHN0YXR1cyAldQoAAAAAAABsMmRldl9zZW5kX3BvcnRf -ZXZlbnQ6IHdyIFsweCV4XSBwZW5kaW5nIG9uIHBvcnQgWyVkXSwgY3VycmVudCB0cnkgWyVkXQoA -AAAAAAAAAEZDT0UgRnJlZTogc3RpbGwgeWllbGRlZCB3aGVuIGZyZWVpbmcuLi5mbG93Y19pZCAl -eCBmbG93Y19mbGFncyAleCAKAAAAAAAAAAAAAAAARkNPRSBCUCBXUiBFUlI6IFdSIHdpdGggY29v -a2llICV4JXggZXJyb3JlZCBiYWNrIAoAAAAAAAAAAAAAAAAAAHBvcnQgJWQgc2V0IHBmY19lbiA9 -IDB4JXgKAAAAAAAAcG9ydCAlZCBzZXQgcGZjX2VuID0gMHgleAoAAAAAAABldHNfc2V0X2NmZ19p -ZWVlWyV1XSB1bmtub3duIFRTQSBhbGcgZm9yIHByaW8gJXU6ICV1CgAAAAAAAAAAAAAARkNvRSBE -RFAgZmFpbGVkIDogb3hfaWQgMHgleCByeF9pZCAweCV4CgAAAAAAAAAARkNvRSBERFAgZmFpbGVk -IDogRGRwUmVwb3J0IDB4JXggRGRwVmFsaWQgMHgleAoARkMgeGNoZyBhbGxvYyBmYWlsZWQ6IGF2 -YWlsICVkCgBmY29lIG5vdGlmeSA6IFVwZGF0ZSBuZXcgRENCWCB2YWx1ZXMgVkkgc3RhdGUgMHgl -eCBwcmkgMHgleCBzY2hlZGNsIDB4JXggZGNieF9kb25lIDB4JXgKAAAAAAAAAABmY29lIG5vdGlm -eSA6IEZDRiBmbG93aWQgMHgleCwgdWxwY2ggMHgleCAKAAAAAABQUkxJIFJzcCB0aW1lZG91dCA6 -IGZsb3djX2lkIDB4JXggb3hfaWQgMHgleCByeF9pZCAweCV4IAoAAAAAAAAAY2Fubm90IGFsbG9j -YXRlIG9mZmxvYWRlZCBmaWx0ZXIgY29ubmVjdGlvbgoAAAAAY2Fubm90IGFsbG9jYXRlIG9mZmxv -YWRlZCBmaWx0ZXIgSVB2NiBjb25uZWN0aW9uCgAAAAAAAAAAAAAAAAAAAGRpc3BhdGNoX2RlZmVy -cmVkX2NsYXNzX2NsYXNzX3NoYXBpbmdbJXU6JXVdOiBsaXN0X2VtcHR5CgAAAAAAAABsb29wYmFj -ayBidWZmZXIgZ3JvdXBbJXVdIGlzIGRpc2FibGVkCgAAAAAAAAAAAABpbnZhbGlkIGJ1ZmZlciBn -cm91cFsldV0gY29uZmlndXJhdGlvbjogbXR1ICV1IGx3bSAldSBod20gJXUgZHdtICV1CgAAAAAA -AAAAAAAAAGZjICV1IHZmICV1IGdvdCBpdmY9MHgleCxyYW5nZTogJSN4LSUjeCAoJXUvJXUgdXNl -ZCkKAAAAAAAAAAAAAABWSSAldSBjYW5ub3QgZ2V0IFJTUyBzbGljZTogTm8gbW9yZSBzbGljZXMg -YXZhaWxhYmxlICh1c2VkICV1LyV1KQoAAAAAAAAAAAAAAAAAAHBmbiAldSB2Zm4gJXUgd2l0aCBw -b3J0IG1hc2sgMHgleCBjYW5ub3QgYWNjZXNzIHBvcnQgJXUsIHJldCAlZAoAAAAAAAAAAAAAAAAA -AAAAcGZuICV1IHZmbiAldSBjb3VsZCBub3QgYWxsb2NhdGUgdmlpZCwgcmV0ICVkCgAAcGZuICV1 -IHZmbiAldSBjb3VsZCBtYXAgdmlpZCAgMHgleCB0byBmbG93YywgcmV0ICVkCgAAAAAAAAAAAAAA -AHBmbiAldSB2Zm4gJXUgY291bGQgbm90IGFsbG9jYXRlIHV3aXJlIGZ1bmMgJWQgbWFjIGFkZHIs -IHJldCAlZAoAAAAAAAAAAAAAAAAAAAAAbWlpX2luaXRbJXVdOiBhY2FwcyAweCV4CgAAAAAAAABt -aWlfZm9yY2Vfc3BlZWRbJXVdOiByY2FwcyAweCV4CgAAAAAAAAAAAAAAAAAAAABtaWlfcGRvd25b -JXVdOiBwb3dlcmRvd24gZW4gJXUKAHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IHVua25vd24gYWN0 -aW9uIDB4JXgKAAAAAHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IHVua25vd24gcmVhZCBhY3Rpb24g -MHgleAoAAAAAAAAAAAAAAAAAAABjcGxfZXJyX25vdGlmeTogdGlkICV1IGNwbCAweCUwOHglMDh4 -CgAAAAAAAAAAAABjcGxfZXJyX25vdGlmeTogdGlkICV1IGNwbCAweCUwOHglMDh4IDB4JTA4eCUw -OHgKAAAAAAAAAAAAAAAAAAAAY3BsX2Vycl9ub3RpZnk6IHRpZCAldSBsZW4gJXUKAABGQ09FIEZy -ZWU6IHN0aWxsIHlpZWxkZWQgd2hlbiBmcmVlaW5nLi4uZmxvd2NfaWQgJXggZmxvd2NfZmxhZ3Mg -JXggCgAAAAAAAAAAAAAAAHNjc2lfYWJvcnQ6IEVudGVyaW5nIEFib3J0X3Rhc2ssIGJ1ZmZlcmVk -IFsldV0KAHNjc2lfYWJvcnQ6IHJjIFsweCV4XSByZWYgdGFzayBub3Qgb3V0c3RhbmRpbmcKAHNj -c2lfYWJvcnQ6IGlkYXRhLT5vcCBbMHgleF0sIGZsYWdzIFsweCV4XSwgZnVuYyBbMHgleF0sIGx1 -bl9pZHggWzB4JXhdCgAAAAAAAAAAc2NzaV9hYm9ydDogd3ItPmlxaWQgWzB4JXhdLCBpc3Rhc2tf -ZmMtPmZsb3djX3NnZV9pcWlkIFsweCV4XSwgaXN0YXNrX2ZjIHRhc2sgZmxhZ3MgWzB4JXhdCgAA -AAAAc2NzaV9hYm9ydDogY29ubiBbMHgleF0sIGNtZHNuIFsweCV4XSwgc2VudF9jbWRzbiBbMHgl -eF0sIG1heF9jbWRzbiBbMHgleF0sIGl0dCBbMHgleF0KAAAAAAAAAAAAYWJvcnQvY2xvc2UgV1Ig -d2l0aCBjb29raWUgMHglbHggd2FzIGlzc3VlZCBvbiBzc24gMHgleCBpbiB3cm9uZyBzdGF0ZSAw -eCV4CgAAAABhYm9ydCBXUiBvbiBzc24gMHgleCBkaWQgbm90IGZpbmQgV1Igd2l0aCBjb29raWUg -MHgleCV4CgAAAAAAAAAAY2xvc2UgV1Igd2l0aCBjb29raWUgMHglbHggb24gc3NuIDB4JXg7ZGlk -IG5vdCBmaW5kIFdSIHdpdGggY29va2llIDB4JWx4CgAAAAAAAABhYm9ydCBXUiBvbiBzc24gMHgl -eCB3YXMgaXNzdWVkIG9uIHhjaGcgMHgleCB3aXRoIHJ4X2lkIDB4JXggaW4gd3Jvbmcgc3RhdGUg -MHgleAoAAAAAAAAAAAAAAAAAAABzY3NpX2x1cjogRW50ZXJpbmcgTFVSIGhhbmRsZXIsIGJ1ZmZl -cmVkIFsldV0KAABzY3NpX2x1cjogaWRhdGEtPm9wIFsweCV4XSwgZmxhZ3MgWzB4JXhdLCBmdW5j -IFsweCV4XSwgbHVuX2lkeCBbMHgleF0KAAAAAAAAAAAAAHNjc2lfbHVyOiB3ci0+aXFpZCBbMHgl -eF0sIGlzdGFza19mYy0+Zmxvd2Nfc2dlX2lxaWQgWzB4JXhdLCBpc3Rhc2tfZmMgdGFzayBmbGFn -cyBbMHgleF0KAAAAAAAAAHNjc2lfbHVyOiBjb25uIFsweCV4XSwgY21kc24gWzB4JXhdLCBzZW50 -X2NtZHNuIFsweCV4XSwgbWF4X2NtZHNuIFsweCV4XSwgaXR0IFsweCV4XQoAAAAAAAAAAAAAAGRj -YnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9MSU5LVVAKAGRjYnhfY2VlX2Zl -YV9zbVsldV0gRmVhdHVyZVsldV0gU0VUX0xPQ0FMX1BBUkFNRVRFUlMKAAAAAAAAAAAAAABkY2J4 -X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfTk9fQURWRVJUSVNFCgAAAAAAAAAA -AAAAZGNieF9jZWVfZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX1BFRVJfTk9UX0FEVkVS -VElTRV9EQ0JYCgAAAAAAAAAAAAAAAAAAAABkY2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVd -IEZFQVRVUkVfUEVFUl9OT1RfQURWRVJUSVNFX0ZFQVRVUkUKAAAAAAAAAAAAAAAAAGRjYnhfY2Vl -X2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9VUERBVEVfT1BFUl9WRVJTSU9OCgAAAABk -Y2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfUEVFUl9VUERBVEVfT1BFUl9W -RVJTSU9OCgAAAAAAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVB -VFVSRV9HRVRfUEVFUl9DRkcKAAAAAAAAAAAAAABkY2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVb -JXVdIEZFQVRVUkVfQ0ZHX05PVF9DT01QQVRJQkxFCgAAAAAAZGNieF9jZWVfZmVhX3NtWyV1XSBG -ZWF0dXJlWyV1XSBGRUFUVVJFX1VTRV9MT0NBTF9DRkcKAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9z -bVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9VU0VfUEVFUl9DRkcKAAAAAAAAAAAAAABkY2J4X2Nl -ZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfRkVBVFVSRV9ESVNBQkxFRAoAAAAAAAAA -ZGNieF9jZWVfZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX0VSUk9SX0NIQU5HRQoAAAAA -AAAAAAAAAEZlYXR1cmUgJXUgc3luYydkPSV1IChlcnJvciAldSkKAAAAAAAAAAAAAAAAAAAAAGlw -djZfYWRkX3ByZWZpeF9pbl9saXN0OiBub2RlIGZvdW5kIDB4JXgKAAAAAAAAAGlwdjZfYWRkX3By -ZWZpeF9pbl9saXN0LCBub2RlIG5vdCBmb3VuZAoAAAAAAAAAAGNobmV0X2FycF91cGRhdGVfY2Fj -aGU6IGFycCBpcDQgZW50cnkgZm91bmQgCgAAAGNobmV0X2FycF91cGRhdGVfY2FjaGU6IGFycCBp -cDYgZW50cnkgZm91bmQgCgAAAGNobmV0X2FycF91cGRhdGVfY2FjaGU6IGJvdGggaXA0IGFuZCBp -cDYgYWRkciBjYW5ub3QgYmUgbnVsbAoAAABjaG5ldF9sMnRfdXBkYXRlOiBsMnRfdXBkYXRlIHJl -cXVlc3Qgc2VudCBsMnRlbnQgWyUwOHhdLCBsMnRlbnQtPmlkeCBbJWRdLCBsMnRlbnQtPnZsYW4g -WyVkXQoAAABjaG5ldF9pcHY2X3JhX2lucHV0OiBJbnZhbGlkIFJBCgAAAAAAAAAAAAAAAAAAAABp -cHY2IFJBIHJjdmQKAAAAcm91dGVyIG5vdCBwcmVzZW50IGluIG91ciBsaXN0LiBhZGRpbmcgaXQK -AAAAAAAASW52YWxpZCBvcHRpb24gbGVuZ3RoICV1IGluIFNMTEEgb3B0aW9uCgAAAAAAAAAAR09U -IFNMTEEgb3B0aW9uIGluIFJBLCBsZW4gJXUKAABJbnZhbGlkIG9wdGlvbiBsZW5ndGggJXUgaW4g -cHJlZml4IG9wdGlvbgoAAAAAAABJbnZhbGlkIG9wdGlvbiBsZW5ndGggJXUgaW4gbXR1IG9wdGlv -bgoAAAAAAAAAAABJbnZhbGlkIHBhY2tldCB3aXRoICV1IGV4dHJhIGJ5dGVzCgAAAAAAAAAAAAAA -AABtbGQ2IHF1ZXJ5IHJjdmQKAAAAAAAAAAAAAAAAAAAAAGNobmV0X2lwdjZfbWxkNl9xdWVyeV9p -bnB1dDogSW52YWxpZCBNTEQgcXVlcnkKAFVuc3VwcG9ydGVkIHF1ZXJ5IHZlcnNpb24uIG9ubHkg -bWxkdjIgcXVlcnkgc3VwcG9ydGVkCgAAAAAAAAAAAABxdWVyeSByZXNwb25zZSBkZWxheSAldSAo -aW4gMTBtcyB1bml0KSAKAAAAAAAAAABhbHJlYWR5IGEgZ2VuZXJhbCBxdWVyeSBwZW5kaW5nIGlu -ICV1ICgxMG1zKQoAAABEZWJ1ZyB0aGUgY29kZS4gZ3JwX25vZGUgbXVzdCBiZSBwcmVzZW50CgAA -AAAAAABhbHJlYWR5IGEgbXVsdGljYXN0IHF1ZXJ5IHBlbmRpbmcgaW4gJXUgKDEwbXMpCgBpcHY2 -IGVjaG8gcmVxIHJjdmQKAAAAAAAAAAAAAAAAAERIQ1B2NiBwYWNrZXQgdHlwZSAldSwgb3B0c2xl -biAldSByZWNlaXZlZAoAAAAAAEludmFsaWQgZGhjcCBzdGF0ZSAlZAoAAAAAAAAAAAAASWdub3Jl -IERIQ1B2NiBtc2cgeGlkICV4LCAgZGg2Y3R4dC0+eGlkICV4CgAAAAAARXJyb3IgaW4gREhDUHY2 -IG9wdGlvbnMgcGFyc2luZy4gSWdub3JpbmcgbXNnLCBpICVkLCBvcHRzbGVuICVkCgAAAAAAAAAA -AAAAAAAAAABESENQIGZhaWxlZCwgc3RhdHVzY29kZSAlZC4gSWdub3JpbmcgYWR2ZXJ0aXNlCgBp -Y21wNiBjaGVja3N1bSB2YWxpZGF0aW9uIGZhaWxlZCwgb3IgZXJyIHJjdmRpZ25vcmluZyBpY21w -NiBtc2cgJXUsIGRsZW4gJXUKAAAAAG5ldGlmX3Byb2Nlc3NfZGhjcDogbDJkZXZfZmMtPmZsb3dj -X2lkIFsweCV4XSwgcHJvY2Vzc2luZywgb3B0X2xlbiAldQoAAAAAAAAAAAAAY2huZXRfZGhjcF9y -ZWN2OiB2bGFuaWQgWyV1XSwgbDJkZXZfcGlkX2ZjLT5mbG93Y19uZXRfbDJkZXZfdmxhbmRldiBb -MHgleF0sIGwyZGV2X2ZjIFsweCV4XQoAAAAAY2huZXRfZGhjcF9yZWN2OiBsMmRldl9mYy0+Zmxv -d2NfaWQgWzB4JXhdLCBkaGN0eHQtPnN0YXRlIFslZF0sIG1hbGFjaW91cyBkaGNwIHJlY3YgZm9y -IG5vIHJlcXVlc3QKAAAAAAAAAAAAAAAAAGRoY3R4dC0+c3RhdGUgOiAlZAoAAAAAAAAAAAAAAAAA -bDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgQmFkIERIQ1AgY29va2llIHJlY2lldmVkLCBhYm9y -dGluZwoAAENvdWxkIG5vIGFsbG9jYXRlIHBjYiEhIEZyZWVpbmcgZmNmICEhIQoAAAAAAAAAAHZu -X3BhcnNlIHVua25vd24gc3ViY29kZSAldQoAAAAAdm5fcGFyc2UgdW5rbm93biBkdHlwZSAldQoA -AAAAAABpZ25vcmluZyBmaXAgcmVjdiBmb3IgcGNiIGZsb3c6JXggaW4gb2ZmbGluZSBzdGF0ZQoA -AAAAAAAAAAAAAAAAZmlwX3ZuMnZuX3JlY3ZfZXJyIAoAAAAAAAAAAAAAAABDb3VsZCBub3QgYWxs -b2NhdGUgZmxvd2MhISEhCgAAAENvdWxkIG5vdCBhbGxvY2F0ZSBTQ0IgZmxvd2MhISEhCgAAAAAA -AAAAAAAAAAAAAENvdWxkIG5vdCBmaW5kIHJpZ2h0IHNjYiBmb3IgbG9nbwoAAAAAAAAAAAAAAAAA -AGlnbm9yaW5nIGZpcCByZWN2IGZvciBmY2YgZmxvdzoleCBpbiBvZmZsaW5lIHN0YXRlCgAAAAAA -AAAAAAAAAABDb3VsZCBub3QgZmluZCByaWdodCBzY2IgZm9yIGZsb2dpCgAAAAAAAAAAAAAAAABw -b3J0IDB4JXgsIHN0YXRlIDB4JXgsIHJldHJ5IG5vdCBzdXBwb3J0ZWQKAAAAAABGbG9naSByZXNw -IHJjdiB3aXRoIHVua25vd24geGNoZyBveF9pZCV4IHNpZCAlMnglMnglMnggZGlkICUyeCUyeCUy -eAoAAAAAAAAAAAAAAE5fUE9SVCAweCV4JXgleCByZWplY3RlZCBQTE9HSSB3aXRoIHJlYXNvbiBj -b2RlICV4CgAAAAAAAAAAAAAAAABBQlRTIHdoaWxlIGF3YWl0aW5nIFBSTEkgUnNwOiBmbG93Y19p -ZCAweCV4IG94X2lkIDB4JXggcnhfaWQgMHgleCAKAAAAAAAAAAAAAAAAAEFCVFMgZmFrZSBSc3A6 -IGxvYyAweCV4IG94X2lkIDB4JXggcnhfaWQgMHgleAoAAEZDIGZjYiBhbGxvYyBmYWlsZWQ6IGF2 -YWlsICVkCgAARkMgZmNiIGFsbG9jIHhpZDolZCBmbG93aWQgJWQKAABsbGRwX3J4X3BrdF9oYW5k -bGVyWyV1XSBkcm9wIHByZS1pbml0IChjb3VudCA9ICV1KQoAAAAAAAAAAAAAAAAAJXgleCV4IFJl -Y2lldmVkIExPR08gZnJvbSAleCV4JXggCgAAAAAAAAAAAAAAAAAARmFpbGVkIHRvIHBvc3QgeGNo -ZyBlcnI6IHNzbmkgMHgleCBjb29raWUgMHglbHggcnZhbCAleCAKAAAAAAAAAHRjcF9yZWxlYXNl -X3RpZDogdGlkIFsweCV4XSwgZmxvd2MgZmxhZ3MgWzB4JXhdLCBidWZmZXJlZCBbMHgleF0KAAAA -AAAAAAAAAAAAAAAAdGNwX3JlbGVhc2VfdGlkOiBzaXplb2YodGNiX2ZjLT5mbG93Y19mb2lzY3Np -X2Nvbm4pIFsldV0sIGJ5dGVzCgAAAAAAAAAAAAAAAAAAAABhY3Rfb3Blbl9ycGw6IGF0aWQgWzB4 -JXhdLCB0aWQgWzB4JXhdLCB0Y2JfZmMtPnsgaWQgWzB4JXhdLCBzdGF0ZSBbMHgleF0sIHR5cGUg -WzB4JXhdIH0sIGNwbF9vcCBbMHgleF0sIHN0YXR1cyBbMHgleF0KAAAAAAAAAAAAAGFjdF9vcGVu -X3JwbDogY3NrX2ZjLT57IGlkIFsweCV4XSwgc3RhdGUgWzB4JXhdLCBjc29ja19mbGFncyBbMHgl -eF0gfSAKAAAAAAAAAAAAYWN0X29wZW5fcnBsOiByZWN2ZCBuZWcgYWR2aWNlIFsweCV4XQoAAAAA -AAAAAAAAc2VuZF9hYm9ydF9ycGw6IGNza19mYy0+Zmxvd2NfdHlwZSBbMHgleF0sIGNza19mYy0+ -Zmxvd2NfaWQgWzB4JXhdLCB0aWQgWzB4JXhdLCB1bHB0eGNoIFsldV0sIGJ1ZmZlcmVkIFsldV0K -AAAAAHdyaF9vZmxkX3RjcF9jbG9zZV9jb25fcmVwbHk6IHRjYl9mYy0+Zmxvd2NfaWQgWzB4JXhd -LCB0Y2JfZmMtPmZsb3djX3R5cGUgWzB4JXhdLCBsZW4xNiBbJXVdLCBsb2MgWyV1XQoAAAAAAAAA -AAB3cmhfb2ZsZF90Y3BfY2xvc2VfY29uX3JlcGx5OiBycGwtPm9wX1RpZCBbMHgleF0sIHJwbD5z -dGF0dXMgWzB4JXhdLCBycGwtPnNuZF9ueHQgWzB4JXhdLCBycGwtPnJjdl9ueHQgWzB4JXhdCgAA -dGNwX2Fib3J0X3JwbF9yc3M6IHRpZCBbMHgleF0sIHN0YXR1cyBbMHgleF0KAAAAdGNwX2Fib3J0 -X3JlcV9yc3M6IHRpZCBbMHgleF0sIHN0YXR1cyBbMHgleF0KAAAAb2ZsZF9hYm9ydF9yZXFfbmVn -YWR2WyV1XTogd3IgMHglMDh4IGNwbF9hYm9ydF9yZXEgREVMSVZFUkVECgAAAGhvc3Rfd3JbJXVd -OiB3ciAweCUwOHggY3BsX2Fib3J0X3JlcSBzdGF0dXMgMHgleAoAAAAAAAAAAAAAAAAAAABwa3Rz -Y2hlZF9jbF9ybFsldToldV06IG1vZGUgfCB1bml0IHwgcmF0ZSAweCUwNnggbWluICV1IG1heCAl -dSBwa3RzaXplICV1CgAAAAAAAHBhcmFtX2NobmV0WzB4JXg6MHgleF06IGNobmV0IDB4JXggcmVh -ZCAldSBwZiAldSByZXQgJWQKAAAAAAAAAABwYXJhbV9kbWFxWzB4JXg6MHgleF06IGRtYXEgMHgl -eCByZWFkICV1IHBmICV1IHJldCAlZAoAAAAAAAAAAAAATUNbJXVdIGluaXRfc3RhdGVfbWFjaGlu -ZSAweCUwMngKAAAAAAAAAAAAAAAAAAAATUMgaW5pdGlhbGl6YXRpb24gbm90IGNvbXBsZXRpbmcs -IE1DIGN1cnJlbnQgaW5pdCBzdGF0ZSBpcyAweCUwMngKAAAAAAAAAAAAAAAAAABNQ1sldV0gX2h3 -X21jX2luaXRfbWMKAAAAAAAAAAAAAF9od19tY19pbml0X21jX2ZwZ2FbJXVdOiBlcnJvciAlZAoA -AAAAAAAAAAAAAAAAAHBoeTogZmFpbGVkIHRvIGFsbG9jYXRlZCBtZW1vcnkgZm9yIHBoeSBmdyBm -aWxlLCByZXQgJWQKAAAAAAAAAABod19sZV9maWx0ZXJfY3R1cGxlOiB0dXBsZSAldSBub3Qgc3Bl -Y2lmaWVkIGJ1dCByZXF1aXJlZCBmb3IgbWFzayAweCV4CgAAAAAAAAAAAGxlIGNvbmZpZ3VyYXRp -b246IGhhc2ggcmVnaW9uIHRvbyBsYXJnZSB0byBlbmFibGUgc2VydmVyIHNyYW0KAABsZSBjb25m -aWd1cmF0aW9uOiBjYW5ub3QgZW5hYmxlIHNlcnZlciBzcmFtIHdoZW4gaGFzaCByZWdpb24gaXMg -ZGlzYWJsZWQKAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiB0aW1lcl9ycyAldXVzIHRpbWVz -dGFtcF9yZXMgJXV1cyBkZWxheWVkYWNrX3JlcyAldXVzCgAAAAAAaHdfdHBfdGNwX3NldHRpbmdz -X3c6IGRhY2tfdGltZXIgJXV1cyBtc2wgJXV1cyByeHRfbWluLG1heCAldSwldXVzIHBlcnNfbWlu -LG1heCAldSwldXVzCgAAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGtlZXBfaWRsZSxpbnR2 -bCAldSwldXMgbWF4cnR0ICV1dXMgaW5pdHNydHQgJXV1cyBmaW53YWl0Ml90aW1lciAldXVzCgAA -AAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgZGFja190aW1lciBmcm9tICV1IHRvICV1 -AAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIG1zbCBmcm9tICV1IHRvICV1 -AGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIHJ4dF9taW4gZnJvbSAldSB0byAldQAAAAAA -AAAAAAAAAABod190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyByeHRfbWF4IGZyb20gJXUgdG8g -JXUAAAAAAAAAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgcGVyc19taW4gZnJv -bSAldSB0byAldQAAAAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIHBlcnNf -bWF4IGZyb20gJXUgdG8gJXUAAAAAAAAAAAAAAABod190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGlu -ZyBrZWVwX2lkbGUgZnJvbSAldSB0byAldQAAAAAAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6 -IGNhcHBpbmcga2VlcF9pbnR2bCBmcm9tICV1IHRvICV1AAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0 -aW5nc193OiBjYXBwaW5nIGluaXRfc3J0dF9tYXhydHQgZnJvbSAldSB0byAldQAAAABod190cF90 -Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyBpbml0X3NydHRfaW5pdHNydHQgZnJvbSAldSB0byAldQAA -aHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgZmlud2FpdDJfdGltZXIgZnJvbSAldSB0byAl -dQAAAAAAAGxlIGNvbmZpZ3VyYXRpb246IG5lbnRyaWVzICV1IHJvdXRlICV1IGNsaXAgJXUgZmls -dGVyICV1IGFjdGl2ZSAldSBzZXJ2ZXIgJXUgaGFzaCAldQoAAAAAAAAAAAAAAGxlIGNvbmZpZ3Vy -YXRpb246IG5lbnRyaWVzICV1IHJvdXRlICV1IGNsaXAgJXUgZmlsdGVyICV1IHNlcnZlciAldSBh -Y3RpdmUgJXUgaGFzaCAldSBuc2VydmVyc3JhbSAldQoAAAAAAAAAAAAAAABod19zZ2VfcXVldWVf -YmFzZV9tYXBbJXVdOiBleGNlZWRlZCBudW1iZXIgb2YgZWdyZXNzIHF1ZXVlcywgJXUKAAAAAAAA -AAAAAAAAAAAAAGh3X3NnZV9xdWV1ZV9iYXNlX21hcFsldV06IGV4Y2VlZGVkIG51bWJlciBvZiBp -bmdyZXNzIHF1ZXVlcyB3aXRoIGZyZWVsaXN0IGFuZCBpbnRlcnJ1cHQsICV1CgAAAGh3X3NnZV9x -dWV1ZV9iYXNlX21hcFsldV06IGV4Y2VlZGVkIG51bWJlciBvZiBpbmdyZXNzIHF1ZXVlcywgJXUK -AAAAAAAAAAAAAAAAAAAAc2t1OiBjdXN0b20gc2t1IDEwRyBkb2VzIG5vdCBzdXBwb3J0IDQwRyBw -b3J0cwoAc2t1OiBjdXN0b20gc2t1IDQwR19TTyBkb2VzIG5vdCBzdXBwb3J0IGV4dG1lbQoAc2t1 -OiBjdXN0b20gc2t1IDEwR19TTyBkb2VzIG5vdCBzdXBwb3J0IDQwRyBwb3J0cygldSkgb3IgZXh0 -bWVtKCV1KQoAAAAAAAAAAAAAAABza3U6IGN1c3RvbSBza3UgMHgleCA0MEcgcG9ydHMoJXUpIGV4 -dG1lbSgldSkKAABjZl9wYXJzZTogZmlsZSBtZW10eXBlIDB4JXggbWVtYWRkciAweCV4IG1hcHBl -ZCBAICVwOgoAAAAAAAAAAAAAY29uZmlndXJlZCB3aXRoIGNhcHMgbmJtfGxpbmsgMHglMDh4IHN3 -aXRjaHxuaWMgMHglMDh4IHRvZXxyZG1hIDB4JTA4eCBpc2NzaXxmY29lIDB4JTA4eAoAAAAAAAAA -bmV0IFZJIGFsbG9jYXRpb24gZmFpbGVkIGZvciBmY19pZCAldSB3aXRoIGVycm9yICVkCgAAAAAA -AAAAAAAAAG5ldCBWSSBtYWMgYWRkcmVzcyBwcm9ncmFtbWluZyBmYWlsZWQgZm9yIGZjX2lkICV1 -IHdpdGggZXJyb3IgJWQKAAAAAAAAAAAAAAAAAAAAbmV0IFZJIHJ4bW9kZSBwcm9ncmFtbWluZyBm -YWlsZWQgZm9yIGZjX2lkICV1IHdpdGggZXJyb3IgJWQKAAAAAG5ldCBWSSByc3MgaW5kaXJlY3Rp -b24gdGFibGUgcHJvZ3JhbW1pbmcgZm9yIGZjX2lkICV1IGZhaWxlZCB3aXRoIGVycm9yICVkCgAA -AAAAbmV0IFZJIHJzcyBjb25maWcgY29tbWFuZCBmYWlsZWQgZm9yIGZjX2lkICV1IHdpdGggZXJy -b3IgJWQKAAAAAG5ldCBWSSBjb21tYW5kIGZhaWxlZCBmb3IgZmNfaWQgJXUgd2l0aCBlcnJvciAl -ZAoAAAAAAAAAAAAAAAAAAABwcm9ncmFtbWVkIEhXIHRhZ20gWzB4JTA4eF0sIEhXIHBnc3ogZmFj -dG9yIFsweCUwOHhdLCBGT2lTQ1NJIHRhZ20gWzB4JTA4eF0sIHJ0YWdtIFsweCUwOHhdLCBtYXhz -el9iaXRzIFsldV0sIHN6X2JpdHMgWyV1XS4KAAAAAGJhc2UgWyAweCUwOHhdLCBsbGltaXQgWzB4 -JTA4eF0sIHVsaW1pdCBbMHglMDh4XSwgc2l6ZSBbJXVdLCBtYXhfdHhzeiBbJXVdLCBtYXhfcnhz -eiBbJXVdLCBpb3NpemUgWyV1XQoAAAAAAAAAAABucHBvZHMgWyV1XSwgaWR4X21hc2sgWzB4JTA4 -eF0sIGlkeF9maXJzdCBbJXVdLCBpZHhfbGFzdCBbJXVdLCBzY3NpX3BsZF9zaXplIFsldV0sIEFM -SUdOKHNjc2lfcGxkX3NpemUsIDE2KSBbJXVdLCBwcGRfem9uZXMgWyV1XS4KAAAAAAAAAAAAAAAA -AABmb2lzY3NpX2luaXQ6IGZvaXNjc2lfaW5pdF9kb25lIFsldV0sIGRldi5yZXMuZm9pc2NzaV9u -dGFza3MgWyV1XSwgZGV2LnJlcy5mb2lzY3NpX25zZXNzIFsldV0sIGRldi5yZXMubmNzb2NrIFsl -dV0sIGRldi5yZXMuZm9pc2NzaV9uaW5pdCBbJXVdLCByYyBbJWRdCgAAAAAAAAAAY2hfY2xfcmF0 -ZVsldS8ldV06IGNhcHBlZCBjbGFzcyByYXRlIGZyb20gcmVxdWVzdGVkICV1IHRvIGNvbmZpZ3Vy -ZWQgKGVmZmVjdGl2ZSkgY2hhbm5lbCByYXRlICV1CgAAAAAAAAAAAAAAAAAAAGNoX2NsX3JhdGVb -JXUvJXVdOiBpbmNyZWFzZWQgZGVmaWNpdF9pbmNyIGZyb20gcmVxdWVzdGVkICV1IHRvIHJlcXVp -cmVkIG1pbiBvZiAldTsgcmF0ZSAldSAoZWZmICV1KSBkZWZpY2l0X21heCAldQoAAAAAAAAAAAAA -AAAAcGt0c2NoZWQgY2hhbm5lbCAldSBzZXRzIHNwZWVkIChmcm9tICV1KSB0byAldSBrYnBzCgAA -AAAAAAAAAAAAAG5ldF9sMmRldl9ub3RpZnk6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIHBv -cnQgWyVkXSwgZXZlbnQgWzB4JXhdLCB1bHB0eGNoIFsldV0sIGNsYXNzIFsweCV4XSwgdnByaW8g -WzB4JXhdLCB2aWQgWzB4JXhdLCB2aV9yZWFkeSBbJXVdCgAAAAAAAG5ldF9sMmRldl9ub3RpZnk6 -IHBnaWQgWzB4JXhdLCBwcmlvIFsweCV4XSwgY2ggWzB4JXhdCgAAAAAAAAAAAABmY29lIG5vdGlm -eSA6IEZDb0UgTElOS1VQOiBwb3J0IDB4JXgsIGV2ZW50IDB4JXgKAAAAAAAAAAAAAAAAAAAAZmNv -ZSBub3RpZnkgOiBGQ29FIExJTktET1dOOiBwb3J0IDB4JXgsIGV2ZW50IDB4JXgKAAAAAAAAAAAA -AAAAAGZjb2Ugbm90aWZ5IDogRENCWCA6IHBvcnQgMHgleCwgcHJpb3JpdHkgMHgleCB1bHB0eGNo -IDB4JXggY2xhc3MgMHgleAoAAAAAAAAAAAAAZGNieF90aW1lb3V0WyV1XQoAAAAAAAAAAAAAAAAA -AABwb3J0X2NtZF9oYW5kbGVyOiB1bmtub3duIHUuZGNiLnR5cGUgMHgleAoAAAAAAABwb3J0WyV1 -XSBwdHlwZSAldSBsYW5lICV1OiByeGNmZyA9ICUjeAoAAAAAAAAAAABwb3J0WyV1XSBwdHlwZSAl -dSBsYW5lICV1OiB0eGNmZyA9ICUjeAoAAAAAAAAAAABwb3J0WyV1XSBsaW5rIGRvd24gKCV1KSAo -bHN0YXR1cyAlI3gpCgAAAAAAAAAAAABod19pMmNfdHJhbnNhY3Rpb246IG5kYXRhICV1IGFkZHJf -b3AgMHgleCBkYXRhWzBdIDB4JXggZGlmZiAldQoAaHdfaTJjX3RyYW5zYWN0aW9uOiBuZGF0YSAl -dSBhZGRyX29wIDB4JXggZGF0YVswXSAweCV4IGRpZmYgJXUgZHBvcyAldSBjb250ICV1IGZhaWxl -ZCB3aXRoIGVyciAlZAoAAAAAAAAAAAAAAAAAAGkyYyB0cmFuc2FjdGlvbiBmYWlsZWQgdG8gY29t -cGxldGUKAAAAAAAAAAAAAAAAAGkyYyBlcnJvciBjYXVzZWQgYnkgbW9kdWxlIHVucGx1ZwoAAAAA -AAAAAAAAAAAAAHNlbmR0byBwZW5kaW5nOiB3cl9wZW5kICVwIGZvciBwb3J0ICV1LCB3YW50IHRv -IHNlbmQgdG8gcG9ydCAldQoAAAAAAAAAAAAAAAAAAAAAcG9ydFsldV0gdXBkYXRlIChmbG93Y2lk -ICV1IHJjICV1KQoAAAAAAAAAAAAAAAAAcG9ydF9zZXRfbG9vcGJhY2sgcG9ydCAlI3ggY3VycmVu -dCAlI3ggbW9kZSAlI3gKAAAAAAAAAAAAAAAAAAAAAHBvcnRbJXVdIHNwZWVkIHVwZGF0ZTogJSN4 -CgAAAAAAcG9ydFsldV0gYmVnaW5uaW5nIGRlYm91bmNlCgAAAABRU0ZQIG1vZHVsZSB1bnBsdWcg -LSByZWluaXRpYWxpemluZyByeF9sb3MgIHRvIDB4ZmYKAAAAAAAAAAAAAAAAZ3Bpb19xc2ZwX21v -ZHVsZV91cGRhdGU6IGNoYW5nZWQgcnhfbG9zIGZyb20gMHgleCB0byAweCV4CgAAAAAAAGdwaW9f -cXNmcF9tb2R1bGVfdXBkYXRlOiBjaGFuZ2VkIHR4X2RpcyBmcm9tIDB4JXggdG8gMHgleAoAAAAA -AABwb3J0X2xpbmtfc3RhdGVfaGFuZGxlclsldV0gcG93ZXJpbmcgZG93bgoAAAAAAABwb3J0X2xp -bmtfc3RhdGVfaGFuZGxlclsldV0gcG93ZXJpbmcgdXAKAAAAAAAAAABwb3J0X2xpbmtfc3RhdGVf -aGFuZGxlclsldV0gdW5rbm93biBzdGF0ZSAoc3RhdGUgPSAlI3gpCgAAAAAAAAAAcG9ydF9saW5r -X3N0YXRlX2hhbmRsZXI6IFNvbWV0aGluZyB3ZW50IHRlcnJpYmx5IHdyb25nLiByZXQgPSAlZAoA -AAAAAAAAAAAAAAAAAABod19zZ2VfbWFtZW1faW5pdDogZW5jb3VudGVyZWQgZXJyb3IgJWQKAAAA -AAAAAABsZSBpbml0aWFsaXphdGlvbjogbmVudHJpZXMgJXUgcm91dGUgJXUgY2xpcCAldSBmaWx0 -ZXIgJXUgYWN0aXZlICV1IHNlcnZlciAldSBoYXNoICV1CgAAAAAAAAAAAABsZSBpbml0aWFsaXph -dGlvbjogbmVudHJpZXMgJXUgcm91dGUgJXUgY2xpcCAldSBmaWx0ZXIgJXUgc2VydmVyICV1IGFj -dGl2ZSAldSBoYXNoICV1IG5zZXJ2ZXJzcmFtICV1CgAAAAAAAAAAAAAAaHdfdHBfaW5pdDogdGNi -IHJlZ2lvbiAoc3RhcnQgMHglMDhzIHNpemUgJXUpIG11c3QgYmUgaW4gZmlyc3QgMjU2TUIgb2Yg -TUEgbWVtb3J5CgAAAAAAAAAAAAAAAAAAaHdfdHBfaW5pdDogcGdtbmd0IHJlZ2lvbiAoc3RhcnQg -MHglMDhzIHNpemUgJXUpIG11c3QgYmUgaW4gZmlyc3QgMjU2TUIgb2YgTUEgbWVtb3J5CgAAAAAA -AAAAAAAAaHdfdHBfaW5pdDogVFAgcGdtbmd0IGluaXRpYWxpemF0aW9uIGRpZCBub3QgY29tcGxl -dGUKAAAAAAAAAAAAAGJ1Zm1faW5pdDogbiAldSBidWZsbDY0aW50X3NpemUgMHgleAoAAAAAAAAA -AAAAAGJ1Zm1faW5pdDogbm90IGVub3VnaCBtZW1vcnkgdG8gYWxsb2NhdGUgaW50ZXJuYWwgYnVm -bGw2NCBidWZmZXJzCgAAAAAAAAAAAAAAAAAAYnVmbV9pbml0OiBub3QgZW5vdWdoIG1lbW9yeSB0 -byBhbGxvY2F0ZSBidWZsbDY0IGJ1ZmZlcnMKAAAAAAAAAG1lbV9pbml0X2J1Zjogbm90IGVub3Vn -aCBtZW1vcnkgdG8gYWxsb2NhdGUgZmxvdyBidWZmZXJzCgAAAAAAAABtZW1faW5pdF9idWY6IG5v -dCBlbm91Z2ggbWVtb3J5IHRvIGFsbG9jYXRlIHRjYl9jYWNoZSAob2ZmZXJlZCAldSB0cnlpbmcg -dG8gdXNlICV1IGF2YWlsYWJsZSAldSkKAAAAAAAAAAAAAAAAAAAAbXBhcnRpdGlvbl9vdGhlcnM6 -IHN0YXJ0IDB4JTA4eCBzaXplICV1ICh1bnVzZWQgJXUpCgAAAAAAAAAAAAAAAG1wYXJ0aXRpb25f -b3RoZXJzOiBzdGFydCAweCUwOHggc2l6ZSAldSAodW51c2VkICV1KQoAAAAAAAAAAAAAAABtZW1f -aW5pdDogRURDIG92ZXJjb21taXR0ZWQgYnkgJWQgYnl0ZXMKAAAAAAAAAABtZW1faW5pdDogbm90 -IGVub3VnaCBtZW1vcnkgdG8gYWxsb2NhdGUgZmxvdyB0YWJsZQoAAAAAAAAAAAAAAAAAY3hjbmlj -X2RldmljZV9pbml0OiBjeGNuaWMgWzB4JTB4XSwgY3hjbmljLT5maWx0ZXIgWyUweF0KAAAAAAAA -AHBvZmNvZSBpbml0IGRvbmUKAAAAAAAAAAAAAAAAAAAAUG9ydFsldV06IFVua25vd24gU0dNSUkg -c3ViLXR5cGUgJSN4CgAAAAAAAAAAAAAAUG9ydFsldV06IFVua25vd24gQlRfWEZJIHN1Yi10eXBl -ICUjeAoAAAAAAAAAAAAAcG9ydF9pbml0WyV1XTogcG9ydCB0eXBlIDB4JXggaXMgbm90IHN1cHBv -cnRlZAoAbXBhcnRpdGlvbl9pbml0OiBtb3ZlZCBwbXJ4X3N0YXJ0IGZyb20gMHglMDh4IHRvIDB4 -JTA4eCB0byBtYWtlIHJvb20gZm9yIExFIEhBU0ggYW5kL29yIFRQIFRDQnMKAAAAAAAAAAAAAAAA -AAAAAG1wYXJ0aXRpb25faW5pdDogbW92ZWQgcG1yeF9zdGFydCBmcm9tIDB4JTA4eCB0byAweCUw -OHggKEVEUkFNKQoAAAAAAAAAAAAAAAAAAAAARVEgcGZuICV1IHZmbiAldTogZGVzdHJveWluZyBl -cWlkICV1IHdpdGggcGVuZGluZyBXUihzKSAobnVtX2J5dGVzICV1IGFuZCBmbGFncyAweCUwOHgK -AAAAAAAAAAAAbDJkZXZfZmMtPmZsb3djX2lkIFsldV0sIGwyZGMtPnBmbiBbJXVdLCBsMmRjLT52 -Zm4gWyV1XSwgbDJkYy0+bHBvcnQgWyV1XSwgbDJkZXZfZmMtPmZsb3dpZCBbJXVdIGwyZGMtPnR4 -X2NoIFsldV0sIGRldi52cGQucG9ydHZlYyBbJXhdCgAAAAAAAAAAcG9ydHZlYyBbJXVdCgAAAGwy -ZGV2X3ZpX2ZzbTogbWIgWzB4JXhdLCBkZWZlcnJlZCwgc3RhdGUgWzB4JXhdLCBwb3J0IFsweCV4 -XQoAAABsMmRldl92aV9mc206IHZpaWQgWzB4JXhdIHBvcnQgWzB4JXhdLCBtYWMtaWQgWyUwMng6 -JTAyeDolMDJ4OiUwMng6JTAyeDolMDJ4XS4gCgAAAAAAAAAAAAAAAAAAAABsMmRldl92aV9mc206 -IHNnZV9lcWlkIFsweCV4XSwgc2dlX2lxaWQgWzB4JXhdLCBzZ2VfZXFjciBbMHgleF0sIHJzc19z -eiBbMHgleF0KAGwyZGV2X3ZpX2ZzbTogbDJkZXZfZmMtPmZsb3djX25ldF9sMmRldl9tdHUgWyV1 -XSwgbWJfc2NyYXRjaCBbMHgleF0sIHBvcnQgWzB4JXhdCgAAAAAAAAAAAAAAAAAAAGwyZGV2X3Zp -X2ZzbTogdmlpZCBbJWRdLCB2aV9mYy0+Zmxvd2NfdmlfZmxhZ3MgWzB4JXhdCgAAAAAAAAAAAABs -MmRldl92aV9mc206IHBmbiBbMHgleF0sIHZmbiBbMHgleF0sIGwyZGV2X2ZjLT5mbG93Y19pZCBb -MHgleF0sIGxwb3J0IFsweCV4XSwgdmlpZCBbMHgleF0sIGZsYWdzIFsweCV4XQoAAAAAAAAAbDJk -ZXZfdmlfZnNtOiBFcnJvciBmcmVlaW5nIFZJLCByYyBbMHgleF0KAAAAAAAAbDJkZXZfdmlfZnNt -OiBwaWQgWzB4JXhdLCB2aWlkIFsweCV4XSwgbWJfbG9jIFsweCV4XSwgbWJfb3JpZ1sweCV4XSwg -bDJkZXZfZmxhZ3MgWzB4JXhdLCByYyBbMHgleF0KAAAAAAAAAAAAAAAAAEFoIGhhLi4uZG91Ymxl -IGZyZWUgb3hfaWQgMHgleCwgcnhfaWQgMHgleAoAAAAAAEhvc3QgUFJMSSBSZXNwb25zZSB0aW1l -ZG91dDogb3hfaWQgMHgleCByeF9pZCAweCV4CgAAAAAAAAAAAAAAAABGQ09FIEZyZWU6IHN0aWxs -IHlpZWxkZWQgd2hlbiBmcmVlaW5nLi4uZmxvd2NfaWQgJXggZmxvd2NfZmxhZ3MgJXggCgAAAAAA -AAAAAAAAAEZDIHhjaGcgZnJlZSB4aWQ6JWQgZmxvd2lkICVkCgAAcGZuICV1IHZmbiAldSB2aWEg -Y29tbWFuZAoAAAAAAABjb25maWd1cmF0aW9uIGZpbGUgcGFyc2VyOiBwbCB0aW1lb3V0IHZhbHVl -IGlzIHRvbyBsYXJnZSwgY2hhbmdpbmcgZnJvbSAldSB0byAldXVzZWNzCgAAAAAAAAAAAABQTF9Q -Q0lFX0xJTksuc3BlZWQgb2YgJXUgaXMgbm90IHN1cHBvcnRlZApmaWxlLCByZXQgRldfRUlPCgAA -AAAAc2NoZWRfaW9xdHhfYnBfcHJpb3JpdHk6IGhhcyAldSBlbnRyaWVzIG9ubHksIHJlcXVpcmVz -ICV1IGVudHJpZXMKAAAAAAAAAAAAAAAAAAB0cF9iYWNrb2ZmOiBwYXJzZWQgJWQgaW5zdGVhZCBv -ZiAldSBlbnRyaWVzCgAAAAB0cF90aW1lcnZhbHM6IHBhcnNlZCAlZCBpbnN0ZWFkIG9mICV1IGVu -dHJpZXMKAAB0cF90aW1lcnJlczogcGFyc2VkICVkIGluc3RlYWQgb2YgJXUgZW50cmllcwoAAAB0 -cF9tdHVzIGhhcyAldSBlbnRyaWVzIG9ubHksIHJlcXVpcmVzICV1IGVudHJpZXMKAAAAAAAAAAAA -AAAAAAAAdHBfbXR1c1sldV0gaXMgJXUgYnl0ZXMgd2hpY2ggaXMgbm90IHN1cHBvcnRlZAoAY29u -ZmlndXJhdGlvbiBmaWxlIHBhcnNlcjogc2dlIHRpbWVyIHZhbHVlWyVpXSBpcyB0b28gbGFyZ2Us -IGNoYW5naW5nIGZyb20gJXUgdG8gJXV1c2VjcwoAAAAAAAAAZmlsdGVybWFzayAweCV4IGlzIG5v -dCBlcXVhbC9zdWJzZXQgdG8vb2YgZmlsdGVybW9kZQoAAAAAAAAAAAAAAGh3X2xlX2NsaXBfaGFu -ZGxlcjogcmVtb3ZlZCBwb3M9JXUgKD1pZHggJXUpCgAAAGh3X2xlX2NsaXBfaGFuZGxlcjogYWRk -aW5nIHRvIHBvcz0ldSAoPWlkeCAldSkKAG1vZHVsZVsldV06IHBvcnQgbW9kdWxlIGluc2VydGVk -IGFuZCByZWFkeQoAAAAAAG1vZHVsZVsldV06IHBvcnQgbW9kdWxlIHJlbW92ZWQKAAAAAAAAAAAA -AAAAAAAAAG1vZHVsZVsldV06IHVua25vd24gbW9kdWxlIGlkZW50aWZpZXIgMHglMDJ4CgAAAG1v -ZHVsZVsldV06IGdwaW8gJXUgdHJhbnMgMTBHIDB4JTAyeCAxRyAweCUwMnggKGxlbmd0aCAldSkg -Y2FibGUgMHglMDJ4IChsZW5ndGggJXUpIG1vZHVsZV90eXBlIDB4JTAyeAoAAAAAAAAAAABtb2R1 -bGVbJXVdOiBncGlvICV1IHRyYW5zIDEwRyAweCUwMnggMUcgMHglMDJ4IChsZW5ndGggJXUpIGNh -YmxlIDB4JTAyeCAobGVuZ3RoICV1KSBtb2R1bGVfdHlwZSAweCUwMngKAAAAAAAAAAAAY3JfbW9k -dWxlX3J4X2xvc1sldV06IHJ4X2xvcyBjaGFuZ2VkIHRvICV1CgAAAAAATUM6IGV4cGVjdGVkIHN0 -YXRlIHRvIHN3aXRjaCB0byBDRkcuAAAAAAAAAAAAAAAATUM6IGV4cGVjdGVkIHN0YXRlIHRvIHN3 -aXRjaCB0byBBY2Nlc3MuAAAAAAAAAAAATUM6IGV4cGVjdGVkIHN0YXRlIHRvIHN3aXRjaCB0byBD -RkcuAAAAAAAAAAAAAAAATUM6IGV4cGVjdGVkIHN0YXRlIHRvIHN3aXRjaCB0byBBY2Nlc3MuAAAA -AAAAAAAASUkuMS5ieCBkcDE4WyV1XSBxWyV1XSAlI3ggJSN4ICUjeCAlI3ggbWluICUjeCBtYXgg -JSN4CgAAAAAAAAAAAElJLjEuYy1kLiAlI3ggJSN4ICUjeCAlI3ggYWxsICAlI3gKAAAAAAAAAAAA -AAAAAElJLjIuYiAoJSN4IC0gJSN4ICsgJSN4KSAlIDEyOCA9ICUjeAoAAAAAAAAAAAAAAElJLjMg -aW5ld18xZSBhZnRlciBsaW1pdCBjb21wdXRlIGl0ZW1wXzFlICV4LCBpbmV3XzFlICV4CgAAAAAA -AABJSS4zLiBpdGVtcF8xZSAlI3ggaW5ld18xZSAlI3ggaW5ld18xZSAlZAoAAAAAAABJSS40LiBz -ZXRfMWUgJSN4CgAAAAAAAAAAAAAAAAAAAE1DOiBjYWxpYnJhdGlvbiBmYWlsZWQgZm9yIGVycmF0 -YTI5IGRwMTggJXUKAAAAAElWLjEuIGRwMThbJXVdIHBoYXNlX3NlbCBiZWZvcmUgJSN4IGFmdGVy -ICUjeCwgZ2F0ZV9kZWxheSAlI3gKAABNQyBlcnJhdGEyOSBpc3N1ZTogZHAxOCAldSBxdWFkICV1 -IGNhbm5vdCBiZSBkZWNyZWFzZWQKAAAAAAAAAAAATUMgZXJyYXRhMjkgaXNzdWU6IGRwMTggJXUg -cXVhZCAldSBjYW5ub3QgYmUgZGVjcmVhc2VkCgAAAAAAAAAAAE1DIGVycmF0YTI5IGlzc3VlOiBk -cDE4ICV1IHF1YWQgJXUgY2Fubm90IGJlIGRlY3JlYXNlZAoAAAAAAAAAAABNQyBlcnJhdGEyOSBp -c3N1ZTogZHAxOCAldSBxdWFkICV1IGNhbm5vdCBiZSBkZWNyZWFzZWQKAAAAAAAAAAAAdGVtcDJf -MWUrMHgxMCA9ICUjeAoAAAAAAAAAAAAAAABNQzogY2FsaWJyYXRpb24gZmFpbGVkIGZvciBlcnJh -dGEyMSBpdGVyYXRpb24gJXUKAAAAAAAAAAAAAAAAAAAATUMgZXJyYXRhIDIxOiBkcDE4WyV1XSBw -cjAgbjAyIGZhaWxlZCB0byBnZXQgYXZlcmFnZQoAAAAAAAAAAAAAAE1DIGVycmF0YSAyMTogZHAx -OFsldV0gcHIwIG4xMyBmYWlsZWQgdG8gZ2V0IGF2ZXJhZ2UKAAAAAAAAAAAAAABNQyBlcnJhdGEg -MjE6IGRwMThbJXVdIHByMSBuMDIgZmFpbGVkIHRvIGdldCBhdmVyYWdlCgAAAAAAAAAAAAAATUMg -ZXJyYXRhIDIxOiBkcDE4WyV1XSBwcjEgbjEzIGZhaWxlZCB0byBnZXQgYXZlcmFnZQoAAAAAAAAA -AAAAAE1DIGluaXRpYWxpemF0aW9uIGZhaWxlZDogREZJIGluaXQgbm90IGdvaW5nIHRvIDAKAAAA -AAAAAAAAAAAAAABNQyBpbml0aWFsaXphdGlvbiBmYWlsZWQ6IERGSSBpbml0IG5vdCBjb21wbGV0 -aW5nCgAAAAAAAAAAAAAAAAAATUMgaW5pdGlhbGl6YXRpb24gZmFpbGVkOiBDYWxpYnJhdGlvbiBk -aWRuJ3QgY29tcGxldGUuCgAAAAAAAAAAAERQMTggJXUsIGJ5dGVfbGFuZSAldSwgYml0X3NlbGVj -dCAldQoAAAAAAAAAAAAAAERQMTggJXUsIGJ5dGVfbGFuZSAldSwgYml0X3NlbGVjdCAldQoAAAAA -AAAAAAAAAE1DIGZhaWxlZCB0byBnZXQgVVBDVEwgcG93ZXIgdXAgZG9uZQoAAAAAAAAAAAAAAE1D -IGluaXRpYWxpemF0aW9uIGZhaWxlZDogRGlkbid0IGdldCBhbGwgRFAxOHMgbG9ja2VkCgAAAAAA -AAAAAABNQyBpbml0aWFsaXphdGlvbiBmYWlsZWQ6IERpZG4ndCBnZXQgYm90aCBBRFJzIGxvY2tl -ZAoAAAAAAAAAAAAAQ3VycmVudCBTbGV3IHR4X3JvdyAlZDogdHhfY29sICVkLCB2YWwgJWQKAAAA -AAAAQ3VycmVudCBTbGV3IGFkZHJfcm93ICVkOiBhZGRyX2NvbCAlZCwgdmFsICVkCgAATUMgaW5p -dGlhbGl6YXRpb24gZmFpbGVkOiBTTEVXX0RPTkVfU1RBVFVTIG5ldmVyIHRvZ2dsZWQAAAAAAAAA -AGZscl9wZnZmX2ZzbVsldToldV06IHVua25vd24gc3RhdGUgJXUKAAAAAAAAAAAAAGh3IHBmIGJp -dG1hcCAweCUwMnggdmZpZCBiaXRtYXAgMHglMDh4OjB4JTA4eDoweCUwOHg6MHglMDh4CgAAAABh -ZnRlciB2ZmlkIGZpeHVwLCB2ZmlkIGJpdG1hcCAweCUwOHg6MHglMDh4OjB4JTA4eDoweCUwOHgK -AAAAAAAATUNbJXVdOiBmYWlsZWQgdG8gc3dpdGNoIGNvbnRyb2xsZXIgdG8gQ0ZHIHN0YXRlCgAA -AAAAAAAAAAAAAAAAAE1DWyV1XTogZmFpbGVkIHRvIHN3aXRjaCBjb250cm9sbGVyIHRvIElOSVRf -TUVNIHN0YXRlCgAAAAAAAAAAAABNQ1sldV06IGZhaWxlZCB0byBzd2l0Y2ggY29udHJvbGxlciB0 -byBDRkcgc3RhdGUKAAAAAAAAAAAAAAAAAAAATUNbJXVdOiBwZXJpb2RpYyBjYWxpYnJhdGlvbiBm -YWlsZWQgd2l0aCBlcnJvciAldQoAAAAAAAAAAAAAAAAAAHRpbWVyIHF1ZXVlICV1IGxvc3QgYSB0 -aWNrISBuZXh0ICVwIGxhc3QgJXAgbnVtZSAldQoAAAAAAAAAAAAAAABmbHJfdGltZXJfc3RhcnQ6 -IGZsb3djX2lkICV1ICVwIGJ1ZiAlcAoAAAAAAAAAAABNQUM6IFBMTHMgZGlkbid0IGxvY2sKAAAA -AAAAAAAAAHBjaWU6IHJlYWQgZnJvbSBzZXJjZmcgcGNpZV9pcF91cl9tYXhmdW5jIDB4JXggcGZi -aXRtYXAgMHgleAoAAABwY2llOiBucGYgJXUgKHBmYml0bWFwIDB4JTAyeCkgbnZmICV1IChwZiAw -Li43IDB4JTA4eCUwOHgpIHZmc3RyaWRlICV1CgAAAAAAAAAAAGZhaWxlZCB0byBmaW5kIHRoZSAl -YyVjIFZQRCBwYXJhbWV0ZXIKAAAAAAAAAAAAAGZhaWxlZCB0byBwYXJzZSB0aGUgJWMlYyBWUEQg -cGFyYW1ldGVyCgAAAAAAAAAAAGZhaWxlZCB0byBzdWNjZXNzZnVsbHkgZmluZCBDaGVsc2lvIFZQ -RAoAAAAAAAAAAGxvZyBpbml0aWFsaXplZCBAIDB4JTA4eCBzaXplICV1ICgldSBlbnRyaWVzKSBm -d3JldiAweCUwOHggcGNpZV9mdyAweCUwOHgKAAAAAAAAYm9vdHN0cmFwIGZpcm13YXJlIHRvb2sg -JXUgbXNlY3MgdG8gcnVuCgAAAAAAAAAAUEkgZXJyb3IgZmxvd2lkX2xlbjE2IDB4JXgsIGFwcF90 -YWcgMHgleCwgcmVmX3RhZyAweCV4LCBwaXNjICUwNHggJTA0eCAlMDR4ICUwNHgKAAAAAAAAAAAA -AAAAAAAAZmxvd2MgJXUgKFNHRSBlcWlkICV1KSAoRVRIQ1RSTCBxdWV1ZSkgZXhwZXJpZW5jZWQg -YSBQQ0kgRE1BIFJFQUQgd29yayByZXF1ZXN0IGVycm9yIChpbmJvdW5kIHF1ZXVlICV1KQoAAAAA -AAAAAGZsb3djICV1IChTR0UgZXFpZCAldSkgZXhwZXJpZW5jZWQgYW4gdW5leHBlY3RlZCBQQ0kg -RE1BIFJFQUQgd29yayByZXF1ZXN0IGVycm9yIChpbmJvdW5kIHF1ZXVlICV1KQoAAAAAAAAAAAAA -AABmbG93YyAldSBleHBlcmllbmNlZCBhbiB1bmV4cGVjdGVkIFBDSSBETUEgUkVBRCBlcnJvciAo -aW5ib3VuZCBxdWV1ZSAldSkKAAAAAAAAAGdhdGhlcl90YXNrc19mb3JfdG1mOiBpZHggWzB4JXhd -LCB0YXNrLWlkIFsweCV4XSwgY21kLWlkIFsweCV4XSwgYWN0aXZlIHRhc2tzIFsweCV4XS4gY29u -bi1pZCBbMHgleF0sIGNtZCBjb25uLWlkIFsweCV4XSwgdGFzayBjb25uLWlkIFsweCV4XQoAAGdh -dGhlcl90YXNrc19mb3JfdG1mOiBJbnZhbGlkIHR5cGUgWzB4JXhdLCBiYWlsaW5nIG91dC4KAAAA -AAAAAABnYXRoZXJfdGFza3NfZm9yX3RtZjogdGFzayBpZCBbMHgleF0sIHN0YXRlIFsweCV4XSwg -bGlkeCBbMHgleF0sIGNvb2tpZSBoaSBbMHglMDh4XSA6IGxvIFsweCUwOHhdCgAAAAAAAAAAAAAA -AAAAZ2F0aGVyX3Rhc2tzX2Zvcl90bWY6IHJjIFsweCV4XSwgWzB4JXhdIHRhc2sgZ2F0aGVyZWQg -Zm9yIHRtZiB0eXBlIFsweCV4XSBwcm9jZXNzaW5nLgoAAAAAAAAAAAAAc2NzaV9kYXRhX291dDog -Y29ubl9mYyBbMHgleF0sIHN0YXRlIFsweCV4XSwgc2Vzc19mYyBbMHgleF0gaW4gcmVjb3Zlcnku -IFNraXBwaW5nIGlzdGFza19mYyBbMHgleF0gZnJvbSBUWC4KAAAAAHNlbmRfYWJvcnRfcmVxOiBj -c2tfZmMtPmZsb3djX3R5cGUgWzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgdGlkIFsw -eCV4XSwgdWxwdHhjaCBbJXVdLCBidWZmZXJlZCBbJXVdCgAAAABodyByZWdpc3RlciBvcGVyYXRp -b24gbm90IGNvbXBsZXRpbmcsIHJlZyAweCUwOHggbWFzayAweCUwOHggdmFsdWUgMHglMDh4IChy -ZWcgMHglMDh4KQoAAAAAAAAAAABNRElPIENMNDU6IGZhaWxlZCB0byBzZXQgdXAgTU1EIGFkZHIK -AAAAAAAAAAAAAABNRElPOiBmYWlsZWQgdG8gcmVhZAoAAAAAAAAAAAAAAGh3X2JjbTg0ODU2X2No -ZWNrIGVudHJ5CgAAAAAAAAAAaHdfYmNtODQ4NTZfY2hlY2sgbG9vcCAldSAoY2hlY2sgJSN4KQoA -AAAAAAAAAAAAaHdfYmNtODQ4NTZfY2hlY2sgdXBfcnVubmluZyAobG9vcF9jbnQ9JXUpCgAAAAAA -aHdfYmNtODQ4NTZfY2hlY2sgZmFpbGVkIChiYWQgQ1JDKQoAAAAAAAAAAAAAAAAAUEhZIGZpcm13 -YXJlIGxvYWQgc3VjY2Vzc2Z1bCEgKHdvdy4uLikKAAAAAAAAAAAATURJTyBDTDQ1OiBmYWlsZWQg -dG8gc2V0IHVwIE1NRCBhZGRyCgAAAAAAAAAAAAAATURJTzogZmFpbGVkIHRvIHdyaXRlCgAAAAAA -AAAAAABtaWlfYWR2X2ZjWyV1XTogcmNhcHMgMHgleAoAAAAAAG1paV9hZHZfc3BlZWRbJXVdOiBy -Y2FwcyAweCV4CgAAbmV0aWZfc2V0X21hYzogbDJkZXZfZmMtPmZsb3djX25ldF9sMmRldl9tYnMg -WzB4JXhdCgAAAAAAAAAAAAAAAHJlbW92aW5nIG1hYwoAAABub2RlLT5ncnAgWyUwNHggJTA0eCAl -MDR4ICUwNHhdLCBub2RlX2lkICV1LCByZWZfY250ICV1CgAAAAAAAAAAREFEIGZvciBhZGRyIFsl -MDR4ICUwNHggJTA0eCAlMDR4XQoAAAAAAAAAAAAAAAAAY3BsX3R4X3BrdDogdmxhbmlkIFsweCV4 -XQoAAAAAAABjcGxfdHhfcGt0OiB2bGFuaWQgWzB4JXhdCgAAAAAAAGZsb3djX2lkIFsldV0gbDJk -ZXZfZmMgWzB4JXhdIGFscmVhZHkgcmVjZWl2ZWQgUkEsIG5vdCBzZW5kaW5nIFJTCgAAAAAAAAAA -AAAAAAAAZmxvd2NpZCBbJXVdIGwyZGV2X2ZjIFsweCV4XSBObyBJUHY2IHJvdXRlcgoAAAAAc2Vu -ZF9jbG9zZV9yZXE6IGNza19mYy0+Zmxvd2NfdHlwZSBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQg -WzB4JXhdLCBjc2tfZmMtPnRjYl9zdGF0ZSBbMHgleF0KAAAAc2VuZF9jbG9zZV9yZXE6IGNza19m -Yy0+Zmxvd2NfdHlwZSBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCB0aWQgWzB4JXhd -LCB1bHB0eGNoIFsldV0sYnVmZmVyZWQgWyV1XQoAAAAAAG9mbGRfdGNwX2RvX2FjdGl2ZV9jbG9z -ZTogY3NrX2ZjIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNza19mYy0+dGNiX3N0 -YXRlIFsweCV4XQoAAAAAAG9mbGRfdGNwX2RvX2FjdGl2ZV9jbG9zZTogY3NrX2ZjIFsweCV4XSwg -Y3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNza19mYy0+dGNiX3N0YXRlIFsweCV4XQoAAAAAAG9m -bGRfdGNwX2Rpc2Nvbm5lY3Q6IHRjYl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPmZsb3dj -X2lkIFsweCV4XSwgY3NrLT50Y2Jfc3RhdGUgWzB4JXhdCgAAAGRlY29kZV9iYXNlNjRfc3RyaW5n -OiBkbGVuIFslZF0KAAAAAAAAAAAAAAAAAAAAAGRlY29kZV9oZXhfc3RyaW5nOiBkbGVuIFslZF0K -AAAAZm9pc2NzaV92YWxpZGF0ZV9sb2dpbl9zdGFnZTogLSAxCgAAAAAAAAAAAAAAAAAAYXN5bmNf -cGR1OiBsb2dvdXQgcmVxdWVzdGVkIGJsb2NraW5nIHNlc3Npb24KAAAAYXN5bmNfcGR1OiBzZXNz -L2Nvbm4gZHJvcCByZXF1ZXN0ZWQgYmxvY2tpbmcgc2Vzc2lvbgoAAAAAAAAAAAAAAGNwbF90eF9w -a3Q6IHZsYW5pZCBbMHgleF0KAAAAAAAAcmVpbml0IGxpbmstbG9jYWwgYWRkcmVzcwoAAAAAAABu -ZXRfbDJkZXZfZmluZF9ieV9hZGRyOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBsMmRjLT5s -cG9ydCBbJXVdLCBsMmRfZmMtPmZsb3djX2lkIFsweCV4XSwgbDJkYy0+aW40X2Rldi5pbl9hZGRy -LmFkZHIgWzB4JXhdLCBhZGRyIFsweCV4XQoAAABuZXRfbDJkZXZfbXR1X2NvbmZpZzogbDJkZXZf -ZmMtPmZsb3djX2lkIFsweCV4XSwgbXR1ICV1CgAAAAAAAAAAbmV0aWZfZG9fZGhjcDogd3ItPnBh -cmFtLnZsYW5pZCBbJXVdLCBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X3ZsYW5kZXYgWzB4JXhd -CgBjcGxfdHhfcGt0OiB2bGFuaWQgWzB4JXhdCgAAAAAAAGVuY29kZSBoZXggc3RyaW5nOiBkbGVu -IFslZF0KAAAAY2huZXRfZmluZF9sMnRfZW50cnk6IGRhZGRyIFslMDh4XSwgWzB4JTA4eF0sIGxv -Y2FsIG5ldHdvcmsgWyVkXQoAAAAAAAAAAAAAAAAAAABsMnRlbnQgWyUweF0sIGwydGVudC0+aWR4 -IFslZF0KAHRjcF9zZW5kX2FvcGVuX3JlcTogY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNza19m -Yy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBidWZmZXJlZCBbJXVdLCByZXNfY250IFsweCV4XSwgaXFf -aWR4IFsweCV4XQoAAAAAAAAAAAAAdGNwX3NlbmRfYW9wZW5fcmVxOiBjc2tfZmMtPmZsb3djX2lk -IFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIG5vIHZhbGlkIGwydF9lbnR5LiBE -ZWxheWluZyBhbm90aGVyIHJldHJ5IGZvciAxIHNlY29uZHMuCgAAAAAAAAAAAAAAAAAAYW9wZW5f -cmVxOiBod19sZV9maWx0ZXJfY3R1cGxlIGZhaWxlZAoAAAAAAAAAAAAAb2ZsZF90Y3Bfc2VuZF9h -b3Blbl9yZXE6IGNwbF9yZXEtPkZpbHRlcl9oaSBbMHglMHhdLCBjcGxfcmVxLT5GaWx0ZXJfbG9f -RkNvRU1hc2sgWzB4JTB4XSwgY3R1cGxlc1swXSBbMHgleF0sIGN0dXBsZXNbMV0gWzB4JXhdCgBj -b25uZWN0aW9uIG92ZXIgaXB2NiwgbDJkZXYgZmxvd2NfaWQgMHgleAoAAAAAAABjc29ja19hbGxv -YzogdHhfY2ggWzB4JXhdLCBscG9ydCBbMHgleF0sIGNvb2tpZSBbJTA4eF0KAAAAAAAAAAAAY3Nv -Y2tfYWxsb2M6IGF2YWlsYWJsZSBbJXVdLCBuY3NvY2sgWyV1XSwgcG9zOmF0aWQgWzB4JXhdLCBj -c2tfZmMgWzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgc3BvcnQgWyV1XQoAAFdBVENI -RE9HOiBObyB0ZW1wZXJhdHVyZSBzZW5zb3IgYXZhaWxhYmxlLgoAAAAAAHdhdGNoZG9nIGNtZCBy -ZWZyZXNoIChhY3Rpb24gJXUpCgAAAAAAAAAAAAAAAAAAAFdBVENIRE9HOiBBY3RpdmF0aW5nCgAA -AAAAAAAAAAAAV0FUQ0hET0cgLSBFbmFibGUgYWN0aW9uICV1IHRpbWUgJXUKAAAAAAAAAAAAAAAA -V0FUQ0hET0cgLSBEaXNhYmxlIGFjdGlvbiAldQoAAABXQVRDSERPRzogRGUtYWN0aXZhdGluZwoA -AAAAAAAAAHBvcnRbJXVdIHNldCBQQVVTRSBQQVJBTVM6IHBwcGVuICV1IHR4cGUgJSN4IHJ4cGUg -JSN4CgAAAAAAAAAAAABtcHNfbGlua191cFsldV0gYWNhcHMgJSN4ICg4MDIuMyAlI3gpICsgbHBh -Y2FwcyAlI3ggPT4gJSN4CgAAAAAAaXB2Nl9oYW5kbGVfbGlua19kb3duIGZsb3djX2lkIDB4JXgK -AAAAAAAAAAAAAAAAaXB2Nl9oYW5kbGVfbGlua191cCBmbG93Y19pZCAweCV4CgAAAAAAAAAAAAAA -AAAAZm9pc2NzaSBjb25uX2ZjIFsweCV4XSwgZmxvd2Nfc2NoZWRjbCBbMHgleF0sIGluZ19jaCBb -MHgleF0sIGVncl9jaCBbMHgleF0KAAAAAABsMmRldl9ub3RpZnkgd2l0aCB1bmtub3duIGZsYWcg -WzB4JXhdCgAAAAAAAAAAAABGQ29FIEZDQiBsaW5rZG93bjogaW9fcmVxIDB4JXgleCBpcWlkIDB4 -JXggZmxvd2lkIDB4JXggb3AgMHgleAoAY2FuY2VsIGZjYjoleCBzY2I6JXggc3RhdGU6JXgKAABS -REVWIG1zZyBmbG93YzoleCBzdGF0ZSAweCV4IGV2ZW50IDB4JXgKAAAAAAAAAAB2bjJ2bjogcG9y -dCAweCV4IGRpZDoweCV4JXgleCBVUAoAAAAAAAAAAAAAAAAAAAB2bjJ2bjogcG9ydCAweCV4IGRp -ZDoweCV4JXgleCBET1dOCgAAAAAAAAAAAAAAAABmY19zZW5kX2FsbG9jX2NwbDogZmFpbGVkIHRv -IHNldHVwIGZpbHRlciBjdHVwbGUKAAAAAAAAAAAAAAAAAAAAZmNvZV9jb21wdXRlX2N0dXBsZSAw -eCV4OiV4CgAAAABjb21wdXRlX2N0dXBsZSgpOiBmYWlsZWQgdG8gc2V0dXAgZmlsdGVyIGN0dXBs -ZQoAAAAAAAAAAAAAAAAAAAAAZmNvZV9jb21wdXRlX2N0dXBsZSB2bGFuICV4IHZpaWQgJXggcG9y -dCAleCBtcHNfaWR4ICV4CgAAAAAAAAAAAEFwcGx5IEFQUDogcG9ydCAlZCBwcmlvciAlZCBzZWxl -Y3QgJWQgcHJvdG9jb2xJRCAweCUwNHgKAAAAAAAAAABjaF9jbF9yYXRlWyV1LyV1XTogY2FwcGVk -IGRlZmljaXRfaW5jciBmcm9tIHJlcXVpcmVkICV1IHRvICV1OyByYXRlICV1IChlZmYgJXUpIGRl -ZmljaXRfbWF4ICV1CgBmY19zZW5kX2FsbG9jX2NwbDogZmFpbGVkIHRvIHNldHVwIGZpbHRlciBj -dHVwbGUKAAAAAAAAAAAAAAAAAAAAZmNvZV9jb21wdXRlX2N0dXBsZSAweCV4OiV4CgAAAABjb21w -dXRlX2N0dXBsZSgpOiBmYWlsZWQgdG8gc2V0dXAgZmlsdGVyIGN0dXBsZQoAAAAAAAAAAAAAAAAA -AAAARkNvRSBGQ0YgdGltZXI6IGZsb3djIHN0YXRlIDB4JXgsIHBvcnQgMHgleCAsZmNmIDB4JXgs -IGZsb3djX2lkIDB4JXgKAAAAAAAAAAAAAAByaV93cl9pbml0WyV1XTogbXNzICV1IGlzIG5vdCA4 -LWJ5dGUgYWxpZ25lZAoAAABjb3JlX3Byb2dyYW1fdGNiOiB0aWQgJSN4IHRfc3RhdGUgJSN4IHJj -dl9hZHYgMHglMDh4IHJjdl9zY2FsZSAlI3ggdHhfbWF4ICUjeCByY3Zfbnh0ICUjeCBhdGlkICUj -eAoAAAAAAAAAAAAAAAAACW9wdDAgJSN4JXggb3B0MiAlI3ggaXB2NiAlI3ggZmxhZ3NfdGltZXIg -MHglMDh4CgAAAAAAAAAAAAAAAAAAAG9mbGRfY29ubmVjdGlvbl93cjogY29ubmVjdGlvbiB3aXRo -IDUtdHVwbGUgbHAgMHglMDR4IGZwIDB4JTA0eCBsaXAgMHglMDh4JTA4eCBwaXAgMHglMDh4JTA4 -eCBmaWx0ZXIgMHglMDh4IGV4aXN0cyBAIExFIGluZGV4ICV1CgAAAAAAAAAAAAAAAAAAAG9mbGRf -Y29ubmVjdGlvbl93cjogY29ubmVjdGlvbiB3aXRoIDUtdHVwbGUgbHAgMHglMDR4IGZwIDB4JTA0 -eCBsaXAgMHglMDh4IHBpcCAweCUwOHggZmlsdGVyIDB4JTA4eCBleGlzdHMgQCBMRSBpbmRleCAl -dQoAAAAAAAAAb2ZsZF9jb25uZWN0aW9uX3dyOiBjb25uZWN0aW9uIHdpdGggNS10dXBsZSBscCAw -eCUwNHggZnAgMHglMDR4IGxpcCAweCUwOHglMDh4IHBpcCAweCUwOHglMDh4IGZpbHRlciAweCUw -OHgKAAAAAG9mbGRfY29ubmVjdGlvbl93cjogY29ubmVjdGlvbiB3aXRoIDUtdHVwbGUgbHAgMHgl -MDR4IGZwIDB4JTA0eCBsaXAgMHglMDh4IHBpcCAweCUwOHggZmlsdGVyIDB4JTA4eAoAAAAAAAAA -AAAAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGlxZXNpemUgJXUgdG9vIHNtYWxsCgAAAABJUUZM -SU5UIHBmbiAldSB2Zm4gJXU6IGlxaWQgJXUgdG9vIGxhcmdlIChtYXggJXUpCgAAAAAAAAAAAAAA -AAAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBpcWlkICV1IG5vdCBhbGxvY2F0ZWQKAAAASVFGTElO -VCBwZm4gJXUgdmZuICV1OiBmbDBpZCAldSB0b28gbGFyZ2UgKG1heCAldSkKAAAAAAAAAAAAAAAA -AElRRkxJTlQgcGZuICV1IHZmbiAldTogZmwwaWQgJXUgbm90IGFsbG9jYXRlZAoAAElRRkxJTlQg -cGZuICV1IHZmbiAldTogZmwxaWQgJXUgdG9vIGxhcmdlIChtYXggJXUpCgAAAAAAAAAAAAAAAABJ -UUZMSU5UIHBmbiAldSB2Zm4gJXU6IGZsMWlkICV1IG5vdCBhbGxvY2F0ZWQKAABJUUZMSU5UIHBm -biAldSB2Zm4gJXU6IGZsMWlkICV1IGlzIHZhbGlkIGJ1dCBub3QgZmwwaWQgJXUKAAAAAAAASVFG -TElOVCBwZm4gJXUgdmZuICV1OiBmbDFpZCAldSBpcyB2YWxpZCBidXQgaGVhZGVyIHNwbGl0IGZl -YXR1cmUgaXMgbm90IGVuYWJsZWQKAAAAAAAAAAAAAAAAAAAAaHdfdWxwdHhfd29ya2Fyb3VuZF9w -cjE2OTQ5X2VuYWJsZWRfcGY6IHBmICV1IGVuYWJsZWQgJXUKAAAAAAAAAGh3X3VscHR4X3dvcmth -cm91bmRfcHIxNjk0OV9lbmFibGVkX3ZmaWQ6IHZmaWQgJXUgZW5hYmxlZCAldQoAAABFUSBwZm4g -JXUgdmZuICV1OiBjcmVhdGluZyBFVEggZXFpZCAldSB3aXRoIHBlbmRpbmcgV1IocykgKG51bV9i -eXRlcyAldSBhbmQgZmxhZ3MgMHglMDh4CgAAAAAAAABFUSBwZm4gJXUgdmZuICV1OiBjcmVhdGlu -ZyBDVFJMIGVxaWQgJXUgd2l0aCBwZW5kaW5nIFdSKHMpIChudW1fYnl0ZXMgJXUgYW5kIGZsYWdz -IDB4JTA4eAoAAAAAAABFUSBwZm4gJXUgdmZuICV1OiBlcWlkICV1IHRvbyBsYXJnZSAobWF4ICV1 -KQoAAABFUSBwZm4gJXUgdmZuICV1OiBlcWlkICV1IG5vdCBhbGxvY2F0ZWQKAAAAAAAAAABwb3J0 -X2JsaW5rX2xlZF9yZXN0b3JlCgAAAAAAAAAAAHBvcnRfYmxpbms6IGJsaW5rZHVyPTB4JXggYmxp -bmtfcmVmY250CgAAAAAAAAAAAHBvcnRfYmxpbms6IAlibGlua19yZWZjbnQ9MHgleAoAcG9ydF9i -bGluazogCWJsaW5rX3JlZmNudD0weCV4CgBtaWlfYW5yZXN0YXJ0WyV1XTogYWNhcHMgMHgleAoA -AHBvcnRfY21kX2hhbmRsZXI6IHVua25vd24gdS5kY2IudHlwZSAweCV4CgAAAAAAAHBvcnRbJXU6 -MHglMDJ4OjB4JTAyeF06IGwxY2ZnLCBpbnZhbGlkIHJlcXVlc3QsIHBjYXBzIDB4JXggYWNhcHMg -MHgleCByY2FwcyAweCV4CgAAAAAAAAAAAAAAAAAAAHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IGwx -Y2ZnLCBwY2FwcyAlI3ggYWNhcHMgJSN4IHJjYXBzICUjeCBtY2FwcyAlI3gKAAAAAAAAAAAAcG9y -dFsldToweCUwMng6MHglMDJ4XTogbDFjZmcsIG1kaSBpc3N1ZSBwY2FwcyAweCV4IGFjYXBzIDB4 -JXggcmNhcHMgMHgleAoAAAAAAABwb3J0WyV1OjB4JTAyeDoweCUwMnhdOiBsMWNmZywgY2Fubm90 -IGZvcmNlIG5vL211bHRpcGxlIHNwZWVkKHMpLCBwY2FwcyAweCV4IGFjYXBzIDB4JXggcmNhcHMg -MHgleAoAAAAAAAAAAAAAAAAAZXRoX2Zsb3djX2hhbmRsZXJbMHgleF06IGZsYWdzIDB4JTA4eCBu -dW1fYnl0ZXMgJXUgc2NoZWRjbCAweCV4IC0+IDB4JXgKAAAAAAAAAABzY3NpX2NtZDogcmVjZWl2 -ZWQgVE1GIG9wIFsweCV4XSBmdW5jIFsweCV4XSBvbiBjb25uIFsweCV4XSB0aHJvdWdoIGNvbW1h -bmQgcGF0aC4KAAAAAAAAAAAAAAAAAABzY3NpX2NtZDogY29ubl9mYyBbMHgleF0sIHN0YXRlIFsw -eCV4XSwgc2Vzc19mYyBbMHgleF0gaW4gcmVjb3ZlcnkuIFNraXBwaW5nIGlzdGFza19mYyBbMHgl -eF0gZnJvbSBUWC4KAAAAAAAAAAAAc2NzaV9jbWQ6IGlTQ1NJIGNvbW1hbmQgc2VxdWVuY2Ugd2lu -ZG93IGNsb3NlZC4gY29ubiBbMHgleF0sIG9wIFsweCV4XSwgIGNtZHNuIFsweCV4XSwgc2VudF9j -bWRzbiBbMHgleF0sIG1heF9jbWRzbiBbMHgleF0sIGl0dCBbMHgleF0KAAAAAAAAAAAAc2NzaV9y -ZWFkOiBjb25uX2ZjIFsweCV4XSwgc3RhdGUgWzB4JXhdLCBzZXNzX2ZjIFsweCV4XSBpbiByZWNv -dmVyeS4gU2tpcHBpbmcgaXN0YXNrX2ZjIFsweCV4XSBmcm9tIFRYLgoAAAAAAAAAAHNjc2lfcmVh -ZDogaVNDU0kgY29tbWFuZCBzZXF1ZW5jZSB3aW5kb3cgY2xvc2VkLiBjb25uIFsweCV4XSwgY21k -c24gWzB4JXhdLCBzZW50X2NtZHNuIFsweCV4XSwgbWF4X2NtZHNuIFsweCV4XQoAAAAAAAAAAAAA -AAAAAAAAc2NzaV93cml0ZTogY29ubl9mYyBbMHgleF0sIHN0YXRlIFsweCV4XSwgc2Vzc19mYyBb -MHgleF0gaW4gcmVjb3ZlcnkuIFNraXBwaW5nIGlzdGFza19mYyBbMHgleF0gZnJvbSBUWC4KAAAA -AAAAAHNjc2lfd3JpdGU6IGlTQ1NJIGNvbW1hbmQgc2VxdWVuY2Ugd2luZG93IGNsb3NlZC4gY29u -biBbMHgleF0sIGNtZHNuIFsweCV4XSwgc2VudF9jbWRzbiBbMHgleF0sIG1heF9jbWRzbiBbMHgl -eF0sIGl0dCBbMHgleF0KAAAAZGNieF9jb250cm9sX3NtWyV1XSBDT05UUk9MX0xJTktVUAoAAAAA -AAAAAAAAAAAAZGNieF9jb250cm9sX3NtWyV1XSBDT05UUk9MX1VQREFURV9EQ0JYX1RMVgoAAAAA -ZGNieF9jb250cm9sX3NtWyV1XSBDT05UUk9MX1BFRVJfTk9UX0FEVkVSVElTRV9EQ0JYCgAAAAAA -AAAAAAAAAGRjYnhfY29udHJvbF9zbVsldV0gQ09OVFJPTF9VUERBVEVfT1BFUl9WRVJTSU9OCgAA -AAAAAAAAAAAAAAAAAABkY2J4X2NvbnRyb2xfc21bJXVdIENPTlRST0xfUFJPQ0VTU19QRUVSX1RM -VgoAAABkY2J4X2NvbnRyb2xfc21bJXVdIENPTlRST0xfQUNLX1BFRVIKAAAAAAAAAAAAAABkY2J4 -X2llZWVfdmFsaWRhdGVbJXVdIGVycm9yIChvdWkgJSN4IHN1YnR5cGUgJSN4IGxlbiAlI3gpCgAA -AAAAZGNieF9jZWVfdmFsaWRhdGVbJXVdIGVycm9yCgAAAABjaG5ldF9sMnRfdXBkYXRlOiBsMmRl -dl9mYyBbMHgleF0sIGwyZGV2X2ZjLT5mbG93Y19pZCBbJXVdIGwyZGV2X2ZjLT5mbG93Y19mbGFn -cyBbMHgleF0sIGludGYgWzB4JXhdCgAAAAAAAAAAAAAAY2huZXRfbDJ0X3VwZGF0ZTogbDJkZXZf -ZmMtPmZsb3djX2lkIFsldV0gYWxyZWFkeSBzY2hlZHVsZWQKAAAAAGNobmV0X2wydF91cGRhdGU6 -IGluIGRlbGF5ZWRfcHJvY2Vzc2luZywgbDJ0ZW50IFslMDh4XQoAAAAAAAAAAABESENQdjYgUkVQ -TFkgcmVjZWl2ZWQgc3RhdGUgJXUKAGRoY3AgcmVwbHkgcmVjZWl2ZWQgaW4gd3Jvbmcgc3RhdGUg -JWQKAAAAAAAAAAAAAHVua25vd24gc2VydmVyaWQuIElnbm9yaW5nIGRoY3AgcmVwbHkKAAAAAAAA -AAAAAHJlY2VpdmVkIHJlcGx5IHdpdGggZGlmZmVyZW50IGFkZHJlc3MuIGlnbm9yaW5nIGRoY3Ag -cmVwbHkKAAAAAABESENQdjYgQURWRVJUSVNFIHJlY2VpdmVkCgAAAAAAAGRoY3AgYWR2ZXJ0aXNl -IHJlY2VpdmVkIGluIHdyb25nIHN0YXRlICVkCgAAAAAAAGlnbm9yaW5nIHJjdmQgYWR2ZXJ0aXNl -IHByZWZlcmVuY2UgJXUKAAAAAAAAAAAAAHByZWZpeCBub2RlIDB4JXgsIHZhbGlkX2xpZmV0aW1l -ICV1LCBjdXJyZW50X3RpbWUgJXUgZXhwaXJlZCwgZGVsZXRpbmcgaXQKAAAAAAAARGVsZXRlZCBw -cmVmaXg6IDB4WyUwNHggJTA0eCAlMDR4ICUwNHhdCgAAAAAAAAAAU3RhcnQgREhDUHY2IHRvIGdl -dCB0aGUgaXAgYWRkcmVzcwoAAAAAAAAAAAAAAAAATm8gZGhjcCwgZGhjcCBzdGF0ZSAlZCwgYWRk -ciBzdGF0ZSAlZAoAAAAAAAAAAAAAcGluZyByZXEgcGF5bG9hZCB0b28gbGFyZ2UgJXUuIElnbm9y -aW5nIHJlcS4KAAAAUlIgcmN2ZAoAAAAAAAAAAGNobmV0X2lwdjZfcmRfaW5wdXQ6IEludmFsaWQg -UmVkaXJlY3QKAAAAAAAAAAAAAGNobmV0X2lwdjZfbmFfaW5wdXQ6IEludmFsaWQgTkEKAAAAAAAA -AAAAAAAAAAAAAGlwdjYgTkEgcmN2ZAoAAABjaG5ldF9pcHY2X25hX2lucHV0OiBEdXBsaWNhdGUg -YWRkcmVzcyBkZXRlY3RlZCEKAAAAAAAAAAAAAAAAAAAATkEgaW4gcmVwb25zZSBvZiBOUwoAAAAA -AAAAAAAAAABjaG5ldF9pcHY2X25zX2lucHV0OiBJbnZhbGlkIE5TCgAAAAAAAAAAAAAAAAAAAABj -aG5ldF9pcHY2X25zX2lucHV0OiBJbnZhbGlkIE5TIGlwdjZoLT5wbGVuICV1CgBpcHY2IE5TIHJj -dmQKAAAAY2huZXRfaXB2Nl9uc19pbnB1dDogRHVwbGljYXRlIGFkZHJlc3MgZGV0ZWN0ZWQKAAAA -AAAAAAAAAAAAAAAAAGNobmV0X2lwdjZfbnNfaW5wdXQ6IHNvbWVib2R5IHRyeWluZyB0byB1c2Ug -b3VyIGFkZHJlc3MKAAAAAAAAAABjaG5ldF9pcHY2X25zX2lucHV0OiByZXEgZm9yIGFkZHIgcmVz -b2x1dGlvbgoAAABIb3AgYnkgSG9wIG9wdGlvbgoAAAAAAAAAAAAAAAAAAHByb2Nlc3NfZGhjcF9v -cHRzOiByb290IHBhdGggbGVuIFslZF0gYnl0ZXMKAAAAAG5ldGlmX3Byb2Nlc3NfZGhjcF9vcHRz -OiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBNU0dfVFlQRSBbJWRdLCBkaGN0eHQtPnN0YXRl -IFslZF0KAAAAAAAAAAAAAGljbXBfcmVjdjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgcGlk -IFsweCV4XSwgaWNtcCB0eXBlIFsweCV4XQoAAAAAAAAAAAAAAAAAQUJUUyBBQ0MgYXdhaXRpbmcg -UFJMSSBSc3A6IGZsb3djX2lkIDB4JXggb3hfaWQgMHgleCByeF9pZCAweCV4IGlxaWQgMHgleAoA -AAAAAABwb3J0IDB4JXgsIHN0YXRlIDB4JXgsIGNvbW1hbmQgZmFpbGVkIHJldHJpZXMgMHgleAoA -AAAAAAAAAAAAAAAAYXJwX3JlY3Y6IGlwaWQgWzB4JXhdLCBpbl9hZGRyLmFkZHIgWzB4JXhdLCBz -aXAgWzB4JXhdLCByaXAgWzB4JXhdLCBhcnBfb3AgWzB4JXhdCgAAAAAAAAAAAAAAAAAAY2huZXRf -YXJwX3JlY3Y6IGlwIGNvbmZsaWN0IGRldGVjdGVkCgAAAAAAAAAAAAAAY2huZXRfYXJwX3JlY3Y6 -IHBpZCBbJXVdLCB2bGFuIFsweCV4XSwgYXJwIG9wIFsweCV4XSwgc2lwIFsweCV4XSwgcmlwIFsw -eCV4XQoAAABjaG5ldF9pcHY2X3JlY3Y6IHZsYW4gZXh0cmFjdGVkLCB2bGFuaWQgWyV1XSwgbDJk -ZXZfZmMtPmZsb3djX25ldF9sMmRldl92bGFuZGV2IFsweCV4XQoAAAAAAAAAAABJbnZhbGlkIGRh -dGEgbGVuZ3RoIGRsZW4gJXUsIHBhY2tldCBpbmRpY2F0ZXMgJXUgYnl0ZXMKAAAAAAAAAAAAVW5r -bm93biBJUHY2IG54dCBwcm90b2NvbCAldQoAAABJbnZhbGlkIGRpZDp4JTJ4JTJ4JTJ4IHJjdmQg -b24gcG9ydDolZC5Ecm9waW5nIGZyYW1lCgAAAAAAAAAAAAAAcmN0OngleCBzaWQ6eCUyeCUyeCUy -eCByY3ZkIG9uIGZsb3djOiVkLkRyb3BpbmcgZnJhbWUKAAAAAAAAAAAAAGNzb2NrX2ZyZWU6IHNp -emVvZihjc2tfZmMtPnUuY3NvY2spIFsldV0sIGJ5dGVzCgAAAAAAAAAAAAAAAAAAAABHb3QgQ09O -Tl9FWElTVCBmb3IgeGlkOjB4JXgsIHRhZzoweCV4LCByZXRyeWluZy4KAAAAAAAAAAAAAAAAAAAA -Y3NvY2tfcGVlcl9jbG9zZTogY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIHRjYl9mYy0+Zmxvd2Nf -aWQgWzB4JXhdLCBjc2tfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwgdGNiX2ZjLT5mbG93Y19zdGF0 -ZSBbMHgleF0KAAAAAABjc29ja19wZWVyX2Nsb3NlOiBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwg -Y3NrX2ZjLT5mbG93Y19zdGF0ZSAgWzB4JXhdCgAAAAAAAAAAAHRjcF9jbHNfYWJydF9ycGw6IHRj -YiB0aWQgWzB4JTA2eF0sIGZsb3djX3R5cGUgWzB4JXhdLCBjcGxvcCBbMHgleF0gCgAAAAAAAAAA -AAAAY2hfcmF0ZVsldV06IGNhcHBlZCB0aWNrIGZyb20gcmVxdWlyZWQgJXUgdG8gc3VwcG9ydGVk -ICV1OyByYXRlICV1IChlZmYgJXUpIGRlZmljaXRfaW5jciAldSB0aWNrICV1CgAAAAAAAAAAAAAA -AHBrdHNjaGVkX2NoX3JsWyV1XTogY2hhbm5lbCBybCBub3QgYXZhaWxhYmxlIGluIGNvbmp1bmN0 -aW9uIHdpdGggZmxvdyBzaGFwaW5nCgAAcGt0c2NoZWRfY2hfcmxbJXVdOiByYXRlICV1IG1heCAl -dQoAAAAAAAAAAAAAAAAAcGt0c2NoZWRfY2xfd3JyWyV1OiV1XTogd2VpZ2h0ICV1CgAAAAAAAAAA -AAAAAAAAZXFfcGFyYW1zWzB4JXg6MHgleF06IGRtYXEgMHgleCByZWFkICV1IHBmICV1IGVxaWRf -YXBpICV1IHJldCAlZAoAAAAAAAAAAAAAAAAAAABNQyBDTEsgc2V0dGluZyBmYWlsZWQ6IFBMTF9N -X0xPQ0sgbmV2ZXIgdG9nZ2xlZAoAAAAAAAAAAAAAAAAAAAAAd2FpdF9mb3JfY2FsaWJfZG9uZTog -cmV0ICVkIGluICV1IGF0dGVtcHRzCgAAAAAAaHdfbWFfYWRkcl90b19tZW1fdHlwZV9vZmY6IE1B -IGFkZHJlc3MgMHglMDh4IGlzIG5vdCBtYXBwZWQKAAAAAGh3X21hX2FkZHJfdG9fbWVtX3R5cGVf -b2ZmOiBNQSBhZGRyZXNzIDB4JTA4eCBtYXBzIHRvIHR5cGUgJXUgb2Zmc2V0IDB4JXgKAAAAAAAA -bWVtX21hbGxvY190ZW1wOiBmYWlsZWQgdG8gYWxsb2NhdGUgJXUgYnl0ZXMsIHJldHVybmluZyBO -VUxMCgAAAG1lbV9tYWxsb2M6IGZhaWxlZCB0byBhbGxvY2F0ZSAldSBieXRlcywgcmV0dXJuaW5n -IE5VTEwKAAAAAAAAAABsZSBjb25maWd1cmF0aW9uOiBoYXNoIG1vZGUgcmVxdWlyZXMgYXQgbGVh -c3QgMTYgZW50cmllcywgbmhhc2ggJXUKAAAAAAAAAAAAAAAAAGxlIGNvbmZpZ3VyYXRpb246IGhh -c2ggbW9kZSByZXF1aXJlcyBhdCBlbnRyaWVzIHRvIGJlIGEgcG93ZXIgb2YgMiwgbmhhc2ggJXUK -AAAAbGUgY29uZmlndXJhdGlvbjogcmVxdWVzdGVkICV1IHRjYW0gZW50cmllcyBidXQgb25seSAl -dSBhdmFpbGFibGUgKG5yb3V0ZSAldSBuY2xpcCAldSBuZmlsdGVyICV1IG5zZXJ2ZXIgJXUKAAAA -AGxlIGNvbmZpZ3VyYXRpb246IHRjYW0gcmVnaW9ucyBtdXN0IGhhdmUgbXVsdGlwbGUgb2YgMzIg -ZW50cmllcywgbnJvdXRlICV1IG5jbGlwICV1IG5maWx0ZXIgJXUgbnNlcnZlciAldQoAAAAAAABo -d190cF90Y3BfdHVuaW5nczogdHVuaW5nIGZvciBjbHVzdGVyIGVudmlyb25tZW50CgAAAAAAAAAA -AAAAAAAAaHdfdHBfdGNwX3R1bmluZ3M6IHR1bmluZyBmb3IgTEFOIGVudmlyb25tZW50CgAAaHdf -dHBfdGNwX3R1bmluZ3M6IHR1bmluZyBmb3IgV0FOIGVudmlyb25tZW50CgAAaHdfdHBfdGNwX3R1 -bmluZ3M6IG1hbnVhbCB0dW5pbmcKAAAAAAAAAAAAAAAAAAAAX2h3X2NpbV9mbGFzaF9tZW1jcHk6 -IG1lbWNweVggc3RhcnQKAAAAAAAAAAAAAAAAX2h3X2NpbV9mbGFzaF9tZW1jcHk6IGRzdCAweCUw -OCBvZmZzZXQgMHglMDh4IHNpemUgJXUsIHdpZHRoIG9mICV1IGlzIG5vdCBzdXBwb3J0ZWQKAAAA -AAAAAAAAAAAAX2h3X2NpbV9mbGFzaF9tZW1jcHk6IG1lbWNweVggZW5kCgAAAAAAAAAAAAAAAAAA -Y29uZmlndXJhdGlvbiBmaWxlIHBhcnNlciBlbmNvdW50ZXJlZCBlcnJvciBAIGxpbmUgJXU6CgAA -AAAAAAAAAEhPU1QgUEFHRV9TSVpFIFsweCUwbHhdIHRvbyBzbWFsbCwgbWluIFsweCUwbHhdIHJl -cXVpcmVkCgAAAAAAAABwYWdlIHNpemUgWyVsdV0gbWlzbWF0Y2gKAAAAAAAAAFBBR0Ugc2l6ZSAl -bHUgdW5zdXBwb3J0ZWQsIGRkcCBkaXNhYmxlZAoAAAAAAAAAAEhvc3QgcGFnZV9zaXplICVsdSwg -ZGRwX2lkeCAldQoARkNvRSBERFAgaW5pdDogZmNvZSBsbGltaXQgMHgleCwgZmNvZSB1bGltaXQg -MHgleCBnYmwgbGxpbWl0IDB4JXggZ2JsIHVsaW1pdCAweCV4IHBjYnN6ICV4CgAAAAAARkNvRSBE -RFAgaW5pdDogZmNvZSBwcG9kIG9mZiAweCV4LCBmY29lIHN0IHBwb2QgYWRkciAweCV4IGZjb2Ug -bnVtIHBwb2RzIDB4JXgKAABmY29lIHhjaGcgbWdyIGluaXQ6IE51bWJlciBvZiBERFAgZXhjaGFu -Z2VzIGZvciBGQ29FIGlzICV4CgAAAAAAZmNvZSB4Y2hnIG1nciBpbml0OiBOdW1iZXIgb2YgdHVu -bmVsIGV4Y2hzIGZvciBGQ29FIGlzICV4CgAAAAAAAGZjb2VfbDJ0X2luaXQ6IE5vIHVscHR4IGNy -ZWRpdCBjaDpbJXVdCgAAAAAAAAAAAGZjb2VfbDJ0X2luaXQ6IGNoOlsldV0gbDJ0X2lkeCBbJXVd -CgAAAAAAAAAAAAAAAG5vIGwydCBlbnRyaWVzIGNvbmZpZ3VyZWQ7IGZvcmNpbmcgJXUgZW50cmll -cywgc3RhcnRpbmcgYXQgJXUKAABkY2J4IHVwZGF0ZVsldV0gc2VudCB0byBkcml2ZXIgKHR5cGUg -JSN4IHN1YnR5cGUgJSN4IGZsb3djaWQgJXUpCgAAAAAAAAAAAAAAAAAAAGRjYnhfcnVuX3ZlcnNp -b25fc21bJXVdIERDQlhfVkVSX1NUQVRFX1JVTl9JRUVFCgAAAAAAAAAAAAAAAAAAAABkY2J4X3J1 -bl92ZXJzaW9uX3NtWyV1XSBEQ0JYX1ZFUl9TVEFURV9SVU5fQ0VFCgBkY2J4X3J1bl92ZXJzaW9u -X3NtWyV1XSBEQ0JYX1ZFUl9TVEFURV9SVU5fTk9ORQoAAAAAAAAAAAAAAAAAAAAATUFDIGZhaWxl -ZCB0byByZXN5bmMgdHgKAAAAAAAAAABwb3J0WyV1XSBsaW5rIHVwICgldSkgKHNwZWVkICUjeCBh -Y2FwcyAlI3ggbHBjYXBzICUjeCkKAAAAAAAAAAAAcG9ydF9oc3Nfc2lnZGV0WyV1XTogaHNzX3Np -Z2RldCBjaGFuZ2VkIHRvIDB4JXgKAAAAAAAAAAAAAAAAAAAAAHBvcnRbJXVdIGluaXRpYWxpemlu -ZyBLUgoAAAAAAAAAZGlzYWJsaW5nIHR4ICUjeCByeCAlI3gKAAAAAAAAAABDYWxjdWxhdGlvbiBv -dXQgb2YgYm91bmRzIGZ1cmluZyBpbml0OiAlI3ggJSN4ICUjeAoAAAAAAAAAAAAAAAAAX2h3X3Rw -X3BnbW5ndDogdHhfcGFnZV9tYXggJXUgcnhfcGFnZV9tYXggJXUgcHN0cnVjdHMgJXUgc2l6ZSAl -dQoAAAAAAAAAAAAAAAAAAABtcGFydGl0aW9uX290aGVyc190b3RhbDogZGRwICV1IGRkcF9pc2Nz -aSAldSBzdGFnICV1IHBibCAldSBycSAldSBycXVkcCAldSAtPiAldQoAAAAAAAAAAAAAAAAAAABf -bXBhcnRpdGlvbl9iYW5rc19tY1g6IG5iYW5rc19wbXR4ICV1ICgldU1CKSBuYmFua3NfcG1yeCAl -dSAoJXVNQikgbmJhbmtzX290aGVycyAldSAoJXVNQikgbmJhbmtzX2Z3ICV1ICgldU1CKQoAX21w -YXJ0aXRpb25fYmFua3NfbWMxOiBuYmFua3NfcG10eCAldSAoJXVNQikgbmJhbmtzX290aGVycyAl -dSAoJXVNQikgbmJhbmtzX2Z3ICV1ICgldU1CKQoAAAAAAAAAX21wYXJ0aXRpb25fYmFua3NfbWMw -OiBuYmFua3NfcG1yeCAldSAoJXVNQikgbmJhbmtzX290aGVycyAldSAoJXVNQikKAAAAAAAAAAAA -AABtZW1fbWFsbG9jX2ludGVybmFsOiBmYWlsZWQgdG8gYWxsb2NhdGUgJXUgYnl0ZXMsIHJldHVy -bmluZyBOVUxMCgAAAAAAAAAAAAAAAAAAAGh3X2VkY19iaXN0WyV1XTogYmlzdF9jbWRbMHglMDh4 -XSBhZGRyIDB4JXggbGVuIDB4JXgKAAAAAAAAAAAAAABod19lZGNfYmlzdFsldV06IGRvbmUsIGVu -Y291bnRlcmVkICV1IGVycm9ycyBvbiBmaXJzdCBhbmQgJXUgZXJyb3JzIG9uIHNlY29uZCBhdHRl -bXB0ICgldWdicHMpCgBtZW1faW5pdF9jYWNoZXM6IGNhY2hlX3NpemUgJXUgZmxvd2NfYnVmX3Rj -Yl9jYWNoZV9zaXplICV1IGJ1ZmxsNjRfY2FjaGVfc2l6ZSAldQoAAAAAAAAAAAAAAAAAAABtcGFy -dGl0aW9uX3BtdHg6IG0gMHglMDh4IHNpemUgJXUKAAAAAAAAAAAAAAAAAABtcGFydGl0aW9uX3Bt -cng6IG0gMHglMDh4IHNpemUgJXUKAAAAAAAAAAAAAAAAAABtcGFydGl0aW9uX2VkYyAobm8gZXh0 -bWVtKTogbSAweCUwOHggc2l6ZSAldQoAAABtcGFydGl0aW9uX2VkY19lc3RpbWF0ZTogaHcgbW9k -dWxlcyByZXF1aXJlICVkIGJ5dGVzIGluIEVEQwoAAAAAVGVtcGVyYXR1cmUvVm9sdGFnZSBTZW5z -b3I6IENvcmUgY2xvY2sgJWQgPiA1MDA7IHVzaW5nIDUwMCB0byBzdGF5IGluIGNvbXBsaWFuY2Ug -d2l0aCBoYXJkd2FyZS4KAAAAAAAAAAAAAAAAAAAAAGNobmV0X2J5ZTpsMmRldl9mYy0+Zmxvd2Nf -aWQgWzB4JXhdLCBsMmRldl9mYy0+Zmxvd2NfcGNpZV9wZm4gWzB4JXhdLCBsMmRldl9mYy0+Zmxv -d2NfcGNpZV92Zm4gWzB4JXhdLCBwb3J0IFsweCV4XQoAAAAAAAAAAAAAAAAAY2huZXRfYnllOnZs -YW5kZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgdmxhbmRldl9mYy0+Zmxvd2NfcGNpZV9wZm4gWzB4 -JXhdLCB2bGFuZGV2X2ZjLT5mbG93Y19wY2llX3ZmbiBbMHgleF0sIHBvcnQgWzB4JXhdCgAAAAAA -AABod19tYWNfYWVjX2NvbXBsZXRlWyV1XSBvbiBsYW5lcyAlI3ggKHNpZ2RldCAlI3gpCgAAAAAA -AAAAAAAAAAAAYWVjX2ZzbVsldV0gOiBzdGF0ZSBTVEFSVCAoc2lnZGV0ICUjeCkKAAAAAAAAAAAA -YWVjX2ZzbVsldV0gOiB0cmFuc2l0aW9uaW5nIHRvIFRSQUlOSU5HCgAAAAAAAAAAYWVjX2ZzbVsl -dV0gOiBUUkFJTklOR19DT01QTEVURQoAAAAAAAAAAAAAAAAAAAAAYWVjX2ZzbVsldV0gOiBET05F -CgAAAAAAAAAAAAAAAABhZWNfZnNtWyV1XSA6IHRpbWVkIG91dCB0cmFpbmluZwoAAAAAAAAAAAAA -AAAAAABiZWFuX2ZzbVsldV0gOiBzdGF0ZSBTVEFSVCAoY291bnQgPSAldSkKAAAAAAAAAABiZWFu -X2ZzbVsldV0gOiBlbnRlcmluZyBzdGF0ZSBXQUlUX1NJR0RFVAoAAAAAAABiZWFuX2ZzbVsldV0g -OiBlbnRlcmluZyBzdGF0ZSBOWFBfSEFORExFCgAAAAAAAABiZWFuX2ZzbVsldV0gOiBlbnRlcmlu -ZyBzdGF0ZSBXQUlUX0NPTVBMRVRFCgAAAABiZWFuX2ZzbVsldV0gOiBzdGF0ZSBET05FCgAAAAAA -AGJlYW5fZnNtWyV1XSA6IHN0YXRlIFJFU1RBUlQKAAAAYmVhbl9mc21bJXVdIFRJTUVPVVQ7IHN0 -YXRlICV1IGV0aF9zdGF0dXMgJSN4IGJlYW5fc3RhdHVzICUjeCBoc3Mgc2lnZGV0ICUjeCByZXRy -eV9jbnQgJXUKAAAAAAAAcG9ydCAldSBuZWdvdGlhdGVkIHVuc3VwcG9ydGVkIHNwZWVkICUjeAoA -AAAAAAAAYmVhbi9hZWMgY29tcGxldGUgKHJldHJ5OiAldSkKAABwb3J0WyV1XSByZXNldHRpbmcg -S1IKAAAAAAAAAAAAAFJhbmdlIGNhbGM6IEF2ZXJhZ2VkICUjeCBidXQgaWdub3JlZCB2YWx1ZSAl -I3ggKGl0ZXJhdGlvbiAldSkKAABNQyBjYWxpYnJhdGlvbiBmYWlsZWQ6IERGSSBpbml0IG5vdCBn -b2luZyB0byAwCgBNQyBjYWxpYnJhdGlvbiBmYWlsZWQ6IERGSSBpbml0IG5vdCBjb21wbGV0aW5n -CgBNQyBjYWxpYnJhdGlvbiBmYWlsZWQ6IENhbGlicmF0aW9uIGRpZG4ndCBjb21wbGV0ZS4KAAAA -AAAAAAAAAAAATUMgY29tbWFuZCBmYWlsZWQgdG8gY29tcGxldGUob3Bjb2RlICUjeCBjYWRkciAl -I3ggYmFkZHIgJSN4IGRlbGF5ICVkKQoAAAAAAAAAAABwZm5fYml0bWFwIDB4JXgKAAAAAAAAAAAA -AAAAAAAAAGJhZCBtYWlsYm94IGNtZDogcGZuIDB4JXggdmZuIDB4JXg7IG9wY29kZSAweCV4ID4g -TEFTVEMyRSAweCV4CgBtYWlsYm94IGNtZCBub3QgeWV0IHN1cHBvcnRlZDogcGZuIDB4JXggdmZu -IDB4JXg7IG9wY29kZSAweCV4CgAAYmFkIG1haWxib3ggY21kOiBwZm4gMHgleCB2Zm4gMHgleDsg -b3Bjb2RlIDB4JXggaXMgdmFsaWQgcG9zdCBkZXZpY2UgaW5pdCBvbmx5CgBiYWQgbWFpbGJveCBj -bWQ6IHBmbiAweCV4IHZmbiAweCV4OyBvcGNvZGUgMHglMDJ4IHJhbWFzayAweCV4IGNtZCByYW1h -c2sgMHgleAoAAGJhZCBtYWlsYm94IGNtZDogcGZuIDB4JXggdmZuIDB4JXg7IG9wY29kZSAweCUw -MnggbGVuMTYgMHgleCB2ZXJzdXMgZXhwZWN0ZWQgbGVuMTYgMHgleAoAAAAAAAAAAGluc3VmZmlj -aWVudCBjYXBzIHRvIHByb2Nlc3MgbWFpbGJveCBjbWQ6IHBmbiAweCV4IHZmbiAweCV4OyByX2Nh -cHMgMHgleCB3eF9jYXBzIDB4JXggcmVxdWlyZWQgcl9jYXBzIDB4JXggd19jYXBzIDB4JXgKAAAA -AAAAAAAAaW5zdWZmaWNpZW50IGNhcHMgdG8gcHJvY2VzcyBtYWlsYm94IGNtZDogcGZuIDB4JXgg -dmZuIDB4JXg7IHJfY2FwcyAweCV4IHd4X2NhcHMgMHgleCByZXF1aXJlZCByX2NhcHMgMHgleCB3 -X2NhcHMgMHgleAoAAAAAAAAAAABod19wb3dlcl9wcmVwOiBWREQ9Tk9ORSBidXQgVkNTPSVkCgAA -AAAAAAAAAAAAAABod19wb3dlcl9wcmVwOiB1bnN1cHBvcnRlZCBleHRlcm5hbCBhZGp1c3RhYmxl -IHBvd2VyIHJlZ3VsYXRvcnMgVkREPSVkLCBWQ1M9JWQKAGh3X3Bvd2VyX3ByZXA6IHVuc3VwcG9y -dGVkIFZERD0lZAoAAAAAAAAAAAAAAAAAAGh3X3Bvd2VyX3ByZXA6IHVuc3VwcG9ydGVkIFZDUz0l -ZAoAAAAAAAAAAAAAAAAAAGh3X3Bvd2VyX3ByZXA6IGkyYyB3cml0ZSBlcnJvciwgVkREPSVkLHJl -dD0lZAoAAGh3X3Bvd2VyX3ByZXA6IHVuc3VwcG9ydGVkIFZERD0lZAoAAAAAAAAAAAAAAAAAAGh3 -X3Bvd2VyX3ByZXA6IGkyYyB3cml0ZSBlcnJvciwgVkNTPSVkLHJldD0lZAoAAGh3X3Bvd2VyX3By -ZXA6IHVuc3VwcG9ydGVkIFZDUz0lZAoAAAAAAAAAAAAAAAAAAFZQRCByZWdpb24gaXMgdG9vIHNt -YWxsIChTRVJDRkdfU1JfUEZOVlBEU0laRSAweCV4KQoAAAAAAAAAAAAAAABjZjogZmFpbGVkIHRv -IGFsbG9jYXRlZCBtZW1vcnkgZm9yIGNvbmZpZ3VyYXRpb24gZmlsZSwgcmV0ICVkCgAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAIIAAAEgAAAAAAAAAIIAAAEAAAAAAAAAAIAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAaAgAAAAAAAAAAAAAAAAIAAAAAAAAAAAAcAAAAAAAAAAAAAAAEAAAAAEAAA -AAAAAAADAAAAAAAAAAAAAAADAAAAAAAAAAAAABAAAAAAAAAACAAAAAACAAAAAAAAAAAAIAAAAAAA -AAAAAAAAAQADgAAAAAAAAAAAAAACAAAAAAAAAAAAIAOAAAAAAAAAAAAAEAKAAIAAAAAAAAAAgAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAEAAAAAAAAAAIAAAAAAAAAAAAAAAgAACgAAAAAAAAAAA -AAACgAAAAAAAAAAAgAACAAAAAAAAAAAAAAACAAAAAAAAAAAAAAACgAAAAAAAAAAAAQADAAAAAAAA -AAAAAAKDAAAAAAAAAAAAEAKAAAAAAAAAAAAAMAADAAAAAAAACAAAMAADAAAAAAAAAAAAMAWDAAAA -AAAACAAAMAWDAAAAAAAAAAAAMASDAAAAAAAACAAAMASDAAAAAAAAAAAAMAMDAAAAAAAACAAAMAMD -AAAAAAAAAAAAOAMDAAAAAAAAAAAAOAWDAAAAAAAAAAAAOASDAAAAAAAAAAAAOAADAAAAAAAAAAAA -NAaCAAAAAAAAAAAAPAOCAAAAAAAAAAAAPAADAAAAAAAACAAAPAADAAAAAAAAAAAAPASDAAAAAAAA -AAAAPAUDAAAAAAAAAAAAPQQDAAAAAAAAAAAAPAODAAAAAAAAAAAALAACAAAAAAAAAAAALAWCAAAA -AAAAAAAALAUCAAAAAAAAAAAAEAaAAAAAAAAAAAAAEAaCgAAAAAAAAAAAAA6CAAAAAAAAAAAAEAeC -gAAAACAAAAAAAAeCAAAAACAAAAAAEAcCgAAAAAAAAAAAEAcCgAAAAAAAAAAAEAcCgAAAAAAAAAAA -AAcCAAAAACAAAAAAEBeDAAAAAAAACAAAEBeDAAAAAAAACAAAEAAAAAAAAAAAAAAAEAYDgAAAAAAA -AAAAAA4DAAAAAAAAAAAAEAYDQAAAAAAAAAAAEAYDAAAAAAAAAAAAEAYAAAAAAAAAAAAAAAYDgAAA -AAAAAAAAAAYDAAAAAAAAAAAAAA4CAAAAAAAAAAAAAA4CAAAAAAAAAAAAEAYCAAAAAAAAAAAAEAYC -AAAAAAAAAAAAEAYCgAAAAAAAAAAAEAYCgAAAAAAAAAAAAAACAAAAAAAAAAAAAAAAAACAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAgAAAAAAAAAAABADAAAAAAAACAAAAAAAAAAAAAAAAAAA//////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -////////AAAAIAAAAADAAAAAAAAAIAAAAADgAAAAAAAAAAIAAAAAAAAAQAAAAAAAAAAAAAAAAAAB -IQAAAAAAAAAAAAEBIAAAAAAAAAAAAAACAAAABAAEAAAAAACBQAAAAAAAAAAAAAaAAAAEAAAAAAAA -AIAAQAAAAAAAAgAAAIAAIAAAAAAAAgAACIAAAAAAAEAAAAAAAQBAAAAAAAAAAAAAAQBCAAAAAAAA -AAAAAAAgAAAAAAAAAAAAAhAgAAAAAAAAAAAAAgwCAAAAAAAAAAAAAIUCAAAABAAAAAAAAIBCAAAA -AAAAAAAAgIBCAEAAAAAAAAAAgIBCAAAAAAAAAAAAAgAiAAAAAAAAAAAAAIBBAAAAAAAAAAAAgIBB -AAAAAAAAAAAAAIBBgAAAAAAAAAAAgIBBgAAAAAAAAAAAAgAhAAAAAAAAAAAAABAggAAAAAAAAAAA -AiUAgAAAAAAAAAAAAAUAAAAAAAAAAAAACIgEgAAAAAAAAAAACIgEgAAAAAAAAAAACKIAgAAAAAAA -AAAACKIAgAAAAAAAAAAACKMAgAAAAAAAAAAACKMAgAAAAAAAAAAACKSAgAAAAAAAAAAACKSAgAAA -AAAAAAAABKSAwAAAAAAAAAAABKIAwAAAAAAAAAAABKMAwAAAAAAAAAAABIgEwAAAAAAAAAAAAAkB -gAAAAAAAAAAAAgwAgAAAAAAAAAAAAIgEwAAAAAAAAAAAAIgEgAAAAAAAAAAAAgsAgAAAAAAAAAAA -AIqAgAAAAAAAAAAAAAuAgAAAAAAAAAAAAIwAgAAAAAAAAAAAAiAQgAAAAAAAAAAAAgoAgAAAAAAA -AAAAAgqAgAAAAAAAAAAAAAkCgAAAAAAAAAAAAAEBAAAAAAAAAAAAAAEAgAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAgAAAAAAAAAAAAACBEAAAAAAAAAAAAACBCAAAAAAAAAAAAACBBAAAAAAAAAAAAACB -gAAAAAAAAAAAAIAAwAAAAAAAAAAAAIAAoAAAAAAAAAAAAAAIAAAAAAAAAAAAAIGAAAAAAAAAAAAA -AIGAgAAAAAAAAAAAAImAgAAAAAAAAAAAAImAwAAAAAAAAAAAAAGCAAAAAAAAAAAAAgGAAAAAAAAA -AAAAAgGAgAAAAAAAAAAAAEGBgAAAAAAAAAAAAgGBgAAAAAAAAAAAAEmBgAAAAAAAAAAAAgmBgAAA -AAAAAAAAAgGBAAAAAAAAAAAAAEGBAAAAAAAAAAAAIAAAAAAAAAAAAAAAEAAAAgEAAAAAAAAAEAAA -AgAAAAAAAAAAEAAAAAAAAAAAAAAAAIAAwAAAAAAAAAAAAAAAAAAAAAAAAAAA//////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -//////////////////////////////////////////////////////////////////////////// -////AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIAJIAAAAAAAAAA2YAIABAAAAAAAgAkgAAAAAAAAAD -cgElBEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAIAAAAAgCIA4cAAFYAIABAAAAAAAAAAAAAAAAA -AAADlgAgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAA -AAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAA -AAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAIAAAAAgCIA4UAAJYBIABAAAAA -AAAAAAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAIAAAAAgCIA4UAAJYBIABA -AAAAAAAAAAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAACAAOWACYEQAAAAAAIRyABBAAAArAAAtIF -QCREAAAAAAhHIAEEAAACsgAC0gVGJEAAAAAACAAAAAIAiAOHAACWASYEQAAAAAAAAAAAAAAAAAAA -A5YAIABAAAAAAABHIAEGDKGCskABkgRAJEQAAAAACAAAAAIAiAOHAACWASYEQAAAAAAARyABBAyg -QrGAAhIFQCREAAAAAAgAAAACAIgDhwAAlgEmBEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAIAAAA -AgCIA4UAAJYBIABAAAAAAAgAAAACAIgDhQAAlgEgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAN -xRK6ArCA0xRUi+JKRiRAAAAAAAXFEroCt4DTFFSLYkmmJEAAAAAABcUSogawgNMUVIviSkYkQAAA -AAANxRKiBreA0xRUi2JJpiRAAAAAAAXFEqIGsIDTFFSL4kpGJEAAAAAADcUSoga3gNMUVItiSaYk -QAAAAAAFxRKiBrCA0xRUi+JKRiRAAAAAAA3FEqIGt4DTFFSLYkmmJEAAAAAACcQQoAIAgJAAAIti -acYkQAAAAAABxBCgBrCAkwZUi2JpxiRAAAAAAAHEEKAGsICTBlSLYmnGJEAAAAAAAcUQuAawgJMW -VItiSMYkQAAAAAAIoRCIAgCBWBIAC1IApiRAAAAAAAnAEJACsIADFlSKkgHGJEAAAAAACcAQuAaw -gAMQlIviAkYkQAAAAAAJwBC4ArSAAxCUi2IBpiRAAAAAAAnAELgCtIADEJSLYgGmJEAAAAAACcAQ -uAK0gAMQlItiAaYkQAAAAAAJwBC4ArSAAxCUi2IBpiRAAAAAAAGgEJAGtIADEJSLYgGmJEAAAAAA -AcAQgAKwgAMUVIpSAMYkQAAAAAABwBCAArCAAxRUilIAxiRAAAAAAAHAEIACsIADFFSKUgDGJEAA -AAAACEcgAQQAAAKwAALSBEAkQAAAAAAAgQAAAgCFWAdAC1IApiRAAAAAAAAAAAAEAKBAAYAB1gAg -AEAAAAAAAAAAAAYAoYAAQAFWACAAQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAiBAAACAIVZgAQL -UgCmJEAAAAAACgFAAAAAABgCAANCASakQgAAAAAAAAAAAAAAAAAAA5YAIABAAAAAAAAAAAAEAKBA -AYAB1gAgAEAAAAAACAAAAAIAiAOFAAPWACAAQAAAAAAIAAAAAgCIA4UAA9YAIABAAAAAAAAAAAAA -AAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAABACgQAGAAdYAIABAAAAAAAnA -ELgCtIADEJSLYgGmJEAAAAAAAAAAAAAAAAACAAOWACYEQAAAAAAAAAAAAAAAAAAAA5YAIABAAAAA -AAgAAAACAIgDhQAAlgEgAEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAAAAAABACgQAGAAdYAIABA -AAAAAAAAAAAEAKBAAYAB1gAgAEAAAAAACKEQiAIAgVgQAAkSAaYkQAAAAAAAAAAABACgQAGAAdYA -IABAAAAAAAAAAAAGAKGAAEABVgAgAEAAAAAACAAAAAIAiAOHQAjSAkYkQgAAAAAJxRKiApSI0hKA -iyJJxiRAAAAAAAHAEIAGpIgBBVSLUgDGJEAAAAAACcAQgAKkiACFVItSAMYkQAAAAAAJwBCABrSI -AwEUi1IBpiRAAAAAAA3AAAACsIDDFlSL4gNGJEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAACAAwAAQAAAACAAACACYkQAAAAAAARzAABgMgArcACAIBwCRA -AAAAAAgDAAACBqAKtwAIAgHGJEYAAAAAAAAAAAAAAAACAAACACYkQAAAAAAAIFAABAAAAAAAAAIB -JiRGAAAAAAhgIACEAAAAAAAABgAgAEAAAAAACGAgAIQAAAACATACACYlQAAAAAAIYAAABACEAYIE -BAIBxiTCAAAAAAHAAAACQ4ADAgyIAgGmJEAAAAAACABgAAQAAAACAAACACYkQAAAAAAIAGAABAAA -AAAAAAQBIABAAAAAAAAAAAAAAAAAAAAABAEgAEAAAAAABAgUgAYKAAAHAUwCIKYmQAAAAAAIgAAA -BgCEAYAECAIBpiTAAAAAAABAAAACAKAAAEAIAgCmJEYAAAAAAAAAAAAAAAACAAACACYkQAAAAAAE -AAAAAoQAAwKKCAIEwCRAAAAAAAAAAAAAAAAAAgAABgEgSEAAAAAAACBQAAQAAAACAAACACYkQAAA -AAAIYCAAhAAAAAIAAAYAJgRAAAAAAAhgIACEAAAAAgAAAgEmJUAAAAAACGAAAAQAhAGABAQCAcYk -wAAAAAAIAGAABAAAAAAAAAQBIABAAAAAAAAAgAAgAAAAAAAAAgAmTHAAAAAAAACAACAAAAAAAAAG -ASAAAAAAAAAAAIAAIAAAAAAAAAIAJkxwAAAAAAAAgAAgAAAAAAAABgEgAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAnAAAAItIwECRYEAgTGJEAAAAAACcAA -AAw0gAQJFgQCBMYkQAAAAAAECHSATAkAAFAAXAJh5iRAAAAAAAwIdIBEAAAAUgBAAmEmJEAAAAAA -CAIQoAQAAAAAAQACACYkQAAAAAAIAhCgBAAAAAABAAIAJiRAAAAAAAQIdABCAQAABwCIAmDGJEAA -AAAADcgUAAIJAAAEQJwCYOYkQAAAAAAJyBCAArSABAKUiAJlxiRAAAAAAA3IdABMtIADAJSIAmCm -JMAAAAAABch0AEg0kAMAlIgCYKYkwAAAAAAIRwAABAAAAAAAAAIBICRAAAAAAAhHAAAEAAAAAAAA -AgRAJEAAAAAAAEcgAQwHIcK3AAgCAMAkRAAAAAAARyABDAchwrcACAIAwCREAAAAAABHIAEMByHC -twAIAgDAJEQAAAAAAAAgAQgAhAAFQIgCAcYkwAAAAAAAACABCACEAAVAiAIBxiTAAAAAAAAAIAEI -AIQABUCIAgHGJMAAAAAAAAAgAYaCAAECwIgCA8YkwAAAAAAAACABgoIAAALAiAIDxiTAAAAAAAnA -IAGCpIABBUCIAgHGJMAAAAAACAAAAAwAhAAFQIgCAcYkwAAAAAAAACABhoIAAQLAiAIDxiTAAAAA -AAAAIAGCggAAAMCIAgKmJMAAAAAACcAgAYKkgAEFQIgCAcYkwAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAgAAAAAAAQQIAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAW2dsb2Jh -bF0KcnNzX2dsYl9jb25maWdfbW9kZT1iYXNpY3ZpcnR1YWwKcnNzX2dsYl9jb25maWdfb3B0aW9u -cz10bmxtYXBlbixoYXNodG9lcGxpdHosdG5sYWxsbGtwCnBsX3RpbWVvdXRfdmFsdWU9MTAwMDAK -cmVnWzB4MTAwOF09MHg0MDgxMC8weDIxYzcwCnJlZ1sweDEwMGNdPTB4MjIyMjIyMjIKcmVnWzB4 -MTBhMF09MHgwMTA0MDgxMApyZWdbMHgxMDQ0XT00MDk2CnJlZ1sweDEwNDhdPTY1NTM2CnJlZ1sw -eDEwNGNdPTE1MzYKcmVnWzB4MTA1MF09OTAyNApyZWdbMHgxMDU0XT05MjE2CnJlZ1sweDEwNThd -PTIwNDgKcmVnWzB4MTA1Y109MTI4CnJlZ1sweDEwNjBdPTgxOTIKcmVnWzB4MTA2NF09MTYzODQK -cmVnWzB4MTBhNF09MHhhMDAwYTAwMC8weGYwMDBmMDAwCnJlZ1sweDEwYThdPTB4NDAyMDAwLzB4 -NDAyMDAwCmJhcjJ0aHJvdHRsZWNvdW50PTUwMApzZ2VfdGltZXJfdmFsdWU9NSwxMCwyMCw1MCwx -MDAsMjAwCnJlZ1sweDExMjRdPTB4MDAwMDA0MDAvMHgwMDAwMDQwMApyZWdbMHgxMTMwXT0weDAw -ZDVmZmViCnJlZ1sweDExM2NdPTB4MDAwMmZmYzAKcmVnWzB4N2QwNF09MHgwMDAxMDAwMC8weDAw -MDEwMDAwCnJlZ1sweDdkYzBdPTB4MGUyZjg4NDkKZmlsdGVyTW9kZT1mY29lbWFzayxzcnZyc3Jh -bSxmcmFnbWVudGF0aW9uLG1wc2hpdHR5cGUscHJvdG9jb2wsdmxhbixwb3J0LGZjb2UKZmlsdGVy -TWFzaz1wcm90b2NvbCxmY29lCnRwX3Btcng9MzAKdHBfcG1yeF9wYWdlc2l6ZT02NEsKdHBfbnJ4 -Y2g9MAp0cF9wbXR4PTUwCnRwX3BtdHhfcGFnZXNpemU9NjRLCnRwX250eGNoPTAKdHBfbXR1cz04 -OCwyNTYsNTEyLDU3Niw4MDgsMTAyNCwxMjgwLDE0ODgsMTUwMCwyMDAyLDIwNDgsNDA5Niw0MzUy -LDgxOTIsOTAwMCw5NjAwCnJlZ1sweDdkMDhdPTB4MDAwMDA4MDAvMHgwMDAwMDgwMApyZWdbMHg3 -ZDQ4XT0weDAwMDAwMDAwLzB4MDAwMDA0MDAKcmVnWzB4N2Q2MF09MHgwNjAwMDAwMC8weDA3MDAw -MDAwCnJlZ1sweDE5MTY4XT0weDA0MDIwMTAwCnJlZ1sweDE5YzA0XT0weDAwNDAwMDAwLzB4MDA0 -MDAwMDAKbWNfbW9kZV9icmNbMF09MQptY19tb2RlX2JyY1sxXT0xCltmdW5jdGlvbiIwIl0KbnZm -PTE2Cnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTEKbmlxZmxpbnQ9OApuZXRoY3RybD04Cm5l -cT0xNgpuZXhhY3RmPTgKY21hc2s9YWxsCnBtYXNrPTB4MQpbZnVuY3Rpb24iMSJdCm52Zj0xNgp3 -eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT0xCm5pcWZsaW50PTgKbmV0aGN0cmw9OApuZXE9MTYK -bmV4YWN0Zj04CmNtYXNrPWFsbApwbWFzaz0weDIKW2Z1bmN0aW9uIjIiXQpudmY9MTYKd3hfY2Fw -cz1hbGwKcl9jYXBzPWFsbApudmk9MQpuaXFmbGludD04Cm5ldGhjdHJsPTgKbmVxPTE2Cm5leGFj -dGY9OApjbWFzaz1hbGwKcG1hc2s9MHg0CltmdW5jdGlvbiIzIl0KbnZmPTE2Cnd4X2NhcHM9YWxs -CnJfY2Fwcz1hbGwKbnZpPTEKbmlxZmxpbnQ9OApuZXRoY3RybD04Cm5lcT0xNgpuZXhhY3RmPTgK -Y21hc2s9YWxsCnBtYXNrPTB4OApbZnVuY3Rpb24iNCJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwK -bnZpPTI4Cm5pcWZsaW50PTE3MApuZXRoY3RybD0xMDAKbmVxPTI1NgpucXBjcT0xMjI4OApuZXhh -Y3RmPTQwCmNtYXNrPWFsbApwbWFzaz1hbGwKbmV0aG9mbGQ9MTAyNApucm91dGU9MzIKbmNsaXA9 -MzIKbmZpbHRlcj00OTYKbnNlcnZlcj00OTYKbmhhc2g9MTIyODgKcHJvdG9jb2w9bmljX3ZtLG9m -bGQscmRkcCxyZG1hYyxpc2NzaV9pbml0aWF0b3JfcGR1LGlzY3NpX3RhcmdldF9wZHUsaXNjc2lf -dDEwZGlmCnRwX2wydD0zMDcyCnRwX2RkcD0yCnRwX2RkcF9pc2NzaT0yCnRwX3N0YWc9Mgp0cF9w -Ymw9NQp0cF9ycT03CltmdW5jdGlvbiI1Il0Kd3hfY2Fwcz1hbGwKcl9jYXBzPWFsbApudmk9NApu -aXFmbGludD0zNApuZXRoY3RybD0zMgpuZXE9NjQKbmV4YWN0Zj0xNgpjbWFzaz1hbGwKcG1hc2s9 -YWxsCm5zZXJ2ZXI9MTYKbmhhc2g9MjA0OAp0cF9sMnQ9MTAyMApwcm90b2NvbD1pc2NzaV9pbml0 -aWF0b3JfZm9mbGQKdHBfZGRwX2lzY3NpPTIKaXNjc2lfbnRhc2s9MjA0OAppc2NzaV9uc2Vzcz0y -MDQ4CmlzY3NpX25jb25uX3Blcl9zZXNzaW9uPTEKaXNjc2lfbmluaXRpYXRvcl9pbnN0YW5jZT02 -NApbZnVuY3Rpb24iNiJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTQKbmlxZmxpbnQ9MzQK -bmV0aGN0cmw9MzIKbmVxPTY2Cm5leGFjdGY9MzIKY21hc2s9YWxsCnBtYXNrPWFsbApuaGFzaD0y -MDQ4CnRwX2wydD00CnByb3RvY29sPWZjb2VfaW5pdGlhdG9yCnRwX2RkcD0yCmZjb2VfbmZjZj0x -NgpmY29lX252bnA9MzIKZmNvZV9uc3NuPTEwMjQKZmNvZV9uZmNiPTI1NgpbZnVuY3Rpb24iMTAy -MyJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTQKY21hc2s9YWxsCnBtYXNrPWFsbApuZXhh -Y3RmPTgKbmZpbHRlcj0xNgpbZnVuY3Rpb24iMC8qIl0Kd3hfY2Fwcz0weDgyCnJfY2Fwcz0weDg2 -Cm52aT0xCm5pcWZsaW50PTQKbmV0aGN0cmw9MgpuZXE9NApuZXhhY3RmPTQKY21hc2s9YWxsCnBt -YXNrPTB4MQpbZnVuY3Rpb24iMS8qIl0Kd3hfY2Fwcz0weDgyCnJfY2Fwcz0weDg2Cm52aT0xCm5p -cWZsaW50PTQKbmV0aGN0cmw9MgpuZXE9NApuZXhhY3RmPTQKY21hc2s9YWxsCnBtYXNrPTB4Mgpb -ZnVuY3Rpb24iMi8qIl0Kd3hfY2Fwcz0weDgyCnJfY2Fwcz0weDg2Cm52aT0xCm5pcWZsaW50PTQK -bmV0aGN0cmw9MgpuZXE9NApuZXhhY3RmPTQKY21hc2s9YWxsCnBtYXNrPTB4NApbZnVuY3Rpb24i -My8qIl0Kd3hfY2Fwcz0weDgyCnJfY2Fwcz0weDg2Cm52aT0xCm5pcWZsaW50PTQKbmV0aGN0cmw9 -MgpuZXE9NApuZXhhY3RmPTQKY21hc2s9YWxsCnBtYXNrPTB4OApbcG9ydCIwIl0KZGNiPXBwcCxk -Y2J4CmJnX21lbT0yNQpscGJrX21lbT0yNQpod209MzAKbHdtPTE1CmR3bT0zMApkY2JfYXBwX3Rs -dlswXT0weDg5MDYsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMV09MHg4OTE0LGV0aGVydHlwZSwz -CmRjYl9hcHBfdGx2WzJdPTMyNjAsc29ja2V0bnVtLDUKW3BvcnQiMSJdCmRjYj1wcHAsZGNieApi -Z19tZW09MjUKbHBia19tZW09MjUKaHdtPTMwCmx3bT0xNQpkd209MzAKZGNiX2FwcF90bHZbMF09 -MHg4OTA2LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzFdPTB4ODkxNCxldGhlcnR5cGUsMwpkY2Jf -YXBwX3RsdlsyXT0zMjYwLHNvY2tldG51bSw1Cltwb3J0IjIiXQpkY2I9cHBwLGRjYngKYmdfbWVt -PTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkw -NixldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90 -bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbcG9ydCIzIl0KZGNiPXBwcCxkY2J4CmJnX21lbT0yNQps -cGJrX21lbT0yNQpod209MzAKbHdtPTE1CmR3bT0zMApkY2JfYXBwX3RsdlswXT0weDg5MDYsZXRo -ZXJ0eXBlLDMKZGNiX2FwcF90bHZbMV09MHg4OTE0LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzJd -PTMyNjAsc29ja2V0bnVtLDUKW2ZpbmldCnZlcnNpb249MHgxNDI1MDAxYwpjaGVja3N1bT0weGIx -YzNhZTM4CgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFtnbG9iYWxdCnJzc19n -bGJfY29uZmlnX21vZGU9YmFzaWN2aXJ0dWFsCnJzc19nbGJfY29uZmlnX29wdGlvbnM9dG5sbWFw -ZW4saGFzaHRvZXBsaXR6LHRubGFsbGxrcApwbF90aW1lb3V0X3ZhbHVlPTEwMDAwCnJlZ1sweDEw -MDhdPTB4NDA4MTAvMHgyMWM3MApyZWdbMHgxMDBjXT0weDIyMjIyMjIyCnJlZ1sweDEwYTBdPTB4 -MDEwNDA4MTAKcmVnWzB4MTA0NF09NDA5NgpyZWdbMHgxMDQ4XT02NTUzNgpyZWdbMHgxMDRjXT0x -NTM2CnJlZ1sweDEwNTBdPTkwMjQKcmVnWzB4MTA1NF09OTIxNgpyZWdbMHgxMDU4XT0yMDQ4CnJl -Z1sweDEwNWNdPTEyOApyZWdbMHgxMDYwXT04MTkyCnJlZ1sweDEwNjRdPTE2Mzg0CnJlZ1sweDEw -YTRdPTB4YTAwMGEwMDAvMHhmMDAwZjAwMApyZWdbMHgxMGE4XT0weDQwMjAwMC8weDQwMjAwMApi -YXIydGhyb3R0bGVjb3VudD01MDAKc2dlX3RpbWVyX3ZhbHVlPTUsMTAsMjAsNTAsMTAwLDIwMApy -ZWdbMHgxMTI0XT0weDAwMDAwNDAwLzB4MDAwMDA0MDAKcmVnWzB4MTEzMF09MHgwMGQ1ZmZlYgpy -ZWdbMHgxMTNjXT0weDAwMDJmZmMwCnJlZ1sweDdkMDRdPTB4MDAwMTAwMDAvMHgwMDAxMDAwMApy -ZWdbMHg3ZGMwXT0weDBlMmY4ODQ5CmZpbHRlck1vZGU9ZmNvZW1hc2ssc3J2cnNyYW0sZnJhZ21l -bnRhdGlvbixtcHNoaXR0eXBlLHByb3RvY29sLHZsYW4scG9ydCxmY29lCmZpbHRlck1hc2s9cHJv -dG9jb2wsZmNvZQp0cF9wbXJ4PTMwCnRwX3BtcnhfcGFnZXNpemU9NjRLCnRwX25yeGNoPTAKdHBf -cG10eD01MAp0cF9wbXR4X3BhZ2VzaXplPTY0Swp0cF9udHhjaD0wCnRwX210dXM9ODgsMjU2LDUx -Miw1NzYsODA4LDEwMjQsMTI4MCwxNDg4LDE1MDAsMjAwMiwyMDQ4LDQwOTYsNDM1Miw4MTkyLDkw -MDAsOTYwMApyZWdbMHg3ZDA4XT0weDAwMDAwODAwLzB4MDAwMDA4MDAKcmVnWzB4N2Q0OF09MHgw -MDAwMDAwMC8weDAwMDAwNDAwCnJlZ1sweDdkNjBdPTB4MDYwMDAwMDAvMHgwNzAwMDAwMApyZWdb -MHgxOTE2OF09MHgwNDAyMDEwMApyZWdbMHgxOWMwNF09MHgwMDQwMDAwMC8weDAwNDAwMDAwCm1j -X21vZGVfYnJjWzBdPTEKbWNfbW9kZV9icmNbMV09MQpbZnVuY3Rpb24iMCJdCnd4X2NhcHM9YWxs -CnJfY2Fwcz1hbGwKbnZpPTI4Cm5pcWZsaW50PTE3MApuZXRoY3RybD05NgpuZXE9MjUyCm5xcGNx -PTIwNDgKbmV4YWN0Zj00MApjbWFzaz1hbGwKcG1hc2s9YWxsCm5ldGhvZmxkPTEwMjQKbnJvdXRl -PTMyCm5jbGlwPTMyCm5maWx0ZXI9NDgKbnNlcnZlcj0zMgpuaGFzaD0yMDQ4CnByb3RvY29sPW5p -Y192bSxvZmxkLHJkZHAscmRtYWMsaXNjc2lfaW5pdGlhdG9yX3BkdSxpc2NzaV90YXJnZXRfcGR1 -aXNjc2lfdDEwZGlmCnRwX2wydD00MDkyCnRwX2RkcD0yCnRwX2RkcF9pc2NzaT0yCnRwX3N0YWc9 -Mgp0cF9wYmw9NQp0cF9ycT03CltmdW5jdGlvbiIxIl0Kd3hfY2Fwcz1hbGwKcl9jYXBzPWFsbApu -dmk9NApuaXFmbGludD0zNApuZXRoY3RybD0zMgpuZXE9NjYKbmV4YWN0Zj0zMgpjbWFzaz1hbGwK -cG1hc2s9YWxsCm5oYXNoPTIwNDgKcHJvdG9jb2w9ZmNvZV9pbml0aWF0b3IKdHBfbDJ0PTQKdHBf -ZGRwPTIKZmNvZV9uZmNmPTE2CmZjb2VfbnZucD0zMgpmY29lX25zc249MTAyNApmY29lX25mY2I9 -MjU2CltmdW5jdGlvbiIxMDIzIl0Kd3hfY2Fwcz1hbGwKcl9jYXBzPWFsbApudmk9NApjbWFzaz1h -bGwKcG1hc2s9YWxsCm5leGFjdGY9OApuZmlsdGVyPTE2CltmdW5jdGlvbiIwLyoiXQp3eF9jYXBz -PTB4ODIKcl9jYXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFj -dGY9NApjbWFzaz1hbGwKcG1hc2s9MHgxCltmdW5jdGlvbiIxLyoiXQp3eF9jYXBzPTB4ODIKcl9j -YXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFz -az1hbGwKcG1hc2s9MHgyCltwb3J0IjAiXQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVt -PTI1Cmh3bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUs -MwpkY2JfYXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxz -b2NrZXRudW0sNQpbcG9ydCIxIl0KZGNiPXBwcCxkY2J4CmJnX21lbT0yNQpscGJrX21lbT0yNQpo -d209MzAKbHdtPTE1CmR3bT0zMApkY2JfYXBwX3RsdlswXT0weDg5MDYsZXRoZXJ0eXBlLDMKZGNi -X2FwcF90bHZbMV09MHg4OTE0LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzJdPTMyNjAsc29ja2V0 -bnVtLDUKW3BvcnQiMiJdCmRjYj1wcHAsZGNieApiZ19tZW09MjUKbHBia19tZW09MjUKaHdtPTMw -Cmx3bT0xNQpkd209MzAKZGNiX2FwcF90bHZbMF09MHg4OTA2LGV0aGVydHlwZSwzCmRjYl9hcHBf -dGx2WzFdPTB4ODkxNCxldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsyXT0zMjYwLHNvY2tldG51bSw1 -Cltwb3J0IjMiXQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209 -MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUsMwpkY2JfYXBwX3Rsdlsx -XT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbZmlu -aV0KdmVyc2lvbj0weDE0MjUwMDFjCmNoZWNrc3VtPTB4OWMzMGFiMGUKAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA -AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA== -==== diff --git a/sys/dev/cxgbe/firmware/t5fw-1.15.37.0.bin.uu b/sys/dev/cxgbe/firmware/t5fw-1.15.37.0.bin.uu new file mode 100644 index 000000000..b89e105af --- /dev/null +++ b/sys/dev/cxgbe/firmware/t5fw-1.15.37.0.bin.uu @@ -0,0 +1,10501 @@ +/*- + * Copyright (c) 2016 Chelsio Communications, Inc. + * All rights reserved. + * + * Redistribution and use in source and binary forms, with or without + * modification, are permitted provided that the following conditions + * are met: + * 1. Redistributions of source code must retain the above copyright + * notice, this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright + * notice, this list of conditions and the following disclaimer in the + * documentation and/or other materials provided with the distribution. + * + * THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND + * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + * ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS + * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) + * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT + * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY + * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF + * SUCH DAMAGE. + */ +begin-base64 644 t5fw +AAEEjgEPJQAAAQQJAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAABG8EfgSHAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAENoZWxzaW8gRlcgUlVOTUVNIERFQlVHPTAgKEJ1aWx0IFRodSBBcHIgMjEgMDc6 +MjM6NTAgUERUIDIwMTYgb24gdm5jNC5hc2ljZGVzaWduZXJzLmNvbTovaG9tZS9maXJtd2FyZS9j +dnMvZnctcmVsZWFzZSksIFZlcnNpb24gVDV4eCAwMS4wZi4yNS4wMAAAAAAAAAAAAAAAAIi7Dzlg +AMgAH/zhSOEAe/AAEAAA4QAwuHj///8f/OFAgAAAAeEAe3AAABAAH//89CAAAADhAZwE4QUAAAAC +AEDhBQgAAAYAQAACAAwABgAM4QUABAAMAACAAAEC4QB7POEAe0ThAHvk4gAAAAABAADhAHuQIAAA +AAAAgADhAHsAAABAAeEAe5wAAEAAREREQuAAAADjAARzREREQOMACAAgAAJcAAAAAB//k8AAAAAA +H/+TxAAAAAAf/5PIAAAAAB//k8wf/8AAAAAAAAAAAADAABH/zSIKh5IQghAS/8wT/8yTIBL/zBP/ +zIQgBDMBkyAR/8oS/8uSEBH/yhL/y5IQEf/KAfQxAOQxAAUxAQIAEv/IAucxAhYAEf/GgRABAV/A +IQIRAckTEf/EEv/EkhAR/8QS/8SSEGAAEQAAEf++Ev/CkhAR/74S/8GSEIEQEf/AwCCSERL/v5IS +wCCSExL/vpIQghAC8lBlL/cR/7zHL5IQEf+7khAS/7sT/7uTIMAykyET/7qTIoIiEv+5E/+5kyAj +IiEU/7gEMwHJOBP/t4MwA4MUCDMRFP+1pDOTIRP/qZMiYAAIwjCTIRP/ppMiEv+wkCCQIZAikCOQ +JJAlkCaQJ5AokCmQKpArkCyQLZAukC8gJhAgJhGCIhL/o8AwLTcwLTc0LTc4LTc8Iz0BcjPtAAIA +Ev+gIwoALzcALzcQLzcgLzcwIz0BcjPtAAIAEv+VwDAoNzAoNzQoNzgoNzwjPQFyM+0S/5TAMCc3 +ACc3ECc3ICc3MCM9AXIz7RL/jxX/jxb/j8Aw1yAFZgFgABQAAAQ2BQACANMP0w8FMwxuOxQHRxQH +BEN2MeYENgUFMwxvO+0AAgAS/4MV/4EjCgACJwIHBEMEPgUFMwwHRxRvO/ADAgAS/33JLoMghCGF +IrwidDsOhlC0VZYwtDN0M/Rj/+YAZT/iZV/fEv9xwDIDLgUDAgAS/2jAMCg3QCg3RCg3SCg3TCM9 +AXIz7QACABL/ay0nAMARAUkxAEgxAQIAwAAU/2gE0jEV/2eUUBT/ZwTTMRX/ZpRQFP9mBNQxFf9m +lFAU/2UE1TEV/2WUUBD/ZQMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAf/AAA +H/wAAOMACfgf/AAAH/wAAOMACfgf/AAAH/wAAOMACfgf/4AAH/+KAOMACfgf/4oAH/+KAOMAE/gf +/4oAH/+KAOMAE/gf/4oAH/+L2OMAE/gf/4vYH/+TtOMAFdAf/5PAH/+1POMAHbgf/7U8H/+1POMA +PzQf/8AAH//94eMAPzQgAAAAIAABauMAfRggAAF4IAABfOMAfoQgAAF8IAABheMAfoggAAGYIAAB +nOMAfpQgAAGcIAABpeMAfpggAAG4IAABvOMAfqQgAAG8IAABxeMAfqggAAHYIAAB2OMAfrQgAAHc +IAAB4uMAfrQgAAH4IAAB+OMAfrwgAAH8IAAB/OMAfrwgAAIYIAACGOMAfrwgAAIcIAACHOMAfrwg +AAI4IAACOOMAfrwgAAI8IAACPOMAfrwgAAJYIAACWOMAfrwgAAJcIAACYuMAfrwgAAJ4IAACeOMA +fsQgAAJ8IAACguMAfsQgAAKYIAH6QeMAfswgAwAAIAMXYOMCdnggAxdgIAMXYOMCjdggAxdgIAdc +HOMCjdggB1wgIAdfgOMG0pggCAAAIAgWEOMG1fggCBYQIAlDMuMG7AggCUNAIAlEwOMIGTggCwAA +IAsAAOMIGrggCwAAIAsAAOMIGrggCwAAIAvBqOMIGrgAAAAAAAAAAAAAAAAgADcOIAA3ACAAOuog +ADcAIAA6ZSAANwAgADeyIAA5/SAAOYIgADcAIAA5MSAAOOogADh9IAA27SAAOCcgADcAIAA3ACAA +NwAgADfSAAAAAP///////w/8///w////APwgAMDzIADCLyAAwmAgAMInIADB7SAAweYgAMGvIADB +pyAAwZ8gAMFSIADCXiAAwUogAMEmIADCYCAAwR8AAAAAARAYAQAEAAAAAAAAAAAAAAAAAAoAAAAK +AAAAFAAAAAoAAAAKAAAACgAAAAoAAAAKAAAACgAAAAAAAAAAAAAAAAABAAEAAQABAAEAAQABAAEA +AQACAAMABAAFAAYABwAIAAkACgAOABEAFQAZAB4AIwAtADwAUABkAMgBLAGQAfQAAAAAAAAAAAAA +AAAAAAAAAAAAAQABAAIAAgADAAMAAwADAAQABAAEAAQABAAFAAUABQAFAAUABQAGAAYABwAHAAAA +AgAAAAYAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKA +AAADgAAABQEAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAAAcAAAAKAAAADgAAABQAAA +AcAAAAKAAAADgAD/AAECAgAAAAAAAAAAAAAAIAikoyAIpPAgCKRDIAikDiAIpPAgCKMvIAijLyAI +pPAgCKTwIAijLyAIpPAgCKTwIAijLCAIoy8gCKLdIAik8CAIpPAgCKTwIAik8CAIpPAgCKTwIAik +8CAIpPAgCKTwIAik8CAIpPAgCKTwIAik8CAIpPAgCKTwIAik8CAIowcgAwugAAAAASADC6gAAAAC +IAMQGAAAAP8gAwkgAAAA/yADC4wAAAACIAMLkAAAAAMgAwuYAAAABwAAAAAAAAAAIAMLcAAAAAEg +Awt0AAAAAiADC3wAAAAEIAMQGAAAAP8gAwkgAAAA/wAAAAAAAAAAIAMJIAAAAAAgAxAYAAAAACAD +ClAAAAABIAMKWAAAAAQgAwpgAAAACCADCmwAAAAgIAMKfAAAAEAgAwqEAAAAgCADCowAAAEAIAMK +lAAAAgAgAwqoAAAEACADCrwAAAgAIAMK1AAAEAAgAwroAAAgACADCvgAAEAAIAMLBAAAgAAgAwsY +AAEAACADCygAAgAAIAMLOAAQAAAgAwtQACAAACADC2gAQAAAAAAAAAAAAAAIBAIAAAAAAAAAAAAA +AAAAIAMKPAAAABAgAwpEAAAAESADCiQAAAAAIAMKKAAAAAEgAwosAAAAAiADCjQAAAADAAAAAAAA +//8AAAAAAAD//yADCaQAAAEAIAMJsAAAAIAgAwnAAAAAQCADCdAAAAAgIAMJ4AAAABAgAwnwAAAA +CCADCfwAAAAEIAMKCAAAAAIgAwoUAAAAAQAAAAAAAAAAIAkpiCAJKUIgCSl+IAkpfiAJKUIgCSlC +IAkpiCAJKYggCSlCIAkpiCAJKUIgCSmIIAkpfiAJKUIgCSlCIAkpQiAJKUIgCSlCIAkpiCAJKUIg +CSlCIAkpQiAJKUIgCSlCIAkpiCAJKYggCSmIIAkpiCAJKYggCSmIIAkpiCAJKYggCSlCIAkpQiAJ +KUIgCSlCIAkpQiAJKUIgCSlCIAkpQiAJKUIgCSlCIAkpQiAJKUIgCSlCIAkpQiAJKUIgCSlCAAIC +BQUICAsLDg4RERQUFxcaGh0dICAjIyYmKSksLC8vMjI1NTg4OzsAAAAAAAAAAQMREQgIEAkDAQAA +AAAAACAE1NAgAbikIABaKCABlxwgAbVoIAGxACABfCAgA/90H//AMB//6PwgAMMYH//b3CAAgYQg +AHQIAAAAAAAAAAAgAZi4IACgAAAAAAAAAAAAH//VlB//x2gf/8T8H//DECAAcBAgAGjIIABnSCAA +uKgf/+PEIAcisAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgAb90IAGp +WCAAy1QgAMp4H//vgB//0Ggf/80QIACd0CAFaaggATkIIAEbLCABAwggAPfgIADp2CAA4AggAM1w +IATXOCAEHCggAS7YIAQ/UCAB58wgAIFAAAAAACAAy7QgBd2oIADAWCABn9QgABIgIAC0ACAADVgg +A1tcH//ysCAAy3AgBB7AAAAAAAAAAAAgA3vcIABMACAAQmggAEr4AAAAACAAVeAgAFP8IABRsAAA +AAAgAFnoIAEyiAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAAV4AgBNRwIABM +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgAFkoIAOSTCAAWDgAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAQAAAAEAAAACAsAAAAgAxSgCAAAACADFKwIAAAAIAMUuAoAAAAgAxTEDAAAACAD +FNASAAAAIAMU4A0AAAAgAxT0DgAAACADFQQTAAAAIAMVFAoAAAAgAxUoDgAAACADFTQYAAAAIAMV +RA0AAAAgAxVgDgAAACADFXAQAAAAIAMVgBIAAAAgAxWUDgAAACADFagQAAAAIAMVuBEAAAAgAxXM +CgAAACADFeALAAAAIAMV7A0AAAAgAxX4FAAAACADFggKAAAAIAMWIA8AAAAgAxYsBgAAACADFjwG +AAAAIAMWRAYAAAAgAxZMBgAAACADFlQGAAAAIAMWXAkAAAAgAxZkBgAAACADFnAEAAAAIAMWeAYA +AAAgAxaACwAAACADFogLAAAAIAMWlAQAAAAgAxZ4BAAAACADFqAJAAAAIAMWqAkAAAAgAxa0AAAA +AAAAAAANAAAAIAMWwAoAAAAgAxbQBgAAACADFtwCAAAAIAMW5AMAAAAgAw/sAQAAACADFugAAAAA +AAAAANdqpHjox7dWJCBw28G9zu71fA+vR4fGKqgwRhP9RpUBaYCY2ItE96///1uxiVzXvmuQESL9 +mHGTpnlDjkm0CCH2HiViwECzQCZeWlHptseq1i8QXQJEFFPYoeaB59P7yCHhzebDNwfW9NUNh0Va +FO2p4+kF/O+j+GdvAtmNKkyK//o5Qodx9oFtnWEi/eU4DKS+6kRL3s+p9rtLYL6/vHAom37G6qEn ++tTvMIUEiB0F2dTQOebbmeUfonz4xKxWZfQpIkRDKv+Xq5Qjp/yToDllW1nDjwzMkv/v9H2FhF3R +b6h+T/4s5uCjAUMUTggRofdTfoK9OvI1KtfSu+uG05EHDBEWBwwRFgcMERYHDBEWBQkOFAUJDhQF +CQ4UBQkOFAQLEBcECxAXBAsQFwQLEBcGCg8VBgoPFQYKDxUGCg8VH//AAAAEACAgB1+AIAdjQB/8 +4gAf/610H/+xwB//rQSBgAAAgQAAAAQAAAgP////H/+w0AOAAAAf/7DAAP/4AAEAAAAAEAAAgQQB +AIEEAAABBAAAAQQBAAAH//+AAAAAKgAAAB//hPAGAAAAH//P8CAEWdACAAAAgBAAAIAAAAVBQAAA +QUABAIMAAAEf/5lcIAMN/AwAAAD//7//v////x//lBD//wAA//8A//AAAAD/f///H/zi5ABAAAAf +/6lUAAEAAAAA//8f/5TA///QJB//Zzwf/ODoIAddXP//wOAf/2bwH/9nlB/83gAf/64oH/+fhAAA +CHjg//4A4QGSAB//mfAA////H/+uPB//neQEQQAIBAEACMAAAADABAAApQAAADAAAAAf/5xo4QP+ +AOEEbgAAAIWEAACFgCALdwAgC3fAIAt3QCALd4Af/67AAAAckAAA/4AgB1/QIAddTCALeADhAC4A +H/+utB//qcQf/6+AH/+q8AAAFuAf/65w4AAAoOEAMLgAAIAA4QBgEAAAQADhAwgA4QNIAOEDiADh +A8gA4QAQCB/84UDhAHtwH/+0/B//tPQf/OAIH/+0+B//tRQf/7UMH/+1EB//tSwf/7UkH/+1KB/8 +4gAf/60EH/+qyB//neQgAc/sH/+vjAAA/4AAAB1wH/+UEB//sNCBgAAABAAACIKAAACBAAAAIAMN +8AwAAAAf/5nsH/+Z3B//n3z//7//v////wQBAAjDAAAAH/+xwB//rXQf/7DAIAsDYAAPQkAgAwvo +IAuCcCADC8ggAB8YIAsC0CAAFHAgCwOQIAsCoCALAwAgCwMwIANNcCADC/gQAAAAAoAAAO4AAAAg +C4KgAAALAAAAYAAAYAAAYAAAAAAAht0gCwPAIAAmTCoAAAAgAEPwIAsEMCADV5AgCwRgIAsEoCAL +BNAgABkkIAMMCCALBVADYAAAIAuCwCALBdAf/6+YH/+vlB//r/ggCwhwBQAAAIP/AAAAEAAAIAAt +TCADDUgf/4lAH/+E8B//hvRnRSMB782riZi63P4QMlR2H/+AAAAAPyggAw/sz////yALCWA///// +AgAAAEAAAAD//3//IAsKgCAARmggCwqwIAsLIAgAAAAA////IAsLkPf///8gCw4A//7//yALGzCA +AAAAAABAACADDfQAAP//AACAACALHAAgCxyAIAscwCALHRAgCxxQ//v//w/2gAAgCyFwIAshoAAB +AAAABAAAIAuKQB//rhAgAFXgIABXPCAAU/wgCyIwIABRsCALIsAgCyMQIAsjoOAAAAAgCyQgIAsj +4FMAAAAgCyRAUgAAACALJIBRAAAAIAHw8B//quwgCycQIAsncCALJ0AgCynwH/+uPCALKkAf/53s +H/+t6CALLAAUAAAAgAAAAngAAACAAAAGgAAABQAACgCAALAAAOMwkv//8ACAALEA4QGaAAACAAAg +CyvAH/+bZAAAfkAf/64gAP/AAAEAAAAoAAAAJgAAACALLHAf/7B0H/+qgCALLRAFgAAABgAAAB// +qkQrAAAAIABrWB/83gAf/6vcNQAAAAOAAAADQAAAB////wA///+AQAAACA///x////8gAAAAAADA +AB//rgQ9AAAAH/+spAcAAACBBAEAgQQAAB//rEAAADqYAAAP/wBDAAAAAAgABAAAACALkDAf/7Hg +H/+0cB//mVzhAHoAIGAAAB//rHQf/530H/+d6CALkGAAAweAIAuQ0B//m8gAIAAAAEAAAAAACQAA +ADAA//z4f8AAAACj/7sAo/+6AA0AAADgAwAAg/+2AA////8P//gA/wAAAA///gEgC5EQIAsu4CAL +LxAgC5GgAA8AAAAKAAD//wAPH/+d/B//rgwD/8AAg//AACALkiAgC5KQH/+u6B//slAf/7Iw/2Dw +AB//gFAf/5PQBIAACABEAAD/H///AMAAAAGAwgAAAIEA/7//////AAAAgAAAAAAJfB/84gwPAAAA +IAsvUB//roQAAAh8H/+vhB//n9gf/5nYH/+AYCAHXaAAACcQH//bECALmUAf/67kH/+d3N6tvu8g +AwjQNAAAAD8AAAAf/69UH/+xmACZAAAAAIkGEAAHAgHAgACZAAAAH/+yVACIAAiCgAABH/+x6B// +r9wADwP/AxEAAAMVAAAgCzPgH/+t8CALmZAgCzRAIAs0kCALNSAgCzQQIADyuCALNPAgCzbAIAs2 +8CALN0AgCzegIAD4KCkAAAAAAIkUIAD+WCALmgAgC5pgIAEH6B//sPTw8PDw/wD/AKqqqqrMzMzM +H/+0YAAAIFAf/7JoAAP//wAAJ/8gARAEIAua0CAERnAf/65oH/+uxAAJAAAAACAAAABIAIIAAAAg +ATKQIAubQCAAKOwgC6AAIAugMCALPmAgCz4wIAs+cCALPtAgCz9QIAs+oCALPvAgCz8gIAtA8CAL +QUAgC55AIAueYCALQXAgC0HAIAufACALnyAgC0EgIAufUCALnpAgC57AIAtB8CALodAgC6JgIAuh +ACALoRAgC6CQIAuhcCALoNAgC6CgIAuhUCALoeAgC6GgIAuiIDsAAAgOAAAA0AAAAB//gKAAAB+K +H/zi5AAACFAAAB9AIAtEcCALRJAgC0SwAAkACB//sVwwAAAA///3/yALRwAgBEwsAACD/yAHYYgg +B2KAFaAAAB//sagf/64UAAAIBgAAD/4AAIjMfwAAAPAAAAAgC6bgIAumQCALpqAgC6VgIAul8AAA +4AAgC6KQIAujQCALpcAf/5t8AAQD/woAAAAf/7CEMwAAAOEAAAAf/7KkA//gAH///wAAAP/+AD/2 +kB//sbAAAB+YA//wACALjTAgC4zwIAuNUB//s7AgC0dwH/+rLBoAAAAgC0fAIAGPDB//sawf/6yA +AA///x//sWAf/6xYH/+vHB//riQgC6dAH/+t2B//qpgf/6yMIAddSB//qRAgC0qwwAQAAB//rJgf +/7IgH/+xiCALqFAgC0rwH/+sEOABAAAf/5+AIAupkCALSzAgAL0oH/+feCAAuhggC6kQIAupYB// +m5wgC00wH/+fhCALWeDg//4AIAt/wB//lcwgC2YwIAtmwCAHX9AgC2lwIAtpoEgAAAAgAciQH/+r +7CABypAf/6nEH/+aTB//rLQf/6oMAAAXsAAAFfwf/6ywIAdfvB//qnThAF4AH/+rlABNNaAAAEi5 +H/+Z8OEALgAf/6y84QMGAOEADgDgBQAAA/8AAB//qhQgAw38H/y//zwAAAAAB///gwAAAB//qcwg +AeyYIAt+QB//rvTgBgAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAgYAAAAAAAAD///////// +////////////H//8FB///BQf//vYH//72B//+9gf//vYH//0IB//+JAf//a4H//2uB//9rggBySY +AAAAAAAAAAAAAAAAAAAAACAHJwggBycIAAAAAAAAAAAAAAAAAAAAACAHJJggBySYH//5jB//+Ywf +//mMH//5jB//+Ywf//mMAAAAACAB0QwAAAAAAAAAAAAAAAAAAAAAAgEAAAAAAAAAAAAAAAAAAAQA +AAAAAAAAgYAAAAAAABAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAKABHyfxPy +f9MPA+YxAQIAFvJ9F/J+d2sGkGC0Zndj+FQPC1Xm0A8UAGP/+QAAAGwQCIoiKCAHiTD7Cv8oIAFA +MPWhYmkAAUwwKiAWmRP4FgQgMgRasPS1GgIAAFCwWDybiBT0omhg/xBYMBryaRnyaSyiSvmSfyFX +ADcgJqJJCWYB+WwAAVEANaAd8mMt0IAMhxH/8mIWACBV8PxyOiBuAmtwDU0UpN3/jwoABgJrcP/y +lyoAhe8QLnI5/+YBDgCAf5AoIBaZEvoK7SAuBFowKTAQ+zELJgDOVlC8uwIqAlg8miggFCwgBKSI ++AlHAgCAAyD5JBQsAJgSYB7ySSghB40TGfJJ/PJHGUABQDD8iBEAHgJ7cP9PFAgJAEow+GYAKuAB +KDD7IgAgAgJ78P9PDAAgAkmw+DwQLAUAe7D9ZgMrgAQ+4PxmAioJAF0w+2YBIgBRmqD4QQ9gABAo +MLBKbakFAAiGAElh+SAEIf4CWTAMuxGra/u8ECIATAJgiDIoJhyJMfsWASAAEDAw+BYAIgBTBlBm +kL+mTPx2OSIAABFw0Q8AAAD6LAACAABY8PxMAAIAAGlwWIiv0qDRDwDaIPuMGCABEGAwWI1PY//X +8/6vYAAQMDD6LAAAHBBYMPwKASABEGgwWI1HY/+5AAAoJBRj/wWKJ/ycAAABEFgw+qwgIgAAaTBY +g4fz/1tiAAAqsIsw/wIAAgBmqtD0djkiAAARcNEPAPsSAiIAAFCwWAs1Y/7FAAD6LAACAABiMFgM +n4kxixGIEPysEQIAADKw959EagAgZvDaIPwKACIAAGowWAxxpqamTPx2OSIAABFw0Q+NNYw0HvHt ++zIHIAIQSDD8D1MKDgFoMPqZDAlkAWAw8JEEDGABYDDw/xoMACBDMP/MCg2gAWww/swOKgBAdvD+ +uwwANAJjMA29LA3MKPP+GWoAIGbwAAAAAAAAAPosAAABEGAw+4wSIAAQaDBYjQlj/sGwSwy7Eftr +CAIAAFCw+7wQIAAQYDBYgSPyXAAABgJhMCx2OdEPAAAAAABsEAgoIAUqIAcrMQX7FgQgFBBIMPoK +QQ4AvEoQiyIY8bj3CgkhOwC24AymEahmLGI6/wIACgC1vxAc8bQrYjkMrAoswpcMuwH7FgUhXAA2 +4C6CShnxrGThHimSfy+CSfn7AQ4Ais/QKiAUCqSH+iQUKgC5lqAb8aouIhaIFSwhKR/xqZ+A+SIe +LAAgczD/zBEABhBQMPyGAyACEGgw/YYCKYAEPmD98ZsYCQBWcJmBiRUAC437EgQgIAJCMAAIiig8 +EP2WBiBAEGAw/JYHIAQQYDD5nCAqAwBm8G25BQAIhgBJYfgSBSRIALrg/vGPGcAEPuCpiPvJDABA +AkIw8A4HBBQAOuBtmQIASGEoISkqISixiAgIT/glKSYAUkaQ//GDEAEQYDD7EgUgHhBwMPoiHi1Q +BD4g/RYBIAAQSDD5FgAgABBoMPkWAiDAAlrwWIYKBQxH92Y5IuYCOyDAINEP+iwAAgAAWPD8TAAC +AABpcFiH/dKg0Q8A+iwAABwQWDD8CgAgARBoMFiMm2P/0QAA+iwAAgAAWXBYiWvSoNEPAPP+pGAA +EFgw+6wYIAAQYDD9CgkiAABQsFiMj2P/ocDQLSUp8/9XYAAQQDCKJ/tMAAIAAGkw+qwgIAAQYDBY +gs7SoNEP2iBYCoRj/ohsEAYmIhCUESUWACchGi0gB/8iCSAUEFAw/3gRABwQcDD9DUEKAAlyEA8J +QvSQB2hAATgwZIGs1+ApIAWMIsG497YwDgDoUlD48TMRewC3IAzVEfrxMxQAIEVwKFI6CtoK+qKX +JgDLwiApUjkNBj76lAEOAMTWUIsqD7sM/wIACgCaNtAsIEwqIE79rAEmAMtXEC0kTiowAfkiGCAB +EGAw+ghCAgDIapArIAcd8SYvMQHwiBEKAgFYMPshJCqgBDqgCogC+iEHLgkAR/D4IQkqCQBu8P0h +Ii4JAG/w/0YAK0ABUDD/8RkawAQ6oPoiACgJAFIwmEObRP/dAgAGEFgw/UYCK4AEOqD98REaCQBa +sJpBKCIQmEUvIDga8Q37zAAAQRBAMPxGCS4DAXww90YIKgUAe3D98P8aBQB+MPvw+hoJAFqw+kYG +IAAQeDD/RgcgAgJCcCgmGJ9LmUrwDRcAYAJJMAAJiv5GDyAgAlDwLkxA+0YOIAYQSDACCoYATmMA +CoYATmH5VjkgEwA1oI0pLCA4pt39JgkiAGR7EI4QDg5H/wIAAgBTG6DAINEPAAAAAAAA+iwAAgAA +WbBYilD+ChwuwQA2oPwSASIAAFCw/RIAIgAAWPBYh2nSoNEPAAAAAAAA/wIAA/8nhZAJdwzz/kZh +0AI58AAAAAAA+9wYIgAAULD8CgEgBhBoMFiL/2P/tAAA+xIAIgAAULBYiM/SoNEPAI8iwIQI/wKf +ImP/lgAAAAD7Ih0ugAFMMADxBADNGg27AismHWP+XACKJ40RwMD6rCAiAABbcFiCNNKg0Q/aIFiH +DWP/MwAAAGwQDpUeAOWOLCE1KCEajynzFhAiAABI8IqS+zIEIBQQaDDzIAcmQAF8MP+GEQpgAVAw +/CIQKgMAZvDzA0EKAAfZkPRwB2ZAAUAwZGNSJyAFwYgLjHD8vAAOAcfp0IciJhIQ+AoII1IAteAm +YAH/AgACAY/xkJMSmhScE54XmRb98I0QBgJBMPgWDSXABDzg+PCGEAICWTD7Fg8r4AQ84P0WCyoA +IG7w+xYMJAAgRXCNHSxSOoocjh/+FgoqANZvECqilylSOQqZAf6cAAMrADZgK4JK/RILIvgANuAs +gkkt0psNAz79yQEOAXPvEIYqmREPZgz+FgUqALwdkCcaAPkK/yoAB5nQKyAW/wIADgC9StCKE4kW +ixUtIDiOk/62ByAAEHgwn7mauCwiF5y6HPBvLiIbnrv78GwQQBAwMPmSBCwDAWwwDW85/xYIKgUA +bzD7FgkqAKNOkIkUiBiOGRbwZf/wXRgJAEow/PBcHgkAQ7D2EgcuCQAzsBvwXS0iEPgSBiKPALWg +JiAHKiEHh4D5EgUmAgEwMPoKSgagBDmg9yEJKgBAXfD8qhAKCQA28PYhJCoJAH7w+iEiJgkAVfCb +kIsgnZX3lgMqCQBisPqWAiBAAkIw+hIPJmABdDD+lgYmgAQ94Pi7EQYJADmw9pYEKgkAWrD6lgEg +YAJKcPpM/iIaADkgbakFAAiGAElhKCAU0w8IhIf4JBQqARaWIPoSCiEAEEgw+lY5KgAJGlArIBYs +Cv//AgAOAR/i0C0iF7Hd/SYXIBMANOCPKS4gOKP//yYJIgEN+5CGF2Vh98Ag0Q8AAAAAAADz/l1g +ABBIMPosAAIAAFjwWImGZK59YAE2AAAAAAAAAPogByAAEGAwWIlgZa57YAK7AACKF2SgpCYSBC8S +CCwSA/sSBi+AEFAwKiQ7/hIJLAAgZ7AMmAwotgT9JhsiAABQsP0iEC4JADfw/xIFLgkAe7BYiAcY +7/IrGgD6VjkqAAaa0CsgFiwK/3y5a48pLSA4o///Jgkg6AD7cIwWLSE1LiEajMT/5hEMAwBrMPki +ECoAB+GQDwdCyHQODUJk0Ff8FgMgGBBYMPy5MAABEDAw9hYHIAMQcDCeHmP9aYonKxIQ+qwgIgAA +YTBYhMiaFi4iG4mkY/9AAPogByIAAGDwWIj9GO/PY/+CAADaIFiGLRjvzI8pY/+CcZ6kB+wM8/+e +YdACYzAAAC0gTmTc3gh5ApkiYAAZAAD/AgAD/lSHEAeLDPP8nmHQAlrwAAAAAAD7EhAiAABQsP0S +DiIAAGEwWIZR0qDRDwD6LAAAHBBYMPwKASABEGgwWIrvY//RAAD7Eg4iAABQsFiHv9Kg0Q8AixL6 +LAAAARBgMP0SDSAwAlrwWIrlY/+nAAAAJiA7ZGBuixaME/8SBS+AEEAw+CQ7IgAAULBYh7L6Fgog +ABBIMCkmGykkO2P9zQAAAAAAAAD7EgEiAABQsFgI02P9yIon+0wAAgAAaTD6rCAgABBgMFiBFdKg +0Q8A2iBYhe5j/eD6IAciAABg8FiIt2P9tgAAKCEJKiEHhhYjFhEpIAeDFYdg+SlAAEACMbD2FgAr +QAFQMPyqEAigBD5g+yIHJgBAXfD5ISImCQBN8P8hJCYJAH3w+rEVKAkAUjCXMPciACgJAGZw+TYC +KGABdDD7vCAogAQ+YPg2Ay4JAE/w/zYEL8AQeDD/Eg8qAEB+8P02BSIAAEGw/jYGJ4AEPeD3/wII +ACBesP82ASCAAkpw8xIRKgAiyZD8EgUh/AJpMAzXEaeH/MwwKgAbPlD/AgAD/mUBIG3ZBQAIhgBM +YWP8uYsS+iwAAAEQYDD7vBIgABBoMFiKjmP+SgpoDGP/uAidDA1JFG2ZBQIIhgBMY4oVKLxA+UwM +CgAgU3D5rDAj/j4DICvM/m25BQQIhgBJZWP8aAAAbBAGKCAF+u8+EBQQWDD/IBQuAJNaECwgTimi +Sh7vOrDM/CROIQMANmAu4n8tokn+1gEOAHv3ULP/Dw9H/yQUKgDkF+AvIHMX70L5Ih0gARBoMPDx +BAACAnPw8NgaDoABcDD+JHMv/xBgMPyMAwgAQEow+Ng5CABAZnD5Jh0gygC2IIoifKcEKCBOyoB9 +pwgrIEwpIE57kxXMbCwgFP0iAioOAT8gZNF1wCDRDwAAjifH8w+vAf8mAiBgAlOwWHhW8+8lECcA +NqAooADTD9MPA4gKKIIQ/KAHIgAAWrD9CgQiAABQsAuAAGWv3Ikn0w9kn6UqmRTKp4qZZK+bKKAA +A4gKKIIQ/KAHIgAAWrD9CgMiAABQsAuAAGWv4GP/eQAA8//VYAAQUDDAYCkgFLOZKSQUY/8OAAAA ++iwAAgAAWXBYhwHSoNEPAC4gBS0gB/0MQQ4Ar1uQBQtH/wIAAgCwmuCTEI42DMgRqoovojr/AgAC +AHrD4Bju5SmiOQjICiiCl/ifAQ4AcMZQIyEk+O7zGAIBbDD87uAYoAQ+YP0hCSIJAEzw+SEiIgkA +RPCT8BPu3IggnvX99gMoCQBmcPiIEQACEGgw+fYCKAkAajD49gEgMAJj8PADFwAAEEgwsZnyHB4B +9AI+YP2mOSP/S4Lgiif7TAACAABpMPqsICAAEGAwWIBFY/56APosAAIAAFmwWAf5Gu678/4qYBQQ +WDCKJ8Cw+qwgIAEQYDBYh5GXoP4iACAcEFgw/AoBIAEQaDD/rAAAARBAMPnuxR+ABDug+aYCLgkA +Q7D+9gEiAABQsFiJ5sAg0Q+PIvsWASBcADfg/wIAA/8HGuCKJysKAPqsICABEGAwWIORl6CMIPsS +ACABEGgw/u6zHYAEOyD+pgIsCQBrMJyhi7abo2P91AAAAPtcAAIAAFCwWIajY/3DjjNj/qEAAAAA +APvMGCIAAFCw/QoCIAEQYDBYiceLEWP/j2wQBCogFP+rHmIAABkwiif7PAAAABBgMPqsICIAAGjw +WIAF0qDRDwCMInPOKhvufC2ySsnfH+57LrJJL/J//+sBDgAKf5D6JBQriAE+oNogWAexY/+3yMbA +INEPAAAAAPosAAAcEFgw/AoBIAEQaDBYianAINEPAGwQDiQWDS4gBwD1jv8WDyzgASww/g5BAnIC +O2D6PBAiAABIcPQKFgIAAEBwAEllAgqGAEljAAqGAElh8QMWAAMQSDApFg0ACIr4FgwiAAAYcI8v +KCE0hzcqIRqLKfMWECIAADDw/6wRBgMARfD7CUIKAAe7EPSQB2pAAVQwZLI38woBIBQQUDD3rzAC +LgI7YC0gTywgTbHdDQ1H/SRPKgEw7xAtIAX/AgAOARpTUI8ix6P4EhAoAOr6kCkiGYiC/wIADgE6 +yhApEhCNHSmSCPjuPh/gBD+g9JItYAYCa3AZ7jIoFg4Y7jMM4xH5MwgOACBH8CkyOvvylyoA6O5Q +KjI5DQU++6QBDgDh3pAoIgksIgoIzAz/AgAKAKgvECkaAPoK/yoACKpQKyAW0w//AgAOAYnS0Bnu +NSghJCohB/khCSgJAEowCgpKDKoQ+iAHKAkAVnAb7iEKKkD87i0aoAQ6oPshIioJAFqwmkD6IgAq +CQBm8JtCG+4nmET5RgMrgAQ6oPvuJRoJAFqwmkEpIg8pRgUoIDgZ7iEsCkL3RggoAwFAMPkKACoF +AEZw+UYHIAIQUDD4YgQqBQBDMChGCSxiBSxGCiliBvlGCyAAEEgw/CA5INQANeD57hIYoAQ6YAmI +AphMjGiXT5xOjB6JaSlGEIhqKEYR8AwXAJACSTAACYqMZ/8CAAoAnOXQGO36Cr8CCP8Cn0b+CgUh +ABBoMP42OSoACStQKyAWKQr//wIADgEnytAtIhksIE+LKfogOCACAmtw/SYZIf4CYzD8JE8qACAu +8PsmCSIA6XqQjh9l4dDAINEPAAAALxYRLRYSLhYT+iwAAgAAWXBYh0YuEhMtEhL/EhEulgA2oI8f +Zf/RiicrEhD8Eg0gYAJSsFiCfcAg0Q8AAAAA/wIAAf+WYxDz/yRgARBIMP8CAAP+4gfQCacM8/25 +YdgCOfAr7Bj6LAAAARBgMFiI8mP/rgAAwUN02QwtIDrA//4WEy4A/ntQ+iwAAgAAWXBYhb3SoNEP +APAABmAdEFAwwKErIQkuEhD6LAAPsAQ+oPziBytABD7g/eIIKgkAfvD+4gIqCQAe8FiFncAg0Q8A +8//OYBgQUDAACrkCmUb4CgUhABBgMPg2OSoACSsQKyAWKgr//wIADgDU0tCLH2SxNoxni2qKaQfM +DPxmByoAIF3w97sGcAAQYDCxqolmiGWaaftmCioAIE3w97sGegAgQzCxqogpm2aaZSwgOKWI+CYJ +IgCP+xCJJyqZFMuhi5n9FhIgLQA24BntjyiwAC8WES4WEwmICiiCECywB/osAAADEGgwC4AALhIT +LRISLxIRiyLHo/8CAAn/ONqQKCE0h2crIRqKL/+8EQYDAEXw9SIJKgAHuxAFCULIlAsMQmTAdcGU +95owAAEQQDCYH2P8yQAA2iBYg79j/imKJ/qsMCIAAFmwWHJY0qDRDy8WES0WEi4WE/ogByAAEGAw +WIavLhITLRIS/xIRLNMAtqD6LAAAJAJbsPwKASAAEGgwWIiKY/4OAAAAAPogByIAAGFwWIZ1Y/2m +AABxroYJtwzz/4Bh2AI58AAALxYRLRYSiicuFhMrEhD8Eg0gYAJSsFiB9y4SEy0SEi8SEfP+o2IA +ADKwLxYRLRYS/hYTIgAAULBYg5QuEhMtEhIvEhFj/scA+iwAAAAQWDD8CgAgAxBoMFh9SysgBf4S +Ey/91iLQY/2BLxYRLRYSLhYT+iAHIgAAYXBYhlEuEhMtEhIvEhFj/joAAABsEAyVGwCVjowvKCEa +JiE0LyAHkx3zMgQiAABw8Iop/SAFLiABfDD2MzYH8AQ6IPoHQgoAB5mQ9HAHakABRDBks0HBhAOM +cPw8AA4BwMNQhyKGHdMP+AoII0MAteAmYAH/AgACAYhxkJ8TnBKZFP3tBhAGAkEw+BYKJcAEP+D4 +7P8QAgJZMPsWDCvgBD/g/RYIKgAgbvD7FgkkACBFcI0aLFI6ixmPHP8WByoAuO8QK7KXKVI5C5kB +/5wAAyAANmAsgkr2Eggi7QA3IC2CSSZimw0DPvbZAQ4BbjdQhyoKdwz5FgEqAKAd0CkaAPoK/yoA +B5pQKyAW/wIADgCjUtCLEhrs+ycgOPns+RAAEGgwnff84gQmAwE8MPepOQBAEDAw+RYFLAUAPbD9 +FgYqAJhm0Brs3hzs3/3s5BgJAG5whhQb7OD47BAoCQBucPVipWBAAmvwh+D7IAcmAEBd8AsrQPYh +IiqgBD7g+yEHJgkAXfD3IQkqCQBR8PxsAgtAAVww9iIPKsAEPuD7ISQmCQBd8JrwiiCW9Zfz/PYC +LGABSDD3EgwsgAQ7IPn2BioJAGbw+/YEK4AEOqD6TP8mCQBV8Pf2ASAaAD0gbakFAAiGAE1hKCAU +CISH+CQUKgEsFiD6EgchABBIMPpWOSoACRpQKyAWLAr//wIADgE1YtDIPY4pLSA4o+7+JgkiASj7 +UI8UZfItwCDRDwAAAADz/phgABBIMACfHp4Q+iwAAgAAWPBYhg2OEP8SDi6vADagYAFanx6eEPog +ByAAEGAwWIXmjhD/Eg4uqQC2oGACy4YUZGDG9xICL4AQaDD9JDsgIAJjsPAMFgBAAlvwAEthjeeX ++IrknhCJ5veqDAwAIG3w+uYEKgAGO1D+FgAgAgJKcIwSixCGFo4Vmbb9tgciAABQsP0iDy4JADOw +WISEGOxwjhAnGgD6VjkqAAaZ0CsgFikK/3m5boopKyA4DwIAo6r6Jgkg6AD68C0hNCziBC8hGv/7 +EQwDAGsw+SIPKgAIYtAKB0JkcAUPBkJkYFn8FgIgFBAwMPxpMAABEGgw/RYEIAMQWDCbG2P9dIon +nx6LHfqsICIAAGEwWIFEjx7z/yJiAABysPogByIAAGDwWIV5jhAY7Epj/33aIFiCqY4QGOxHiilj +/4BxnqIH/Azz/5xh2AJjMC0gTmTc7Qh5ApkiYAAZAAD/AgAD/l0HEAeDDPP8r2HYAhjwAAAAAAD7 +Eg0iAABQsP0SCyIAAGEwWILN0qDRDwD6LAAAHBBYMPwKASABEGgwWIdrY//RAAD7EgsiAABQsFiE +O9Kg0Q8AixP6LAAAARBgMP0SCiAwAlrwWIdhY/+nACYgO2RgevwSAi+AEFAwKiQ7AgiG8A2iAgAA +W7D89ggiAABycP0iDyIAAFCwWIQq+hYHIAAQWDArJDtj/Z8AAPsSASIAAFCwWAVNY/2diif7TAAC +AABpMPqsICAAEGAwWH2P0qDRDwDaIFiCaGP9qvogByIAAGDwWIUxY/2LAAAAACYgB5MfJyEig+Au +IQf8IQkmCQBl8PYmQAIAQFzw+yIHJqAEOaD2ISQiCQA08P4OSgIJAFTw+iIPLsAEO6D+sRUsCQBz +MJPwgyCX8pzz/BIMIEACWvD69gUmYAFMMPn2BiOABDzg88wCAgAASjD89gEvwBBgMPh3EAoAQGbw +++wIBgkAObD29gQggAJjMPMSDyoAHWIQsEgMhhGmlnbDMf8CAAH+XIUgbYkFBAmGAE1lY/yoixP6 +LAAAARBgMPu8EiAAEGgwWIcOY/5aDokMY//DCcwMDEgUbYkFBgmGAE1nKbxA+EwMDAAgfzD43CAh +/jaHILDO0w9t6QUICYYASGlj/FgAAABsEAYoIAUjIAckCgPzA0EI9AE6ICggImSAbwIqAlh7SPmh +ZmABEGgwKiAhGOu1DwIA/DMRAKoA/rCoMykyOg8CAG6TRSsyOWSwPy4K/v6uAQAAEGAw+iIALAkA +b7D9JCEgABBIMPkWACAAEHgw+RYBIAkQcDD5FgIgABBoMFiAPvQ2OSAAEBAw0Q/AINEPAABsEAQU +67oEIgoiIpcD5EAPRBAEIgECMgLRDwAAbBAEiifbMPqsICIAAGEwWICEwLL7pAIiAAASsNEPAABs +EAoqIAX0tRoAExBgMPggByIAAEjw+RYAIgAAGTD7FgQiAAAicPgIQQgYADqg/wIADgGtYpCNIh/r +gvrrfhfABDog9dLXb+AEOiCv7v4WAyYAIFGw9hYGIAgCSPCOFiYSAy7iOo8WDwIA9mKXKgFfT5Av +8jkG9wH3FgUuAVg30CUhGypCAvYiCiQDAC6w9asMAQAQODD4FgoqAAPd0AqlAocp0w8HZgz/AgAK +AJqtkCoaAPwK/yoAB6qQKyAW+BYKLgCdYtCKQv8CAAoApVVQjykY63f5EgUuACAv8J8pjEOLQI0U +98wAAEACSnD86kAO4AFYMP4WASJJALdgJyAHBwdBCHcKJ3KX/6oQACACQTD6PP8mAEBV8PfHAgAe +ADzgbakFAAiGAElhi0DAgJgSHOtFJiEaiBUU61+NESkhB/rrQx4mATww/dwPICACc3D0/wgJQAFM +MP/wgCnABD5g/U0UCAkAVnD661QQAgJrcA09DJmAD18MiSD3hgcmgAQ5oJaGnoMW6zD6mgIJgAQ+ +YPqGBCACAlDw/4YFKAkATrD5hgEmBQBrMJaCLCAU88wIAgAAIrD8JBQiANqq0I4WiBL/Cv8hABBo +MPTmOSoAB6tQKyAW/wIADgDp+tDSgNEPmRn6LAACAABZcFiEjYga+RIJLrwANqBgASIAmRn6IAcg +ABBgMFiEZoga+RIJLrcAtqBgAfqGFBvrKYdDmBr0YGlmAEBd8P8CAAAARgXQLSEajCmXF/kWCS5A +AWgw+BYKIH0At6CXF/kWCS5AAWQw+BYKIGsAN+D5Fgkn8AQ7YPgWCioALamQHusW+0IDKB0BPDAC +mRH/1QwICQBJ8PgWByoAQHbwm0NgADMAiieZGYsQ+qwgIgAAYPBYf9mIGvkSCSACEFgw+6QCIgAA +IrD6ogIj/74F0IwplxeZGZgajhePFfWtDAIAAFkw+iwADAAgKzD9RgIiAABpcPwmCSIAAGDwWH/3 +iRmIGo8WLhoA+vY5KgAGq5ArIBYmCv92uQvAoZoUY/1VAAAAAAD6IAciAABhcFiD9YgaiRlj/+GL +FGSwmcAg0Q8AAAAAAP2cAAIAAFCw+4wYIAEQYDBYhftj/9uKJ/wWCCzoAVww+qwgIgBGF2CMFSsK +AfzMICIAAGjwWHw6i0CaEmP9xAAAAACLFfxNEQIAAFCw/bsIAAEQYDBYegrz/jZgBgIhMGW8pv8C +AAH+UZ6gLyAg/wIAAf5Me9Bj/30AAAAAAAAA+iAHIgAAYXBYg82IEtKA0Q+KJ9ww+xIAIEACUrBY +f43AsvukAiAAEBAw0Q8AAAAA+zwAAgAAOzD9PAAAABBgMFh8F9tA/DwAAgAAaXD6FgIiAABx8P8S +BSIAAFCwWH+ti0Bj/Zn6LAAAARBgMPuMEiAAEGgwWIXCY/72AGwQCJIUkxUZ6pb4QgAgEAJxMP4W +AC/AEFgw+BYCKgBAWLD6FgMo6AFAMPSBtmAIAlEwjxP9IQUsACBKMCzAAK/f9MwLAIACe/D7zAAK +ANP7EPoWASYAAUAw/woBIAAQaDAG/TgL3wv73QoAEAJb8IIV/AoCKgAgSjDzLAggABB4MPAAf2AI +AhCwjRQOVQz+/AEgABAYMP/sAAACAkIw9IDiZgABdDCGEy3RBSKgAKbW9CILAIACMbD7LAAKAGgw +kP0KACYAAUAw8hYGIAEQEDAGLTgL0gv73QoAEAJYsPaQACABEBAwByM4hxUHZgsX6munNydwoAYy +CgYzC/M8CCwAIGHwjtDwCxcOAwArsJ4gAAOPgtCxqvmcASv/tyyQBSoMDiYM9tYAIAEQSDD3sgEg +ABAwMAqWOIKw+GgIBAAgP7D1tgEqAANxULEi8rYAIIQA/zAd6lOHEhvqUg19AfsSBSYAQF3w/BIU +AAICU/D4iREKCQBqsPq2ACYJAE3wl0DRDwCLEI0RY/9SAA0rDGP/LYUVHuomBcUL8A4XAAAQEDCx +IvIVHgH0AjygGuo9hRIZ6jz4hhEKAEBRcPkSBSQAQE1w9/wBIAICEzDyEhQGCQBV8PeWACQJADVw +lUDRDwAAAAD6FgEiAABqsPP+f2IAAFuwDcsMY/5WAABsEAYe6iosISgoIQctISn66gYSAABJcPkW +AClAAUAw9dwgKcAEOiD6IgcoCQBSMJVjmGDy6fsSAAAgsJJi+zILLAAgYfD/MgcgAhBAMPI8QCBA +AlKw/DUbKgBAdvD3/wwPwBBwMP82ByoJAGbw/q4BACACYbD7NgsgQAJY8G2KBQALhgBMYfXQjmBg +AlmwLExAAgyGAEtjL6EFwFH++QgCAABgsPtsQCgAaUzQwCTdcFv/VI9Apa74/xEABgJzsPjp/B4J +AHuwnmGNN4w6+jIJIgAgErD5MggglwA3YKfM+gtfCABAQnD8NgogAgJa8PoKTwsABD7g+DYIKgkA +WrCaOY8QGOnsDw9HDP8RqP/y9gAgABAQMNEPL6EFrvj4Ol9yAABgsPvcDyIAAHrw+0UUAgAASzBt +WQUECYYAT2UpQSxlkEEvoQXH0P27AQAGAhFw/LwICAAgd/D5nEAqACA28Pu8MCv/pM8QD8wMY/8+ +AB7p0g6eAp5k0Q8PLAxj/ysPLAxj/56mmCiMMA3qMC9CFw/dDC8wFRLpyQ9vQQL/Ci/ylw/dLC9B +MCJBMQD/EQ8iAq0i8oUBI/ABEDAihQBj/4EAAGwQDPgiAiIAAFjw+SAHIAAQYDD0pRoAABBoMPoW +CCIAACjw9YMxaCABTDCZEhjptJ0VnBSbEy4gFhrphxfpsPcWByD/EHgw9+mBF+AEOmD6FgsmACBR +sPYWCiPABD5g/+EUcgAgPPDaIFgzhhjppfoWBySkADagKXJK/BILIJYANmArckkswpsMuwH7Fgki +9QA24B3pcC3QgC4yOvwSCiBuAmtwDU0UrU233fzClyoANu+QKzI5DLsB+xYAIt0ANuAsUQwvUBCJ +V/kWASYAzkcQZPDraPF0/wIABACUj+D/AgACAJCj4I1Ty9f6LAACAABZcP0SAiIAAGEw/xwEIgAA +cHBYMJAY6X73oPpiAAAysGACl/P/c2AAEFgw8/+ZYAAQWDD7XAACAABQsP0SCCIAAGEwWDFfwPH/ +pgMgARBwMJ4Y8/+kYgAAKrAAilPKr4sVZbERhhGPF9og/RICIgAAWXD+EgAuAwA38P8WASIAAGEw +W/8yGOli8ACHYgAAMrCKF8Cx+xYFK//jypDA0P0WBSv/3sqQ+1wAAgAAULD9EggiAABhMFgxr8Dx +/6YDIAEQcDCeGPP/lmIAACqwAACKU2SgV40U/AoBIAAQWDANyzhksJGIEYYX+iwAAgAAWXD9EgIi +AABhMPhmNgIAAHBw9hYBIAgCeHBYMj746UESAAAysGZhpPoK/yAA3I2gKSAW/wIAB/8w1lBgAR6L +F/mzCXAAEDAwecMBwGH+CgEgABBgMAbsOPYWBC+KADcg+1wAAgAAULD9EggiAABhMFgyu8CR+aYD +IAEQeDCfGPP/Y2IAACqwAIsQihge6PwmIQeJUB3o+xfo+/kJSAdAATAw/5wPJ8AEOaD/TxQGCQA5 +sJaw/CIAIAQCe/D/TwwAIAI68Pm2AyH+AjEw/+05DYAEOyD9tgIsCQBhsPy2ASGhALagiBP4jCAi +KgA5IPpM/iIAAEnwbakFAAiGAElhK0z+DLsRq3ubECwgBCggFPSICAIAbwMgCAlH+SQULACLkmCI +UigmHIlR17D4FgYiAIsGUPaRL2AAECgwpWYmNjkqIBYrCv97oQr7EgEiAABQsFgzFYwYZcC7wCDR +D/sSASIAAFCwWDMQLSAWLgr/+OjsF/6Td1Bj/Q6PGGX/2ilQENog+1wAAAEQQDD5nP8gABBoMPmN +OAIAAGEwWC+VwCDRDwAAAPosAAAcEFgw/AoBIAEQaDBYg+tj/72LEtog+7wYIAEQYDBYg+dj/6ss +IBYtCv99wYL7EgEiAABQsFgy8sAg0Q+LEAxsEay7mxBj/xcoJBQtUgAPAgD/AgAB/5+rUPosAAAA +EGAwWHf28/8sYAYCMbCKJ/tMAAIAAGkw+qwgIAAQYDBYehfSoNEPAAAAAAAAAPsSCSIAAFCwWAHJ +ixBj/tz6LAACAABiMFgDM4gWiVGaHPWsAAvABD6g957ZZgAgXfD7fAACAABQsPwKACIAAGowWAMD +8/6+ZAAgLrCKJ/sKAiIAAGHw+qwgIgAAaTBYL5ArUBD/AgAB/zEe4ClQFQkIRWSOVC9RCS5QERvo +nIwnKlEM/cEVIEACYzD7qgwOACB7sP6ICQ/AEHAw/4wiICQCQjD+zAEIBQBT8PzcCAoAIEVw/MxA +IEACWvD8u1xyAABS8AzqMB7ohyuhAf+hACwmAUwwDt0KLiIXLdKXAP8R/swMCgkAfvANzCz8uwgM +ACBB8PvFASvwAVwwK8UAY/3IAACLEvosAAABEGAw+7wSIAAQaDBYg4Zj/igNugxj/6EAAABsEAqL +IikgB/UwDiIAAEFwmBP1FgcgAxBQMPVVCQH+Amkw9bFGaCABTDAc6DwW6Dn5FgErwAQ+YPzAgCIA +AEJw+eg4GgAgNvCbGPuyOiBuAmMw/EwUDgEAaXCuzvmICgAGAnOw+IKXKgCadtCOGC7iOQjoAduA +94wAASsANiAvYkr56CUQ/wA34ChiSSmSf/4K/ywAQEow/BYGLgB0zhAsIBaJEysWAvkJRw4AjPMQ +KzAPGOgeHug7/OhFEUUANuAvIQcPD0oM/xEI/wIv5iwtIgAI3RH6EgcsCQBXcP3mLSAgAkDw9AwH +AgCwmmBtqQ4ACIYCCIYAR2UAR2EAR2PAgJgVKSAEKCAULCEJ/iAVIAEQUDD0iAgCAI4CYI0W+MwR +DkAEO6AOzAL4JBQsCQBTMJzR+NQDIAAQSDD51AAiAABZ8CpmSSkkFIQyJCYcKDIB9goAIgChhhBm +gVqmX4IYLyY5ghXRDwAAAAAA+iwAAgAAWPD9EgMiAABhMFh+f9Kg0Q8A+iwAABwQWDD8CgEgARBo +MFiDHWP/0QAA8/7UYAAQQDAAixHaIPwKASwAIC8w+7wYIAYCa3BYgxRj/6wAAAAAAPkWBCIAAFCw +/DEGIfwCWnD9FgkgARBoMPwWACoFAF9wWDH6ZKDm+xIAIgAAULBYMheJFI0Z8/6yYAMQUDCdGfXc +AAIAcRpgKRIC+DwQICAAPSAsTP9tyQUACIYASWHA4J4VhxIM2BHz/tRmACBF8AAoJBSJMP8CAAIA +ZCpQihiCFSWmOdEPiicroRX5+sAgQAJSsPwSBygAQE6wCbkIKZxAbckVBgiGAgiGAEdlAEdn8Aei +CgAMyhDTDwRLAvwKACIAAGkwWHkomhVj/nELiAxj/+QA2iD7fAACAABhMFgCSIgx/KsRAgAAMrD3 +jqlqACBd8Nog/AoAIgAAaTBYAhqmpqZfghgvJjmCFdEPixH6LAAAARBgMPu8EiAAEGgwWILKY/6C +iif8EgIgARBYMPqsICIAAGkwWHkMjRmaFWP/INog+3wAAAAQYDBYdt+zW4wYghUrxjnRDwAAAGwQ +BCMgACQK7XQxByIhA7wi0Q8AhCGDIIYj8ud9EAIQQDDzBVMIDgEkMPmIDAdkARww8IEEAmABHDDw +VRoCACA88PUzCgWgASAw9TwOIgBAEbD1IgwANAIY8AQkLAQzKKMi0Q9sEAQX55IrMBb454UTIBBQ +MAoqKAu2CfxmEQgAIEKw8CEEBgAgQbD5MgcmACA9sPRyryABEGAw9m3TL/8QeDD2bOAgEAJCcP5y +sCA6BDEwAM0aizKY4J6TlpL4drAqCQBu8Jsy0Q8AAB7neq6uKeKtLeKxALEEAMQa/90DCAkAJnD5 +5q0oAEBucB7ncgAhBPVysC3ABDyg8MQaDAAgd3CZ0JhQjzKVgZaA+HawLgkAJ/CfMtEPAAAAbBAK +GednkhgJKQr4kn8gARAwMJYamRQAgQQAZRr1BQYCAABQsBLnXxvnYBTnYBznTvjnXxHcAnqw/xYF +J8AEPqD5kn8jIBBwMP6qKAYAIEXwKHK5+Zz/LAAgYrD8FgYkACAisPRClyoAIFqw+hYHKGABTDD5 +FgkgYBAYMPAAG2QAQEEwihojCmD7CgAv/xBgMPSgYWQRAGVwmxotcrgEXgEO3QGdEAABiwYzYGg+ +1IsXjRWIFgM5Cf48oCnABD5g8OEECAAgSjD4jb8sACASMPBpGgEoAkIw+IKXL/8QUDD+FgkoEQBW +cPoSCCQAQEkwC4AAY/+kihmLFLGqCgpDKrZ/0Q9sEAQb5yuKIA8CACuyfx7nKfuqDAMgEHgwD68o +rv4j4q4o4q/75uwQABBoMPn6/y3ABDqg+echGBEASjD4OwEMACBbMPzCAC4APkTQGOcG9Pr/IAEQ +GDD5jAgD4AFgMP+3UXwAIGPwyykI6jApwq8v4rAJiAzw0QQKAAnD0C/irgA4GgSIAwj/AS/mrvsb +FAACAmtw9LAsYGACYzB/txRj/8QAAAnqMCnGr2P/3gAAAAAAAAD7GxQAAgJrcPW/3GBgAmMwWDQR +wCDRDwBsEAQmIQn5IBUgARAgMPggFCAAEDgw9yQUJ4AEOaAEmRD4NAMmCQBJsPc0ACYJACGwljEV +5rUkVknRDwAAAAAAbBAEFebEFubq9TUCAgAgMLAlJoAkIoBnQAttCAUoIoBngAJj//PRD2wQBBPm +4iI2imP//AAAAABsEAQoIAUlIAeKNfT6/SACEBgw9QVBAhQAviDAINEPAACIKRnm1w8CAPomCyYA +fdYQCVkJKpHgKZHc/wIACgBNVlCKIg8CAP8CAAIARoKQAioCWHYQKyIC0w8DugFkr7qKJwS7Afsm +AiBgAlKwWG/O8+adECcANqAooADTD9MPA4gKKIIQ/KAHIgAAWrD9CgQiAABQsAuAAGWv3Ikn0w9k +n3gqmRTKp4qZZK9uKKAAA4gKKIIQ/KAHIgAAWrD9CgMiAABQsAuAAGWv4GP/TAAA8//VYAAQUDDa +IFh1/SsgIvq7DAIAAFCwWHcu2lD7CgEgABBgMFh5Aosi87oBD/+vntAvIAfaIPwKASAAEGgw9L4B +CiABfDD+JgIgPgJa8FiBmsAg0Q8AAPsgIiIAAFCwWHccKiAFwYN4oQxoqCmLIvP/GWoAQBrwKSA6 +wL97mer6LAAAABBYMPwKACACEGgwWHZrY//XAAD6LAAAABBYMPwKACACEGgwWHXlY/+/AABsEAqL +Nx3mSYw2KSAhKCIL+gr+LABAazD8uwwIAEBWcPkkISAYCFowwCDRDwAAAADwAxYCAABAcABIYZsV +LiAFJSAHx034FgggAhAYMPUFQQOuAT+giSka5mr7JgsmAH1eUApaCSuh4Cqh3P8CAAoATN6QiyL/ +AgACAEeC0AIqAlh1pIsiA7oBZK+ciicEuwH7JgIgYAJSsFhvY9ug8+YxECcANqAosADTD9MPA4gK +KIIQLLAH+iwAAAQQaDALgAD7rAAP4QC2oIkn0w9kn1gqmRSLmcqoZL9OKLAAA4gKKIIQLLAH+iwA +AAMQaDALgAD7rAAP5QC2oGP/LAAAAAAA8//SYAAQWDDaIFh1kSsgIvq7DAIAAFCwWHbC2lD7CgEg +ABBgMFh4losi87oBD/+tHtAvIAfaIPwKASAAEGgw9L4BCiABfDD+JgIgPgJa8FiBLsAg0Q8AAPsg +IiIAAFCwWHawKiAFwYN4oQxoqCmLIvP/FGoAQBrwKSA6wL97mer6LAAAABBYMPwKACACEGgwWHX/ +Y//XAAD6LAAAABBYMPwKACACEGgwWHV5Y/+/AABsEAQc5hQpMBaLNAlZFPy7AQAAfwZg/uYQEQ4A +NmD65g8f/hBoMPTl4xRcADpgaZUiLKF+/LMMcAgCUzD/AgAKAIhW0CsgBrC7CwtH+yQGIFkANuDA +INEPLKF+/LMMcAgCezD/AgAKAHz+0CggBrCICAhH+CQGL90AtiCLIokn+pkUKgBAbvCbIouZZKCx +KLAABIgKKIIQ2iD8sAcgAxBoMAuAAMAg0Q8AiyKKJw27AfsmAiBgAlKwWG7vya0ooAAEiAooghD8 +oAciAABasP0KBCIAAFCwC4AAZa/giSdkn3IqmRRkoGCKmWSvZyigAASICiiCEPygByIAAFqw/QoD +IgAAULALgABlr+Bj/0UAAPosAAIAAFjw/EwAAgAAaXBYdmrAINEPAPosAAIAAFjw/EwAAgAAaXBb +/0XAINEPAPP/SmAAEFgw8/+dYAAQUDCINyLifwmIEfP+6GIAIECwiDci4n8JiBHz/v9iACBAsGwQ +BNEPAAAAbBAEFuW5LiAHH+W4HOW6+eV7FgIBdDAoIQj3IQkuBQA9sJ8w/+WzEAMQUDD9IgAgABAw +MPY2BSAgEFgw+TYCLiABcDD7NgMvAAQ7oPw2Bi4JAHIw+N0RDgkAe7D+NgQsCQBXcJ0xIiAHljkW +5aP0dwICIAEQMPU2CyMABDig8oICBgkANfD3NgoiCQAwsPI2CCIAABKw0Q9sEAQV5Zge5ZIsIAcd +5ZL45VISAAAw8PohCC4CAWQw/AxBDAUAf7D9NgAtAAQ7IPOAgCAAEGgw+yIAKgkAYrD9ZgUqCQAq +sJpkGuVG+mYCIG4COPAHRxT5fP8rgAQ+4Pt7AgnABD5g+2YBIfACSnCZYwBCjZVmI2YHAgaPA0MU +KSEJKWYK9GYLIGACKbDxCAcCAAAR8G05AgBFYdEPAAAAbBAE+OVxEMwQSDAJKSipiCiA4PiHCmIA +ACCwwCDRDwAAwMDVwAXkFgECAMDRGuVnASsRqrr9poEgyBBIMG0IEC6igg4OQvjhCmH+AkpwZJCG +Y//owCD2IJZiAAAYsPymgSDIEEgwbQgQL6KCDw9C9PAKYf4CSnBkkGVj/+jAIPYgpWIAABiwGeVS +0w/85VIYACBO8CyWixjlUCiWi/2mgSDIEEgwbQgPK6KCCwtC+LEJYf4CSnDKnmP/6cAg9iB/YgAA +GLDA0i2mgSyigQXkFmYwMtIw0Q8A8/96b/sQEDDz/5tv+xAQMPP/0W/7EBAw+goCIAgQWDD85TkS +AABpMFnW/WP/xt1A/OU2EAIQUDDzDgYACBBYMFnW98CkWHjw0jDRDwAAAAD6CgIgCBBYMPzlLRIA +AGkwWdbvY/+OAAD6CgIgCBBYMPzlKBIAAGkwWdbpY/92AABsEAYd5SQLKxGtsyoyfxnlIhfk9Yig +wED5eQgAARAoMPSANGgAIE7wLDJ4/zJ7JgBYzxBl8TMsNnwrMnkrNnvdQA3kFgECACSmAA3kFiwK +Cf8CAAYAXGSQLzJ7wcD95Q8QbwA34CIyfCohBI4g+gtGAB4IE/AkNnwkNntgAAQAAC42fP2vAQH+ +AkLwCP8C/yUEICwAPuAiMnywzP8yeyAeADSgycZj/7/aIFh4YmWg3yohBPoJRgASAMKwyJnRDwDa +IFh4VdEPANogWHgY0Q8A+iwAAAAQWDBYeNfRDwAAAPrSiCHwAnCwAOEE8FsaD/8QYDAMuwMLqgEq +1ohZ2RckNnwkNnsqMn9j/zMAFuTlL2BcZPCKWcs0WHfWKHDB9eThEE4A/jApUH3/AgAAAEiGYP8C +AAIASIZg/wIABABJhmApUH2xmSlUfStgXGS/CFh3wsirLVIgsN39ViAgWAA3YFh3PWP+8AAAABzk +z/4yfCAFEFAw+DJ6IAQQWDD4FgAh8gJosFnWhioyf2P+qgAAKTJ78jZ8LyYAtmAiNnvRDxvkwyuy +rv8CAAH/tYbQJWRcY/9gAFh3RypWIGP/osCgW/85Y/96wKFb/zdj/3IAACRUfWP/agAAbBAEFOS1 ++eSuG7AEPKD45IAUACAi8CNCf6mI9DBJaAAgQvCKMHipAipCexzkpisxBPpGfyoAQGLw+jUEIgAA +UPBYeAbOrSkxBPkNRgAQAMJwyNfRD9owWHf60Q/aMFh3vdEPAAAAAAD6LAAAABBYMFh4e9EPI0Z/ +0Q8AAGwQBPn6/yAOADTgiCIJOQMJiAGYIoonKqwwWG2A8+ROECMANqAooAADiAooghD8oAciAABa +sP0KBCIAAFCwC4AAZa/giSfLkiqZFMqlipnJrSigAAOICiiCEPygByIAAFqw/QoDIgAAULALgABl +r+DRDwAA8//XYAAQUDDRDwAAbBAI+OQgEdACKLD05HUU4AEsMPrkdBXABD1g+FUIDbAEOKD4Ujok +ACAjMClNASmQRy0KgPus4CoBAk4QKFI5ZIH5E+QlF+RoGeQzmhEAIQT2CxYCAABC8JgQ9AsWAAEQ +MDAAZhqWE/AKpg4AIEsw/hYFJgAgPzCXFPAKpA//EDgw8gkHBhEAObCWEgBIYwBIY/bkVh4AIG0w +8AA4YAQQODCKmcmtKKAAA4gKKIIQ/KAHIgAAWrD9CgMiAABQsAuAAGWv4ClCUi0KgA8CAPSRCW4A +IG0wLvDEjBUY5EUO6wkMuwr88MUqACA28PqyAigAIEOwKIDgZKES/IlHcAEQUDDAkA6pOCoSBQmZ +CQqZCimdFimctCmSTSwKAQzsA/SQEmzgAWAwDMsJCrsK/PTEKgAgNvD+CgAuACBtMP70xSAAEGAw +LrIAKFI6L+3/L/D//wIACgBMfhAoUjn3fP8g/xBIMPSAhWbgATwweXF9KhIE/UkIAAICQzD4lMUh +8AJjsFh3gfoSAyIAABKwDwIA/BICIAsANqCLIgy7AZsiiicqrDBYbP7JrSigAAOICiiCEPygByIA +AFqw/QoEIgAAULALgABlr+CJJ2Se9yqZFGWuzvP+zWAAEFAwjBGLEAoMhggMhgBLawBLadEPjhEo +TQH9EgAhAAJCMC+Exw4OhgwOhgBNbwBNbdEPAAD4EgUgARBYMPvrAwAAEGAw/PTFKuABXDAr9MQL +uwn4uwoAABBgMPP/DmoAIDbwAAACCoYACoYAS2MAS2HRDwAAbBAEGOONAgNHDDMRqDMrMiAZ456K +sSiwAPmICgoACDggAgo+KIIQAwI+/QoCIgAAYLALgAAiNiDRDwAAbBAEGON+AgNHDDMRqDMrMiAZ +44+KsSiwAPmICgoACDggAgo+KIIQAwI+/QoCIgAAYLALgAAiNiDRDwAAbBAEWdA5EuOkE+ORDAIA +KSKCCKmOA6gKiIQLgABj/+sS48QD6DAE7jAFsTCTIJQhlSIS48AT43WEIAQzApMgEuO+wDAoN0Ao +N0QoN0goN0wjPQFyM+0S47nAMJMgxy8T47gDIwMS47eEIAQ0AZQgEuO2hCAENAGUIBLjtIQgBDQB +lCAS47OEIAQ0AZQgxy/AMQMjAxLjsIQgBDQBlCBj//wAAAAS462DIAMTFA8zEZMgEuOqwDAjJgBX +/9kQ46mRAJIBkwKUAxHjp4IQAeowohEB8DHAQATkFgACABHjo4IQIxoAAyICkhAR46DAIZIQBOQx +hAODAoIBgQAA0jABIwAAAAAQ45uRAJIBkwKUAxHjmYIQAeowohEB8THAQATkFgACABHjkYIQIyoA +AyICkhAR45HAIZIQBOQxhAODAoIBgQAA0zABMwAAAAAQ44yRAJIBkwKUAxHjioIQAeowohEB8jHA +QATkFgACABHjf4IQI0oAAyICkhAR44LAIZIQBOQxhAODAoIBgQAA1DABQwAAAAAAXJQBXZQCXpQD +X5QAQwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFyQ +AV2QAl6QA1+QAFMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAACclAAdkAGdlAKelAOflAQIlAUJlAYKlAcLlABDAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAnJABnZACnpAHHZADn5AEeJAFeZAGepAHe5AAUwAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAANyUAB2QAd2UAt6UA9+UBASUBQWUBgaUBweUCAiUCQmUCgqUCwuU +AEMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAADckAHdkALekAsdkAPfkAS0kAW1kAa2kAe3kAi4kAm5 +kAq6kAu7kABTAAAAH//9DADSMRD//goAAAAAAB///VQA0zEQ//4KAAAAAAAf//2cANQxEP/+CgAA +AAAAAPQwCgAAAAAA9DAKAAAAAAD0MAoAAAAAbBAGiSKFMw8CAA8CAPWRRGT4ASww+OJKFACLkWAM +VBH64kkUACBBMChCOgpaCvqilyYAl0IgKUI5HOJD+psBDgCQVlAswn8qIgD/AgAKAElmkB3iPv4K +ACAAEHgw/dF+L/8QYDD8FgAgARBgMPoWAiAAEFAw+hYBJAAgL3D9CgQiAABRcFh2WvwKACAEEGgw +/goAIAAQQDD4FgAgABB4MPgWASIAAFqw+BYCIgAAUXBYdk/AlhriJi0gBosi+UY5IAEQYDD6NgIg +AgJrcP0kBioJAGbw+yYCIAAQEDDRDwD8CgAgABBIMPkWACAEEGgw+RYBIAAQcDD5FgIgABB4MFh2 +O8CTGuISLSAGiyL5RjkgARBgMPo2AiACAmtw/SQGKgkAZvD7JgIgABAQMNEPACogBv7iBxABEEAw +/jYCLgkARnD/JgIgAgJSsPokBiAAEBAw0Q/AINEPAAAAAAAAAPtcGCIAAFCw/AoBIAYQaDBYfL/A +INEPAGwQBIUjgyAU4fX4IQQkIAEsMPrh8xWwBD1g9DAXZAAgIXD4eUYIAEBSMAmIAiglBCJCf9EP +FuHrH+HsKyEEI0J+HeHn/kJ/LMcBWDDyNgAuACA38PMmAS4AIH1w/yYAIAICK7D1Rn8qAEBu8PJG +fioJAGbw+yUEIgAAEXDRDwAAbBAEgiMCAkHRDwAAbBAWJhYY/woCIAEQYDD5MgMiAABpcPkWCiAA +EFAw+RYWIgAAcrD5hUAKCQFMMPsWDiIAAELw9RYcJAUALzD1Fg8oBQBbMPsKECIAAGKw/6wADgUA +Q/D+FhAsBQAq8P5ODAoAIB8w9e4MACAQKDD7FhcuBQBFcPgyACAgAinw9RYfIgAAMfD/Fhkh/gJz +sP4WIioAIF/w/iIHICACWvD7FhUo4AFAMP+LDA4AID/w/LsMAEACc7D+FiAgEAI58PwgBygAIBow ++xYUIB4CWvD7SxQAIAJCMPsWISwgAWAw/BYJICACK/D8PBAgAY5eUPnMAABAAnGw8wkWAEACK/AA +R4oACYgAB4oqZBEpMBkrbBj+Fh8iAAA68PST8GH+AnJwmxAlMBMJlwn7Fggh+gI58PcXFA4AAXAw +9Q9ADgAgO7D/Fh4uACB9cP9kCyAEAnOw/hcUDgABdDD7Eh4uACA/8C8WEgbvC/f8GCAuAH+wGeF8 +0w8ACY0AB4r5MBkgQAI78GSz350S9xYNICACOfAqEiEtgAP1Ehkv0AQ5YCgSF54cLhYd+d0MABAC +SfApFh8tFhv93gkEACA9cP7s/SH+Altw/h4UCgABXDD+CgEqACB28P3tOQAQAilw/jIDIAQCWvD7 +HxQKAAFcMP0WESoAIH7w/RIYKgAgWrD8jBAiAABbMPoWIiIAAFCwWMiOjx2aG/sSAiAeADfgGeFS +mfAZ4VDAqJrxAAmNKRIeuPhtmQIACIr9EiEgIAJJcPycAANnADbgZdQF/hIMJN8ANSBk4eqUFioS +ECkSDywWBSgSLPgWGigAIFZwKRYTKxIFLhIdjRsqEiAsEhUO3Qxb9jsqEiCLGCwSFS0SHVv2N40W +KhIg/AoAIgAAW3BYcjwvEhqa8CgSGmSCNSkSG2SSQSgSIikSHioSGy0SEiwSE/qrCQH+AlKw+gpA +AfoCWvD7GxQMACBrMPyZCAoAIFqw+YgIAAQCUrD/pyRwBAJCMB3hHQyMEfxsCAAAEEgw8A0XAfAC +YzCxmfIcHgH0Aj5gKyEHKRIcLhId+uEUG0ABXDD8mRALwAQ+4PvhEhgJAF5w+hIcKAkAVnCZYCkS +IS8iAPpKDAIAAGuw/aoMAAICSnD6mQwPgAQ/4PoSES4JAH4wL2YB/+EDEBAAN6AsMBkuEgAPzAIs +5gD+EhQgARB4MPwSGSoFAEvw/RIYKpAEOqD5Eg4qCQBasPp2ACwAIHMw+hIfICACYzCccfzg9BAi +ADZgKRIXKZwQCgmIAUqKCAmIAQqKBgmIAMqKBAmIAIqKKhIWGeDpLyEa+yIALiYBUDD6VgMuACBL +sP7ggC6ABD/g/1YCKgkAZvCbUA7dDP1WASIAABIw0Q8AACz8IPnMAAFkADdgZbIKZEENwJD5Fh0g +ABBAMPgWESAAEHgw/xYSIAAQcDD+Fh4gABBoMC0WGy8SIi4SICoSEIkfiOEt4QWdE/rpBCgAIFZw ++RYTIAICSnD/mQgLwAQ+YPuICA/AEHgw+OYBLgBAf7D/FgQpwAQ+YPmqDAwAIH9w+KoyAIACa3D6 +5QQqAVbqEAubDGSyrKuO/wIACgFZd1AvEiwvFhouEiLI723pBQAIhgBMYSgSICqJBIiBq4j/AgAG +AW1uECkSIJiRZKEvKhIamKBj/cQAihmLGlv0iCsSG/oWFi3CALbgKxISKhITKRIeKBIiq6qqmamI +8/4HYAQCQjAAAAD6ZAsgYAJL8PycAABZADdgLRIhZdD8ZU7xLBIQix//EiwgABBQMPoWHSAAEEgw +KRYR/xYaIAAQcDD+FhsgABBAMPgWEiAAEEAw+BYeKgAgZvArFhNj/T0A/RYCIAAQcDCeHWP8HJkX +/BYFIAAQcDD+FgsgABBoMP0WHSAAEFgw+xYRIAAQUDD6FhIgABBAMPgWHiAAEHgwLxYbmRecFYoZ +ixpb9FUvEiEpEiz6FhYgABBAMNMP+JYAIkcAt+ArEiIqEiF7oSMsEh1lwl36EiIiAABasIkVC6oM ++BIVK/AEOqBtqQUACIgACYotEhCMH63MLBYTY/yjAI4ULxIgwID49QQggAJzsJ7xnvDz/rlgABBA +MMDw/xYLIAAQcDD+Fh0gABBoMP0WESAAEGAw/BYSIAAQWDD7Fh4gABBQMCoWGy4SICwSEIsfKukE ++OIBL8AQeDD94QUuAEB/sPwSISoAIGbwnxQrFhP8vAgAAgJa8P0WASvABD7g/MwBKAAgWjD45gEt +wAQ7IPyqDAwAIH9w+KoyAIACa3D65QQqANfqEAvMDMrOrI7/AgAKANb3UC8SIW35BQAIhgBJYSgS +IIiBrIj/AgAGAOluECkSIJiRKhIgKqkEZKDK34AuEiEpEhUsEhQtEiwrEhMtFhqf0PtLDAgAIE8w +/rsMDAAgYXD5FhUh/gJa8PsWBiuLADbgLRIdZNCvnBWOEJ4YY/tAKBIQjx8pEiz5FhouACBH8C8W +E2P7YY8TD4gMmOFj/UwpEiwpFhpj/X4AACoSLCoWGgjdDP1PFAIAAEswbfkFAgiGAEljLhIiD+4M +jxT//EAoACBvMG3pBQQPhgBJZSkSIIgUDboML5kEqogojED4lgEtOQC34GP+YYgUKxIgKIxAmLFj +/SGIFCkSIMCg+pUEIIACQjCYkfiWACAAEHgw8/8aYAAQUDAuEiCNFCsSIinhBfjmASvABD7g+6oM +DAAgbnD4qjIAgAJrcPrlBCoAXWoQZLzSq47/AgAL/lRzUGP/TgAoEiEvEhVtiQmJFwYPhgBJZ5kX +KxIVKhIUq6v7FhUqACBRcJoVY/2UAACNG4sVKhIgDN0MLBIVW/TJKhIgixAsEhUtEh1b9MVj/Z6O +ES8SIA6IDJjxY/5HCN0MDU8UbfkFCAiGAElpKBIhD4gMjxStWfmcECCAAnvwbYkFCg+GAElriBQN +yQz5EiAoACBKMCiMQJiRY/43AIgUKhIgKIxAmKFj/ikJiAyY4WP/QQAAAGwQDCogBwC1jpMVJSAF +8zIDIgAAMPD4IgIgExBoMPsWCyogAVAw84NACBgAOWD/AgAOAd3pUBvfk2WDAfoWBingBD6g+N+P +GAAgXnD5FggoACAdMPkWCSfABD6g+AoAJgAgRfCYGv0SCyAOADTgjBUswBMMDECcGmTQzI8ajRku +cjqJGK/dtN35kpcqAV7vkChyOSsaAPmPAQ4BV84QJSEbimIFpTYFrAz4IgoqAANm0NWgjCkrICIM +iAz5ICMqADKqEAuZDP3ffhNOADZgKyAHCwtBDbsJKLHeCY02DYgMKLXeLiAiGN93iysO7Yf+JCIg +fgA3oCiCf/CBBAH+Akuw8JkaAAEQQDAAiBr4jP8qACBO8Ai7ApsqDLkM/wIACgGILlArGgD9Cv8q +AAaq0CwgFg8CAH3JQf8WDCoA0VFQYADKAAAAAAD+EgUvLwA04C7gGWTvJNog+xIFIgAAYTBb80DA +8Z8b8/8QYgAAMrAAnCrz/6NiAABbMCsgB/rfUhMgEGgwDb0dqtoooq7wwQQAARBwMADuGn6IJB3f +OQy8Ea3MjMAooq/87AEAARBIMPycOQgAHMOQ8ABFYgAAUzAZ3zgMyAn52QgJwAQ6IKmIKI0KKIyI +KYHeLoHdKIHhCe4B+OsbcAEQUDBgAr0torErCgH97QEAABBQMA26OArKAWSipopi/xYMKgBqUVAb +3y+JY44bC5kB+RYHIEwAN6D5Fgcg1AAGcC0hGg0OQvwiCSBeALegDAtCZLBTD9gRdYtNGd8jiGP5 +EgcoAEBKMAneUPvVDA8gBDug+GYDKAkAdnCZF2AAKAD/FgwiAABQsPsSBSIAAGEwW/L6KxIH/xIM +IgAAMrD6ogIhPACG8Iwpjhf1rQwCAABZsPosAAwAICsw/WYCIgAAaXD8JgkiAABhMFhzpC4aAPp2 +OSoABquQKyAWLwr/f7kGwIGYG2P9lfogByIAAGFwWHelY//qjRuPKfosAAIAAFmw8xIMIgAAYTD1 +/wgCAABxcP8mCSAgAkhw+RYAIgAAePBb/Q4pIBSkmSkkFIhg+o5AcgAAWrD7djkhABBQMPwK/yoA +B6qQKyAW/wIADgBpYtCCFNEPjRtk0VTAINEPAACLFtog+7wYIAEQYDBYeZZj/+IkIAcd3tUY3tz8 +rxEGAgEgMPpmEA4AIHzw+CEIJgkAQbD29gAgAxBgMPsiACAgEHAw/fYCJCABIDD+9gMlAAQ5IPSI +AgeABDrg/N7NFgkAYbD29gEkgAQ6YP4iCyABEDAw/SIJJAkAMTD09gcoCQBiMPj2BCoJAGbwm/ae ++f32CCAAEHAwnvUuJBTz/zZgBgJasGW8Rf8CAAH+IR1gKSAg/wIAAf4b+lBj/zwAAPogByIAAGFw +WHdXghTRDwAqIAX/AgAB/4+GoIsiwMIMuwKbImP/DgAqIAcf3qMN6wz7JCIqIAFQMA+vCS7x3q3u +LvXeLiAizOWMKZwqYAAnGd6bKZJ/jSvwkQQB/gJDsPCIGgABEHgwAP8a//z/LAAgR3AP3QKdKvus +HyABEGAw/QoAIgAAULBYeUeKG2Wuqtog+xIFIgAAYTBb8nDAINEPAACLFvosAAABEGAw+7wSIAAQ +aDBYeTxj/noAAAAAAGwQBiogB4gi/d6DGiABUDD1gLxnwAQ6oBjeaC3QgPzeZxYAIEGw+2I6IG4C +a3ANTRSk3fysCgAEAmtw/MKXKgBg7tArYjkMuwH7FgEgvQA24C+CShrecWTwjSqifymCSfqbAQ4A +QlZQLCAUDMSH/CQULAB4kyD5EgEs4AEsMPg8ECIAdRtg+EEQYAAQKDAqTP9tqQUACIYASWGLEYcy +JyYc+DIBIf4CYTAMzBGsu/sWACDcAIYw9oCJYAAQGDCjTbDd/WY5IgAAEXDRDwAAAAD6LAACAABY +8PxMAAIAAGlwWHRd0qDRDwD6LAAAHBBYMPwKASABEGgwWHj7Y//RAADz/0VgABBYMAAAK6wY/AoB +IgAAULBYePRj/7QAAAAAAPosAAIAAGHwW/hdixAoMgEqFgLzrAANwAQ6oPePf2oAIGbw2iD9fAAA +ABBgMFv4LvJcAAwAIB6wrU2w3S1mOdEPAADaIFv24GP/CgCJJ4iZ/ZkUL8AQKDD3kRUgQAJacPxK +EQQAQC7w+t0MACACQjD4lgksACAp8PjdMgCAAmMw/ZUUKgBM4hBoqzGopyd88HfDNf8SASA2AD0g +sEltmQUACIYAT2GHsaen/bkEIeACOfB8cW/3tgEiAABB8GTQSfP+tmIAACowAAjNDIwRDU8UbfkF +AgiGAExjjBH/TwwAgAJBcPjxD2wAIGswsP5t6QUECIYATGUvuQQNqAyoWCiMMPi2AS+6ALfgKVxA +mbH5tgAgABBAMCi1BGP/ogeIDJiZY/9iKFxAmLFj/48AAABsEBSDJ4M+WcrNWcrDKDIa9awAAgAA +MvD0CgAjbgA2ICkyGvcKAyIB0oJgKjIa/wIABAGEgqArMhr/AgACAX8G4CwyGv8CAAYB3AcgLTIa +/wIACAH1g2AuMhr/AgAEAEUHoC8yGvsKZCb+ADvgwVQoMhuxiPg2GyoBfa4QKTIa9TogJgH9hmAq +Mhr/AgAIAYQCoCQ2GiwyySswJfQ2Gy/3EGgw/QoNLABAazD8NskgEgRq8MAg0Q8A2iDyPBAqACAs +8PwsAABuEHAwWUGcHt2/AA6LAEJhAEJhAEJhAEJhAEJhY//NAAAA/N26EAUQUDD9MhogMBBYMFnP +OygyGicyZvkyZyABEHgw+RYdIfQCQjAITzj/FhwgOAA6YP3drhIAAFmw+lwAAAAQYDBZz7gpEh2r +mSoyZg8CAA8CAPkWHSA+ADqg+2wAAgAAUXD93aEQABBgMFnPrvkSHSYAIF3wKTbSKxIcJzbTJDYb +9DYaIyAANuAoPQMZ3ZgsMmQtMmUuMmIvMmP7IgcgARBQMCo2zi82yy42yi02zSw2zIWTjJKDvouR +iZAtMsouMssvMswnMs36hSIgQBAwMPaFIyAgAlBwmaCVoyumASymAvzdgxADEEAw+DbOIDAQWDD3 +FgAgBRBQMFnPACQUIiQUIyQUJCQUJSQUJiQUJyQUKCQUKSQUKhvddy49AyjgNy/gNi8ULigUL4qw +jbKJsS7gNf4ULSCAAmBwmcGdwvrGACACEGgw/RQhIAEQUDD6FCsg/xBIMCkULCkUIIuz+8YDIEAC +QHD6ggIgYAJIcJqSi4GbkYyAnJCIg/iWAyIAAFCwWNAhJD0DKxYVKhYULRYX/BYWIKACaHCM0YrS ++dIDIMACWHCZs5qynLGN0J2wKEkqwOD/CgEiAABQsPiMASAAEGgw+P04AIACYHBYAXUuSSr/AgAA +AOMDoChJK/scYCIAAFCw/woBIGACYHD4jAEgABBoMPj9OAAAEHAwWAFpKUkr/wIAAADCgmD7HBAi +AABQsP09AyBgAmBw/dwoIAEQcDBY7CIuMs/83TMSAABQsP7sASBkEFgw/jbPIAEQaDBZRhP6NtAg +ABAQMNEPAMCl/N0qEDAQWDBZzqgvMhsoCmT4/ygCAABQsP82HiADEFgwWEtR9zYaIBQQKDDz/QJg +ZBBYMAAA2iD83R0QABBoMFlF//o2HCAAEBAw0Q/Hn/k2HCAAEBAw0Q/ApfzdFhAwEFgwWc6R+iwA +AAAQYDD1NggAERBoMPtsAABuEHAwWUDg+iwAAgAAWbBZQsdj/MXApfzdCRAwEFgwWc6ELDIbLQpk +Dcwo/MxkIgAAULD8Nh4gARBYMFhLLMFU8/x0YGQQWDAAwKX83P0QMBBYMFnOdygyYCkyGyUyYdog ++ZkKAAUQWDD4VQwJ8AQ+YPk2HiAKEEAwCFUsWEsc8/w1Y+gQWDDApfzc7xAwEFgwWc5nKDJhKTIb +JTJn2iD5mQoABhBYMPhVDAnwBD5g+TYeIAoQQDAIVSxYSwxj/74AKkUrY/542iBYAwPAINEPACpF +KmP+NwAAKzJg2iD83NoQZBBoMP27KAABEGgwWUW1+jbUIAAQEDDRDwAAbBAKG9zThieJsoWzhm76 +sgEgIAJAcJqBlYOZgouwm4CLKyVBAPkgOSAEEFAw/EEBIBgAEvDwAAZqCQBScNqQ/SEbICoABvAY +3MME1BHwAAlkCQBBMAAAAMBAG9zAGNy+mDMvIAz+IA0q4AFQMPCqEQAAEEgw+TUCL4AEP+D8NQMu +CQB7sPzcthoJAHKw+wowKgkAWrD6NgAgBRBQMFnOJRjcsQhIAvg2AiAzEHgwLxQgLxQhKRAfLBAe +LRAdLhAcLhQi/RQjICACUPD8FCQgQAJYcPkUJSAGEGAwWcQeKjwW/AoGIHQCWLBZxBorbQMY3J8Z +3J8c3J8f3Jz/NB8gYBBwMP40HiA6EGgw/TQkIIYQUDAqNBz8NB0gTAJQ8Pk0ISAQEGAw+DQgL/8Q +SDD5NCUh0AJa8FnEByo8NvwKECAgAlhwWcQD/NyMEEAQUDD6NCUgERBIMCk0JP9iHSIiEEgw+TRH +IiMQcDD+NEkgAhBoMP00SCiABDlg+AoCLgkAR/AoNEYPihQvNFEqNFAKihQqNE/6ihQAMBBYMPo0 +TiIAAGlw/mIdIAUQUDBZzeHaUFjL9vevNnIAACKw/q9EcEIQKDB9T1f/AgACAFLxEHlPanFPdytc +yis0Sys0IwuLFCs0Svs0IiAAEBAw0Q8rYh76PFIr4AFcMFjL1/WsQiGIAHkw9ToIAPgCWbD8YPwg +IAJSsFjLw/1HrHQAIC6wLG0B+8z9KgAgKPD8wH0gIAJSsFjLr/P/jmQAIC6wpToqrBBYy2XxR4x0 +ACAusKU6KqwQWMtYpasrvMorNEsrNCMLixQrNEr7NCIgABAQMNEPL2JmLWJhLGJg+WJnIgAAULD+ +bQIqACAs8P7siCAgEEAw+RYAKABAQTD4FgEgIAJa8FjLXPP/J2QAIC6wbBAEiDAqIgf8MgEgzQA2 +IMDYLqkUhKgsoRUu7QH7ogshQAJzsPj6wCoAVncQL6wgCP8Br8z8zEAmAGem0CtNASu8oP6lFCoA +Zt8Q/wIABgBrZtCbqBncBRzcHhjcHvAJBwIAAEkwAElhAElhAElhAElhAElhAElhAElhAElhAElh +AElhmECKIP/cFBAKEFgw/0YCIJwCc3D+RgUrgAQ6oPxGBCoJAFqw+kYBICkANSDbMPrcPiAQEGAw ++kYJIIUQSDD5RRAgUAJRMFnDd4siyb3AINEPwCzRD2XPM40yZd8ujjNl7ynz/yhgABBoMAAA+iwA +AgAAWTD8CgogAxBoMFgJx8Ag0Q8AwPCfq/P/RWIAACLwBMgMCPgMKI0BKIzgmKhj/y8p/ECZqGP/ +JwAAAGwQFCMWHIknJhYbiCuJnvosAA//EHAw/hUIIgAAYLDxhhFyAAAScCrCEQ8CAA8CAIKngi4q +FhopFhn2LQMgMxA4MCcUGScUGCNADCMUGi9ADS8UG/1ADiIAAFiw/RQcL/8QODD4QA8gWAIxsPgU +HSAAEBgwKbLq/wIAAABYAeBkkK0uHBj9bAAABhBAMG2KDyrgAC/QgLHd/uwBLgC2epADNwL8Fhgg +SQA1YBzbwCsQGysWACoQHPoWASAzEGgw+RAdIDMQcDD5FgIgMBBYMP8QGiAFEFAwWc0gKhIZ/BwQ +IgAAWTBYynj8EhggrgA+oBrbmI+jiKL5ogEgQAJocJnRmNIv1gMqogD61gAgEBBwMG3qDy/QAC5A +ALFE/vlwcAICa3AiGQjRDwAAZZ9T1zD7vAwgAgIY8PZsDCX/nBzgY/9faHCpB3UJAlUKKVLqyJ2x +mChW6mP/lgAAAAAAAAD6XQQgMAJYcPqsrCAGEGAwWcMBKhIa/AoAIDACWHBYyfQsEhgpUupj/8YA +AAAAAAD+8wZ//xBoMMDRZN+GKxIZ0w8rsvv8FhggIgA64NrAWURuLRIZx88s1vsqEhn7CgQgABBg +MP4KAiBgAmhwWM3u+xIcIgAAarD6EhggYAJgcFjNyy4SG2TvOioSGBzbcf0KACH0EFgwWUQ9LxIZ +IhkIKvb70Q8AAAD68wZ//xBoMMDRZd8aY/6HbBAeFttNhSeIY4pii2GFXvxiACAgAkhwnJCbkSqW +AiiWA1nIHFnIEhzbXf5MAAIAADrw/TwAAgAAMrD6QxEABRBQMPMWMiIAIB1w/zK+IDAQWDBZzLsv +Mr7AkPjbNRIBmgPg/wIAAgEMn+AsMr//AgACAQlHIBzbSi0yui4yuy8yvPgyvSAAEEgw+Ta/IAQQ +UDD4FgAgMBBYMFnMqcDE+z0DL/8QUDD6NsAiAABQsPw2viHQAlrw+xYxIAAQYDBYy0srEjH6XAAP +/xBoMPw9Ay//EHAw/MEDIAEQeDBZQX35CgAk0AA1IC4ywtMP0w/5FjAgsAA7oPt8AAIAAFGw/dsL +EAAQYDBZzRgvMrkmMsLTDw8CAPtmDAAOAHPwJlJg+goFIDAQWDD82x8SAABpsFnMg91A/NsIEGQQ +WDD7aygCAABQsFlD4yo2xNogWM2/KhYUKxYV/BYWIAAQIDD9FhcgoAJgcP3CASACEDAw/8IDIAEQ +ODD5wgIgwAJAcPmGAiABEHAw/4YDIgAAULD9hgEiAABaMPzCACAAEGgwDwIA/IYAICACYHBb/w8m +FHEkFHIkFHMkFHQkFHUkFHYkFHckFHgkFHkkFHonFHv7PQMg/xBgMCwUcPwUfCEAAlrwKbB3KrB2 +KhR+KRR/K7B1+xR9IOACaHD+0gEg/gJAcP/SAyACAkIwn4P+hgEiAABiMPnSAiIAAFCw+YYCIMAC +WHD90gAgARBwMP2GACAAEGgwW/7tK10CLbAF+irgJAGpB2AuMrn85w9wDRBAMC9QJf8CAAYBg8fQ ++hIwKgAgVPD8CgAgABBwMPkKDyAQEGgw+iwADAUAVnBZPobAINEPANKQ0Q8jEjKJg4uC+CIHIgAA +ajCM0Y3Q+IIOIEACUHCbop2gmaP8pgEgAxBIMPzaoRIAIETwKTa+LzK8LjK7/TK6IAAQMDD4Mr0g +MBBYMPgWACAFEFAwWcwaFdqYLT0DJhQ6JhQ5JhQ4JhQ3JhQ2JhQ1JhQ0JhQzJhQy+D0DIAIQUDD6 +FDEgARBIMPkUOyD/EFgwKxQw+xQ8IQACQjAvgHYugHcuFD8vFD4ogHX4FD0gYAJYcPmyAiCAAkBw +mYKOsf+yAyHQAmtw/4YDIgAAULD+hgEiAABiMPuyACABEHAw+4YAIEACWHBY6WEsMr/7CmQiAABQ +sPzMASIAAGkw/Da/IgAAYXBZQ1P6NsAgABAQMNEPIxIyiYOLgvgiByIAAGowjNGN0PiCDiBAAlBw +m6KdoJmj/KYBIAMQSDD82l4SACBE8Ck2vi8yvC4yuy0yuvgyvSAwEFgw+BYAIAUQUDBZy9crPQP6 +2lQRAAJa8C2wdyywdiwUPi0UP4mgiKGPoiuwdfsUPSD+AnBw+qIDICICc7CY4Z/i+eYAIAIQeDD/ +FDEgARBIMPkUOyAAEEAwKBQ6KBQ5KBQ4KBQ3KBQ2KBQ1KBQ0KBQzmuP4FDIg/xBwMC4UPP4UMCBg +AnBwiOL54gEggAJ4cJnxmPKK4Cr2AC7iA/72AyIAAFCwWMz0KhYoLBYq/RYrIP4CYHD7FikgQgJr +MI/RhdD+0gIgYgJbMJ6ylbCfsSU9A/9ZCiIAAFCw/dIDICICYzD9tgMgARBwMP/8ASAAEGgw/+04 +AAAQcDBb/kUoWQr/AgAAAH8CIPhZCyIAAFCw/goAIIACYHD/CgEgABBoMPiMASD+Alhw+P04AGIC +WvBb/jgpWQv/AgAAAGgCYBXaCvscICIAAFCw/T0DIIACYHD93OggARBwMFjo8C4yv/sKZCIAAFCw +/uwBIgAAYXD+Nr8iAABpMFlC4fo2wCAAEBAw0Q8AwND+CgAiAABQsPs9AyAgAhFw/CwAAcACWvBZ +PcfaIPsKACBQEGAwWcGCwCDRDwAAAAAAAADyvPAiAABQsPwKACIAAFiwWT4F2iD7CgAgUBBgMFnB +d8Ag0Q8vUrMo+vwPAgD4/wECAABQsP9WsyIAAFkwWMtG8/sUYAEQSDAqVQpj/v8AACpVC2P/LQAA +bBAEgyeDPigyGmSA+igyGmiHBMAg0Q8AwJj5NhogBhAgMPs8ECIAAFEwWMgfjCcuyRSLyP3BFSAA +ECgw/u0BL8AQQDD2wgshQAJzsP/MICoATHdQ+OwADgBAR/D+vQEsACB/cP3cQCYAX12QLuyg+MUU +KgBe91D/AgAGAGNvkJ7IGdmuHtnPGNnI8AkHAgAASvAASWEASWEASWEASWEASWEASWEASWEASWEA +SWEASWEZ2b2ZsI0gLAoK+LYCICACerD+tgQtgAQ/YP+2BSwJAGdw/bYBIBIANuAtIgIktRD6tREg +NAA3YPzZnRIAAFCw/QoAI+gQWDBZQnwqNhz1NhsgABAQMNEPwOcuNhrz/xFgBRAgMAAAAPosAAAD +EGgwWAdzY//Blcvz/1ViAABZsAvYDAj4DCiNASiM4JjIY/8/KfxAmchj/zcAAABsEAgY2Z0c2Z2K +gYuAiYKZEpsQ+hYBIgAAaLD4ggMgBRBQMPgWAyAwEFgwWcr4iyspIDmMQf0hGyAcABLwwKTwAAZq +CQBScNqQ+Nl4EB4ABvAE1RHwAAZkCQBFcMBQH9mInzP+IAwgABAwMPsgDSjgAVQw+tlwGQAEPmD2 +NQIvgAQ7oPw1AyoJAHbw/Nl+GAkAXnD6mQIAMBBYMPk2ACAFEFAwWcraLhoODl4C/jYCIDMQaDAt +FBAtFBEoEA8sEAwrEA0pEA4pFBT7FBMgIAJQ8PwUEiAgAlhw+BQVIAYQYDBZwNL6PBYgdAIQsPwK +BiIAAFiwWcDNG9lUGNlRGdlRHdlTLTQd+TQgL/8QeDD/NCUgTAJQ8Pg0HyCGEGAw+zQhIGAQKDD1 +NB4gEAJZMPw0HCA6ECgw9TQkIBAQYDBZwLsqPDb7HAAAEBBgMFnAtyY0RyY0TQaJFPk0TC+FEEAw +KDRGCYkUKTRLCYkUKTRKjkL6QgMgABB4MP80IiAIEEgw+TQjIBcAt6DMrytCBA8CAPxCBSAHALbg +ZMAc+ywAAAEQaDD9NE4goAJQ8P00TyAGEGAwWcCdwZD2jxQAOAIQcPYkAyAAEHAwLjQi/yQCIBAQ +aDAtNCMPjxQqQQUoQQctQQkuQQgvJAErQQoPjxQvJAD/QQst4AFIMPUUHyPwAUgw/EEGIgAgYLD/ +QQQqACB+8P0RBy4AIGuw+BEDLAAgQzD6EQEuACBX8PwRAC4AIGfw/xEFLgAge7D+EQQqACB28PoR +AiwAIFMw+xEGLAAgWzD/EQ4uACB7sPgRDyoAIEKw/KoICgAgbvD7IggOACBTsPj/CA4AIBOwr+7+ +D08D8AFwMK8v/w9fDgAgE7Cv7i40SQ6OFP40SCAAEBAw0Q9sEAb6CgUgMBBYMPzY9xIAAGiwWcpW +iyspIDmMQf0hGyAcABLwwKTwAAZqCQBScNqQ+NjWECoABvAE1RHwAAxkCQBFcAAAAAAAAMBQH9jl +nzP+IAwgABAwMPsgDSjgAVQw+tjMGQAEPmD2NQIvgAQ7oPw1AyoJAHbw/NjaGAkAXnD6mQIAMBBY +MPk2ACAFEFAwWco2+jwQIQ4QQDD7TEAoCQBBcPg2AiAGEGAwWcA3+jwWIHQCELD8CgYiAABYsFnA +MxrYuhjYuB7Yth/Yti80IP40HyBgEGgw/TQeICACWTD4NCEv/xBgMPo0HSCGEEgw+TQcIEwCUPD8 +NCUgOhBIMPk0JCAQEGAwWcAgKjw2/AoQIEACWTBZwB32NEcviBBwMC40Ro1CLTRNDY0ULTRMDY0U +/TRLIJwCUPD9jRQAYAJZMP00SiAQEGAwWcAQikPA8P80IiAYEEgw+TQjICkANqD7LAAABhBgMPo0 +XiABEEAw+DRfIMACUPBZwATAqPAABmAgEEgwwKD2jhQACAJ4cPb0AyAwAmqwLTQjLvQCDY0UDo4U +LvQBLTQiJUENJ0EJK0ETLEEVLUEUKEELDo4UIkEKLvQALkESL0EP+EEOIgAgQLD8QQgsACBncPtB +DC4AIFuw/0EWKAAgejD3QRAsACA7MPLMCAoAIC7w/EERKgAgZvD1QRcgOhAQMCIUB/uICAYAIGXw +8hEDJgAgRfD5B08OACA7sP4RAiwAIHdw+Q9fDAAgf3D3/wgMACAvcPLuCAwAIH9wrt39Dk8P8AFs +MK7+/g5fDAAgf3Cu3S00SQ2NFP00SCAAEBAw0Q8AAABsEAQsQAEtQAAtNAAsNAEqQAMrQAIrNAIq +NAMoQAX5QAQgBhBgMPk0BCB0Aliw+DQFIAwCUPBZv7kf2EAc2D4Z2Dwd2D0tNBH5NA8gYBBAMPg0 +DiIAAFlw/DQQICwCUPD/NA0ghhBwMP40DCA6EHgw/zQUL/8QcDD+NBUgEBBgMFm/pttg/AoQIEwC +UPBZv6O+MtEPbBAEiiBloFUd2DgLPhGu3S3Sf/oiAyABEGAwDEw3Dcgs+N0oCiABUDD3JQUqCQAy +sP3MDAACAlow+/r8KAUAYvD7qgEJkAQ+IPlZAgoJABqw+iYDKAkASjAoJQTRD48jG9gjDw9BC/4R +q+stsn/8sn4gOAgTcNjA8okccAAQYDDAwCy2fyy2foogYAANANnA8pFZcAAQYDBy0R2OIZrgjyAt +sn2e8Zwg/CYBIf4Ca3Attn1j/1EAAAAZ19IY19OpiKjoeKEZ+rZ/IB4At+DNpiqyfWqiES2yey22 +f2AACAD8tn8v7AA34IogY/+tHNfFGdfGiCGsmanp+YkMAAAQYDAJyDgotn5j/40AAGwQBPXX+BAB +EDAw9CwAAAMQEDDwAAdmAQAxMACwIihSf/Vc4Cv/+8WQ0Q8AbBAE9CISIogBGDDyIg8F8AEcMAQi +CoQmgiEFRCgKRBGkItEPbBAEKiISIyAH+uhRAAMQIDDzA0EAAHOGIArIUf8CAAAAdAYg+o1BADAQ +WDD819sQBRBQMFnJNRvXlQw6Ef3XlBoAIFqwKaI6DT0K/dKXIgBixmAsojn9ywEOAF1vECwhBx3X +lQwMSvjXzR3ABDsg/dfMHAkAazCcsP8iACAwEHAwnrf9tgUgGBBgMJyz+PkRDgkAR/D/tgQoCQAm +cJmxGdeI+bYCIAAQSDCZtigiEgiIQfm2CCnABDogKLYJH9e5JKY5LiIS+iIQLgkAe7D+JhIiAABY +sFjQkQUNR2jSGIon+woBIAAQYDD6rCAgARBoMFhoetKg0Q/AINEPKxoAC6oCKiYSY/8QLCoADKoC +KiYSY/8PjSLI2gUOR2jiG8Ag0Q8AAPosAAAwAljw/AoAIAMQaDBYciFj/92MJ/jJFC/AEEgw+sIJ +IEACezAJ/wH//EAgIAJCMPjFFCHgAlKw+sYJKgAY+pArwRX+13saACBS8JrJnqCNIMDh/NeLHYAE +P2D8pgIsCQB3cP2mASAAEBAw0Q8e13GeoI0gHNeD+N0RAAEQcDD8pgIsCQB3cP2mASAAEBAw0Q9s +EBgU132SEI4gGdd6iyONIYwiLBYiLRYkKxYj+RYGIAEQQDD4FgcgAxB4MP8WCSAAEFAw+hYFIAsQ +eDD/FhEgBxBQMPoWDSAJEEAw+BYPIAgQSDD5Fg4gBhBYMPsWDCAEEGgw/RYKIAUQYDD8FgsgDBBo +MP0WEiANEGAw/BYTIA4QWDD7FhQgDxBIMPkWFSAEEEAwKBYEGtda+hYlIAIQEDDyFgggChAQMCIW +EBLXU4UWiRUmUX4nUX8GmSgrEiT8EiIoACBN8Pgi9ChgAUwwA5kK/pIAIgAAU7AlUj4tEiP/QIAu +ACBDsAtQAI4XBu4oLBIk/RIiLgAgcfD4IvUuYAFwMAPuCo7gL0CB+hYWIgAAWrD6EiMuACBDsAtQ +AI4YBu4oLBIW/RIkLgAgcfD4IvYuYAFwMAPuCo7gL0CC+hYXIgAAWrD6EiIuACBDsAtQAI4ZBu4o +LBIX/RIWLgAgcfD4IvcuYAFwMAPuCi7iAC9Ag/oWGCIAAFqw+hIkLgAgQ7ALUACOGgbuKCwSGP0S +Fy4AIHHw+CL4LmABcDAD7gqO4C9AhPoWGSIAAFqw+hIWLgAgQ7ALUACOGwbuKCwSGf0SGC4AIHHw ++CL5LmABcDAD7gqO4C9AhfoWGiIAAFqw+hIXLgAgQ7ALUACOHAbuKCwSGv0SGS4AIHHw+CL6LmAB +cDAD7gqO4C9AhvoWGyIAAFqw+hIYLgAgQ7ALUACOHQbuKCwSG/0SGi4AIHHw+CL7LmABcDAD7gqO +4C9Ah/oWHCIAAFqw+hIZLgAgQ7ALUACOHgbuKCwSHP0SGy4AIHHw+CL8LmABcDAD7gqO4C9AiPoW +HSIAAFqw+hIaLgAgQ7ALUACOHwbuKCwSHf0SHC4AIHHw+CL9LmABcDAD7gqO4C9AifoWHiIAAFqw ++hIbLgAgQ7ALUAAuEhAG7igsEh79Eh0uACBx8Pgi/i5gAXAwA+4KjuAvQIr6Fh8iAABasPoSHC4A +IEOwC1AALhIRBu4oLBIf/RIeLgAgcfD4Iv8uYAFwMAPuCo7gL0CL+hYgIgAAWrD6Eh0uACBDsAtQ +AC4SEigSJQbuKCwSIP0SHy4AIHHw+IJ/LmABcDAD7gqO4C9AjPoWISIAAFqw+hIeLgAgQ7ALUAAu +EhMsEiEoEiUG7igtEiD/QI0uACBx8PiCgC5gAXAwA+4KjuCaE/oSHyIAAFqw+xYBLgAgQ7ALUAAu +EhSMESgSJQbuKC0SIf9Aji4AIHHw+IKBLmABcDAD7gqO4JoS+hIgIgAAWrD7FiMuACBDsAtQAC4S +FSwSIygSJQbuKI0T/0CPLgAgcfD4goIuYAFwMAPuCo7g+hIhIgAAMrAmFiL47ggCAABZsAtQAI4R +KhYkKRISKxITKBIlLRIVjxX8EhQggAJCMPgWJSAgAmtw/RYVICACe/D/FgUgIAJjMPwWFCAgAlrw ++xYTICACSnApFhKLHYkcjB4vEhCNH/gSESAgAmMw/BYOICACe/D/FhAgIAJrcP0WDyAgAkIw+BYR +ICACSnD5FgwgIAJa8JsdiRaLF4gbjRn/EgoggAIQsPwSCCAgAkIw+BYLICACa3D9FgkgIAJ78P8W +CiAgAiEw/xIEICACYzD8FgggIAJa8PsWByAQAkpw+RYGIf4Ce/D/FgQr2AC34IsQjBKIE4+wjrGN +svmyAy4AIEfw/7YALgAgU7D+tgEsACA3cP22AigAIGZwmbPRD2wQBCkiFSv6gNMP+QlFADcQQDD5 +jAwKACAScPukECAgAiCw+qwRLABcyhDAsFm9mSwiFSsiFPHUBA3QBD8g/SYSIgAAQLD8uxgAAxBI +MPsmEyACEFAwbaoMjoQE7o7+hgQgCAJCMA8CANMP0w9tmiH5ggQgIAJCMIqBi4KMgwSZjgSqjgS7 +jgTMjpmAmoGbgpyD+0wAAgAAULBb/paKIIgiiSGPIwSIjgSZjgT/jgSqjpognyMpJgH4JgIiAABA +sBnVywAChgBDYfAJFwALEEgwbZoCAAiK0Q8AAAAAAAAA+woAIBACYzBZvWr4LAAABBBIMNMPbZoh ++YIEICACQjCKgYuCjIMEmY4Eqo4Eu44EzI6ZgJqBm4Kcg/osAAIAAFkwW/502kDz/wBgOBBgMABs +EAYqIhX4IhQgQBAwMA8CAPpKCAigAVQw+WYMCgADopAojAEoJhQqJhUGKgz6rFAqAHu1EPs8AAIA +AGGwWb0++CwAAAQQSDAPAgDTD22aIfmCBCAgAkIwioGLgoyDBJmOBKqOBLuOBMyOmYCagZuCnIMl +LBD6LAACAABZcFv+UQZHDP8CAAwAV0Xg9jQIAgAAUXD2fAAAABAYMPQWACIAAEEw9ggWAAQQSDAA +SmcECIYASmUCCIYASmMACIbwCqACAABAsG2aIfmCBCAgAkIwioGLgoyDBJmOBKqOBLuOBMyOmYCa +gZuCnIP6LAACAABZcFv+NPpcAAACAhjw9mzAIIACITD/bZpiAABBMIsQ+jwRAgAAUXD8fAwKACBf +MFm9BtEPAAAAAPs8AAIAAGEwWb0B0Q+mPJwQ8//QYAAQGDAAAABsEAQY1aIZ1aAa1Z4T1aGTI5gi +mSH6JgAgABBYMCsmFSsmFNEPAAAAbBAG3iD04hAiAABhMBvVkfdCByIAAFHw/1z6IBMQQDDzQhUi +AAAQ8PdyDioAFEPQGNWPmhMI+AqIgJwSnhEKgACTECqypfxcAAIAAFiwWcFcZKXH80YVIAAQEDDR +DwAAAAAr4hILm1L+FgEv6AA24BrVefMWACIAAFiw+qLHIgAAYXBZwU9kpXoa1XLbIPqiySIAAGFw +WcFKI30F9KbVYQACGPAa1WzbIPqiyyIAAGFwWcFD9KaGY4AQMDAa1WbbIPqizSIAAGFwWcE9ZKbH +GtVh2yD6os8iAABhcFnBOP8CAAAELKqgKzDlwVj/AgAEAr4q0Gm3ISU05YsQ+0YVIAAQEDDRD5MQ +KrKd/FwAAgAAWLBZwStkpwqLEPtGFSAAEBAw0Q8AkxAqsqv8XAACAABYsFnBI2WvGvocAAALEFgw +WMyY+woBIAAQGDD6szgAHAA2oPoSAiIAAFmwWb1WyKkc1UONEQysNizWF2UzJY0Q/UYVIAAQEDDR +Dy5AbmTu0pMQKrLB/FwAAgAAWLBZwQxlrr/6HAAAFhBYMFjMgfsKASAAEBAw+rI4ABUANqD6EgIi +AABZsFm9QCx9AyrFKGUi0Y0Q/UYVIAAQEDDRDwAAkxAqsrP8XAACAABYsFnA+GSitxrVHNsg0w/6 +op8iAABhcFnA82WuWvocAAAFEFgwWMxo+woBIAAQEDD6sjgFAAA2oPoSAiIAAFmwWb0mLEBv/wIA +AAJ1bxBkpOKKE/sKBSANEGAwWMxD0qDRD5MQKrK5/FwAAgAAWLBZwN1lrsf6HAAAEhBYMFjMUmSi +NStAbmS3cvoSAiIAAFmwWb0SLEIWCsw2LEYWixD7RhUgABAQMNEPkxAqsrf8XAACAABYsFnAy2Si +MxrU79sg+qKxIgAAYXBZwMZlrm36HAAADhBYMFjMPGSh2/oSAiIAAFmwWbz9K30CKrUUixD7RhUg +ABAQMNEPkxAqsqn8XAACAABYsFnAt2SiKhrU2tsg+qK1IgAAYXBZwLJko4ca1NbbINMP+qKjIgAA +YXBZwK1lrgf6HAAABxBYMFjMImShdStAbmS25hrUy4sS+qLvIgAAYbBZwKNlpkgrQG/AyAy7AitE +b4sQ+0YVIAAQEDDRDwAAkxAqsr/8XAACAABYsFnAmGSh7xrUvNsg0w/6oqEiAABhcFnAk2Wtn/oc +AAAGEFgwWMwIZKENK0BuZLZsGtSxixL6ou8iAABhsFnAiWSmfCtAbywK/Qy7AStEb4sQ+0YVIAAQ +EDDRDwCTECqyp/xcAAIAAFiwWcB+ZKG3GtSi2yDTD/qimyIAAGFwWcB5ZKLaGtSc2yD6orsiAABh +cFnAdGSsXxrUmNsg+qLDIgAAYXBZwG9lrEwa1JOLEvqi3SIAAGGwWcBqZaRdixErshILmVLImWiS +B/8CAAIA9h5gjBErxhLzRhUgABAQMNEPkxAqspf8XAACAABYsFnAXWShehrUgNsg+qKZIgAAYXBZ +wFhlrLT6HAAAAhBYMFjLzcqiGtR4ixL6ou8iAABhsFnAUGWslIoT+woCIA0QYDBYy63SoNEPwCDR +DwAAAPocAAAPEFgwWMu/ZK/q+hICIgAAWbBZvIGLECx9AirFFftGFSAAEBAw0Q8AAAAAAPocAAAR +EFgwWMuzZK+6LUBuZNUIKUBv/wIAAf4ZelD/AgAB/hV+UPoSAiIAAFmwWbxvLkIXCu42LkYXixD7 +RhUgABAQMNEPAPocAAAKEFgwWMuhZK9yL0Bu0w9k9Hn6EgIiAABZsFm8YShBNP8CAAoA9FIQihP7 +CgogDRBgMFjLftKg0Q8AAAD6HAAAFRBYMFjLkWSvMvoSASIAAFkw/BICIgAAabBYyxaLEPtGFSAA +EBAw0Q8AAAD6HAAACRBYMFjLhWSvAilAbmSUHBrUL4sS+qLvIgAAYbBZwAZlomUrQG/9EgAgARBg +MP1GFSoJAGbw+0RvIAAQEDDRDwAAAAD6HAAAARBYMFjLc2SuuhrUHosS0w/6ou8iAABhsFm/9WWr +KYoT+woBIA0QYDBYy1LSoNEPAAAAAPoSAiIAAFmwWbwq/wIABAFlBqDHL9EPAPocAAAIEFgwWMtf ++woBIAAQEDD6sjgAKQA2oCxAbg8CAGTDhhrUDfsSAiIAAGGwWb/dZaJ6LUBvwOgO3QItRG9lLjWP +EP9GFSAAEBAw0Q8A+hIBIgAAWTBYy1Vlr5wrMOVj+nMAAAAA+hwAABAQWDBYy0VkrgIoQG7TD2SC +9/oSAiIAAFmwWbwFKUIYixD7RhUoAwBWcPlGGCAAEBAw0Q/6HAAAAxBYMFjLN2StyhrT4osS0w/6 +otUiAABhsFm/ufPT5xDpALagixErshILyVHImWiSB/8CAAP/kR5gjhH8EgAsAEAe8C3mEvxGFSAA +EBAw0Q9lLYGPEP9GFSAAEBAw0Q8AAAAAAPoSAiIAAFmwWbviKkU0ghDyRhUgABAQMNEPJX0E9VyA +IAAQWDD6XAAAgBBgMFm7KfoSAiIAAFlwWMtrKzDlwMQMuwL6NOEq4AFcMCs05WP5dC0w5cDi+hIC +LAkAd3D9NOUiAABZsFm7yysw5So05GP5UwCKElmcoi8w4n+pFYoSWZyfK30E+hICIgAAYrBZv4Nk +obfAovzTsBAwEFgwWcT6xy/RDxrToosS+qLXIgAAYbBZv3plrjqLEdMPK7ISC8lRaJEKaJIH/wIA +A/8TnmAe03YDvQH+EgEsCQB3cIwQLeYS/EYVIAAQEDDRD4oT+woJIA0QYDBYysrSoNEPAAD6HAAA +BBBYMFjK3WSsYhrTiIsS0w/6otUiAABhsFm/X/PTjxCsALagixErshIL6VHImWiSB/8CAAP+3R5g +jhH8EgAsAEAe8C3mEvxGFSAAEBAw0Q8a03eLEvqi3yIAAGGwWb9OZa2LixErshILmVJokQpokgf/ +AgAD/r0eYB/TeIIR/X0FLgkAfvD/JhIhAAJrcCzQ5cDhDswCLNTl80YVIAAQEDDRDwCKE/sKCCAN +EGAwWMqb0qDRDyN9BSM8gCsw5cDBDLsCCwtHKzTlY/f1GtNYixL6otciAABhsFm/L2WtD4sRK7IS +C+lRaJEKaJIH/wIAA/5/HmAd01oDvAH9EgEsCQBrMCzWEosQ+0YVIAAQEDDRDwAA9nYIAAAQWDD6 +bAAAgBBgMFm6qsFQ+hICIgAAWbBYyuwrMOUFuwL6NOEq4AFcMCs05WP3eSsw5cDIDLsCCwtHKzTl +Y/doihP7CgcgDRBgMFjKbtKg0Q+KE/sKECAMEGAwWMpp0qDRDwCKE/sKCiAMEGAwWMpl0qDRD4oT ++woJIAwQYDBYymHSoNEPAIoT+woIIAwQYDBYylzSoNEPihP7ChIgDBBgMFjKWNKg0Q+KE/sKESAM +EGAwWMpU0qDRD4oT+woGIAwQYDBYyk/SoNEPAIoT+woHIAwQYDBYykvSoNEPihP7CgYgDRBgMFjK +R9Kg0Q8AAGwQBCQiEGRAbikwECowEfswEimABD5gCpkC+jATKYAEPmALmQL8MBopgAQ+YPswGSgJ +AFZw+jAYIIYAOmAIqhELqgL7MBsrgAQ6oAyqAgiqEQuqArGq+iYWIEQAOmApIhL70v4QFABCcAub +ASsmEixABS0KlX3BS8Ag0Q8uMBQvMBX4MBYvgAQ7oA/uAv8wFy+ABDugCO4CCO4RD+4C/QqAIXgA +O6AvIhJ49yfApfzS7BAwEFgwWcQxwCDRDwAAAAAAAAD6TAAABhBYMFjqUMAg0Q8AjCf5yRQvwBBQ +MPvCCSBAAkMwCogB+IxAIIACSnD5xRQhgAJa8PvGCSoABcLQLsEVq+ubyRjSltmw8AgHAAQQeDBt ++gIASWEc0qucsIog+KoRAAQQYDAMqgKasSkwFCowFf8wFimABD5gCpkC+jAXKYAEPmAPmQL+0sYZ +gAQ+YP62AigJAFZw+bYEIBACQPDxCBYAMAJ68AAPiioiEokiDaoC+iYSLvUAtmD6LAAAAxBoMAvg +AGP+4mwQBiggBMGYeYEEwCDRDwAqIhIPAgAPAgD0IhAiAKH+kC9AbvwKlSBwAj/gK0AFfLHYHNKq +/SIAIAIQUDD+QgAgMBBYMFnD6vpMAAACEGgw/SRlIAEQWDBY6grAINEPABzSoI0gjjYvMQv4MB8g +BRBQMPgWACAwEFgwWcPdJCIYLDELiCyFR4lK+tKWGAAgYjD4JgwgABBYMPVSDiAuAJJw+0YeLAkA +VnCdSvAACGAAEFgwACtCHvs8ICoAIFlwWbnUL0IeLjELr+4uRh4qUAQsUAX7UAYrgAQ6oAyqAvlQ +ByuABDqg+NKAGgkAWrAIpRH9QgAkCQBNcPzSfBQAQEVw9VwDL/wQQDD4VQEAMBBYMPoKBSIAAHlw +WcO1KiITKTELK0Ie+pkIAGACQXD5JhMv/21C0B3SboxKDcwB/EYKIgAAULBY5yD6LAAAABBYMPwK +ACACEGgwWDEJwCDRDwAvIhMuMQv6LAAAABBYMP/uCAAAEGAw/iYTIAIQaDBYMQDAINEPAAAAbBAG +HNJaAPWOhCeNIP4yBSbgASgw9EkUIAUQUDD0FgAgNBBYMFnDkIgiZYCeKCAHGdHu+jIFKCABQDAM +hRH70esUACBNcPlSOiA+AiKwBEQUC4sK+7KXKgA8JlApUjkc0fv7nQEOADVeUPAMBwIAAENwbUkC +AEhhG9Hnm9CJIPgyBCBAAmDw/tHlECACW3D+1gIpgAQ+YPrWAygJAE0w+dYBIgAAULALgAD0Vjki +PAA5oCoiB/sKCiAAEGAw+qwgIAoQaDBYYtzSoNEPwCDRD/osAAIAAGkw+4wYIAAQYDBYbI3AINEP +AGwQBMePCFgDCDgCCEgDqGjwcQQCACBAsAIiGKIy0Q8AbBAEBDgDCFgDqGjwcQQCACBAsAIiGKIy +0Q8AAGwQBAQ4AwhYAQhIA6ho8HEEAgAgQLACIhiiMtEPAAAAbBAEBUgDCDgBCFgDqGjwcQQCACBA +sAIiGKIy0Q8AAABsEAQjIhAoMAUkCpL5IhIgmggiMP0KgCCKADJweJ89cJ8Z+tH6EKwAPnAKmgH6 +JhIgABAQMNEPAAAAAAD80fUQBRBQMP0yACAwEFgwWcMtKzBu0w9psQUsMAV0wQbAINEPAAAA+jwA +AAIQaDD9JGUgARBYMFjpSMAg0Q8AjCf/yRQvwBBAMPvCCSBAAnMwCO4B/uxAIIACe/D/xRQhgAJa +8PvGCSoABfLQKcEVq5ubyRzRjtmw8AwHAAQQUDBtqgIASWEa0aOasIkgwMT4mREP/xBQMPq2BCgJ +AGZwmbEoIhKPIh7Rwv62AigJAGow+CYSL2sAt+D6LAAAAxBoMAvgAMAg0Q8AAABsEAQT0cIDIgLR +DwBsEAYmIAeIIhzRWvUiECYgATAw9YJ7a8AEOaD90VYaACBisCiiOg1tCv3SlyQBNcYgK6I5GNGZ +/bQBDgEu7tAnIhKPKf4iCiYIATwwlxAIdwoncpD/7gwAYAJZ8P8CAAoA/d+QKyAWKAr/eLES+iAH +IAAQYDBYaif80T4SNAA2oC4hBx/RRg4OSvjRZh/ABDug9tGcHgkAe7CeQIog/dFBEEAQWDD7RgMg +BRB4MP1GAimABD6g/RIAKAkAfnCZQS4iEv2GOA5KAXAw+t0RD2AEO6D70Y0cCQB3cAbdAv4hGigm +AWww+9ExGAAgXnApkID9RgcugAQ7oJ5G+XkMCgkAWrD6RgQgYAJKcJlFiCkmIhX70TAf4BBwMPeI +CABAAlEw+IwwJgAgObD4JgkgYAIxsPYmFSBAEEgw9goAJgCq9RDwCwcPgBBoMABKYQBKYQBKYS1E +ISlEICZEJ/ZEJiAAEEAw+EQlIAAQUDAqRCQqUhEqRDsKihQqRDoKihQqRDkKihQqRDgoIhYoRD8I +iBQoRD4IiBQoRD0IiBQoRDyKNB7RUv08GCD/EEgw+NFYEABeAqDxDRYAUAJRMAAKiilEMChEMf5E +Mi//EGgwLUQzKjIEJkQjKkQ3Bo4UCo0ULkQiLUQ2DY0ULUQ1DY0ULUQ08AsHAIACSTAASWEoIAcI +CEEMiBEMiAgvhjkrIBYuCv9+sQr6IAcgMBBgMFhpkY80aPAniif7CgQgABBgMPqsICAEEGgwWGHi +KyISLPp/DLsB+yYSIAAQEDDRDx7RMS0iEg7dAi0mEmP/yADaIFhpzfzQxB4AADagYAAmiF4Z0SSx +iPhWDigJAEowKEQzCIgUKEQyCIgUKEQxCIgUKEQwY/8/wCDRDwAA+2wYIgAAULD8CgEgBRBoMFhr +fcAg0Q8A+2wSIgAAULD8CgEgABBoMFhrd8Ag0Q8AbBAOJBYRJzAPKDAOhSf5MA0gAhBgMPwUISAB +EFgwKxQr+RQtIP8QMDAmFCD2FCwgABBwMC4UIi4UIy4UJC4UJS4UJi4UJy4UKC4UKS4UKoVeKBQu +JxQv91LeIEACaHCI04vS/NIBIGACSHCckZuSKJYD/dIAIgAAUTD9lgAiAAAw8Fm9flm9dBzQ8Y8y +jjGNMPgyAyIAACKw+xYSIAUQUDD4FgAgNBBYMFnCH/pcAAIAAFjw/AoAIAEQaDBZNgBloJFkcOpo +cFL7EhIiAABRMP3QkRAAEGAwWcKde3s8HNDcLlLaL1LbKlLc+hYAIgAAafD5Ut0gNBBYMPkWASAF +EFAwWcIJ+l0DIAAQWDD6rGAgIBBgMFm4F2AAOBzQziZdAy1S2i5S2y9S3CtS3ZsQijCaEYkxmRKI +MvgWAyDQAjGw+DIDIDQQWDD4FgQgBRBQMFnB9c1pwCDH7/oKBSA0EFgw/NC9EgAAaLBZwe/RDwAA +wKDyFhAiAABZsFjfgdOg8qwAAA4ANqAuMRBj/8sAAAAAKhIQ+xIRIgAAabD+CgAgYAJgcFjfTGU/ +3GP/qQAA/SIAIAUQUDD80KkQNBBYMFnB2WP/jwAAbBAGJCISKgqO+SITIDYAfTAoMQupiPgmEyAA +EEgw+SYRIgAAEnDRDy8iGC/wdHrxWCggBRrQmfnQmRBPADYg+zELJABAUTAkJhKNOSwiE/4iESgA +HupQq8z8JhMgxgDlMGTgkI3sfdcgiOv67AAAABBYMPwKACACEGgwC4AAwJD5JhEiAAAScNEPwCDR +DwAA/NCEEAIQUDD+IgAgMBBYMFnBryoiECmgBSsKlXuR2sDC/CRlIAEQWDBY587AINEPHNB5jeD+ +4AUgBRBQMPQWACAwEFgwWcGiLSIS+QoAL78QcDD5JhEsAEB3cP0mEiIAABJw0Q/aIFjlEMCQ+SYR +IgAAEnDRDwAAbBAIKiIS+AqOIgD1/pAlIhgsUHT/AgAGAO7HECkgBRvQYP/QYBHPADZgC6oC+iYS +IgJztpCOLC0yBiQwIPj6/CCLEFgw9ARFDgJ481AtMQr9DU4AJRAwMP3cAyAhEDgw+N0BBgEyXxD/ +qAIAMRBYMPoiECgAIG+w+SYMICIQYDD4JhIgJAQ5MHZBCntBB/8CAA4Cf2EQLTAwLjAxDwIA/zAy +LYAEP2D6oHAsCQB3cP4wMy2ABD9gD90C+N0RAAwCQrDwgAQMCQB3cP0OGQ6gAWww+uURDgI1E6AF +9QKVEfoWACAwEFgw/NAwEAUQUDBZwVoZ0C4okncvIhgpkoqlhf/wdCWQBD1g9ZUIAJoQUDD1JhEg +ixBAMP5QBS4BhsPQ+wqbJgGC15D/AgAGAX7fkPwKmSABeoeg/QoELgIGY5D/AgAGAFq9EP8CAAYA +VrUQKDBCKzBALDA8LjBBLzA9+MwRC4AEPuD+MD4qCQB28P8wPywJAHsw+LsRDYAEOyD+MEMsCQBz +MPoiECoJAEbw+LsRDYAEOyD/zAIKCQB28Ay+DPlSDCAAESOgLqISfOEIDs8MZvACLKYSLKITe8EI +DLgMZoACK6YTDZkC+VYMIHoEMTDDof8CAAYAl9UQ/wIABgD4vRDCsv8CAAYBcV0QLBAQZcMGwCDR +Dy4wI8DxDv45LlRB/hQQL/+kMRAoMCEPAgB/h70oMEIrMEAsMDwuMEEvMD34zBELgAQ+4P4wPioJ +AHbw/zA/LAkAezD4uxENgAQ7IP4wQywJAHMwCLsC+LsRDYAEOyD/zAIKCQB28Ay5DPoiECAAESJg +LqISfOEIDs8MZvACLKYSLKITe8EIDLgMZoACK6YTKzA4LDA5/jA6K4AEPuAMuwL8MDsrgAQ+4A67 +AvpSDCuABD7gDLsC+c/AEAICWvD7JhYqCQBqsJpcmVtj/ygAAPwKAyh8AVAw/wIAD/7KRxArMCQs +MCX4MCYrgAQ+4Ay7AvwwJyuABD7gCLsCCLsR/LsCAAgCS3Dz/WVsBQBecAAsMCH/AgAAAHtjEC0w +JC4wJf8wJi2ABD9gDt0C/jAnLYAEP2AP3QII3REO3QJl0MwrMEwsME39ME4rgAQ+4Ay7AvwwTyuA +BD7gDbsCCLsRDLsC+iIQIKoANuAsohj/AgAKAE/fECtWEi4wSC8wSfgwSi+ABDugD+4C/zBLL4AE +O6AI7gII7hEP7gIuVhQtMDQuMDXAgPkwNi2ABD9g/8+DHAkAd3D+MDctgAQ/YPhWFSwJAE9w+FYT +LYAEP2D/VgssCQB3cJ1dY/4cKDA4KTA5+jA6KYAEOiAJiAL5MDspgAQ6IAqIAgiIEQmIAv/PcRAC +AkIwKCYWn1tj/eoAKiIQKaAFKwqV/wIAB/7x3lDAwvwkZSABEFgwWOaywCDRDwAAHM9kjVD4IhIg +BRBQMPgWACAwEFgwWcCGLSISxOAO3QItJhItMD4sMDwrMEAuMD0vMEH4uxENgAQ7IP4wPywJAHMw +/zBCKgkAfvD4uxENgAQ7IP0wQywJAGswD7sC+MwRC4AEPuD+zAIKCQBu8Ay5DPoiECH+rCJgLaIS +fNEIDc8MZvACLKYSLKIT/wIAB/6fXxAMuAxmjTP7phMgABAQMNEPAAAqMDgrMDn8MDorgAQ6oAuq +AvswOyuABDqgDKoCCKoRC6oC+c80EAICUrAqJhaZW4pXKTwg+qIOIAMQWDBtugUACYYASmHAINEP +AAApIhFkkHmJl4me+ZwwIAYQWDAqPCBtuQUCCoYASWPAINEPKiIQY/7H8/uZb/8QKDAczx8vMDAp +MDH6CgIgMBBYMPgwMi+ABD/g+f8CAgAAa7D5MDMvgAQ/4P5SAC4JAEfw+DAgL4AEP+D4FgAuCQBP +8FnAM8Ag0Q/AgCgmEWP/Y4lXKzELiZ6/uwtLS/W/gmBgAkpwY/xFAGwQBioiByYgByipFAULR/Si +DiYgATAw86IJIb0ANiD/AgACAPka4PzO/BIAAHiw+CIAIAEQSDD+ogIh/AJq8PoKBSAwEFgw+BYA +LAUAbnBZwBYczvL9MAAgBRBQMP4gUCAwEFgwWcAQG85wDGoR/c5vGgAgWrArojoNbQr90pckALRC +4CyiOf3LAQ4Aru8QKSBALCEHFs5x+M7iEACiBmD/AgACAJYCYP0KACQAkgegLiAHH85y+SEILUAB +YDD8zBEEAgF0MP4OQQSgBD1g8O4RDAkAKzD+mQIMCQB7MPy2ACAEEHAw/yIAIDAQKDD2tgIoCQBG +cPi2BiBAAmLw9bYDIAIQQDD5tgQgABBIMPm2BS+ABD/g+bYHLgkAd/D/tgEvgAQ/YPm8QC4JAEfw +AgOGAExjAAOGAExh/7YJL5cQKDAIBIYASWkGBIYASWcEBIYASWUpIhIn+mj2zrIQkAIgsP6mOSC0 +BCJwIyISpzkqkicllH0skiacoCuSJvq2ASAAEEAw+JYmIcACGPD4liciAABQ8Fm96PoKBSAwEFgw +/GwAAgAAaPBZv78vIhLA4dMP9P8MAAAQaDAP7Thk36n1JAUiAABQsFm928Ag0Q8ALUACY/7ZAADz +/kNgABAYMPP+zGCCEGgwjyLL8t0g/M6OEAUQUDD5CgEiAABD8P4iACgFAHpw+BYAIDAQWDBZv6bA +INEPLCBACMwQnDBj/gaPMPosAAAwAlmw/s6AHvgBfDD/JEAgARBgMP42ACAEEGgwWGjFjyJj/6EA +AGwQBoknLCAHKJkU9QpHCiABZDD2kgkg7QA2IP8CAAIAdpqgLiBBDLQR8woEIAAQaDD1CgAg4gC3 +oBjN6KhEL0I6/wIACgCLn9AfzeUpQjkPvwov8pcPmQH6nAABCAA2YGXhMikhBx3N6hfN8fwuQAlA +AUww+u4QCcAEPmD4IQgoCQB2cP/OVBgJAD5w+aYALwAEOuD3IgAoCQByMP+mBiAAEHAwnqWep/2m +AigJAHow+KYEIDAQSDD4dxEABBBAMPmmAyYJAEXw96YBIEACSrACBoYASWMABoYASWH4WxEAAhBg +MAy7Apup80Y5L5cQUDD6JAUiAABQsFm9fMAg0Q8AAAAA8/8TYAAQMDAtIEAI3RCdYGP/C4OeLTAE +KDAF9TAGLYAEP2AI3QLzMActgAQ/YP/OCBwJAC9wCN0RA90CD90BI9xn80MUAIkQKDDz/udgCAIY +8AAAAAAAAPP++GAAEEgwjyJk8E3dIPzOHhAFEFAw+QoBIgAAQ/D+IgAoBQB6cPgWACAwEFgwWb80 +wCDRDwAAAAAs3Ej73GciAABwsPtLFAIAAHmwWb4+LCAH8/6waiABZDCNYPosAAAwAlrw/M4JHPgB +bDD9JEAiAABo8PxmACABEGAwWGhMjyJj/4cAAABsEAaJJy0gByQhByiZFA0LQf6SCSVAASAw9IDL +ZcAEOSAFCUf8vBECAHqaYB/Nc/nNcxwAIHswKsI6CbkK+ZKXJABXwqAowjkfzXn5igEOAFDOEPjN +fhgCAWwwCpkQCUQCCEQClKCIIMOQ/6YCIAQQIDD5pgMpgAQ6IPnN3RgJACIwmKEvIEH4IQggABAY +MPOmBSAAEGgw86YHII0At+AAvxEPiAL5pgYoCQBKMPimBCBAAkqwAg6GAEljAA6GAElh+NkRAAIQ +WDALmQKZqfTGOS+XEEAw+CQFIgAAULBZvQrAINEPAAAA8/81YAAQcDCPIsv6wKX9CgEiAABj8P4i +ACwFAHtw/BYAIDAQWDD8zb4SAABosFm+2MAg0Q8vIEAI/xCf4GP/A/P/c2CJEGgwieD6LAAAMAJa +8PjNtBj4AUww+SRAIAEQYDD45gAgBBBoMFhn9Y8iY/+ZAABsEASKKo6vGM2r+CYLIEACSLD55gAg +cAJ6sP8mCCIAAFiw/iYJIAAQYDD5pg8vmBBAMPgkBSACEGgwWGZewCDRDwAAAGwQBBvNnCoxDCuy +fxzNevkwECAWECAw+6MecAAQKDB8oRb6LAACAABZcPw8AAIAAGkwWOQrwCDRD2iRSGiSKGiUCsBA +8//aYAAQKDAAfKHRe6vO2jBY5FfVoPP/xGAAECAwAAAAAAAA/KEHcBYQIDB7owJgAAHAQNowWORt +8/+hYAAQKDDaMFjkf/WsAAAiADag/M15EAUQUDD9MgEgMBBYMFm+jfP/eWAAECAwAAAA8/9uYAwQ +IDBsEAQpMBP0kGNg+BAoMGiRA8Ag0Q+EJ9MPhE4czWotMBEoTQUthGL+MBIgBRBQMP6EYyAwEFgw +Wb56Kk0E/DARIDACWPBY5JD6TQUqACAs8PwwEiHAAlKwWOSL+iwAAgAAWTBY5eDAINEPAAAAhCcP +AgCEThzNVS0wES1EAv4wEiAFEFAw/kQDIDAQWDBZvmT6TQIgMAJY8PwwESGQAlKwWOR5+k0DKgAg +LPD8MBIhUAJSsFjkdcAg0Q8AAGwQBPOLFABAEFAw9S0DIAAQSDDzVFcgBxBAMCtUVipUUilUU/hU +VCBDECAwJFRV0Q8AAABsEASPOPzNNRAFEFAw/TAQIDAQWDD/71ECAABz8Fm+RCkwEPrNLxBIAD5g +aJJZaZQSiDYion8JiBGoIiggBSkKlXmBXsAg0Q8AAADaMFjmL/kKBy/wADagi6eLviyyjgydVvx+ +WA4ADW5QwNN+0BD7vQIgABBgMFjl+sAg0Q8AAFjlnMAg0Q8AizYqon8JuxH7CgAqACBasFjlLMAg +0Q8AAAAAwKX8zQ8QMBBYMFm+IPosAAACEFgwWORCwCDRDwBsEASILiMsOHOJBcAg0Q8AAIsuiLP8 +TAACAABpcPu84CIAAFCwC4AAjCL9IAUv3QC3IGTf1Y4uc+nWY//NAAAAbBAWhzUjFhovMQ+INCsg +B/UWGCIAAEkw+RYNIAEQIDAkFhAqEhj4xRQKIAFcMPsWFywLAUAw/BYbKg8BfDArFhQbzOn8Ehoq +4AFQMCoWFvuxfiP/EHAw/cIfJh8BPDD9FhEkCgFAMPtbDAAaANYwDwhJmB9gAAIAnh/+wT0r4AFc +MCsWFS4WEyzAHfwUACIDn4KgjyJl9+/6CgAgTgA14PoWEiAJADXg2lBY6OXzCgAgABAwMC0SG9pw ++woDIAIQYDD7TAAMBQBq8Fjozf4KDyYAIDKw9BYMLgAot5AGRBTwAElgAgIhMAAAKBITZIcvKRIa +iZV9lqcrEhMsEhH9HAAAARBQMPoWEiIAAFFwWOj9Zqdb+woDIAAQGDAKszpkN0X0FgwgMBAwMAZE +FCYSFx7MIAxvEa7/LPI6/wIACgPPpxAczBwr8jkMbAoswpf/FgsqAEBm8Au/Ava8AAeMADbgLeJK +ZNdfHcyhKOJJKdJiLBIN+YsBDgOoThAqIBQvFgcKrIf6JBQsA70SoC0SGygSFf8KAyAMADdg/wIA +CAPEw9BkMH2JHA8CAMiRZDBf+2wAAgAAULD8CgMgGBBoMFjo//4SDyX6ADTgHcw9+xIUIAAQeDCf +op+j/6YELQAEO6D/pgUqIAQ+4P1dAgoJAGbw/aYALbAEOOD8zH0aCQBm8CumAfoKBSAcEFgwWb2I +KBIW/wIAAgN6GiDAIIkbJJY50Q8qEhJkoG/7EgciAABQsPwKAyAYEGgwWOjhGcxAKxAAFsxqHsxr +Cx8UJmKdDP8Q/l4CDgkAT/D2tgsARAB+8CwSEStt/y0SEyiyPyuxfZ6gn6Gdopyjm6SYpWAAFiwS +ES0SEythBYhjnqCfoZuimKOdpJylJqwYLRIUjB8C3RD9FgktAAQ7IPwWCiU6ALXgGMwDwOD+FgUg +AhB4MP8WBigJAEFwmB77bAACAABQsPwKAyAYEGgwWOi5JhIaiRWPFoZl/RIaIb8ANmAGHlD2K1AI +EwEwMP3SBCwUATAw9cwQCEAEOiDzuxAOIAQ7oPvMOh4JAFuw/BIOKAkAYjD8pgAuCQBDsPumASP/ +EEAwmKL+pgUtIAFsMJ2kHswwnqP//P8gMAIysP8WBiACAkpw+RYFL3QAt+D7bAACAABQsPwKAyAY +EGgwWOiVHswl+xIJIAMQYDD5EgogGBBoMPYSDiAAEEAw9qYAIgAAerD7+v8oCQBecPumAiewBDjg ++PYEIgAAULD49gUmCQBJsPv2Ay4JAHGw/vYBIDACW/BY6IAezBD/Eg4gABBgMJwRnBIsFgMsFgQv +pgAspgL8pgQgEAJYcPymBS//EGgw/aYDLgkAcbD+pgEgIAJocP4SGiAwAjKw+hwEIBgCYHBY5zD4 +CgEiAABKsPqJOQIAABqw+RYeJBEANqAkFh+VGPsKASAAEFAwCbo48xYgLWoANqATy/MpEhuEGBvL +8PwKASIAAFJw/BIJKgUASzCIGvVqACgwBD5g+rU5CAkAZnD4mAIICQBNcPkWGSQJAEVwJRYcFcuw ++QoDIAIQQDD+RBEIBQBScPgWHSQJACkw8ADOYAAQKDAAAAAAAPwSDi4tATAw9v1AChYBNDD2CFAL +AAQ+4PmIEQ3ABD9g+N0CCBUBMDD07hEI8AQ6IP7dAg4YATAw+u4QCAkAWjD2m1AMCQBHcPgQACqw +BD7g++4CC2ABNDD8pgArQAQ+4P7dAg4XATAw+e4QCIAEOiD7y4oYCQBaMPumASwJAHdw+KYFIAIQ +cDD+y7kcCQB3cJ2knqMYy7WYomP9/AAAmaGUoJyinKOcpPymBSAAEFgwm6abp5uom6ktEh2xVfas +KCYBGm1Q+2wAAgAAULD8CgQgKBBoMFjoEPRQTGmwBD1gKxIc/wIAAACohWD7mQIP/xBgMPV/p2gJ +AB5wjRMsEhqOEo8Ri8wswhCZoZup9KYAIAAQQDCYopimn6OepJylnaeMFJyoY/+PLRIbLBIZG8uQ +DJkC9NCIaAkAXnD++v8gIQA14JmhlKCeop6jnqT+pgUgABBoMJ2mnaedqJ2pY/9WIhYhJhIaJRYj +IxYiLmIaLGIbLWIVK2IZKGE5I2E4L2E6JWIW8mIXIwAEPODzEiIuCQAf8CZhO56jnaacpJuin6mZ +oZSglaeSqPISISkABDog9RIjJgkAQbCWpWP++AD7+v8gIQA14JmhlKCbopujm6T7pgUgABBAMJim +mKeYqJipY/7SIhYhIhIaLiIYjCwoIhQvITktITsrITj2ITovAAQ/4P8SBCwJAH9wIiIQnqSdpfym +BysABD7g+KYIJgkAWbCWqZmhkqOUoIISn6aSoiISIWP+gAAoEhssEhkby0xkgGP8mQIP/xBwMPRw +IWgJAF5wmaGUoJ6inqOepP6mBSAAEGgwnaadp52onalj/kYuEhoiFiEt4hIs4hMr4hiI7YbuL+IU +gu8u4hGeop2jnKSbpZimlqefqZmhkqiUoCISIWP+EQAAKxIcx9/7mQIAABBgMPRwG2gJAB5wmaGU +oJ2inaOdpJ2lnKacp5yonKlj/eOZoZSgjhP/EgEgABBAMJiimKOYpJimmKeYqJ+lnqlj/cIqEhoZ +yx+KpSMSIIUY9BIfIA4ATrBkcHMbyxoLWwIrlvpj+eQjEhDAwvP6AWIFAD8wwKX8yxUQHBBYMP5e +EQIAAGlwWbwTY/isAAD6EhUgABBoMJ0RnRKdE50UWOYoJBYflRjzFiAsPAA2oPoSFSAAEFgwWOYa +JBYflRgjFiBj/CEAAC4SEWXoyWP4dh/K0w9fAi+W+mP5cFjmHsinGMr7KICAZIBcKhIVWOYV+RIe +K9sAtqD6EhUgARBYMFjmCCkSHmP7xQAAAAAAAPP4SWAwEDAw+xITIgAAUXD8EhEiAABocFjm/2P4 +jQAAKxIajB39EhgiAABQsFhgbtKg0Q8AAAAA+srjEUEQWDBZj+b7GkEhABBgMPrK3hwJAGKwWY/m +Y/+BAAAAAAAAAPosAAAcEFgw/AoAIAEQaDBYZQFj/6mfG/P4c2AAEFgwAAArEhf6LAAAABBgMPu8 +GCIAAGkwWGT4Y/+E2iBb4vZj+IGKJ40dwMD6rCAiAABbcFhbOdKgixsktjnRDwAAAPP4emAEEBgw +bBAEFMq/giAkQn8Tyr4EIgwDIgLRDwAAbBAMGMqOKYKKKoKAKI3mKIDBCaoR/4cPeAAgVnAknQHw +AAphAAIhMCSdAyRMgBnKdShBKf8CAAYAf04QGsok8AoHAgAASHAASWEASWEASWEASWEYyoMfyqeO +IBvKpfsWAiAEEFAw/xYAL4AEO6D4FgYqCQBTsJoRKUAHL0EpCQlBAJkRCf8C/MqcHgkAR/CfFCsg +OQPuAv4WCyACEGgw/RYJKgkAZvD7FggiAEuE4IlHKJkU9ICAYEACYnCNmSvCAP76wCAoECgw9NBD +bgBAczBtCDB9sTgv0AD50AcgZAQr8C/BBf74CAnABD5g+IxALAAgT3D42w9yAABTcP2sAAAPADag +Y//ID9oMY//uAAD9ugwAABBIMAqdOPbcAAA0ALdg+kwAAgAAWHD8CgQgAhBoMFhWtNEP8/+CYAAQ +aDAAACocOvwKBiB0AliwWbFuY/9bwnaOaPoKBSA0EFgw/MpnEgAAabD/IDkuYAFwMFm7XopoCo9X +d/FtikcuoRX7+sAgQAJSsAurAavr/WxAKAA23ZD/ogAgMAA3YH3xKCzQANMPDwIAdcEdKdAHDJkR ++d0IAIACQvD42yRyAABTcP2sAA/YALag/fsMAAAQUDALrTj23AAPTAA3YGP/dgAADtoMY//ZAAAs +IDkKDUN9yYiOIAjuEQ4+Ap5r0Q8O3Qxj/5AAbBAEGMo+KYJ/KjAHLZECLpEELJEF+5IAIRsAN2D/ +3P8h/gJzsPzMEQ/ABDug/5UCKgAgdvD8uwwPEBAQMPAALWAAEHgwACuRBS2RBLG7+wtPAf4Cc3D7 +lQUmAGfu0IyQDO4R/swIC8AEPuALywwAC4sP32DwC4APnAC7YB7KISmRBSvifwmZEanZ/eJ9ILcA +NuCM0YvQm8CL0Jyxn9Cf0Svif7C7K+Z/+QtPCABag2Ci0vz6wCAwAkNw/NIJKABAYjArJjr/1RAl +QBBIMPnVESCAAiIwlNf01gYlwAQ+oPtMAAYASKcQ/40GKAAgQXD8jEAhAAJ78PXVECoAQmfQyTL5 +TAAAEAA2oG2pBQADhgBJYSsiQqtY/wIABgBU/hD4JkIh8AITcNEPL5UF8/8uYAAQWDDApfzJ8xAy +EFgwWbrpwCDRDy3igIzRi9CbwIvQnLGf0J/RK+KCsLsr5oJj/0bAwPqCfyABEGgwWFncwCDRDwAA +/9YJIfACE3DRDwDKP/s8AABcEGAw/Kw2AgAAcTBtyQUCC4YATmPF5P6hEnoAIEzwL6ys0w9t+QUE +C4YARGUpjfv5JkIh8AITcNEPAAAAAAD0JkIh8AITcNEPAAAAAAAAbBAEKCAFLSAHwZT9DEEOAIjK +EIkiZZDXLjAB/MYRABQAb7AqIE5loUsayRn/yRoWACBRsCtiOg/PCv/ylyIAeULgK2I5D7sB97wA +AOwANuAookocySdkgK4swn8pokn8mwEOAFLmUC8gFA/0h/8kFCoAbpfgGMkPH8mwKiEk/gtBDAIB +aDD6zBALAAQ+4PnJqRoJAGbw/CEJKgkAWrD7ISIqCQB6sJpwiiD/MQEgAhBoMP92BCoJAE7w/HYD +K4AEOqD7dgIqCQBqsJpxiTOZdfAIFwAwAknwAAmKBQ5H/WY5IvQCO6DAINEPAAAAAAAA+iwAAgAA +WPD8TAACAABpcFhfC9Kg0Q8A+iwAABwQWDD8CgEgARBoMFhjqWP/0QAA+iwAAgAAWXBYYHnSoNEP +APP/FGAAEFgw+8wYIgAAULD9CgIgARBgMFhjnWP/odogW+GbLSAHLjABY/8Yiif7TAACAABpMPqs +ICAAEGAwWFnc0qDRD8C4C5sCmyJj/3AAbBAGKCAFJiAH9zwAAgAAWPD5ChQgAxAYMPYGQQ4A3coQ +BQhH9ci7EiQAOiCMIvTAfGvABDmgwCDRDy0iHWXRp4oni6j+oRUvwBBAMPmiCyBAAnqw/KkUKABA +Q/D4FgEuACBDsP7sQCYA315QDEkRqcz8pRQqACBacPkWAioA3deQyXv5vAAAGQA1IIwSbUkFAAeG +AElhivAPAgAPAgCsqv8CAAYA8HaQmvBj/34Apaotojpu0xkdyJcsojkNbQot0pcYyT4fyJv9zgEI +AA5rEPtsGCIAAFCw/AoBIAMQaDBYY1fAINEPABzI4JzgiSD4mREAARBgMPzmAigJAB5wmeGJsyiC +fxzIjwmJFPmICAAQEFgw+OYDICACS7DwDxcAABBAMLGI8hkeAfQCPiCc5pvnGckjmeiIIAiIEQOI +ApjpHskgI6Y5LyAGiif5IgIvwBBoMP/8ASABEFgw/yQGIEACUrD/qQQoCQBecPiiASwAQG6w+SYC +IIACa3D5yJgQYAJ78P+lBCGgAkIw+KYBKgASahAtoQWo2JihmYCPIAj/Ef6GAi4JAB/w/4YBIAAQ +EDDRDxnIipmAjyD+yQIfgAQ/4P6GAi4JAB/w/4YBIAAQEDDRDwAAAPosAAIAAFlwWF/r0qDRDwAY +yPjwAClgihBIMBjITylSIIiEKZAH+RYAIAAQUDALgACKEIkTCpkM+MjuHiwANmArgoL5FgMhqAD+ +8GP+GcDAnKvz/f5iAABacAAAAAAAAPvqDAA3ADXgCk0U/twIIgAAQfD+TjYCAABK8NMPbekFAAiG +AElhiRGqeP1MDACAAkpwbckFAgiGAEljjRKMEQrdDK3MLMxAnPBj/aeOES7sQJ7wY/2dAABsEAqI +J4kiLiAHK4EV/frAIEACQjD8TBEIAEBqMPw8CAgAIELw+IxAIgAAUzD+DkEKAifDEC+gB/rIEhIA +ACqwDOcR+/wDIfwCY/D0kAlmACBV8MAg0Q8AKHI6tv37FgEqAhPuEBjIBytyOQjoCiiClwi7Afa8 +AAQYADbgKaJK/sivE+UANmAookkp4uX5iwEOAevOECogFAqvh/okFCoCARagHsgEiDSLIBnICv/I +pBAYEFAw+LsRAABXphAjUAEkIActUQEsIST+ZgIkAgEgMPpmAySgBDkg+sgCFAkASTD0ZgAgAxAg +MPS4AgoBARww+GYBIAIQQDDwCgcAIAJRsG2KAgBKYfohCSlgBD7g/iAHIAAQWDCbZf1mCSA6EEAw +/g5BDUAEPqD53QIPAAQ7oP7MAgwJAEdw/WYGLAkAezCcZCshCdog/AoAIAAQaDD+UQErQAQ+4PR2 +OSoJAEbwWF9VwCDRDwCJJyr6wPiRFSBAAkpw+RYFKABAVnD4FgIgQAJRcP8SAigAIEow+RYGIIAC +QjD5CoEqAAPGkA+qDIig+IhXAAICezD/vwIANAhKMJ9hGMhk+GYAIf4CUzAKGhSaYmAADgCfYRjI +X5hgiaEJWRSZYooVGMhZjzYZyFsoghsPLxT5oQUuAEBP8PqiASgAIHowmGP4EgYgBAJ5MAz/EfkW +AyoAIHqw+JkICAAgYTD5nEAgBAJCMPkWBynABDog/4gMCgAEzpCJEwmqDPSATG/ABD8gKWwQmRSJ +F6r4/wIACgEFwlAKmwwpEgQrFgALSxRtuQUACoYASWGKEIkW+8gMCgAgMrD5nEAgIAJSsG2JBQIJ +hgBKY4sgCLsR+MgxGgAgM/D/yDIQARBgMCymBvimBCAEEEgw+MgoGAkATvAppgWLUyiCGRzHfguL +FPuICAAAEEgw+KYHICACWrDwDBcAQAJSsLGZ8hoeAfQCPmD+tgYgIBAwMJa3hjaMNIhTiTX/yBsc +AEB7MPoyCiiQBDog88gYHAkAQzD4yBccCQB7MJy4L1AJ+FALJgBAQbD5UAoiAEAecCxQCPSIEA7A +BD/g9pkQDgkAH/D8Y0AICQBGcPxYFAJwBDzg8YgQCAkAHnDzx6wWCQBBsPa2CigJAH5wmbmPVZ+7 +/1IGLIABYDD/tgwsgAQ7IPlSByoAQBqw+bYNKgkAYrCavohUKLYPI1AB/wIAAABP/NAqIAcfx1H9 +FgEqAgFQMPkhJCqgBDqg/FEBKgkAerAqthAoIgD/ChgsAQEcMP+2EyADEFAw/8dIGYAEOiD+thIo +CQBSMPi2ESCgAlLw8A8HAAIQQDBtigIASmEuIAcoIQn6ChogABB4MP+2FS9gBD9g/g5BCUAEOiD/ +x84YCQB6MPy2GS8ABDug/p4CCAkAUjD4thYuCQB7sC62FI4RLnY5LVAHiif03QgAABBgMPvcAABA +AlKwWFgj0qDRDwAAAAAAAAD5EgQuLAA3INMPbckFBAqGAEllY/4UAAD6LAAAHBBYMPwKASABEGgw +WGHNwCDRDwALygxj+64AAPP76GAAEFgw2iD8CgEgMAJbsFhhxcAg0Q+dGPwWCSIAAFCwW9/BjBmN +GGP77QAAAGwQBiggBS8gB/4KAyAUEEgw/w9BDgDZyhAFCEf1+sAiJAA6IIoi+8boEHEANqDAINEP +iieLqCahFfmiCyBAAjqw/KkUKABAKfD4FgAmACBBsPZsQCYAwd5QDEkRqcz8pRQqACBacPkWASoA +v1WQyTb5vAAAFAA1IIwRbUkFAAOGAElhinAMqgj/AgAGANQ2kJpw8/+OYgAAGvAADPoRq6otojpu +0xkdxsksojkN/Qot0pcbxxsYx2/9xAEIAA5rEPv8GCIAAFCw/AoBIAMQaDBYYYnAINEPAJtAiSD4 +mREAARBYMPtGAigJAHZwmUGJMyiCfx/GvgmJFPzHXxgAIEowmEPwDxcAIAJJMP/GvBAAEEAwsYjy +GR4B9AI+IJxI/0YGIBAQaDCdR4kgCJkRDpkCmUkupjkoIAaKJ48isYj4JAYgQAJSsP2pBC4JAF/w ++KIBLABAKrD5oQUggAJjMP8mAiBgAmtw/aUEIaACQjD4pgEqABbiEPvGxxgAIEJwmKGbgIog+KoR +AAAQWDD7hgIqCQBysPqGASAAEBAw0Q8AG8a9m4CKIPiqEQAAEFgw+4YCKgkAcrD6hgEgABAQMNEP +AAAAAAAAAPosAAIAAFlwWF4d0qDRDwDAwJyr8/5EYgAAGnAAAAAA+2oMADcANOAKTRT83AgiAABA +8PxMNgIAAErw0w9tyQUACIYASWGJEKo4/UwMAIACSnBtyQUCCIYASWONEYwQCt0MrcwszECccGP+ +XYgQKIxAmHBj/lMAAGwQBiwgBxTGYA8CAAwMQQzDEf7GXhIAICTwKDI6/s4KAAMQIDD+4pcijgE+ +IC0yOf7bAQ4AHndQH8cM+iIAIAAQQDCYEPgWAiAAEGAw/xYBIAUQaDD/xwQQHhBwMFhadvQ2OSAA +EBAw0Q8AAAAAAAD7zBgiAABQsP0KAyABEGAwWGENxyTRDwBsEAYoIHDAVPTGPhDuAHYwKyAHCwtB +/cY7E8AEPuCkMykyOg29CoQg/dKXJLgBOmAsMjn9ygEOACjvENtAWPn8wMH9CgAgARBwMPjG5hAA +EEgw+RYBIAAQeDD5FgIiAABasPgWACIAAFEwWFpRJTY5KiBwKwr7C6oB+iRwIAAQEDDRD8Ag0Q8A ++7wYIgAAULD8CgEgBBBoMFhg5cck0Q8AbBAEKyAHHMbQGMYVCwtB9MJ/I8AEPuD+zf8iACBE8Cgy +Og6+Ci7il/6CTGQAIFkwLTI5/toBDgAg91DbQPwKACACEGgw/goCIBAQeDBZtLrAsfjGvhmABD0g ++KYAKAkAXnCZoYIgkqLA8v82OSAAEBAw0Q8AAAAA+7wYIgAAULD8CgEgAhBoMFhgwcck0Q8AbBAU +/jAQIAcQODD/xf4QARBgMPrF7xAAEDAw/QoYIkMAN6D/AgAABD2HoGjiA8Ag0Q8rIAcFCUf5Fhoq +IAFcMPsWGyI0AjpgLiAF/wIACAW3w6AoIHL/AgACBbJ6ENogWFxe/wIAAgQQqqCLIv8CAAIEC6rg +KiEajDUtIhD/Igkp8AQ+oPsWBCoACmJQDwlCyJkKC0L/AgAABVeq4C4SG/nFzBAYEFAwDK1w+swX +KcAEO6D6ShQIACBKMCgWF/iCOiAGAlqwmx77Fg0gDgJJMPkWCSoFd04QKBIXGcZ5KII5CekKKZKv ++YsBDgVrzhCOKg0IPg/uDPgWGSoAPMOQLSAiKSAjDZkM/wIAAAWyKmAtIAcfxb8NDUEP3Qko0d4J +jjYOiAwo1d4tICKeGA3eh/0kIiAFqitgKPJ7jivwgQQB/gJ7cPD/GgABEEAwAIga+Iz/LgAge7D/ +IgkuCQBDsC4mCigSGQ/pDP8CAAoFk8ZQLSIQLyAHGMY4Dy9ACv8Q+CEHLgkAR/CfsC8hCf4iAClA +AUAw+RIOKMAEOiAI/wL4ISQvgAQ7oP4hIigJAHZwn7OZsR/FzRnGQ521/8ZDHgkAe7D+tgIoCQBK +MJi0KCA4nLj2tgcgAhBIMJm5+cY7EEcQcDD2tgsoAwFAMP4KASYFAEew/rYKKAUAR/D4PBAoCQA+ +cPm2BiBgAkrwbakFAAiGAElhGcV7mbyIMP8CAAIEB6oQLRIXjB0s1jkrIBQqEhmku/skFCAXADag +KBIZjykuIDgI/wj/JgkiBPT7kCkSGv8CAAIE4ppgwCDRDwAAACggBykwES4gBY0i+XtACCABQDD4 +FhsoAv+boPkWCyIEh6tg+xYYLcAEPiD7xgwcACBXcPjSOiIAAHIw++sKABwQSDD7sq8qBJlOECnS +Of0WFygAQF5w3pD9nAAABJYqYCiiSv8CAAoEb8IgGMYAKaJJKILMnhr4mwEOBGZGUJscLRYdKDAU +KCQ4LjAVLiQ5JiQ7iTiOOYg2KCUiKSUJiDuJOi4lIyklJI48KCUlLiRMiT2OGykkTSgyECglKCgy +ESgmFSwlKSYkTiYkTyYmGywmFywmGCwmGSYmHfwkcy5gAXAwLiQ6LiEaJiRwJiRy9iRxKAMB8dD7 +IDgv/BBoMPMWHywAQG+w/dzsIAIQSDD5twEOAA7O0P4ISAABEEgw/pkUCAUAQnAJiAgOiBEI3Qwe +xdDAgQ3jLA3uLg6OOfMKBC4AIBuw94c5AgBAHvD4xWwSBQAeMNtg88VcGgUAHjD43PwiAABJsP7T +HAgFADzw/oscCAkAXnAjJTQuEhgjIBT7JTUpAAQ6IPDbEQgJAEJw+CYQKAkAXnD5Jg8gIBBIMPMS +HyYAICTw9yQUJZQAN6CNKSwgB50siD4oFhAYxQH7EgouAgFgMAruEAjuAp6wLiEIiCCfsvm2Ay4g +AWQw+IkRAAMQYDDw/xEICQBmcPwKAS4JAHuw+bYBKIAEPeD3xPEYCQBmcP8iCyAAEGAwLBYRmbeW +tZ+5/bYIIBQQaDD9FgUuCQA+MP+2Bi4JADuw/rYEIBgQODD2JBQgYAJa8PoyDyABEGAw+hYSIAAQ +aDD6IgAgABBIMPkWACAAEHAw+RYCIAEQQDD4FgEgARB4MFhY6yoWEyohKFm0cP4gOSCAEGgw/GwA +AEAQeDD6FhQiAABJsPoiACoAAXQw+/k4AAIQWDD/IDguAEBbsA7cOPyZAgIAAGmw+/4BABAQYDD/ +D0AMBQB3MP4KICIAAGGw/iA4LAUAe7D/IhUiAABBsP0KACwJAGsw/RYBKAkAZnD+LEAAABBoMP9v +FA4DAXAw/xYVKAUAYvD7EhMgBBB4MPzFWRgJAEow/BYALgkAQ7DTD/zuEQABEGAw/woALgkAe7D+ +FgIgABBwMFhYuSwKAf/FThIAAFqw+iIAJAAQSDD5FgAgABBoMPkWAiAAEEAw+BYBIAEQcDBYWK0s +EhL9EhAiAABasPoiAC//EEAwmBAuIST/ISUtAAFsMPwMSA1wBD9gDcwC8P8RAAAQaDD8FgIuCQB7 +sPwKAS//EHgw/hYBIBoQcDBYWJoKqwL6IgAv/xBgMPwWACAAEEgwKRYBKCEiKSEJLQoA8IgRABwQ +cDD4mQIP/xB4MPkWAiABEGAwWFiLLxIU+MUeEgAAWrD6IgAv/xBIMJkQKRIRKIAgHcUdLBIV+YgC +Af4Ce/DynhAIEAQ6IPn/EQ4JAEOw/8UUHgkAe7D9CgAsCQBrMPwWAiABEGAw//r/LgkAe7D+FgEg +HhBwMFhYcvwKASIAAFqw+iIAIAAQQDD4FgAgABBoMPgWASAAEHAw+BYCIAEQeDBYWGeJMP8CAAIB +S6pQGcStKhIXLiEHH8T2J6Y5J5JxiSCIIC0gByry/vwgDCYAIEXw+yANJ5AEPeD4IBUqACA6sCoW +Fi6lBy2kByykDCukDYc5J6UJKKQVKDIRKzIQ+6UoJ+ABPDAnpSOLHAhoFP/yASAUEHAw+aYeIAEQ +aDD9pSkiAABh8P6kBSABEGgw+P8IAAAQcDD/phYg/xB4MFj37C8SFi7wFfwSGCABEFgw9vQULYAE +PeD07hAAIBB4MP+kAywJAHdw9qQALAkAX3D9pgEibQA3ICggOsCf/wIABgE0ThAtMFfEwA3MDJwf +JyEJ/QoBIAAQcDD/Cv8gIAJasPosAAIAAGHwWPfRLiAVwLH2JBQvgAQ94PakAC5ABDug/xIPLgkA +e7D/pAMuCQBbsP6mASAMEGAwiicdxAaKrokVAA2LAEphAEphAEphAEphAEphAEphAEphAEphH8Pk +LhIYLPZJKSQF+yQXICsAN6AoIDrAn3mBHhnEJygwUAmICiiCEPwwVyCgAljw+iwAAAIQaDALgAAF +Ckf/AgACAcIaoMAg0Q8A+iwAAgAAWPD8TAACAABpcFhZ9dKg0Q8AAAAFC0f7FhoiNAI64CsgBcHE +/wIABgDYZtBuuHItIHJ+32zaIFhaRWWgdI4iZeBvKCAUpIgoJBSPMHr2UiogBxjDvAoKQQynEah3 +KXI6/wIABAGzQmAdxHIscjkNrQot0q/9ywEOAalvEC8gcQM8Av4K6iIAAFCw/+Y5AgAAaTD/CgEi +AABxsFhaAsCEKHY5KRIa/wIAAgGDGmDAINEPAPosAAIAAFjw/EwAAgAAaXBYWcfSoNEPAPzEZBAE +EFAw/SIAICoQWDBZtTkfw6YuIRrz+eRgARBgMAAAAAAAAAD8PAACAABpMP4KACIAAFqw/woBIgAA +ULBYWebz/U5gCAI58CsSHR3EUi4SGx/EUC3QgADhBPDHGgADEHAw/vSALuABPDD9+DNyAABzcMef ++MRJGBEAT/D5EhssAEBPcAiZChjEFyiWlxnEQg19Ag0NR/2UgCFsADegZNFvwfP/FgUgARBwMP4W +ESAAEGgwLRYQ8/qNYBUQODAAAAAAAAAA8/31YAYQYDDEgJgfY/2eAIke+CBxIgAAYPD6LAACAABp +MP4K6iABEHgw+OY5CcAEPmD+bAAKACBO8FhZtooetKqaHWP3vownLskUJ8wg9OEWYGACSzCPyYiQ ++RYcIAAQYDD5jgwBkAJCMP6MOQ/AEHAw9PBybgBAcfAuFgYpFhyJcH+RYi7wAMGE/wIABgBWx5DB +lf8CAAYAUc+QwYb/AgAGAEzHkP8CAAYASO+QwZn/AgAGAEPPkMGKeOF9iBYu8AcpcQUpFgf4mQgP +wAQ7oPmcQC4AIHfw+ftBcgAAc/D/7AAPnAC3oMnO/RIcIC0QeDAuwAB/4UKIzsDA+NkMAZACQjAJ +jDllz+csIE75ChYiAABxsPAAIm4FAGJwjhcO/gxj/7oAAAD/AgAD+qiHUAmsDPP1RmHQAmMwLiRx +Y/1YZN6X8AAGYAEQSDDAkBjD4i+C0sfeDf8BD58CL4bSY/55AAAAAAAA8/7sYAAQeDD6LAACAABY +8PxMAAIAAGlwWFkz0qDRDwD6LAAAHBBYMPwKASAAEGgwWF3RY//RwCDRDysSG/osAAABEGAw/RIJ +IDACWvBYXcpj/KwtFhfz9tZgABBIMAAAAAArEhv6LAAAARBgMPu8GCAcEGgwWF3AY/+Miif7TAAA +ABBgMPqsICIAAGkwWFQC0qDRD4on+0wAAAAQYDD6rCAiAABpMFhT/NKg0Q/aIFhY1WP2Eoon+0wA +AgAAaTD6rCAgABBgMFhT9NKg0Q8AAPusGCABEGAw/QoEIgAAULBYXaVj/NEAACsgBf8CAAH+CIbg +jBTA0g3MApwiY/wAAI4pnirz9NNiAAB7sIgYKiAHH8LdCNsM+yQiKiABUDAPrwku8d6o7i713i0g +IszXjCmcKmAAKQAAGcLVKZJ/jivwkQQB/gJDcPCIGgABEHgwAP8a//z/LgAgQ7AP7gKeKvusHyAB +EGAw/QoAIgAAULBYXYFj+4kAAGwQBBjDKIkgK4Jx+IKKIBAQYDD8JAUoACBecPmZEQAAEFgw+yQU +KAAgSjD7hBQiAABQsFvd9NEPbBAEGcMxijIpkn8JqhGqmSyQBviSAiAAEFgw/fr+IAsQcDD+lAUh +/gJjMPyUBigAQGow+JYCIgAAUnBb3eTAINEPAABsEAaIIi8gB4cwlRL0FgMuIAF8MPWB7mbgATww +/xYBISQANeD7fAEiAABQsFmvxvahtmIAACKw9jwAD40QKDDyFgAg1AA14AdyCfc8ECPABDig8AAh +YgAgGLAAAABpgQiKEItlWPaI1KBmQN8mbDD3fDAmAFIVkChgEMiOaIFEaYLpKGARZY/UYABzAChg +EciMaIEgZ0/UdUHRYACuAACKEPtiBSIAAGHwWPbB8//kYgAAIrAAihCLZVj2uPP/1GIAACKwKGAR +yIxogSBnT5x1QZlgAHYAAIoQ+2IFIgAAYfBY9rPz/+RiAAAisACKEItlWPaq8//UYgAAIrAAAACK +EPtiBSIAAGHwWPZk8/9ZYgAAIrAAAACKEPwK/SAAEFgwWbDM/BICIgAAIrD2+gAmAHeukIgwBogB +mDBgAA0AAAAAAADyFgAgABAgMIkRGMJBDJcR/MJBFgAgRfAqcjqCEAycCvzClyQAqUKgK3I5DLsB +9bwAAU4ANuAtgkr/wk0RIwA3YC6CSS/yf4kT/+sBDgCJ/5AoIBQIiYf4JBQsAJ6SIPtcAAIAAGDw ++iwADuABIDD9EgMgARB4MFhYf4oS+gpHAAQQWDD7djkiMgA6oIonjRPAwPqsICIAAFtwWFMx0qDR +D8Ag0Q/HtPIWAC//rtqQ+iwAABwQWDD8CgAgIBBoMFhc4PwSAyIAAFCw/RICIgAAWPBYWDfSoNEP +AAAMDEf5wnJgABAQMIgQiIeCiPeBFS/AEGAw+YILIEACajAM3AGsd/d8QCYATZZQhBMpiRQMRBHy +RQgIACAmcPmFFCoATa3QyTOIE8iP2SBtiQUAA4YASWEl0gAEVQj/AgAGAGo9UIgg9dYAKABAMjD4 +JgAgABAQMNEPiTAGmQGZMNEPAAAA+iwAABwQWDD8CgAgARBoMFhcs2P/S4IQ8/6yYAAQWDCLEfos +AAAAEGAw+7wYIAQQaDBYXKtj/ynaIFvaqWP+vsDAnIuIkAaIAfiWACAAEBAw0Q8AAAAAAPJ/DAA3 +ADTgjhMPRRT6XAgiAABA8PruNgIAAEiwbekFAAiGAElhixOvOPW7DACAAkswbbkFAgiGAEljiCAP +Tgyuzi7sQP7WACgAQDIw+CYAIAAQEDDRDwCIIC/MQP/WACgAQDIw+CYAIAAQEDDRDwBsEAQoIAXN +joonK6IMLakU/qIJIGACSrD8vMggDARK8MzEZNAPZOAM+iwAAAAQWDBb3PrRDxzCUx/Cd/n6/yAQ +Alqw+aYAIAAQQDD4JgcqABHX0CjCfR/CcJuBn6Muwn2eoi3Cf/vGfSACAmtwLcZ/0Q8vwoAuzQKb +8Z6jLcKAnaIpwoL7xoAgAgJKcCnGgtEPAAAAbBAEG8IJLLJvK7KKo8wJzBGsuymxGsDi/pQMYAAQ +YDAstRpgAAQAAGiTEC2xGg7dAg0NT/21GiIiAD9g+iwAAAAQWDBb3NDRDxzCT4qwK8F+C6oMWPYZ +Y//hAABsEDAqIAcYwXz6CkECAABY8PsWJiPABD6g+8F4EgAgRPAoMjr6FlEiAABgsPurCgBKEEgw ++7KXKgfkThApMjkLmQH3nAAAB+EqYI1IFcI5+sFzH/8QWDD98lAAAEKDUC0cMPAKFwIAADKwAA2K +AAaNLkISL0ITKkIRjUkoQhCdHo1NmB8qFhCIT4pMLxYSLhYRj04qFhMtFhQvFhUoFhaOS/4WFyDA +AmhwAA2KKxYbKxYcKxYdKxYeKxYfKxYgKxYhKxYiKxYjKRYsLBZSLlJJL1JILxYZLhYaYABOAAAA +APH6BwBgAmhwAE1hAE1hAfqLj0kvFhSOTS4WFY1MLRYWiEv4FhcgwAJocABNYQBNYSsWISsWIisW +IykWLCwWUihSSSpSSCoWHygWIMe/KxYj+xYiIGACUHD7FiEgwAJYcFj13i9ReQ8CAPasAAgHGH6Q +L1GEf6INKFGFD4gI/wIADAcOQpBY9hr2+vQgB1sqoNogWPXyJVGEpaXzFicgB2atYB7BkhjBei/i +bywSJi7iivNCGC4AIH1w90IZL5AEP+D/7ggAARBoMC3lGi9BKyXGAS8WQBzB3yZCGitCFylCFi5C +FCkWQysWQvkWAigAQEOwKBZE+BYDIgAAaXD7FgEqeAFwMPoWQSAAEFgw+hYALnwBcDD+FiogBRBQ +MFmynsCl/MHOEAAQWDD4wcse4AEUMP8WRSIAAGjw/xYAIgAAcfD4FgEiAAB5sFmyk8CR/goAIIAQ +YDD8fAECAABbsPycOQoFAF5w/BIqKgkAZvD/CgIiBS0DICkWNCIWK/0KCiH6AkMw+Nw4AAAQQDD4 +FjMgABBoMC0WMhnBPfLBsRABEGgw+TkBAAAQUDD62jkIBQBPcPCEBAgJAFZw9z0YAAUVKmADelMq +FjX/AgAABLGlkAZaUPoWRigUATAw+BZHIgSMMZAoIjEIiEH4FkggBIrRkAbJQfkWSSIElDWQKiIx +CipB+QoBIBAQQDD4eAEAABB4MP+fOQgFAEJwCP8C/wIAAATpq+AfwY//FjYgABBIMCkWNwbJUAn4 +EwmIAv8CAAAE4iog/8D6EAAQQDAoFjgvFjkG31AP+RMPmQL/AgAABNqqYPjBgBAAEEgwKRY6KBY7 +BuhQCP8TCP8C/wIAAATTK+D5wXkQABB4MC8WPCkWPQa5UAn4EwmIAv8CAAAEzSogLBYq/8D5EAAQ +QDAoFj4vFj8AJAQiFlP8CgEgABBIMPoWVSAgEEAw9BZUIAAQcDD0ChAiAAB7sPrBKxgAQEHw+ck5 +CAUAQzD4mAICAAATsPo6AQ4FAEEw+MFbGgUAUzD0CoAqCQBKsPQKCCIFAFEw+vr/KABAQPD6FgAo +BQBDMPLuAggJAEow+E85AAYQEDD9CgQkYAFoMPsSLCwFAFywJBYpIhJG9z8YDAkAf3D+EkcsCQB3 +cPHEBAlkARww9zgYCUAEPmD/D0EIgAQ6IPciEQgJAEow+RI8L2AEO6DywTseCQATsPjBKRQJAEEw +9BYCL0AEP+D2dFIMCQB3cP4aACgAQEGw8hJILgUAQLD4ElUsCQB3cP5EEA4JAH9w/f0TAwAEOKDy +/hMIoAQ6IPQSNiIJACCw/hI3LAkAd3DyEjMuCQAX8PIWSiQJAEEw9BJJLgkAJ/AI+BP4EjguCQBD +sP4iEQQwBDkg9P4TDAkAd3D0EkUuCQAn8PISOi4JABOw+BI+LgkAR/D+EjQsCQB3cPkSPSIJAEiw +8hI5LgkAF/D4EjsuCQBH8P8WASIAAFFw/8EHH9AEO6DyEjIuCQATsP4SPywJAHdw9kQQCAkASjD4 +3QICkAQ4oPQiAg4JAHuw9BJUL/8QeDDyElMsCQAXcP4KACwJAHdw/RZLIAAQaDBYVEkBZAQtEjX+ +EioqCgE0MPwKACjgATQw/xJLKHwBGDD/FgIogAQ6INMP8y9dDAUAWnD7rAACAABRcPnA5R5ABD/g ++RYALgkAR/Dw7hEMwAQ/YPc+GAwJAHdw/g5FDAkAf3D27hEP/xB4MP4KAiwJAHMw/QoALAkAazD8 +FgEgARBgMFhUKCYSKSkSQS0SQP4hZy//EHgw/hZML/8QYDD8FgAiAABasP4WASABEGAw8NoRAAQQ +cDD6mQIAABBoMPkWAiIAAFFwWFQX/AoBIAAQaDD+CgYv/xBAMPkSRCAAEHgw+RYCIgAAWrD/FgEi +AABRcPgWAC//EHgwWFQK/BJCIAAQaDD7EkwgCBBwMPsWAi//EHgw/BYBIgAAWrD8CgEv/xBQMPoW +ACIAAFFwWFP+/hJKIAEQYDD9+v8iAABasP0WACIAAFFw/k8UCIAEO6D4/wIAABBoMP8WAShABDug ++O4CD/8QeDD+FgIgChBwMFhT7cDB/QoAIAwQcDD5Ii8v/xB4MPusAA//EFAw+hYAIAAQUDD6FgIo +WAFMMPkWTSkABD5g+RYBIgAAUXBYU97+IWEv/xB4MP0STS//EEgw+RYALwAQYDD+S1sPQAQ7oP0N +XwoJAGbw/t0CAAEQYDD7FgEgDhBwMP0WAiIAAFqw/QoAIgAAUXBYU8vA0PgSQyAQEHAw8MQEA/8Q +YDD3ORgP/xB4MP8WACkgAUww+RZOL/8QeDD8mRALYAQ+IPhoWQoJAGbw+YgCAAEQYDD7FgIiAABa +sPgWASIAAFFwWFO2IwoA9/r/IAEQYDD/Ek4v/xBwMP0KACIAAFqw/RYBIgAAUXD+FgAgABBoMP/P +SQASEHAw/xYCL/8QeDBYU6bAwf0KACAUEHAw//r/IAAQSDD5FgEv/xBAMPkWAiIAAFqw+BYAIgAA +UXBYU5v8wEYQFhBwMP36/yIAAFqw/RYAIAAQUDD6FgIv/xB4MPwWASIAAFFw/AoBIAAQaDBYU47A +wf0KACAYEHAw//r/L/8QSDD5FgAgABBAMPkWAiIAAFqw+BYBIgAAUXBYU4P5rAAFnwA1oP8CAAIC +y4Gg/wIAAAMXhaD/AgAEAxOFoCMWGPMWGSDAAlhw97YCIP4CYHCXs5e0l7WXtpe3l7iXuZe6J7YL +/b9QEBACcnD7nQEgQAJScPgSKyACAjMw/L9MEQACWvD7FjEgoAI4cPSEI2BgAlpwKRYoGL+c/woE +IgAAGbD2vz4T4AQ5YPgiAgmABDlg8hZQKAkAejD/nAAAABAQMPgWTyADEEAwbYpznfApEk/59gEi +AABLsPAGFwCAAnOwAAmKwpiZ9SkSUSgSUPj2BimwBDig+L/5GAkARnCc9PiZAgACAhCw8zzwIgAA +QPD59gciAABJ8PQIFgHgAjnw+KwAAIACe/DwCKQAgAJSsPIJFgIAAELw8AiiAIACWvAuEij3v98Q +ABBIMCkWGCvtASNy0SMWGvdy0CAAEHgw9xYZIAIQSDDzvAABkAI68Pu84CHgAhjwDwIADwIAbZqG +/eYwICgQUDD4Ek8iAABJ8PjmMSCAAjnw8AYXAAYCQ/AACYos5jT5ElAjsAQ6ICrmNfnmNiACAnvw +/oQGYAEQSDDAkCgSUQiZEQKZAvm/xRgJAEowLuxA8hxgKAkASjD45iciAABI8PICFgIAAELw8Aii +AGACEHDwAhYAgAIY8PAJoACAAlrwJxIo930BIEQQGDDyEiYggAI58ClBKvsSUiAA08JQGL+vHr+Z +nXCJsPx2AiAIEFAwmnP+dgUgAhBQMPhYAgmABD5g+HYEKAkAVnCZcfAGFwAwAknwAAmK8zwCIEAC +OfCPQNMPDwIA/wIAAADTq9ApIAEAmTKFIQAkBC5CGS1CGPxCGirgAUgwKhYuDt0Y/AZJDCABbDD9 +Fi0gGAA2oC9CFBm/Eg/IU/iM/i4AQE/wCPU4+7IAIgAAUfD9CgEsGgFgMP4KBCAwEHgwWa1fHb7C +KxIt/BIuIAQQSDDwDQcCAABqsABNYQBNYQBNYR6/HwC9Efi/fBwJAG2w+KYCLAkAd3D9pgAgEAJ5 +MPEPFgAgAnKwAA6KlaYoQhQMDQb9pB0ofAFAMPikHCAIAnDwLxInLvY5+SQAIAAQEDDRDwAAKCIu +CJhQKBZHwJD5FkYh+3gxkAYKUfoWSCP7edGQKCIx+ApAAgAAS7D4GEAIBQBX8AmIAvgWSSH7cDWQ +8/beai4BMDAAAAAAAAAA+QoBIAAQQDDyFlMqYAFoMPqs/yAAEBAw+pg4AAAQUDACmjjyElMv/8dG +kMCBKBZHY/+KAAAAAAD/AgAB/01GUB6+wC9CGp1wirD8dgIgEBBAMJhzn3X/dgcuCQBxcP52BCAA +EEgw+KoRAAIQcDD5dgYqCQBysPp2ASAEAhjw8/5UYEACOfAAACgSJ/OGOSAEEHgw/yQAIAAQEDDR +DykWMyIWK/38AAAAEFAw+hY0LAUAX7AtFjJj9a4pIjIJCVMpFjVj9dPAgPgWNiAAEHgwLxY3Y/Yu +wPD/FjggABBIMCkWOWP2PcCQ+RY6IAAQQDAoFjtj9kzAgPgWPCAAEHgwLxY9Y/ZbAAAA/BYqIAAQ +eDD/Fj4gABBIMCkWP2P2ZAAAAAATvkr6FjAgABBQMCoWL/QDBwDgAkBwAEhlIyLQ8xYfIAQQQDDy +ItEjgAQ9YPi+LxIJAETwIhYg8r6HG+AEOWDzFk8iAAAZsPaMAAACEEAw8hImKgkAErD6FlAiAABS +cG2Kni8SMJ2gKBJPmKHwBhcCAABLsAAJivgSLyAAEEgwIhZT//xAIgAAE/D/FjAgARB4MAifOfiM +ASmwBD4gKBYvKBJRCP8R/KYEKAkAfnD5vuMYCQBKMC8SUP+mBiCAAnOw+YgCAgAAefD4pgcgKBBI +MPmmBSIAAEDw+ggWAeACOfDwAqoB4AIY8PISUyIAAErw+A8WAIACWvDwCagAgAJSsCcSMfP8YGA4 +EBgwAAD9CgAgGhBwMPy+yh//EHgw+b7JEAAQQDD5FgEiAABasPwWACIAAFFw+BYCIAEQYDBYUg3+ +Gv8gARBgMP2+vx//EHgw/xYAIgAAWrD9FgIiAABRcP4WASAAEGgw/761EBwQcDBYUgHAwf0KACAe +EHAw/76zEAAQSDD5FgEv/xBAMPkWAiIAAFqw+BYAIgAAUXBYUfXz+eZiAABKsAAAAAAA/AoBIAAQ +aDD4IjEgGhBwMP/6/yIAAFqw+QoAIgAAUXD5FgIoGAFAMP8WACjgBDog+BYBL/8QeDBYUePAwf0K +ACAcEHAw//r/IAAQSDD5FgEv/xBAMPkWAiIAAFqw+BYAIgAAUXBYUdjAwf0KACAeEHAw//r/IAAQ +SDD5FgEv/xBAMPkWAiIAAFqw+BYAIgAAUXBYUc3z+URiAABKsItJLUEW/kEXIO4ANKAcvn0pQhEq +Qg4vQg0oQhImFgkoFgMrFggqFgf5FgEgBRBQMP8WBSAAEEgw+RYAIAAQeDD/FgQgABBYMPsWBiAA +EEAw+BYCICgQWDBZryjzFich+MStoCISJvsSUi+eEFAw+iQBL54QSDDz+wRgABAYMAAAAAAA8/A+ +YAAQSDArElH9CkoiAABTMPu8GCAAEGAwWFhDxyTRDyISJvy+VxAFEFAw8xYnIBgQWDBZrxArElL2 +JAEv9BBIMPP6tGAAEBgwIhIm/L5OEAUQUDDzFicgGBBYMFmvBisSUvYkAS/0EEgw8/qLYAAQGDAc +vkaITI9NlhL7FgEgBRBQMPgWACAoEFgwWa76/wIAAfhoraAjFidj/0EAAGwQCiwgBxi9VAwMQQzG +Ef69UxYAIEGwKGI6wNP+zgoAFRBIMP7ilyoBaE4QK2I5DrsB+rwAAsoANuArMAMXvgv8vaQS1AC2 +4CVCFAWIU/gWBy58ASww/FUBAgDEh+DAUC1BKhu9SPm9SRACEHAw+L4VEACLQ1CPMZugjSCXpfmm +Ai4JAEfw/6YELYAEP2D5vTscCQB3cP2mASAIEGgwnaPwCRcAMAJKsPIZHgBAAlKwslWOQP8CAAAA +jCuQKTABAJkyACQELUIaJ0IZ/0IYKuABTDD7FgovIAFoMJ4YB/8Y9zIBLiABfDD/FgkgFQA24ChC +FAjJU/yIAQH8AkpwCYc4iyD9rFAABBBwMP8KMCABEGgwWavHiRiMGh29KIsZGL2M8A0HAgAAarAA +TWEATWEATWEAshH/veQSCQAScP+mAiIJAECw8qYAIBACcTDxDhYAIAJqsAANipemIkIUDAgG+KQd +InwBEDDypBwgCAJ5cP9mOSAEEHAw/jQAIAAQEDDRDwAAAAAA/71KEf+TR1AoQhqNMZughyD5pgIs +CQB/cJ2kmKWYp/h3EQAQEEAw+KYDJgkAdfD3pgEgABA4MPemBiAEAilw8/7nYEACUrAA9WY5IAQQ +QDD4NAAgABAQMNEPAB+9wxm9wyhCFvpBKyIAAFqwmBWaFPoyASABEGAw+hYGIAgQQDD4FgIgDBBw +MP4WACAAEGgw+RYBIAIQcDBYUPmOF48U/b20EgAAWrD6EgYgABBgMJwR/RYAIAEQYDDw/xEAABBo +MP8KAC4JAHuw/hYCIAQQcDBYUOuVEvwKASAAEGgw/goGIgAAWrD6EgYv/xBIMPkWACAAEEAw+BYB +IAAQeDBYUOCLFcDQ9RIGIBAQcDD7bFkLYAQ+4PsWAiP/EHgw/BYBIgAAWrD6ygAgARBgMPoWACIA +AFFwWFDS/AoBIAAQaDD+CgAgABBAMPgWACACEHgw+BYBIgAAWrD4FgIiAABRcFhQxxy8+fP9bmAP +ECgwAADz/TZgABBYMPvMGCIAAFCw/QoVIAAQYDBYV13HJNEPAGizJMGWebERxrr7NAEv6hBIMPP9 +lGAAECgwLfqeLTQB8//ub54QSDD9NAAgABAQMNEPAABsEBgtIAcXvIENDUH7QgglwAQ/YP+8fxQA +ID1wKFI6/98KBh8BXDD/8pckAODGIC5SORa9X//sAQ4A2f+QKGGOGbyM/fr/IQAANiD6HDAg/AAC +8PApFwBgAlBwAAqKLBYlKkISK0ITL0IRLkIQiEkAKY2YHohNnh8vFhCOT49MKxYSKhYRi04vFhMo +FhQrFhUuFhaKS/oWFyDAAlBwAAqKLRYbLRYcLRYdLRYeLRYfLRYgKmJDKWJEKRYaKhYZLRYhLRYi +LRYjYABFAAAAAAmLAEphAEphAAmLLBYliEkoFhSPTS8WFY5MLhYWi0v7FhcgwAJQcABKYQBKYS0W +IS0WIi0WIyliRCpiQyoWHykWIC0WIy0WIi0WIfocMCDAAlhwWPDrLWFvLBIl+6wACABrbpAqYXr+ +YXssAAdS0Kru/wIADABg8tDAYPsiACHUALXgwND+CgQiAABTMP8KKCIAAGGwWargH70UGL0SjiAt +QRYogn8tpQIpQRcI7gwoQhj/QhkuCQB7sJ6gjE2cootMm6P9QgkoCQAyMPmlAyCAEEgw+KYELgkA +T/Cfpf2NFA6ABDtgnqmdqPxCGiAEEFgw/KYHIAEQSDArVjkpNAAqIAaIIrGq+iQGKAkASjD4JgIg +ABAQMNEPAAAAAAD73BgiAABQsPwKACAFEGgwWFbPxyTRDwAtQRYuQReGSfsWJCHFADXgHLzgKEIR +ik6LTS9CEpYY+hYHIAAQSDCZEJsVnxP4FgEgABB4MP8WBCAAEEAwKBYC+RIkIAAQWDD7FgYgBRBQ +MPkWCSAoEFgwWa2LKRIk/BIlIf9wrmCHMQAkBP28RR+eEHAwLjQBKkIU+EIZIDAQeDD2QhggBBBw +MPlCGip8AVQw/QoBKgBAarD4ZhgB/AJa8PunOAIAAFMw+axQBiABMDD7IgAjIAFIMFmqiRi77Nmg +8AgHAAMQeDBt+gIASWEYvEwAaRH/vKgSCQBIsP+mAiIJAECw8qYAIBACcTDxDhYAIAJqsAANipem +LEIUKwpi+6QdLHwBYDD8pBwgBBBIMClWOfk0ACAAEBAw0Q/A0P4KBSIAAFMw/wpAIgAAYbBZqmwY +vKEsQhEZvJ6PIC5BFimSfy6lAi1BFy2lAwn/DJyj+0IQLgkAR/CfoJuiKUITi0mZpShCEpikj00L +iRSfp45MnqaNT52pjE6cqC9CGfhCGCqABD7g+aYOIIAQSDD7pg8uCQBP8P+mCygJADIwmKr+Qhog +BRBoMP6mDSABEFgwLVY5KzQALCAGiiKxzPwkBioJAFqw+iYCIAAQEDDRDwAcvHIoEiSPTYlMmRD2 +FgEgBRBQMPgWAiAoEFgwWa0mKhIk/BIlIf6mLqBj/mcAAGwQCgUIR/Y8AAIAWAIgiSLMnSkwGGSQ +ZWiRdGiTBmiUGcAg0Q8lPBjbUPosAAIAAGDwW/n3ZKBzZq/lGrtzHbuKK6JK/dJ/IWgANuAsokn9 +ywEOAK7vEC4gFA7kh/4kFCwAs5Ogiif7TAAAABBgMPqsICIAAGkwWEx40qDRD9og/DwAADACWPBb +/txj/6YAAPU8GCIAAFCw/DwAAgAAWXBb/gMrMBhps4tj/3ssMBj/AgAF/7cbIGP/fS0xFo84LjEX +gzn/5VAAmgAD8By8PCtiEYhuiW0qYhLzFgggABB4MJ8QmBeaE/kWBSAFEFAw+xYBIAAQSDD5FgQg +ABBYMPsWAiAAEEAw+BYGICgQWDBZrN5gABocvCqIbC9iDfMWASAFEFAw+BYAICgQWDBZrNaKJ4yo ++6EVL8AQODDzogsgQAJqsAfXAfe7CAAAEHgw+7xAIPoEYPAoqRQMThGuiPilFCoAIGOwerN1yWrT +YPnMAAAWADUgbUkFAAOGAElhKtIADwIADqoI/wIABgBOXpCa0PPMAABCADVgLzQYLzQZ/zQbL/8Q +WDCbN2P+YAAAAPosAAAcEFgw/AoAIAEQaDBYVd3AINEPANogW9PbY/6U/6YLL8YAtWDAwyw0GGP/ +vPy7DAA2ADWgC0MU+DwIIgAASbD4SDYCAABTMNMPbYkFAgmGAEpjC2kI80gMAIACUfBtiQUECYYA +SmUL6QypeSmcQJnQY/9qACp8QJrQY/9hbBAOHrvkKCAFLCAHJ+FmKjAD/AxBAAQCMTD3F0AIEAA6 +IMAg0Q+NItMP0w/1FgUiYwC3YC8gFigK//oWAyA6BEPwLBYR9LUaAgAAULBYBJ8sEhH+u9AT8QA2 +oB269hq63i3QgAzLEaq7/7I6IG4Ca3ANTRQNbQmz3f8CAAoBFu/QH7rWKbI5D88KL/KX+xYEKABA +fnD1nAACGwA2YCiiSmSB3CziIimiSfybAQ4A6eZQH7u5+xYCIkUAteAqMCAtCu3/AgAGASlukCgK +DnihCikK7v8CAA4BqMqQwLD7FgkgQAJg8PwWCyAAEFAwmhguIReLLBq7qxm7LY/AHbuoKZKLKCAs +AA2L+bkMDgBAV/D5aRQAARBQMPrZYAkABDogCO4CD+4C/hYKJgENblDAwCogFisK/3uhE5wdihtb +0rf7rAACAABQsFgEgIwdKyEHHbuXGruU/ruUG0ABXDAMuxH6ygoKCQBu8JvgiSCKoP0SAyAAEGAw ++uYDKYAEPmD85QUoCQBNsPnmASAgAkDw8ggWACACe7AAT2P95g8hzwC14N7ALCAUDMSH/CQULAEO +EyCPFSdM/gx9Ef0WBy7gAXwwLxYGH7t4/VwAAAAQYDD4EgYiAABb8PQLFgACAmMw8A2kBegCOyD8 +XEAiAG0aIP0SCyAAEFgw+EIUYAAQSDD2DRYAAgJa8PAMpgHoCDrwihhloNeIGY8XqFj1EgouACAv +8PWGECCAAnvw9fwAABwAN6Aau1+IGgqIAvgWCifwBDmg8/9+YAAQcDCEMiQmHIwx/xYPIgAAW/D5 +FgEiAMEHEMAw+RYBIADQLyCja4wU+8Y5IgAAEnDRDwAAAAAAAPosAAAcEFgw/AoBIAEQaDBYVR36 +LAACAABY8P0SBSIAAGEwWFB10qDRD5sU8/3lYAAQSDArzBj6LAAAARBgMFhVEmP/zQCKJy4WEJkR +9eG/YEACUrD7CgIiAABpMFhLUosYLhIQ+awADywANuCNEP1WECAAEGAwLFYSY/8XKCAsACON8A8f +CDAEOiAo5twID4sBEGlj/aQAG7soHbsm+jIIIEACSPCZG5kY/QoQKgBAarD9FgkqCQBasJoQ8/2v +YGACYPAvsAD/AgACAKxj0MDwLbAB/wIAAgC5Y1DAkA+cEQz8AmfNyGR8t2AAyB27Chy7CQozjAAN +ay8gLCzC2vDxBAABEHAw8O4aDxcBZDD/7gEADxBoMP8CAA4AYvdQLjAQ/wIAAgDGf5AMCUL/AgAA +AGOGYCic/v8KASAAEHAwCP44Y/3dAAAAAC4WEPsSAiIAAFCwW9LMLhIQY/3TAAD6LAACAAAr8Pv8 +AAIAAGEwW9QzjDEpEgHzrAALwAQ+oPfOaGoAIF1w2iD8CgAiAABpMFvUBaOsrGyNFIIRLNY50Q8A +AAAAAAD6LAACAABY8FjvOWR76x262AwzjPANjAAAEBAw0Q8AAPvMEiIAAFCw/QoAIAEQYDBYVKtj +/jQAAPwIQgABEHgw+Iz7IAAQcDAI/jhj/S0AABq6S4kaCpkCmRrz/R5gABBwMAAAAAAAAPsKAiIA +AGkwWAB3iREuEhBj/WwAG4uONi0xCw0PPw4OPw4Liw8JRA4oYfwWDioATEoQ8/6LYAEQeDCJwv8C +AAAAUI5QCcwUBDuLAiuLDA5J+uwACIABaDAEGmP4owZwARBgMMDAetcH8AAPYgAASzD6CgEgABBI +MAypOP8WDC5NALZgjDL6LAAAARBYMPwMXwAAEGgwWO7jjxzz/jBv/xBIMB66oo00DwIADwIAftEH +8/xgYAEQcDAvMQpp8vHz/FJgABBwMIwy2iD8DF8AABBYMFju1Iweiyzz/eRv/xB4MBi6ky4xDnjp +BSwxD2P/Vv8CAAH+7GdQnxzz/4VvIAFwMAAAbBAEiSf4kggvwBBwMPqRFSIAAFiw8pILIEACYnD/ +mRQuAEBzMP6qCA3ABD0g+qxAIJYEQLCt//+VFCgAIEdweaNRyTfyPAAAFQA1INOAbUkFAAKGAENh +KcIADZkIepF7mcDIWwrqMPq2FyIAABIw0Q/SgNEPAAAAAAAAAPgsAAAAEGAw/JYLL9wAtWBj/+EA +AAAAAPipDAA2ADTgCUoU/6wIIgAAEPD/TzYCAAA6MNMPbfkFAAKGAEdhCTII+kYMAIACG7BtaQUC +AoYAQ2MJ2gyq6iqsQJrAY/+GAC3sQJ3AY/99bBAE+CwAD8AEPWD9IQUpwAQ84PwiAS/AEHAw/i4B +AgAAWTDzVAwKACBzcPqsQCIAIEsw+f8MCgAR0JDJ9wxGEaYmdqMcyE3TD21JBQAChgBLYYKB0Q/S +wNEPDSIMY//aAAACpwz3RRQCAAAa8G1ZBQAChgBDYQtzCPVJDACAAhOwbZkFAgKGAENjgoHRDwAA +bBAQIxYWKjENlRiUGyIWFS0SFfIyAC/wEGAw9HIAIgAAefD90gciAABBsPViACMAARAw8hYQL8AQ +MDD30RUgHgIQsPwsAQBAAmtw9tYBAgAgHzD3FgEmACAx8PM8ICCAAjGw+TwACgFtsNAuEhYnEhUj +4Qz3cQcgYAJzsJ4QLhYU9wdKCgFls5ArEhb+EhQgQAIy8JYfJrARmBafFf0WBCYAIHGwJhYTJrEL +K7EKnBP5FgImACBxsP4KdCoAIHbw+xYNLgBAcrCeHCuxAB65CyYWEfcKASfABDng+8sUBgkAcbD+ +Eggh9AJa8PYWEiAAEDAwC3Y4G7j39hYOLuABcDDyRxQH4AQ7oPa48RIAIFmw+hYHIf4CWfDyFgoj +wAQ7oPsWCSIAIDCw8AA1YAAQMDAu4IAoIjr6EgogbgJzsA5OFK7d/dwHIAAQSDD6opcqAOBuECki +OQqZAfWcAAG1ADZgixOKFIwS9bsIAgMAJPD7vBAiAABo8FvN4CgSFgNEDIyHLhIVLxISA8wMnIf/ +VgAmACAw8P7iACBAAklw/7jWHAAgPrD/VgIgAgJrcP8SEC+ABDug/1YDLgkAc3D+VgEgoQA3II8f +KBIU8A8WACACcXDwDqAAHgA94IoZbakFAAiGAElhKhITL6ECiB4poQX9JjkgAgJj8P/ODAvgAWQw +D7sM/g5PChABXDAOuwz8pQIoACBecPwSDCoQAUwwC5kM+aUFK+ABHDD6EhEgDgC2IIkdKJECsYgo +lQKJoR64u40b/KQNKAAgXnD5pgEh/3ENII4WjRWV4PbWACAAEBAw0Q8vgQwDDk8O+Qz8gBAgWgA2 +YCKBE/kiDAIAACIwIkUTJBITDzsMD+gMKkEBIkEF+wtPCBABQDALiAz5qgwCACBAsPpFASgQARAw +CCIM8kUFIgBAByBoxHiIHmWAoYsdKrEBCaoMKrUBjhcvCn3/EhEuAEB7sIwfKBIU/vQNIEACSXDy +DBYAIAJZcPALogAeAD3gihltqQUECIYASWWMFosVlcD2tgAiAAATcNEPAGP+RosYKhIV+7wYIAEQ +YDBYUzeNFowVldD2xgAv9BAQMNEPLxITLvEMCe4MLvUMY/93lxEHOQxj/SAAAAAAAAD+EgEiAABb +sA67DCsWFGP9J4gdL4ECCf8ML4UCY/9aAGwQBPIiByIAAECwwMD7+sAgQAJQsPmsAACyADVgjTAN +DUj8hSgh4AJzcC6FKSwxDC4xC/IxCiwAIGNw+jARIeACOzAnNRMtkQX6yAwGAEBacPLFDAHgAkIw +9tYIAeACKXD5PDAggAIxsPScAAoAXrJQpKkvkQUIBk8GBgam//iVASYQAXgwBv8ML5UFJzAQpCL4 +cytkACAjsGh0IyghAAjIFPoK9iYARQIgJSUBKUANCpoBKkQN+TUNIgAAEPDRDyvMziuVDGP/0gAA +hSgtIRWOKwuvAfYpFCwAIH9w/dxAINYEK7AMThGuZvYlFCIAICuwctNiyT/JTfI8AAIAABlwbUkF +AAKGAENhKYIHIqIA+ZwgIgAgcLD/AgAGAETskJKg8/73YgAAGXANlAxj/0AoXNgoJQImQA0nCvYH +ZwEnRA32NQ0iAAAQ8NEPnCvz/stiAAAbsAAA9d0MADwANOANSRT2nAgiAAAQ8PZGNgIAADlw0w9t +aQUAAoYAR2ENMgj5RgwAgAIb8G1pBQIChgBDYymCBymcIA3mDKb2JmxAlqBj/3wt/ECdoGP/dAAA +AGwQBCkwFY8n2CD8+sAooAFMMPRQtmBAAlPwHbjgFLjChzmLOI479+dAAAIQMDD3ZgwCcAFYMPBh +BAVkAVww9zIALmABXDDwIhoOACAv8PL/Cg4AQCOw//wOJwABPDD/hSgv4AF8MP/uDAHAAjnw94Up +KgBAbvD7Nggn4AE8MP42ByCrADXg9JGBagBAZrAkMQkiMBGkIgKSCbIiAgJPIoUsJKEFIiwQ8jII +CgAgXTD1LDAggAJa8P8CAAoArtlQhiz2hhgiAAAQ8NEPAIX4LvEVgvv2+RQsAEBmsP3uCAvABD0g +/uxAJgCgrJCrZvb1FC4AIC7w/wIACgCj/5DJN/I8AAAVADUg01BtSQUAAoYAQ2EvogAL/wj/AgAG +ALZ30J+g01AG6jAmhhdj/uwkoQXyPEAmAEBmsPdHCACAAkow+woBKAB4PNDaIAAKhgBJYYUhJIEo +iiP5uJIaADapECSGEYwhhSIeuI/0zAwKACBRMPwmASoAFaKQLYIQ+d0BAAICKXD6JgMsCQBfcPUm +AiwJAHdw/YYQIgAAEPDRDwAALYIQHriACd0B9SYCLAkAX3D6JgMsCQB3cP2GECIAABDw0Q8AAAAA +AP8aACAuBCkwGbh1JoIQCWYC9oYQIgAAEPDRDySCEAlEAQtEAiSGEI4g+bhsHgkAe7CeICaCEAlm +AvaGECIAABDw0Q/AoPqFLCIAABDw0Q8ABFsMi7D7hhgiAAAQ8NEPAMDQnfvz/vRiAAAYsAQiDGP/ +DQAAAAAAAPXuDAA2ADTgDk8U9vwIIgAAEPD2RjYCAAA5cNMPbWkFAgKGAEdjDjII/0YMAIACG3Bt +aQUEAoYAQ2UOvwyv3y/8QJ+gY/6aACbcQJagY/6RbBAMlBeSHP4SDCIAABDw9SIAIgAAUXDzcgAi +AAB58P7iBy/AEEgw9GIAJQABLDD1Fggv8BBoMPbhFSIAAEGw/uwgIB4CKXD9XQEIAEBPsPLXCAgA +IE2w93wgIIACSnD8fAAKALrJ0JgUnxOeEp0RnBAFRxSaFYUcG7crlxr1UQcgQAIwsJYZ97cxFuAB +UDD+aREFQAEsMPxVEQgAIF5w97cgFAkAPXCZFvUWCyfABDmg9SEMJgAgObDwADNgABA4MAAety4u +4IAoYjr8EgYgbgJzsA5OFK7dt938wpcqAGluECtiOQy7AfS8AADNADbgixGKEowQ9LsIBAMAHXD7 +vBAiAABpcFvMEQUzDIscjBiPHIkniBv6EgoiAABqsAWZDJknmED/8gAmACA9cP63BhwAIFdw+BIJ +IAICa3D+RgIvgAQ/4PxGAy4JAH9w/0YBICACSTBtqQUACIYASWErshX8IgcgJAC24MrHLiEMLWY5 +/RIHK/+m8NCCFI8TlCD39gAgABAQMNEPKLEAsYgotQBj/9QpIQwFmQxlkEKLFIoTlLD3pgAiAAAT +cNEPAAAA8/80YAAQWDAAixWKHPu8GCABEGAwWFGijRSME5TQ98YAL/QQEDDRDwZ8DGP+iAAAH7cA +KyAVKSAULCARKCEJLiEW/EoICAAgXnD4zAgIACBNcPirCAwAIGJw/EULKAAgQnD5tRIgLgR7sI4U +jBMopRGU4PfGACIAABNw0Q+CE/kSBCGwAnowL6USlyD0lgAiAAATcNEPAABsEASKJ/gsAAAAEFgw +9FBqYEACSrAWtuApMQwsMBUnMBQqMBEiMQklMRb6NAgGACBl8PKqCAYAIE3w8kkICgAgOrD6NRMi +ACAR8PeVGiYASjVQIkUZLTAVIjEJLzAR/jAUIHYAN2Ci/6/urj4u7DL+hhUiAAAQ8NEPAACFqCyh +FfKiCy/AEHAw/6kULgBAcnD+zAgNwAQ9IPzMQCCeBCiwrf//pRQqACArcHrDSsk38jwAABUANSDT +UG1JBQAChgBDYSqSAA2qCHyhdJqQ8/9EYgAAGXAAAAD7hhUiAAAQ8NEPLCzYLEUaY/9pm6vz/yRi +AAAYsAAAAPXKDAA2ADTgCkwU/8wIIgAAEPD/TzYCAAA5cNMPbfkFAAKGAEdhCjII/EYMAIACG7Bt +aQUCAoYAQ2MK3Ays7CzMQJyQY/+NAC3sQJ2QY/+EbBAEJiAH9LZ0EyAQODAHZx0oIBakciMirvCB +BAABEEgwAJUadTg8GLZaDGYR9CKxJgAgQbCGYPgiryABEBgw8goAJABAIXD0MjgGAEAxcPaWOQgA +BMFQAGIR0Q8CYgEAIhHRDxO2UwiCCfNzCAPABDigoyIiLQojIaIiIaEDIgHRDwAAbBAEJyAH9bZT +EyAQQDAIeB0pIBalhSNSrvCRBAABEFAwAKYadjguE7Y5DHIRoyKCIClSr8CB8mIBAAAQIDDyojkI +AANJkNEPKVKxCWkBCYQ4BCIB0Q8dtjUJnAn9jQgNwAQ7IK3MLM0KLcGiK8GhLMGlDbsBfLsDwCDR +D9Kg0Q9sEAQqIAf8tjMTIBB4MA+vHSsgFvS2JhwAIGPwKMKu8LEEAAEQaDAA3RrytxYYAChqEBi3 +FfyuEQiABD7g+O4ICAkATPCZ4CjCr/7CsC4AF0dQDt4CLsawCOowC7kJ/JkRDgAgI/Cp7gLuCCni +byjmbgOZDPnmbyAAFi5g0Q8Lugn8qhEIACAn8KqZKZ0JKZx8KJHj84gMAAAQUDAKiDUolePRDyvC +sQvbAivGsVgC09EPAABsEAiVEYsiKiAH+TIAIAICYTCcFvwWBSogAVAw9bHwaQABTDAXte0YtgQu +ckqZEviCfyIVADegJnJJCGYB+WwAAg8ANaAYtfsogIAMpRGnVftSOiBuAkIwCEgUpIwszAT/AgAK +AOLm0By13StSOQysCizCly4K//y2AQ4A12bQLSAW+RYAIPwEc3AqPBBbzeMtIAf5teMTIBBYMAvb +HSggFqm5LpKu8IEEAAEQYDAAzBr/AgAIAVfjkPiPEA/ABDtg/68CDgAgO7Cf4y6Sr37AMi6SsA7O +Ai6WsA7qMBe1xgiPCfe3CA/ABD/gr3cnfQknfHwvcm8udm4K/wz/dm8gAUgv4CggFC8gBKSI+AlH +AgC2A+D5JBQsAPwSYBu1uY8SLSEHGrW6HrW3/PwPLUABbDD8TBQNwAQ/YP4SBiwJAHdwnWD4IgAg +AgJjMAxMDPn8ECoFAGLw+WYDKYAEOiD6ZgIuCQBDsJ5hLSAsKyEXHLXE8N0RAAAQODD6EgEqCQBu +8PlsICoJAGbw+2YEICACQPD8MgMq4AFQMP9lCyAAEHAw/mUKLwAQaDD8C0cMAEBrMPxmBirABD7g ++2YHIgBiGqD4QQ1h/gJRMG2pBQAIhgBJYS4gBLBK/KoRAgBFA6CIMigmHCkyAfgWBCYAIFGw9mwg +IgCVBlD2kUVgABAYMKNLsbv7VjkiAAAR8NEPAAD6LAACAABY8P0SASIAAGEwWEuV0qDRDwAAjRbA +wf2NCAAwAlqw/dwDIgAAULBYUDJj/8wAAAAAAPP98WAAEDAw+iwAABwQWDD8CgEgARBoMFhQKWP/ +qY4w/wIAAgDOK5CPFf9WOSIAABHw0Q8oJBRj/pmMJ/jCCS/AEGgw98kUIEACWzD6wRUsAEBu8P0W +AynABD0g+XcMACACQjD4xgksACBusPh3MgCAAmtw98UUKgCgahBomziomiqs8PxsICoAaldQaEEY +sE5t6QUACIYATGEqsgEKmgj3uQQh4AJSsP8CAAYA1O6Q+rYBIgAAQrBkcOTz/sxiAAA6MAAAAAAA ++xIAIgAAULBbzftj/f3aIPtsAAIAAGIwW89liBSJMZoX86wAC8AEPqD3nsNmACBZsPosAAIAAFmw +/AoAIgAAajBbzzWjo6NLsbv7VjkiAAAR8NEPHbUlCI4J/b0ID8AEO6Cu3S3dCS3cfCzR4/rMDAAA +EHAwDsw1LNXjY/11AAAukrEOzgL+lrEiAABTcFgB6mP9XwjdDA1KFG2pBQIIhgBMY4gTrW76TAwA +QAJTsPiMQCAeAD8gsM9t+QUECIYASmWIEyq5BA2cDAyICCiMMPi2AS8fALagjRPA4P61BCCAAmtw +nbGdsPP/B2AAEEAwCogMmMlj/rsoIAcatQj4L0AB/gJJMPyZEQ6gBD/g+v8CCAAgTbCfmIwg/rT4 +ECAQUDD6lgsgAxBoMP6WCiuABD8g/bT7GgkAbvCbmY8rKyEI+iAUKCABQDD+IgkpAAQ6IPgKACoJ +AEbw+JYNKoAEOqCany+WEf8SBiwJAGswnJ7+lhAqCQBu8PuWDCAGAnvw+CQUIgAAEfAvVjnRD4gT +KIxAmLFj/lgAbBAGLCAHiCIdtOH6tMkcIAFgMPWAsWfABDsgLdCAqmb+YjogbgJrcA1NFC3cA/8C +AAoAY2+QHrS/K2I5Ds4KLuKXDrsB97wAALkANuAvokoZtNBk8Ikpkn8ookn5iwEOAEBOECogFAqk +h/okFCwAUpKgKyAE/Ar/KE4AOuAFDEdowhiKJ/tMAAAAEGAw+qwgIgAAaTBYRbrSoNEPwCDRDysg +LHyx1o0yLSYciTHxnm9yAABZ8PaQkGAAEBgwI2Y5Y/+5AAAAAAD6LAACAABY8PxMAAIAAGlwWEq9 +0qDRDwD6LAAAHBBYMPwKASABEGgwWE9bY//RAADz/0lgABBYMAAAK8wY+iwAAAEQYDBYT1Rj/7Ta +IFvNUmP/VgAAAAAA/RYAIgAAULD7fAACAABjcFvOuY0QiTGaEfOsAAvABD6g9594agAgXfD6LAAA +ABBgMFvOi/P/ZWIAIB6wbBAEE7TpIzKLAyIMAmIU0Q8AAABsEArA0f5CAi//EFgw9RYBIBACOTD/ +PAACAAAZsP8WAiYBFr+QH7TyFbTNkxDwIQQAABBIMJkU8NYaAAAQYDD2FgMmEQBZsInhi+CbkIrg +KO3+mBaZoZzhnOCLQiiCfvPyfyABEFAw97sMAAAQSDD7qTgJkAQ6IPkWByIAIETwiDKJN54VLUER +/pIMKABAMjD4NgIgABAQMPVFEiC8ADdgLZkU+pIJIGACQnD46AwBkAJzsPTQmWIFAEOwmhhlIEiK +GPW0phA0ADagGbSHKKAAFbSjCYgKKIIQ/KAHIgAAWrD9CgMiAABQ8AuAAC1BFStBEfoWCCoANu7Q +zCuIGGWPu4wyYABmAAAAGbR3KCAACYgKKIIQ/CAHIgAAWLD6PAAABBBoMAuAACxBFStBEfKsAAoA +n2bQizctuRTI04q5YAABwKCaGGP/dgAAAAAAAADz/2dgABBQMChBEChFEWP/PgAAjDLMxGUgS2Wg +SBm1FHyQONrAWaPF8goAIgAAWrD8+v8iAABQ8FhMBY4yjROLFoxC+hIFLAkAd3CdMprBJ7aBLLaA +mkIiRRLRD37HIMf9D8wBnDKLE4kWikH4EgUqCQBm8JsymKAkloAqloGYQY0XwMD8RREgqAC3YI4U +se7+FgQkGgC7oB+0go5CY/5WAI9AdPlViBfzEgAgUAA2IIoRjxLwMQQAARBAMPCIGgMgEGAw/vLA +L/8QSDD5s/sYEQBKMAysKAjuAf72wCgAIGZwLJKxKZKtx+8OzAMMmQEcs+AMqhGsqpmi0Q/RD4lA +0w90kar6TAACAABZ8FjqL/5CAi//EFgw8/25YAEQaDCMMmXO92WvPYoYZa84Y/7sLkEQjRH4EgAj +IBBIMPndKA/ABD9gGbPKG7PcCIwQ+f8IDAkAY7D89gMqACBfcC+yr/CBBAABEGAwAMwa/wIAD/+Y +/xAosrAIyAIotrAI6jCPEBmzww//Cfz/EQgAIE9wqf8v/Qgv/Hgq8rAo9q8Oqgz69rAh/34yoCmy +sfoSASgJAE8wKbaxWACKY/7jAAAAbBAIBmQJDEQR8xYAJAAgIPCMQB2zp/uzuBABEHAw//r/JgDM +5RATtC7wIQQAABBIMPkWBCMgEEAw+FgoCcAEPWDw5RoIACBucJkS8GEECAAgWjCYE/DpGgAAEDgw ++RYBJBEAfXCLwY7AnrCNwCrN/pvRl8GXwIlAKqJ+KDJ/8goBIAAQMDD0mQwLkAQ6oPkmOAgAIFIw +jIePgvgWBSAAEBAw/cIMIAAQUDD7yRQuAEAv8P+GAiBgAnMw/t4MAZACa3D0sIpiBQBzcIrJZSBD +ya0Zs8EooAAJiAooghD8oAciAABasPoSBSADEGgwC4AAzS9lr9aNEo4TjBGN0C7ir/3NAQABEHgw +/f05CAA9cxBgAD8Zs7EoIAAJiAooghD8IAciAABYsPoSBSAEEGgwC4AAiRWJlyuZFPKsAAALADbg +iplj/4gAAPP/g2AAEFAwY/94ZdBGjkB06V2JEIoRKJLAx7//EgMqEQBasAqIASiWwCjysS/yrcef +CYgDCP8BiBKfgtEPiBOPESiCscDh+P8BAAAQYDAP7Dh80Lhlb7WJFLGZ+RYEJVwAumATs82MQPP+ +sGAAEDgw0Q8Usz3yCgEgBBBQMPy0OxIAADrw/VwAACAQWDBZpNaMEABhBPvCwC//EHAw8C0aAyAQ +UDD6WigMEQB3cA27AfvGwCoAIDqwLKKxKqKtx9/8WxEMEQBrMPyqAQoAICbwmrLRDwAAAGwQBBO0 +JS4yaC0ybaLuCe4Rrt0Ysx8cszD8LhEDIBB4MP8vKA4AIEOwiOCs/PrCsCBfADYgK8KxZLBJerxR +KcKvy5MbtBYasxqrqqr6bQgdKKKwL6Kv+RkUDgAgR/D6rDAuAQDH8P+moyAOADZgY//bAAAAAAAA +APjCrSAAEEgwKcaxmOKK1yqsEFhGBNEPAILXIiwQ2iBb0QxooQLRDwDaIFvRKRKz/guoEfSgOWIA +IECwDOowKyKFi7AirP/8uwgCAABQsFmmxioyl/AhBAABEFgwALsaC6oCKjaXWab70Q8AAAAAAAD6 +CgcgARBYMFhGrSwifywmg9EPAGwQBBOy5yMxfqIy0Q8AAAAAAAAAbBAEwCDRDwBsEAT0s+ITIBAo +MAUiKPiy4hQAICCwJEKXwV8DVQz1RRZyACBAsAM0CQxEEaQiIi0LIiEg0Q8AABKzQtEPAAAAbBAG +/LPTEAIQaDD6MAMgABBIMPUsAA//EFgw+yQWIAAQEDDyVRsiPwA2oPOkCwAPEHAw/hYAIA8QQDAq +MAgZs8X/AgAKALzCkAmpComQCpAAAACGM/aHQgbgATAw+nwAAgAAWbBZoXgcs7onVAwmVA0ooQco +VQfwAUVgAhBoMIkzmRBgATmLM264B/ABMW/qEBAwKlAHCgpBWU9jHLOtKlQW8AEaYAIQaDCLMytU +FmABDYsz/wIABACEAuBoti34tTBgCBBQMGi3KPi4JWAJEHAw/wIADgB1ctAvUCDAhP1UBS4JAEfw +L1QgYADSLVQFYADMKVAgCpkCKVQgYADAizMrVRtgALiOMy5VGmAAsCrCfY8zKcKA8JAEDgAgV/D6 +CAYB/gJ78PjCgi4AQEfwDw8ZDf83+P82AP8QQDAI/zYvVCNgAHmLM5tcYAByKVAiizObW/tWCSAq +ADZgL8KA8PEEAf4CcnDw7hoAARB4MAD/Gv/8/y4AIHLwD+4CnlpgAD2bWmAAOIgzKFUIYAAwG7Ny +ijP5UAcqACBesCuwgC4K+/6ZAQvgBD7g+5kCAPwQWDALmQEKmQIpVAdgAAHGKrgz+AoPL/86oNAp +URsvUCOLEB6yyyrCgACxBADoGgCgBAgIGw2INwj/Nv9UIyBlALZgLcJ9HLMmKlAWK1Ea/cw2AP8Q +aDD/s1EQxgRqsC1QB/g6ICwgAWwwCN0c+bJPHgAgf3Av8pfBjwqIDPj1JXgAIE9wCq4JDO4Rrpkp +nQspkSAJzzYL/zcL/ywPvxwvVRvRD9EPDs82C/83C/8sD78cL1Ub0Q/Aj5gQY/9fC8ksCbkcKVUb +0Q9sEBAfsusoIAT7CgEgABBwMPqyKxIAADDw9QlHAgDQgiD9CvEh/AI6cPe3OQIASYJgjiItIAf1 +4VZsIAFoMCsgIQsZQmWR0MDVDAtHDL4Rquopojr/AgACAKhGYCiiOQ+5CimSr/mLAQ4An84QKSAU +pJkpJBSMYP8CAAIA8SsQKyAh+xwUAAcQUDD/AgAIASNikGRwJvoiByDxEGAw/LsBAgAAaTD7JCEg +ABBgMPqsICIAAFkwWEMW0qDRD8Ag0Q8pIAX/AgAAARYGYP8CAAYBFIZg/wIACAFrgmAcsqBln08o +wn9khPwpwn2IkYuQm4CLkJixnpCekSvCf7C7K8Z/KJ3/jCD8hj4h8AJacJsnLiQgLiQhLAoI/CQF +IAAQUDAqJBQqJBcqJRv6JRogIAJK8CkWERqy7FvaKxyy6h2ySB6y6o8g+hIRIgAAWrBb2eKKJ9MP +DwIAKqwQKhYSW8/m/wIAAAIbBqArICEsCv78uwECAABQsPskISIAAFjwW/8CGrHPH7KLY/6mAAAA +AAAA+iwAAgAAWPD8TAACAABpcFhH79Kg0Q8A+8wYIgAAULD9CgMgARBgMFhMjWP/0YswmRj7C0cC +AI0CYIwiLSAH9cRYaiABbDAMuRGqmiiiOv8CAAICGsYgKKI5D7kKKZKv+YwBDgISThApIBSkmSkk +FI8w/wIAAgIeK9CKGP8CAAICTJqgwCDRD/8CAAAAcIZg/wIAAgB8AmD/AgACAZIGYP8CAAQBrQJg +x8X8+VAABxBwMPgKASAAEFgw+Ys4AAUQaDD87TsN8wC24GP/MiMgBxyxrQMoQP8hCCigBDog8wNB +CAkAYjD4tgAjAAQ84POxnR4JAB/wjiCTshOxo8DD8/8CACAQQDDz4wIPgAQ7oPi2Ay4JAGOwnrGI +K44pn7SYufO2BiiABDpg/rYIIAAQcDD+tgUoCQBDcJi3LiQULKY5Y/2qKQrxCbsBKyQhY/2xZL7m +A7cLGLKBmB7wAYtiAAAw8NLg0Q8Aiydj/heNK4kpfZEGwOKeImP/LCgK8fi4AQAEEEgwCYgCKCQh +DM0Rqt0p0jr/AgACAdBGYC7SOQ/ICiiCr50c+OsBDgHGx5Acsjb6IgAgABBoMJ0RnBApYAP+CgEg +ABB4MP0KgSlABD5g+RYCIAAQYDBYRYEfshEasVSOHMDTLeY5iSIsIAbAhv4gISABEFgw+5kCAAIC +YzD8JAYg8RBYMPkmAi4AQFuw/iQhLgkAQ7AuJCFj/omGJ4xoK2EV+GILIEACKbD1Fg0vwBA4MPgW +CiQAQD1w9RYJLwAQODD1CgIqACAu8Pu8QCYBhGYQKWkUDEgRCJkI+WUUJgAgYjD4FgsqAX020PsW +EyAgADTgAzkC+8wAABUANSBtSQUACYYAS2GGHYgbhmCoZigSE/8CAAYBlUWQiR2WkIvA1sD7CEcC +AABLMG2JByiQCGiBC7iZwHHz++NgAxAoMIiTB7sBCLsCm8AoICENiAEFiAIoJCFj/9rAm3mhRLhm +/wIABgBlPZAqYAhkoJdooWRoouloo1Zpqd6JY26T3mmT24sn/PrAIEACUvD8CgAqAEBisPy1FCCA +AlKwmrmauGP/uowejiKPJ40gKSAWL/kUmRD4YgMgBRBQMPgWASAAEFgwWaKaiGMoJBZj/4+JYykl +CGP/hxyx/otj+iAHLAAgYvAswIAtCvv9qgEN4AQ7IPyqAgD8EGAwDKoBC6oCKiQHY/9YAItjC4pC ++hYPKuABXDArFhBZn6ksoQctEhCOHy4kDC0kDSwlB2P/MAAasOAfsZvz/IBgABBwMAAA/wIAA/5y +f5CJYCgK/AjYAf76ACgJAEJw/goIKABAdnD4JAcg8RBAMPyZAggAQELw+WYAKAkAcjAoJCFgAAGJ +YPP8pmzgAUgwAAAqEhJbzvYbscwLrBGsu/sWByBUADagDOowK7KFi7CwqvoWBioAIGbwWaSTHLHK +jRYqwn/w0QQAARBYMAC7GguqAirGf1mkx2P7gynCgIuRiJCYsIiQm4GekJ6RKMKCsIgoxoJj+v/6 +CgcgARBYMFhEc4oXKaJ/KaaDY/tRAAD6LAAAMAJa8PwKASADEGgwWEtx+iwAAgAAWPD8TAACAABp +cFhGydKg0Q8lIQgfsLPwthEIAgFoMPqIEAQJADVw9rCnGAkAejCYwI8glsIWsKzCgJjD9lUCAAMQ +QDD29gIPgAQ/4Aj/Ap/BgyuPKZ7FlcSfyJPJ+J8QAAQQGDD2xgYuCQAf8J/HLiQUKKY5Y/tgiif7 +TAACAABpMPqsICAAEGAwWEGV0qDRDwAAAAAAAPvMGCIAAFCw/QoDIAEQYDBYS0UasHkfsTTz+1Vv +9BBgMJ5r8/1CYgAAYjAAiRkMuwz7FgUgPwA04PtIFAIAADMw+BYEIBACQjD4SDYCAABY8G2JBQIL +hgBGY4gUixUmnED4SAwKACBc8G2JBQQLhgBGZYsVIhYUghuIHQsiDKKSIixAkoAiEhRj/N2IGYkd +KIxAmJBj/NEAbBAEiScoIAYrmRQqnCD9kgkh/gJCMPSwfmjgAUAw+CQGIA4ANiDAINEPAAAAAAAA +/AoqIE4AN2Ar0AB8uUP+kRUvwBB4MPpM/y4AQH6w/KsRD/AQQDD72wgOACB7sP7sQCBABEDw+dwQ +ICACWvD4PBAqABjfkGhBCG2pBQAIhgBJYfosAAABEFgwW8uCwCDRDwAAAAAAAAD4JAYvigC2IGP/ +3g3tDCzc8AxMFLjLC6s2bbkFAAiGAElh/EoMCAAgG3D5/EAheAA+oC2s/23ZBQIIhgBJY2P/qAAA +AGwQBIgy+iwAAgAAWPD8MAcgAxBoMAuAANKg0Q9sEAQoIAQjIAfzA0EGEAA6IMAg0Q8bsSHTDyux +fvsrFAIAAFCwWQJQZa/l+iwAAgAAaTD8CgEgMAJY8FhK18Ag0Q8AAGwQBhixFoouLyAH/LCSEAAQ +GDD/D0EGAG7GkCPCfwmoEagziDeIjpgQiicpqRT7ogkgygA2YC2wFN6w+LAVIBUAN2AqrBBYQvrA +INEPAAAAAAAAAPnCfyCsADYgiZcrmRTAyP0KBCClADbgi5kosBawiAjNOBiv6wz8Efiv6xwAIEMw +KcI6CPgK+IKXKgCJ7lApwjn4nAEOAIRGUCkgBSiwBxSw8PS2ACFqAnpw/pkRAh4Av+AfsOqvmSmS +4WAAAcCQCJ8RD4gCmLEk4BT44BUgFwC1IGSAgSmwFviRNWH+AlJwKrQWiicqrBBYQtHAINEPAABj +/zEAAAAAAPP/NmAAEFgw8/9tYAQQaDDz/11gABBYMNogWT6hZD/JjBArwCgtwCn+wCorgAQ+4A27 +Av3AKyuABD7gDrsCCLsRDbsCsbsrxCsLixQrxCoLixQrxCkLixQrxChj/4vaIFk+j2Q/g40QLNAo +LtAp/9AqLYAEOyAOzAL+0CstgAQ7IA/MAgjMEQ7MArHMLNQrDIwULNQqDIwULNQpDIwULNQoY/9F +2iD4sLEQMAJb8PjmACABEGAwWEpowCDRDwAAAGwQCiggBP8CAAYB344g9woAJAHboiAoIAf1DUcI +IAFAMPgWCCIB1ANgii4WsKErMQgrJQgpYjkJqhGqmSmQBfgKQiA+EFAw+yAWJgH61lD8Cj0mAfbG +UP4K/y4CEuJQiDn4CUEABBAoMAlVDAUFQfVcDy/4EEgwCVUB9Vx/KAAgKjD1RRQAaAJCMPgWBCA0 +BHLw/Nz+IAEQUDD6IEEsBQBisFhIWmSj94sYGa9vDLoR/a9vGgAgSrAsojr9vQoACAJBcP3SlyoB +zMcQLKI5mBn9xAEOAcZvECwhIpcQKyBBKTIJ+rB0EAgQQDD8FgUgBBBwMPu7CQ4gAUww/+4MC8AE +PuD/sG0eIAFwMP4WAyoAIFqw+qJ/IAMQYDD6FgYoACB2cPgkZCB4Akpw+RYHIAcQQDD4JFwiAABY +cP+wYB4JAHuw/yUqL4AEO6D+FgAgygJQsFmW7Y0WJyU1JyRo+CEHIC4QSDD5JFcgUhBQMCokBfmv +SBBCEFAw+iR0KUABQDD6EgUpwAQ6IPmvYRgJAEow+EYAIEQQcDD62xQMwAFoMP8iACtABD7g/kYD +LkgBaDD9fUAPgAQ7oPXdEQwJAHMw/rBAGgkAbvD4/xEKCQBm8PywOx4JAH1wn0Efry2fQv8SBytA +BDqg+CBBKgkAYrCaRidFCv5GBykABDog944UCAkAWjD/RQsoCQBKMPhGBCCQAmiw8g0WAEACYTAA +TGP5ISogABBYMCtEMStEMvtEMyAAEFAwKkQ1KkQ2+kQ3IAAQQDD4RDAgABB4MC9ENC5ELilELSdE +LwmJFClELC0gVy1EOw2NFC1EOg2NFP1EOSAEEGAw/Y0UAHgCUTD9RDgguAJYsFmWoPeLFADAAkCw +8wgWAIACSTAASYoACIgACYqIEydEUytEUh2u/AuLFCtEUfuLFABAAkjw+0RQILACUTAGCYgAyooE +CYgAior9RhogEAJaMCtGG/kgdCoAIEEwKaR0LyEHKDAHmDEoIAcsIQj5rvIbwAQ5YP8PSgoCAUQw +/P8RCqAEPuD7/wIEACBRMPuvUx4JAE/w/0YAKCABQDD6IgAvAAQ6IPdGBSwJAHMw90YHIDAQSDD5 +RgMsCQBbMJxEm0b9RgIgBBBYMP2uxhuABDqg/BIJKgkAWrD6RgEgQAIhMAYDhgBEZwQDhgBEZQyP +EQ3/CCz2OSsgFi4K/36xCCogQSwSBFhHcyUhFCMhEg8CAPQKACAqADVgylL6YsQiAABY8PwKACAB +EGgwWD9LKGLF9EwBIAICGPAIMy51SdvaIFg80MAg0Q+KJ4uo/KEVL8AQcDD5ogsgQAIysA5uAf4W +ASwAIHMw/MxAJgBoXlAoqRQMTxGviPilFCoAIFvw/xYCKgBkVxDJOMlG2bBtSQUAA4YASWGOEopg +DwIADwIADqoI/wIABgB4ZpCaYPP782IAABrwAAAAAAAA+iwAAgAAWPD/CoQiAABhMP81CCIAAGlw +WUL3wCDRDwCJImWfY4sY+iwAAAEQYDD7vBgiAABqMFhJSMAg0Q8AAPosAAIAAFjw/AqFIgAAaXD8 +NQgiAABhMFlC58Ag0Q8AjSJl3yMrIEH6LAAAARBgMPu8EiAAEGgwWEk3wCDRDwCXq/P7Y2IAABpw +AAAAAAAA+8oMADcANOAKTBT+zAgiAABA8P5ONgIAAErw0w9t6QUICIYASWmJEao4/E8MAIACSnBt ++QUKCIYASWuOEowRCu4MrswszECcYGP/FY8RL/xAn2Bj/wsAAGwQBvgiByAAEGgwLRYAKiBBG69e +JiAHCqwJ+YkULcAEOyDzggkqACBm8PuyfyYgATAw+xYBIzgANmD4CkkjMAA04C4wEvsKVSMpADeg +KSAF/Ar/JgGPXlArIBb4JAUgGgRi8ADFjlhHH2SjSMBBGa40wOz8aBEAARBQMPmuMhgAIEow/YI6 +IgAAeTD0rzkABBA4MPlpCgYFAH+w+ZKXKgF4v1AlgjkJVQH7rj4S6wA1YPhcAAIAAFFw8AsHAEAC +MXBteQIASGFkQbEtIQcfricNDUoM3RH+rzIcCQB/cC1WACoiAPmvLBBkEFgw/K4iEAgQeDD8VgIr +gAQ6oPtWAyoJAHqwKlYB+SUqIAcQQDD4JFwiAABYcP8kZCADEGAw/hYAIMoCULBZla4eri+KEf8h +IiAuEGAw/CRXIEIQaDD9JHQgUhBgMCwkBf0gQSAAEGAwLCU1D9gU/CRoL0AEP+D6CUYKSAFUMPSI +EQoHAVAw+LsRDQAEP2D7mQILUAQ6oPqvBxgJAFIw/FUKIFQQWDD5rwIYCQBKMPtVCywJAEdw+lYH +LAkAd3D9VgQuCQBP8P9WBiCQAkiw8gkWAgAAQbAASGP7ISogABB4MC9UMS9UMv9UMyAAEHAwLlQ1 +LlQ2/lQ3IAAQaDAtVC78VC8gABBQMCpUMPtULSAAEEgwKVQ0C4sUK1QsKCBXKFQ7CIgUKFQ6CIgU ++FQ5IHgCUXD4iBQABBBgMPhUOCC4AliwWZVs9m0BIMACQLDzCBYAgAJJcABJigAIiAAJivpdASAA +EFgw+1RTIAAQWDD7VFIgABBYMPtUUSAAEFgwK1RQ/iB0IQACMbD+VHAhAAJSsB6uLywhBy0wB50x +KSAHLyEI/a3BHUABYDD8zBEKAgFMMPkJQQqgBD7g+8wCCwAEPmD4MgAuCQBf8PutrxwJAGswnKCM +IPumAi4JAHfw/6YEIAAQaDCdpZ6m/aYHIDAQcDD4zBEABBBoMP6mAywJAGsw/KYBKPgBQDAGA4YA +RmcEA4YARmUqIgccrY//+sAgNRBoMPybEQBAAlKw/68BCgAgZvD3tjkgABBYMPulBCCAAnvw/6YB +IDYQcDD/pgAghARyMH2BRcpIKyAWLgr/frEg+iBBIFQQYDBYRjbAINEPxP8vJAUoIGixiPgkaC/a +ALUgwCDRDygkBfP89WAAECAwZE/b2iBYO5dj/9jFkikkBWP/sfP9FWAAECgwiiJlr9D7bBgiAABQ +sPwKASIAAGnwWEgwwCDRDwCLImW/sysgQfosAAABEGAw+7wSIAAQaDBYSCjAINEPbBAUlRaXFCIW +HPMWBSIAAFkw+xYHIgAAePAs8APyISIiAAAYsPcyDiIAACHw9jAHIgAAabCSGigwQfKuXx/wEEgw +9gZBDAAgezD4iAkAfgJjMPyIEQwAQEsw/BYJIgAgQLD6wgEgMAJBsJgYIiJ//MwQKgLh01DA4PIW +ASAAEFgwDtIMHq3GLuJ/CX8Rr+4u4Tcoev//AgAKAvbyEBWt95YTF65LJ3J//wIAAgAAMfD/AgAK +AEnTUI4Zj+MCqgz3FgIuACB8sP7iAioAA5PQLuwBiBT3CgAgAqYmIP8CAAACoiagwCBtCFoKSDT2 +KQoIAQDBcJiQBigLn4OegomQCUQM+aoMAAICELD/7AAIACB6cPgWCyoAA0oQse/+/AAAAgI58P8S +CyAYADyg8goAIDACMbD/AgAAAm8lIP8CAAACayagY/+eDLoKDL4Lj+OKoJcS/uICLgAgfLDyqgwK +AAMT0LHuwCDyFhAgABAQMP8CAAAAUqUgLRYbYAAsKBIQ+7wBKAAgQfD4FhAgGAA+4PsKACAwAmMw +DL4LDLoKiqCP4/7iAiAAN6Ug9woAL88ANqBqQcfTD9MPbQhU9ikLCAEA0TD2LQoIAQDBcAhEDAiq +DJjQ/pYCIAICELD/lgMsACB+MPjbBnIAAHuwse/+/AAAAgI58PghDGIAAHtw8goAIDACMbBkr3P/ +AgAB/7glIGP/oAAtEhvAsJsQKzAWhBT2EgIg/xBIMP0WGCAkBErwjBYqMEEAzI5YRbVkpFktEhD5 +rMoTkAA3YI4ajBP1EgEgkAJY8PsWESC4AlDw+hYSIMACQPD4FhMiwAEoMP7fFA3ABD8g9YdCD0AE +P+D53QgHgAQ94P0WDyQHASww9VURAgkAOLD3rM4eCQAv8PcWDC4JABfwLxYV/63GHeAEOyD9rK8Q +ABAoMPUWGS9ABDug/xIQLgkAe7DyFg4sACBrMPwWDSAAEDgw/hYUIAoCe/D/FhcgBRAoMPAAEWAA +EBAwKBIX0w//AgAGAXlFUMCVCVkv9JJ5YAICKXAnfQEnfIApMQcarKEJCUoMmREKmQKZcIgw/Ky8 +EEQQeDD+rJoQCBBIMP52AimABDog/3YDKAkASjCYcS0wQQYoCoqAKxIV+BYWIAQQSDDw3REKIAFQ +MPqZDAoJAG7w+q2XGgkAZvCbdPsSESggAUwwKRYaKIIA+nYHIAAQUDAqdQr5EhQoACBKMPl2BiB4 +AkIwKHUL8AsWAEACSfAASWEpMSr6dC8gABBoMC10M/l0LSAAEHgw/3QwIAAQWDD7dDQgABBgMPx0 +MiAAEHAw/nQxIAAQYDD8dDcgABBwMP50NiAAEFgw+3QuIAAQeDAvdDUrEhIvEhkJiRQpdCz+MFcu +ACBH8C8WGS50Ow6OFC50Og6OFC50Of6OFAB4AlHw/nQ4IAQQYDBZk/b5EhMggAJR8AIJiABKigAJ +iAAKiisyHCoSFyt0UwuLFCt0UguLFCt0UQuLFPt0UCB0CFFwLBIa/a1aEIoCUfD7HAAAQhBwMP40 +dCwgAWAw/QoILAkAazD9NGUtgAQ7IPwWACADEGAwWZPcLTE19ikLADYQcDAuNFf6kgMgAgJrcC01 +NS0SFhytRyx2FovQK3YXiNCOPy8yHCp2GYmS+XYYLgAgR/AvNhwvEhgt0gCxIvhEDA4AIH4w/xYY +LAAgd3D9Ng8gGAA8oPIKACAwAjGwGawnKXYaKRIawPUPXy+4mCh2G/gwdCgAID5w+JR0Lc4At+Aq +EhAFqgy2qv8CAAP+30Kgjh+NHi3mOSswFiwK/3yxCCowQSwSGVhEw40eiRX6EhggRxBYMCs0BZqX +jzIE+BOUmfiWCCCoALfg2jD7EgggARBgMFhGyNEPAC0SEIwf9d0MAAUQeDD8wjogDAJrcA/dNg3d +Ef0WDioARu8QiB2HHyiClydyOQh3AWR/nokc/nwAAAAQUDDwCQcNUAA3YG0ICbGq8A6gB/6h7pBj +/+8AjBPAoPsWDi3ABDsg+hYZLAAgSzCcH48fjh4u9jkrMBYtCv99sQgqMEEsEhlYRJaLFYwX/RIG +IgAAUPBb/YbRD4/DjsKKwCcWEGP7TAAA8//uYAAQEDDz/4BgABA4MAAAjxmP9Pr/CAIAAHKw8hYB +KgAzf1DAsPL8AAAAEHgw//wEIgAAcLD49A9gAgJa8CzMGP8KACAAEFgwrPKCIK4ictvb/wIAC/0C +81AN4gxj+f0lSgD2FgMkAwAvsPP6DWXgASwwKDBBKIwSmBjz/q1gABBoMAAAAPP/yGAAEFgwbBAI +lBIuISKXE5YU9SAHIgAAaXAqIEGdEfYwAyQgASww+qgJADACOXD3FgUgABA4MPcWAC/wEEgw96yy +FgAgGbD7IBYgfgIxsPyIEQYAQEmw+Ar/JgAgRfD3cn8gKARC8J4XAM2OWER9/hIHImsANqAYq5EM +VBH6q5EUACBBMC1COgpaCvqilygBDkNgKUI5+pUBDgEI1lAvIQcYq5L8q5AfQAF8MPz/EQBEEGgw +/tkUDgkAR/D/VgAqBwE4MPsiACtQBDqg9JkRDkgBPDD9VgMvgAQ/4Pi7EQAIEGgw/FYCKgkAbvD7 +VgEswAE4MP9iASwJAHsw+CBBKAkAVnD06hEICQBmcPusghAEEGAw8IgRBiABfDD3xwwICQBKMPmr +kBYgATww9/8ICgkAWrD6VgYgeAJ78P8WBigJAEowmFQvVQsYrHT4VgcgABBAMPhVCiCQAnCw8A4W +AEACaXAATWH/ISogABBQMCpUNSpUNvpUNyAAEEgwKVQu+FQvIAAQcDD+VDAgABBoMP1UNCAAEFgw +K1QxK1QyL1QtK1QzD48UL1QsKyBXK1Q7C4sUK1Q6C4sUK1Q5+4sUAHgCUXD7VDgguAJYsFmS5Cks +YPMJFgCAAlFwAEqKAAmIAAqKLSIcHKxQLVRTDY0U/VRSIIoCUXD9jRQCAABYcP1UUSBCEEgw/Y0U +CCABODD9VFAoCQBiMPkkdCAIEGAw/CRlKYAEOiD4FgAgAxBgMFmSyxurMPohNSA2EGAwLCRXj2OI +YfliACACAlKwKiU1KVYWKFYXjWEvVhmOYi5WGC0mHCxiASwmD/tWGiAQAlHwKlYb+CB0KAAgPXAo +lHQvCggvRjkrIBYuCv9+sQgqIEEsEgZYQ8b8EgIiAABQsP0SASIAAFjwW/y10Q/A2PkSBCBHEFAw +KiQFmTeOIogT+DYJIAAQeDD/Nggv3wC3oNog+xIFIAEQYDBYRcXRDwArIEErvBKbFfP/w2AAEGgw +bBAGKCAE/wIABgB2DiD7PAAEAHIiIAUIR/0KACIAe4IgKrADLLEIGat2LCUIjC4pkn8JzBGsmfiQ +BSoAIFqw+qw/L/AQcDD8Cj4qAEBysP4KQiYAlWYQ/wo9JgCRdhD8q6AeAJn6ECggBf4KRyDQAjJw +/wpJJgCddhD5q+oWALV+EC0kaC0kZi0lNfklKiAIEHAwLiRk/iRnIC4QeDD/JFcvgBBAMPkiHCBS +EHgw+CRlIAEQQDD4JFwgQRBwMP4kdCAHADZgKCRoLyQFiLt4wykpoANpkSMqYQN4ox3aIPxMAAIA +AGlw/7IGIAAQcDBb/wrAINEPwCDRDwDaIPxMAAIAAGlw/7IGIAAQcDBb/VXAINEPiCf7gggnwAQ9 +IPyBFS/AEHAw+YILIEACMjD/iRQuAEBxsP4WACwAIHMw/MxAJgB3XlD7eggOACA/8P+FFCoAd1cQ +yTb5vAAAFAA1INgwbUkFAAiGAElhimAHqgj/AgAGAItmkJpgY/6j2iD8CoQiAABpcPy1CCIAAGEw +WT8EwCDRD9og/QqFIgAAYTD9tQgiAABpcFk+/cAg0Q8AiCcuiRRk4EeLiYi7eMNWL6ADafFQKpE3 +eKNK2iD+sgciAABhMP+yCSIAAGlwW/7OwCDRDwAAAAD6LAACAABY8PxMAAIAAGlwW/wlwCDRDwCI +2/jDEHAAEFgwLKADacEFLZE3eNu02iD+sgciAABhMP+yCSIAAGlwW/0OwCDRDwCdi/P962IAAFpw +AAAAAAAA+8oMADcANOAKTBT+zAgiAABA8P5ONgIAAErw0w9t6QUACIYASWGJEKo4/E8MAIACSnBt ++QUCCIYASWOMEAp+DK7MLMxAnGBj/ZaPEC/8QJ9gY/2MAAAAAGwQGCIWJCMWIy4hFSQWFogvLyAH +KyIcLDADKxYe+xYgL/AQUDD/D0EGACBk8P8WHyB+Ajnw9IREZgBAVfAsEiSPcysSIyzBExSrWPuy +CyAAEEgw9MQRb2ABfDCbUZlQKhIkDsMML0JkKKESIqEQJqER+qIWKaAEOiD6FgAuACBH8C8WFSpC +mv2qxRIAAGCw9fr/I/0ANKD7rAAAABBwMG0pJYJzouL/cgIqAANwkLH//u0QKABAbLD5tgEoAEAr +8Pi2ACAQAlrw/wIACgBMMxAjFiVtCFmJdMDh+ckSC2ABTDD+CgAqBQBfsPrLCwgAIF5w9JAHY0AE +PmBgADV2wWCJdcDh+ckSC2ABTDD+CgAqBQBfsPrLCwgAIF5w9JAIY0AEPmBgAewA93wYKgAasxBj +/5+Cd492/MwBIgAgE7D9KQEKAANwkLH/Lu0Q+bYBKABAK/CYsPPj2XAQAlrwdsmeIxIlH6qXHqql +F6oMFaquLRIkFKn+LBIVKNEjLdBAG6nu+ogRAAAQEDD10w5sACBDMCkSHglmDC0SIArdC/0WIiOi +ADTgLRIfDNUR+woKJAAgXXD4UjoqAwBc8Au3CQ93Ef8CAAoB3D4QGaqXKFI5CdkKKZKv+Y0BDgHU +ThAoEiQLMwwqghwpgSMigRUKugoqhhz6qeoSACBYsPKFFSgAIF5wKYUj8AoHAgAAS3BteQIASWEn +FhwlFhvzFiUiagA24CsWE4gQLRYU+swAAgAAG3D6iBEAABAQMPgWISAAEDgwbbmRKBIhnjApEiQK +XBT8NgMgAhBoMJ0yhZAkNgT4NgcgQBBYMCs2BfsSIyAGEGgw+FwRBAkAfXD1NgYsCQBrMCw2ASwS +IvuyCyAAEEAw+zYIIgAAaPD5kg8gUAIo8Pg2CyIAAFiw+TYJIAAQSDD5NgosACBh8Pa7MXAAEHgw +j8GOwGAAJ/d8ICAIAhCw+qxAIMACGPApEhMjEiX9EhQgABBQMPAAXmgAgFZwwOD/1g0gAgJa8P7W +DCAQAmtw9dm1cBACYzAeqj0fqi1j/7YAAAAAgnn8zAEiACATsP9yCCgAQGyw+bYBKgADcJCx//7t +ECgAQCvwmLDz49ZwEAJa8GP94gAAKxIbKhIc+rY5IQ0AtOCOEC8SFgruEZ7wLhIkCZIJKuEh+OER +IAAQYDAs5RUs5RP85hwgARBYMPvkQCBREGAwLOQF+ogMA7AEOKD45SEiACATcNEPwGBqwRP8D0sA +ARBQMPzIEg4FAH6wqP+vZixwA7DM+sFLYgAAEbDecIjkDwIA+A9LAAEQUDD4yBIOBQB+sPzM/y4A +IEfw9MAcZgAgebCI5QgPS/jIEg4FAH6wCP8I/Mz/JgAgebD+7Bgh/9+PIJtRKBIk+VYAIAYCKbAF +JRQqgRQihRAmhRHzXAACXgC2oAvLFLO7CysU+7wDIgAAYHD7Fh0iAABSMFgIzS0SJCoWFSwSHSzV +FC4SFS8SJPX1EywqALegwCDRDwAAAAAAAPxyAS8vADfgr8wszfDz/yRgARAwMI9zDw9Lny9j+7UA +8/67YAAQSDD8CgAsPAC1oGP8yAAjEiQtEiODP43XAAeL+AoBIBACSHD9zRQCBQAeMP0zCAAEEEAw +bYoCAElhLTz/DQ1BZNHPLRYX+NwBIAAQGDD4FhokAFOWICgSFykKBPiZDAIAAGqwbZlJKRIa+RYY +KgAHMNCJ0IjRKBYZYAAJwJD5FhkgABBIMCgSGPIWJiACAhIw8hYaIBACEHACiAuZhiISGfKGByAC +Ahjw8hImIBACa3AtEhdgAEEqEiT4EgAgARBoMC2kQCyhISuhEfKlFSBREEgwKaQFIqUTKRIWIqYc +8hISKaAEOiCYkAy7DCulIdEPwCDRD9LQ0Q/AMw0zDHY7BSMWIGAAAiYWIC0SHwzYEauIKBYbKII6 +/wIABgC9QiApEhsF2Aoogq8pkjkImQEpFhQpEhT9nAABZQA2YP6WACACEEAwmJL4EiQhgAIbMANT +FJOTiYD4kxEICQB+cPnWBiAGEEgw+RIAIgkATPAtFhL01gQpoAQ+YJnXKRIj89YBIEAQGDCT1Ymb +KdYI+IIPIAAQSDD51gogABAYMCPWC/PcMCBAAkhw+NYJIAUQQDBtigUACYgAA4opEiAoEhvA1v2G +OSoAB0mQ8AAMYAAQMDAAACkSIAlmDC0SJPgSICAGAhmwAyMUKNYcKNUhItRAI9UTY/sUKoESKUJk ++4IWK6AEOqD7FgAoACBWcCkWFWP9sADA0w1tNv0WICBwADWgg6GJoJMb+RYKIiIBOaCDo4miYAAN +AAAAAAAA8woAIAAQSDCTHfkWDCIkAT2gg6WJpGAADgAAAAAAAADzCgAgABBIMJMf+RYOJCQBOaCD +p4mmYAAOAAAAAAAAAPMKACAAEEgwIxYRKRYQY/59wDDz/5JgABBIMMCAKBYUY/6TKRIkIpUTIhIU +0Q8AAGwQGiggBPogByIAADiw+TwABgCrjiD0xRoEAKeiIPMWKyjgASgw8woDKiABUDD6Fioi5AI6 +IIoni6j8oRUvwBAQMPiiCyBAAmqw9qkUIgBAE3DyzAgPwAQ9IPzMQCYDO14QD2YI9qUUKgAgW/D/ +AgAKAzfXEMmXyUX4nAACAABK8G1JBQAIhgBJYSrSAA+qCP8CAAYDWGaQmtDZsPkWKyABEGAwLRIr +i34fqXIt0QgtdQgq8hcJuxGrqiqgBS4KPvtwFiYC+naQLgpC/wo9JgL09pDyCkkuAyj6kCpwBfUK +ASYDNRaQLQr/fbELKnBBWEEtZKdMKnAFInAHxZHyAkEGAEvOkMW0/wIABgBG3pAtEiscqP+N233D +VSgSK4qACgpHqoj4jD8v8BBQMAqIAS6AA2nhOot/ZLVSGqlOKqKiLqEELaEFL6ECjKD+7P8twAQ/ +YPzuEQH+Anvw/6UCLAAgczANzAxgBAvAINEPAAAA+xIrIgAAUfD9HAgiAABgcFv90WSlESwSK/0S +ACAAEFgwm8idySpwBRaoGvIWKC/ABDyg9v8IAFQQcDD/FikmAKd2kJUUkxofqIUucQj8EisgABBQ +MJoYmh4qFhIqFhT6Fhov/xBIMCkWG/kWISAAEFgw+xYGIAAQaDD9FgcgGhBYMCsWHIbLjckZqR+Z +Hy0WGf+pHx4JAHuwLxYVjMj+Fg0gAhBQMJoZDv4TLBYY9oYUCIAEOaAmFh4cqFyeHCgWH/oSKSAb +EEAw+BYQIB4QcDAuFhYqojosFiD2qQwQDxBYMPYWEyoCIV6QKxIpHaikK7I5DSwKLMKv/LIBDgIV +5tCOcPRxByAgAkhw9goYIAUQUDD/qCMSAABAsPQESgWABD+g9afmEgkAHXD/p+YeCQB7sPLsAAXA +BDkg9agaFAkAKTBtqi+UgJOBn4KWg5KEipSLlYySjZD+kgMgMAJKcJqG+4YHKgkAK3CciJ6J+oYF +IGACQjAqEinAn9MP+aY5IFQQQDD4dAUgVBBQMCsSKR6ofC0SKCuyOiwSKQ7dCv3SryYBwsbgLMI5 +HqfE+KjLEFgQWDD9wgEOAbfvEC1xBw0NSv8SKy3ABD9g/HEiLAkAd3CdIIlwHqjD+yYDIAcQIDD7 +p7gZgAQ+YPsmAigJACZwmSGG9yYWJP/yBiAAEEgwKRYm/xYlL4kQMDD2dGUgCBBYMPt0ZCAuEHgw +/3RXIAUQaDAtdFwudSopdTUpdGcpdGYpcEH9cGggQhBwMC50dPmbCQBQEHgw/LsRAAICa3D9dGgo +ACBaMPiCfyAmBHqwxO5+oQnF9v8CAA4CL3qQHqeyE6id+wpIL0AEPyDwnREEwAFEMPiKQgYHAUAw ++yULIAAQSDD5JQonUAQ5oPzYFAuABDqg/KiRGUAEOiD6VQIGCQBBsPP/AgQJADVw/yYGLAkAL3D8 +JgcsCQB3cP0mBCCQAlHw8goWAEACQLAASGP4cSogABAoMPUkMSAAEBgw8yQyIAAQeDD/JDMgABBw +MP4kNCAAEGgw/SQ1IAAQYDD8JDYgABBYMPskNyAAEFAwKiQuKSQv+CQtIAAQMDAmJDAIiBQoJCwm +cFcmJDsGhhQmJDoGhhT2JDkgeAJQsPaGFAC4Alnw9iQ4IAQQYDBZjvQofGDzCBYAgAJIsABJigAI +iAAJiihyHCgkUwiIFCgkUviIFACoAlCw+CRRIAwQYDD4iBQA/gJYcPgkUCAiAlrwWY7jK3B0KyRk +KhIqG6c4DKoRq6okpjkrcBYpCv95sQr6cEEgSBBgMFg/7cTF/HQFIAAQEDDRDyyhBS2hBP+iACAC +AmMw/AxPAf4CI3D8pQUmAI7vEAxEEfT8CA/ABDsgDswMBAyLwNAN3WTwDIQPkAC7YC6hBRqoOyV1 +Eyyi6Pqi5y+QBDug9XUULAAgd3D9dRIroAQ/YP3MCAoAIFqw/HYWIvMANqAbpxEMLxGr/y8WKS/y +OvIWKCYBS0PgLhIpFKfHLuI5BC8KL/Kv/+QBDgFA/5Aep6gKWxQWp7aWQPtGAyACEFAwmkL6Eisg +QBB4MPxyAC2gBD8gn0X9RgcgBhBYMP7OAg2ABDsg/kYGLAkAWzCcQR6nA55EiquaSPpyDyAAEHgw +/0YLIAAQMDCWSo6Dnk2MgpxMhoOaSSxtEPqCAioAAzMQsaofp4IPAgD6Rg4uAEB/MC9GDy4SKS0W +ACvmOSl0BfP7B2IAAFEwwLArpQXz/t5gABBgMIyDDAxLnH9j+qIAjXJl2ssrEir6fAAAARBgMPu8 +GCAHEGgwWEGfwCDRDwArEivacPwKhCIAAGlw/LUIIgAAYTBZO0DAINEPwNCdq/P5wGIAAEow+8wM +ADYANmAMRhT+bAgiAABCcP5ONgIAAFLw0w9t6QUGCIYASmcMmAj2SgwAgAJIsG2pBQgIhgBJaQz+ +DK4uLuxAntBj+XIAKxIr2nD/CoUiAABhMP+1CCIAAGlwWTskwCDRDygsQJjQY/lNiXcqcAcrmRT0 +uhFoIAFQMImZZJoHHKanDIsRrLsssjr/AgAEAKBDIB+nXy6yOQ+PCi/yr//sAQ4Aln+QH6ccI3EH +Hqax8pAHKgIBUDD6qhADQAEcMPKWASPABDzg8IIRAgkAVPD4pqASCQB08PPGACIJABNw83IAIgkA +eLD/xgYgBBBQMPjGAiAwEHAw8sYEIEACQzD+xgMgABBwMP7GBSOABDzg/sYHIgkAVPDzxgEgAhAQ +MG0qBQoJhgBIa4x3wND5+sAgQAJjMPq2OSgAQE8w/cUEIIACSnCZwfnGACBSEEAw+HQFIAAQEDDR +D8XSLXQFY/udjnJl6SErcEH6fAAAARBgMPu8EiAAEGgwWEE1wCDRD8BAwMD8Ficn4AFoMBqngfts +AAAAEGAw+qKiIAEQaDBYNv0Yp3wqEicogqP2bAEgAgJSsAhmLv8CAAH+7oagKhYnY//HAAAA8/jX +YAAQUDCJcsia8nQFIAAQEDDRDwAA+nwAAAEQYDD7jBgiAABp8FhBF/J0BSAAEBAw0Q8AAABsEAj6 +CgUgMBBYMPynZhIAAEFw9NgaBOABLDBZl+HAoPciECICHplg2iBY/7v3FgciAAAqsPSkR2/AEHgw +iqeMqCuhFfmiCyBAAmqw9qkULgBAf3D/uwgPwAQ5IPu8QCYCFGZQDmYI9qUUKgAgY7D/AgAKAhbW +0Mk1+cwAABMANSBtSQUAA4YASWEq0gAOqgj/AgAGAipekJrQ08AtUgAep0L4EgcngAQ7YP8xCCYJ +ADEwJjYBKIBwL1UILuJ/Dt0M/W4UAAwCMjD9D0UOAeWToABhBADkGgT0AiQWAfgWACAFEFAw/Kcx +H/8QQDD4FgIgMBBYMFmXqvRWDiCQAiFwJFYSJFYTLzAh0w/0PCAuwAF8MP9UUCABX4fg/wIAAgFb +g+C4SlmV5BynIi1AAP5AASAwEFgw/1BQIgAAMrD2FgAgBRBQMFmXlhynGi0xCP5RCCAFEFAw/1IM +IDAQWDBZl5AnUhIoXEj/AgAGAcJF0IkiKiAH+KXqEsAAtmAKCkEMqREImQgrkjr6FgQkAUvG4Byl +5CuSOQysCizCl/yzAQ4BQebQKyISjikcpif9IgoqCAFcMCsWBQy7CiuykA7dDPsWBiBgAlrw/wIA +CgEj31ArIBYvCv9/sRX6IAcgABBgMFg+uBilzvSjGmD/EHgwKyEHHKXWCwtK/aX2G8AEPuD5pdQa +CQBm8JswhyD8pikQBRBwMPk2AiBAEFAw+jYDJ4AEOeD6EgUmCQBxsJYxKyIS+tw4CkoBXDD6qhEL +YAQ+4PmmHRoJAFqw+yEaKgkAYrD8EgYmJgFQMPmlwBYAIEmwJmCA+jYHKoAEPuCbNvbGDAYJAE3w +9zYEIGACMbCWNY0pKyIV/N0ID+AQUDD93DAqACBm8P0mCSBgAlrw+yYVJgDL1ND0BBYAQAJI8ABJ +ZQIEhgBJYwAEhgBJYS0iFhyloS00Pw2NFC00Pg2NFC00PQ2NFC00PP1SDiAAEDAw9jQkIAAQWDAr +NCL7NCYgABBQMCo0Iyo0J/00MyAAEEgwKTQlDY0ULTQyDY0ULTQxDY0ULTQw8AwXAJACSPAACYqK +FyqiESdSEgqLFCs0Oio0OwuLFCs0OQuLFCs0OIl2ml8pNDcJiRQpNDYJiRQpNDUJiRQpNDSHdyc0 +QweHFCc0QgeHFCc0QQeHFCc0QCYgBwYGQQxmEahmLmY5KyAWf7EK+iAHIDAQYDBYPiHJUohYyI6M +WcCwm1uYwI1YnNGbWJtZiBccpoSNIC6CES+CFCiCE5gQ9lIOIAUQUDD2FgEgMBBYMFmW9in6mflU +BSAAEBAw0Q8AjTf8MgYiAABZcPosAA//EHAwWZVC/awADTQANqAqCgX8pnEQMBBYMFmW6MHW2iD7 +XAACAABg8Fg91MAg0Q/aIFg+S/ilQR20ADagYAAUixT6LAAAARBgMPu8GCAFEGgwWEAGjFhlz9GP +LxamYPZWCyBAAmlw/fYAIHACcLCeWJ9Z/SYPIAAQEDDRD4g3KYkU1TD3FgcgtAA2YIOJ8/ytYEAC +IPAAAAAAAADz/39gjhBoMMCwm6vz/AxiAAAacAAAAADz/D5v/xAgMPy6DAA2ADTgCksU9rwIIgAA +QPD2RjYCAABLMNMPbWkFBgiGAElnCjgI+0YMAIACS/BtaQUICIYASWkK6Ayo+CiMQJjQY/uyACn8 +QJnQY/up/KY1EAUQUDD9UgAgMBBYMFmWp2P++osU+iwAAAEQYDD7vBIgABBoMFg/zWP/GgAA8/9O +YgAAGrBsEAQqIAT7pwdgGBBAMGukBnihG8Ag0Q/6LAACAABY8PxMAAIAAGlwWPtmwCDRDwD6LAAC +AABY8PxMAAIAAGlwW/6lwCDRDwBsEAj6CgUgMBBYMPymExIAAEFw9NgaBOABLDBZloXAoPciECIB ++hlg2iBY/l/3FgciAAAqsPSj/2/AEHgwiqeMqCuhFfmiCyBAAmqw9qkULgBAf3D/uwgPwAQ5IPu8 +QCYB8GZQDmYI9qUUKgAgY7D/AgAKAfLW0Mk1+cwAABMANSBtSQUAA4YASWEq0gAOqgj/AgAGAgZe +kJrQ08AtUgAepeb4EgcngAQ7YP8xCCYJADEwJjYBKIBwL1UILuJ/Dt0M/W4UAAwCMjD9D0UOAcGT +oABhBADkGgT0AiQWAfgWACAFEFAw/KXVH/8QQDD4FgIgMBBYMFmWTvRWDiCQAlFwKlYTKlYSKTAx +Jzw49DwwKMABTDD5VFAkAT2GYNpwWZSKHKXOLUAA/kABIDAQWDD/UFAiAAAysPYWACAFEFAwWZY8 +LTEIHKXG/lEIIAUQUDD/UgwgMBBYMFmWNYgi+iAHIo8AtiAYpJIKCkEMqRH8pJEYACBGcCuSOpoU +DKwK/MKXJAEsxuArkjn8swEOASdm0CsiEo4pHKTQ/SIKKggBXDCbFQy7CiuykA7dDPsWBiBgAlrw +/wIACgEJ31ArIBYvCv9/sRX6IAcgABBgMFg9YRikePSi0WD/EHgwKyEHHKR/CwtK/aSgG8AEPuD5 +pH4aCQBm8JswhyD8pNMQBRBwMPk2AiBAEFAw+jYDJ4AEOeD6EgUmCQBxsJYxKyIS+tw4CkoBXDD6 +qhELYAQ+4PmkxhoJAFqw+yEaKgkAYrD8EgYmJgFQMPmkaRYAIEmwJmCA+jYHKoAEPuCbNvbGDAYJ +AE3w9zYEIGACMbCWNY0pKyIV/N0ID+AQUDD93DAqACBm8P0mCSBgAlrw+yYVJgCx1ND0BBYAQAJI +8ABJZQIEhgBJYwAEhgBJYS0iFhykSi00Pw2NFC00Pg2NFC00PQ2NFC00PP1SDiAAEDAw9jQkIAAQ +WDArNCL7NCYgABBQMCo0Iyo0J/00MyAAEEgwKTQlDY0ULTQyDY0ULTQxDY0ULTQw8AwXAJACSPAA +CYqHFydyESc0OweJFCk0OgmJFCk0OQmJFCk0OCYgB/dWDyYgATAwDGYRqGYuZjkrIBZ/sQr6IAcg +MBBgMFg81slSiFjIjopZwJCZW5igi1iasZlYmVmIFxylQI0gLoIRL4IUKIITmBD2Ug4gBRBQMPYW +ASAwEFgwWZWrKfqZ+VQFIAAQEDDRDwDacFmT7PtcAA//EGgw/Pr/IgAAcrD/UFAiAABQsFmT9GSt +ZcHW2iD7XAACAABg8Fg8i8Ag0Q8AANogWD0B+KP4HegANqBgABSLFPosAAABEGAw+7wYIAUQaDBY +PryMWGXPz48vFqUc9lYLIEACaXD99gAgcAJwsJ5Yn1n9Jg8gABAQMNEPiDcpiRTVMPcWByCdADZg +g4kkPDDz/OxgcAI48AAAAADz/3xgjhBoMMCwm6vz/FRiAAAacAAAAADz/IZv/xAgMPy6DAA2ADTg +CksU9rwIIgAAQPD2RjYCAABLMNMPbWkFBgiGAElnCjgI+0YMAIACS/BtaQUICIYASWkK6Ayo+CiM +QJjQY/v6ACn8QJnQY/vxixT6LAAAARBgMPu8EiAAEGgwWD6JY/8v8/9lYgAAGrBsEAb8CgIgQhAw +MPUKAyABEFgw+KTKEAAQODD3FgAgLhBIMPglKiQAaIEgKjAV+SRXIIgANSD/AgAAAG8FIP8CAAIA +YQEgbkU4CmsUe1AFLDAULCRgKixl/aTTEgAAWHD9FgAgAxBgMFmLRyckaCckaSclNScmHCYkdB6k +Ai4lN9EPbkPD/6SwEgAAYXD7JGQgIxBAMPgkXCDKAlCw/xYAIgAAWHBZizgnJGgnJGknJTUnJhwm +JHTRDwApMDDBov8CAAYARNZQ+yRkILoCULD8CgMgIhBYMPskXCA6AljwWYsqKixh/AoDIDICWPBZ +iyYqMBVj/1IAAB2krC0lKiw0MGP/JsD2/yRcIAgQcDAuJGRj/zQAAAAA+ixdIDoCWPD8JFwgIBBA +MPgkZCIAAGFwWYsVKTAY0w9/l7QqLGH8CgMgMgJY8FmLEGP/oxqj8ywxHCqifwnMEayqLKE2LDUc +iqAqJh5j/15sEAj6IhAm4AEoMPoWByIB1hmgKTAwxHIPAgD/AgAIAddJ0NogWPzU9awAA1QANqCK +p4yo/aEVL8AQWDD5ogsgQAJysPapFCoAQF+w+90ID8AEPSD93EAmAebmUK9m9qUUKgAgY/D/AgAK +AetXUMk2yUQMyQJtSQUAA4YASWGK4A8CAA8CAK+q/wIABgH+bpCa4NPAjVAepFz6EgcngAQ7YP8x +CCYJADEwljEqoHAvVQgu4n8O3Qz9bhQADAIysP0PRQ4BupOgAGEEAOQaBPQClBH8pE4QMBBYMPoW +AC//EEAw+BYCIAUQUDBZlMUqIhCUXimgBSsKlf8CAAYBg15QjCJlwm0uohMtohQO3Qz4oxwQATcP +YCogBwoKQQypEfyjGRgAIEZwL5I6mhQMrAr8wpckARXH4CuSOfy0AQ4BEGbQKyISjikco1j9Igoq +CAFcMJsVDLsKK7KQDt0M+xYGIGACWvD/AgAKAOrfUCsgFi8K/3+xFfogByAAEGAwWDvpGKL/9KMI +YP8QeDArIQccowcLC0r9oycbwAQ+4PmjBRoJAGbwm0CHIPyjWhAFEHAw+UYCIEAQUDD6RgMngAQ5 +4PoSBSYJAHGwlkErIhL63DgKSgFcMPqqEQtgBD7g+aNOGgkAWrD7IRoqCQBisPwSBiYmAVAw+aLx +FgAgSbAmYID6RgcqgAQ+4JtG9sYMBgkATfD3RgQgYAIxsJZFjSkrIhXGoPzdCABgAkjw/dwwKgAg +ZvD9JgkgYAJa8PsmFSYAmdUQ9AkWAEACUTAASmUCCYYASmMACYYASmEsMDBkwOzAkPlEJiAAEGAw +/EQlIAAQUDD6RCcgABBgMCxEJCsiFitEPwuLFCtEPguLFCtEPQuLFCtEPIdehhcnRDMHhxQnRDIH +hxQnRDEHhxQnRDAmYhEpRCIqRCMmRDsGhxQnRDoHhxQnRDkHhxQnRDgtIAf2Vg8sIAFsMAzdEQjd +CC7WOSsgFn+xCvogByAwEGAwWDtgyVKIWMiOjlnA0J1bmOCPWJ7xnVidWSYwMMhrKPqZ+FQFIAAQ +EDDRD4sXKrIRLLIUsar6thEgAgJjMPy2FC+ZEEgw+VQFIAAQEDDRD9ogWDuY+KKPHiYANqBgACTA +YfZEIC+BEGgwLUQhY/8CixT6LAAAARBgMPu8GCAFEGgwWD1PjFjJz8Ag0Q8AAAAAAPosAAIAAFlw +/QqOIgAAYPBYOwvAINEPAI8vFqOq9lYLIEACaXD99gAgcAJwsJ5Yn1n9Jg8gABAQMNEPiDcpiRT1 +PAAA5AA2YIOJY/0kLjAx/KOeHKABTDD6CgUgMBBYMP8iAC7AAXAwWZQF+iwAAgAAWPD8TAACAABp +cFv9dcAg0Q8co5KNIC4iGIZQj6D+4HQgMBBYMPYWACAFEFAwWZP4wCDRD8Dwn6vz/GdiAAAacAAA +AAAAAADz/JRv/xAgMPzaDAA2ADTgCk0U9twIIgAAQPD2RjYCAABLMNMPbWkFBgiGAElnCjgI/UYM +AIACSvBtaQUICIYASWkK+AyouCiMQJjgY/wKACm8QJngY/wBixT6LAAAARBgMPu8EiAAEGgwWD0D +Y/7K8/xGYAAQGDBsEAgoIATTD/SAH2rgASww+4cMYBgQMDD/AgAEAbIKIHaBB8Ag0Q8AAAAAKCIQ +xML6jAACAd4a4CowMPgWBygB4tMQ2iBY+6D1rAADjAA2oIqni6j9oRUvwBBgMPmiCyBAAnKw9qkU +LABAY7D83QgPwAQ9IP3cQCYB8l5Qr2b2pRQqACBb8P8CAAoB81dQyTbJRAu5Am1JBQADhgBJYYrg +DwIADwIAr6r/AgAGAgZukJrg07CNUB6jKPoSByeABDtg/zEIJgkAMTCWMSqgcC9VCC7ifw7dDP1u +FAAMAjKw/Q9FDgHCk6AAYQQA5BoE9AKUEfyjGhAwEFgw+hYAL/8QQDD4FgIgBRBQMFmTkSoiEJRe +KaAFKwqV/wIABgGO3lCMImXChy6iEy2iFA7dDPih6BABUw9gKiAHCgpBDKkR/KHlGAAgRnAvkjqa +FAysCvzClyQBIsfgK5I5/LQBDgEdZtArIhKOKRyiJP0iCioIAVwwmxUMuworspAO3Qz7FgYgYAJa +8P8CAAoA6t9QKyAWLwr/f7EV+iAHIAAQYDBYOrUYocv0oxhg/xB4MCshBxyh0wsLSv2h8xvABD7g ++aHRGgkAZvCbQIcg/KImEAUQcDD5RgIgQBBQMPpGAyeABDng+hIFJgkAcbCWQSsiEvrcOApKAVww ++qoRC2AEPuD5ohoaCQBasPshGioJAGKw/BIGJiYBUDD5ob0WACBJsCZggPpGByqABD7gm0b2xgwG +CQBN8PdGBCBgAjGwlkWNKSsiFfzdCA/gEFAw/dwwKgAgZvD9JgkgYAJa8PsmFSYAp9UQKTww9AkW +AEACUTAASmUCCYYASmMACYYASmEsMDBkwOvAkPlEJiAAEGAw/EQlIAAQUDD6RCcgABBgMCxEJCsi +FitEPwuLFCtEPguLFCtEPQuLFCtEPIdehhcnRDMHhxQnRDIHhxQnRDEHhxQnRDAmYhEpRCIqRCMm +RDsGhxQnRDoHhxQnRDkHhxQnRDgtIAf2Vg8sIAFsMAzdEajdLtY5KyAWf7EK+iAHIDAQYDBYOizJ +UohYyI6OWcDQnVuY4I9YnvGdWJ1ZJjAwyGso+pn4VAUgABAQMNEPixcqshEsshSxqvq2ESACAmMw +/LYUL5kQSDD5VAUgABAQMNEP2iBYOmT4oVseJgA2oGAAPsBh9kQgL4EQaDAtRCFj/wMAAPosAAIA +AFjw/EwAAgAAaXBY+djAINEPAIsU+iwAAAEQYDD7vBggBRBoMFg8FYxYZcxwjy8Wonj2VgsgQAJp +cP32ACBwAnCwnlifWf0mDyAAEBAw0Q8AAAD6LAACAABZcP0KjiIAAGDwWDnJwCDRDwCJNyuZFNUw ++BYHIN0ANuCDmWP9DS4wMfyiZBAwEFgw/yIALKABVDD+DkYABRBQMFmSzPosAAIAAFjw/EwAAgAA +aXBb/DvAINEPHKJYjSAuIhiGUI+g/uB0IDAQWDD2FgAgBRBQMFmSvsAg0Q/A8J+r8/xQYgAAGnDz +/IRv/xAgMPvaDAA2ADTgCk0U9twIIgAAQPD2RjYCAABK8NMPbWkFBgiGAElnCjgI/UYMAIACSzBt +aQUICIYASWkK+AyoyCiMQJjgY/v6ACnMQJngY/vxixT6LAAAARBgMPu8EiAAEGgwWDvLY/7U8/w2 +YAAQGDBsEAQsIhQrIhMFA0f8uwwCDgA44GSwgCwgBx2g9QwMQQzKEa2qLaI6btJ4H6DyLqI5D88K +L/KX/+0BDgA0/5AuIQcZoPb/ocUfQAFwMPihEB/ABDug/KDzHgkAS7Ce0P4iACgJAELw+NYFIAgQ +SDCZ0/zWAiACEEgw+OwRDgkAe7D+1gQsCQBLMCzWASmmOSgiFAuICCgmFP8CAAIAd5jgwCDRD48i +ZPEG/wIAAgBpmOCJJyeZFPRw7mBAAnpwipmM8PP6wCAqEHAw9KA6YgBAH/B6wTIooADTD9MPfoEo +JPEFK6AHDwIA80kIC8AEPuD7qggAgAJKcPmrJHIAAFqw+rwAD84AtuD6zQwAABAoMA1aOPah6xBU +ALagYACnAAAEqwxj/9kAAAAAJPEFo0393EAqACBesPq8AAoAd+rQya96wR0ooAApoAd+gRQMmRGp +qv2rLnIAAFqw+rwAD+MAtuAKywwLWjhkoFqNoCugBw2NV/y7EQFmCHNwiKJ2ianAINEPBKsMY//P +AIon+woBIAAQYDD6rCAgARBoMFgxqNKg0Q8AAAAA8/8UYAAQUDD7zBgiAABQsP0KAiABEGAwWDtX +Y/7iivErfBAr9QT7PEAh4AJSsPr2ASoAF9qQLPEF/qC2GgAgUzCa8Z6gjSD43REAARBwMPamAiwJ +AHdw/aYBIAAQEDDRDx6grJ6gjSD43REAARBwMPamAiwJAHdw/aYBIAAQEDDRDwS6DGP/DmwQBhmh +pPKSXSIAAHCw/UwAAgAAYPD/CgAqAIyQ0CWSXJUQK1EE9VIAIf4CIzDzIwwAARBAMPy7EQIAABMw +8AAOagAgLvCx//8CAAYAc5/QD1YUDmYRBrYMJm3/9mI/KoABeDAAoQQAiRrwoAQGAEBJsPYGGQAC +AhCw+QoAL8gAtaD4wTxgAgIz8G1JLgZXFA53EQe3DCd9//dyPySAATQwAFEEAIoa8FAEBgBAVfAH +Bxn1cAZgAgIxsLGZCcoMaaGDZMBHhxAqcQQp+v/8qhECAAAb8G3JNPJyACSAARww81QUAAICGPDw +UQQF4AQ9IPCCGgQAIFCw9UUMBBEASLAlXPyGUARkAQQiApJQEqFhJSKiLOUTIiKh/+USJAAgL/D1 +1gAjoAQ/4PXmFiIAIBiw0Q8VoVglUqIs5RP/5RIkACAv8JXQ9eYWIAAQEDDRD2wQDhuhN5If9yEV +IgAAQTDyMAMiAAAgsPgWASAEEGAw9xYCL/AQUDD3MgYiACAQ8P1BEyB+AhCw9EAHIgBAULD6IgMu +IAE4MP7MDAIAAEjw9ARBDCABYDD8FgMrYAFQMPoWDiPZALdgiCH3jAADmgA2oKqI+I3wIAEQMDBq +gRP4C0sAARBoMPjMEgoFAF9wrLurZiggA7CI+oFYYgAAGbDbIG0ISo20KIz//QpLAAEQcDD9zBIK +BQBTsPfXCAoAIGKw9IAiZgAgUbAqsgUKD0v6zBIOBQB/sPiM/y4AIGfw96cIBgAgebD7vBggAAQm +IGP/rgCOHyPlECblES2QE2TTDZdR+hIPIAYCWbD7KxQAABBgMJxQ+xYJIgAAYHBb/2SOH5oWjRn9 +5RQiqAA2oP6gaRIAAEjw9fr/IuUANOAaoOgqopr/CgAiAABCsG05IosjgyKr+/69AQoAA3rQsTMv +/RD9hgEsAEAo8PyGACAQAkIw9BYQKgBgslDAQYgk+AtLAAEQYDD4yBIKBQBfMPuICAAAEHgw9IA9 +bACAJjAKmAtt2jKLJw8CAA8CAPmcASoAIF/w8yIGLABAdvD9hgEqAAP60CM8Af/9ECwAQCjw/IYA +IBACQjD2kVlwARBgMCgiBQgLS/jIEgoFAF8w+4gIAAAQeDD0gDNsAIAmMAqYC23aKIspgyj5nAEq +ACBf8P69AQoAA3rQsTMv/RD9hgEsAEAo8PyGACAQAkIw8iwYK/+mNlAkEhCLEogW/hIJL+AEPuD/ +ZgwJoAQ+4PmICAuwBD7g+BYGKgAgXrD7FgoiGQA3oB6fgwxNEf4SCSwAIHdw/RYFIAoQeDD90jou +AwB7sJ4YDu4JD+4R/hYEKgDx91CPFRmfeC/yOQlJCimSlwn6AfoWBy4A50/QjB+KGIkZK8EVGJ+I +CpkM+RYJKgAgVvArxRUACIuIF23pAgBIYYwYZMFCEp9zGKAXjRMZoAaOF/zPCQwAID9wLRYM/RIA +IAAQWDD6EgYvsAQ/4P/uCAIAADuw/hYNLaAEP2D9FgsgABAoMPpdFABAECAwgxuPHJ1zmHD8Eg8g +AhBwMJ5yjh6MwJJ0lHWfeJN3/nYJIAAQaDCde/0KACIAAHLw/XYKIAgCWvD/EgoiAAAZ8PjNEQwJ +AEsw/HYGIAYQYDD83QIOACB9cP12ASAFEGAwbcoj9usMcAAQIDCE8Y3wYAACAMDQlD39NgwgAgJz +sP/8CCAQAhjwjx30CkAgQAIpcPd8YCCAAlKw+l0UD/+x+dCNGMDgDt01jxmJFYgU+JY5IAkAN+DA +INEPAIoQixH92AkLoAQ6oJqwix+CF/uIEQAAEEgw+bUVIFEQUDD6tAUiACBAsNEPAAAAAPP8cGAA +EDAwl5Zj/OwAAADz/6lgABBoMPkKACAQADWgGqAuJBYQKqKaY/1GGqArKqKaY/36l1ErsmSGH/4S +AiAAEHgwn1AsYhacECxhEiNhEA7eDP4WCS2gBDsg9mERKgAgZvCbFmP8vcAg0Q/SoNEPiB+KEPsS +ASAAEEgw+YUVIFEQEDDyhAUroAQ6oJqw0Q8AAABsEBQdn5Qn0owiFhsmchv1ch0o4AEoMClyKCpy +HCoWFvkWGCIEyAIgiTcsmRTzFhogBQmrIIOZIxYZLhIbLuIQL+AFKAqV/wIABgU9R9AvEhuP8v8C +AAIFCKvgKeITKOIUCYgM/wIAAASwjiAqEhokEhmKrPsKAi//EBgw9EADIgED/pAqEhmkqSmSDygS +GgNcAwyZASmGFC6gRC2gRfygRi+ABDugDe4C+qBHL4AEO6AM7gII7hEK7gL5EhYuACBLsA5uCPCQ +BAH+AnOwDg4ZDg5P/oUrIAYCa7D9LRIPlBBgMP2FKiYE6eXQLPq0/wIABgTkZhD/AgAABOAroC/s +///tAQ4AEX+QbQgP+Nz/IgAAc3D43QEOAAZHkPP/6WACEFgwD+4RGZ/kH5/hHJ/fEp/h/Z+kEAYC +U7AKKhTyogEAARBAMP2tAQwAQGKw/68BDAUAYjD/jzkMBQBuMPKCOQoAQEqw+AoAKgUAUjDZgPqM +AAgFAFbw+QoQIgkASLANmjn9CggiAABKMA/ZOfoKBCgJAFZwDKg5CYgC+HIsIgkAQLD/AgAKBIkW +ECpyLQItDwraCoqgLKECDt0R/RYFIASuqyBYKy6MFStyLay7jbb8sgEtoAQ/YA2tKP0SGiwAIGsw +LNYTjLYenuKLtPrMKAAAEEgw+C8RDQAEPqD8uwgMCQB/cPsWBCwJAHdwKBIaDZ8CDw5H/4YSIgR4 +q6AiEhsenwyNgCIiEC7icyIgcA7dDA1uFP0PRQ4EbROg+xIEIAwCQLAAgQQA5xr6uBEGCQA/8Ah3 +ApIQlxH7FgIgBRBQMPyffxAwEFgwWY/6KhIaiazAsvuZAgABEHgw96YOKAkAfnCZrC0SGi3RKmTU +2CgSGS4SGhyeWBufiPOfiRgRAB1wKxYU/BYNIAAQKDCVG5kaFZ5ILuIT/hYSKAAgIjD4FhEggAJK +MPkWDCBwAkIwmBfwAW5gABBgMAAAKhIXrf3/AgAOAZjqkGSzKSsSEY0Zjr8u1n+LvivWfigSG4kf +LhIQKhISG57km+AKWhQJWRSZ4prjiIAvEhMIiBEI/wKf4Y8dwMDTD/APFwAAEEgw/541ECACc7Cx +mfIeHgH0Aj5gJBIQjh+NHp9Gnkf0CgAgsgA3YIofH54nJRIQ/p6/EAAQYDD/RAsABBAQMPpaCAIA +ADlw9Vw4IHACUrArEhuLsCgSGvkSGCoJAHbwm3iNjisSGQndAZ15KbBELbBFCJkR/bBGKAkAbnD7 +sEcpgAQ+YA2ZAgiZEQuZAvl2CiQAIBkw+IIUIgAAWXD4dgsggAIpcPx2DSAAEEgw/HYMIIACOfDx +BBYAAgJKcPIbHgXoAj5g8iwEIgAAILD/RAsP/79RUCgSGyiABwgIQS4SGo8eLeEqFZ3v/90MCcAE +OiD/EhMoACAqMP+GOS3gAWww/eUqIzUAN2CKHikSEgqqEaqZKRYSJxIbJ3AHBwdB/HQRAAQQEDD1 +RAgOAwATcPtCOi+gBD+gKPwvCEgU+BYTKgLuRtAbnpQoQjkLeQopkq8dnxH5hAEOAuLOEJ4eKBIa +JBYQIhIRKYErnx/yIDMr4AQ7oPiCDCoDAEqwKhYXDaoL/KZ/IAAQYDD8pn4gAGP6ECsSEYgbib6L +v/kSCigAQEow+woBKABAXnD5uTkIBQBC8PoWCSgJAEow8hYIIjkANiCJFygSFC8SGgIJiABIiinS +fygSES/yFCrSfo6NKtZ+Gp5JD28MDv82/+4MCABAVnAp1n/+hg0gGwA3oISPpPT+gg4qAAP5EC7s +ASgSEZSPLoYOLhIajez7EhEv/RB4MPoSFiwAQH9wneyLvQCgBCoSF6a5sJn5CRkB/gJSsAmqNv8K +ACHmADag8AA4YAEQaDAAACsSEYu9LhIW+BIXKAAgNvDw4AQB/gJKcPkJGQAAEHgw+hYJKAMASjDy +FgghpAA2IMDQLhIRKhIXjBcXnY39qgwAABB4MPmqNgIAAEMwrfUHVQv1CBYEACAdcACFiovtgu/4 +zAAAAgJ78PTiDiQDAF2w9bsMAgAgEXD75g0gEAA24PLmDyoAAyiQsUSU7nr5vGP8xYgYihz6Fh4g +ABBIMPkWHSH+AkIw+BYVICIAtiBj/MUqEhX5nAEgMAJi8PkWHSoFAHcw+xYeJ/5X1lArEh0oEhYs +Eh7+EhcqAAFcMCsWHAy7CoKwDe4MAIAE+BIeLgAgNLD1Ehwh/gJ78P8PGQAAEEgw/BIULgMAe7D+ +FgYgXQA3oCoSBghVCw8CAPzeCwoAIGqw/KoLABACYXAMyAL3CBYCAAAjsADEioKw8mQ2ABACc7D0 +IgwCAABDMPK2ACAXADSgh1OPUqdH91YDKgADodAv/AGfUnrpxI4WKBIX+xIeKAUAd7D+EhwsACBP +cPkSHS//l2oQZC8mKxIeKhIcC6oLixmNoy22f4qiKrZ+Y/vUKxIRDwIADwIAK7INKhIW/RIXKAAg +NvDwoAQB/gJKcAkJGQndNv8KAC5kALdg8/uFYAAQaDDz+31gARBoMAAAAAAALRIbLtIULdITHJ5V +Dt0MLt1AfsMFHp5TfesS+hIbIAAQWDD8CgAgAhBoMFv8EyUSG49SJVAH9fLFZCABLDAenQsMXRGu +3SjSOv8CAAQBd0YgGJ3CL9I5CFgKKIKv+PQBDgFtR9ApEhsnkhIWnUqKmfmSCiYIATwwBnYKJmKQ ++pkMAGACWbD/AgAKAQ3eUCsSGyuwFiMK/3OxECoSG/qgByAAEGAwWDXaZKLfLhIbKOEHGZz5CAhK +DIgRCYgCmECJ4MC18pz3EEAQKDDyRgIvgAQ+YPVGAy4JAF/wn0Et4hIYnUcfnQ/8nUccSgFsMPp1 +EQ1gBD9g9/g4BAkAbXAIVQL6nOkSJgEoMPjhGiIAIGCwIiCA9UYHKAkAVnCZRPJiDAiABDog+EYG +IGACELCSRS/iCS3iFfb/CA/gEGAw//wwLAAgN3D/5gkgYAJrcP3mFSYA1uUQKRIZLEwg+ZwwIAMQ +UDBtqgUACYYATGEqEhvA0S1EICyiFi4SGixEPwyMFCxEPgyMFCxEPQyMFCxEPI/uLaIQL0QzD48U +L0QyD48UL0QxD48UL0Qw/dIRIAAQQDAoRCP9RDsgABBIMClEIg2PFC9EOg+PFC9EOQ+PFC9EOCyg +B/3mDywgAWAwHZyjDMwRrcwrxjkroBZzsQ0qEhv6oAcgMBBgMFg1WCsSGmSwcIm4ZJBrLRIb8rIJ +IAAQeDCfuy3SEJkgiLgs0hEu0hSSgZ+4/7YJIAICYzD81hEgAgJzsP7WFC+ZEFAw+rQFIAAQEDDR +DwAAAADzFhkiAABQsFj2A9Kg+hYaIFUAtqAtCo4qEhsrEhosEhlYNQ/AINEPKhIbKqIQKaIRK6IU ++BIaIAICSnD5phEgAgJa8PumFC+ZEBAw8oQFIAAQEDDRDwAAAAAqEhtYNXlkrd9gAE2Kp9sw+qwg +IgAAYTBYMOyMIPoWGS2ABDsg+6EILAkAYTCcoSslCGP19gDz9e9gABAYMPoSGyAwAlnw/RITIAEQ +YDBYNykuEhotEhIt5hMvEhqP+MjzwCDRDwAoEhsqEhqLjxydlfymCyBAAhKw8rYAIHACSjCZqJup +8oYPIAAQEDDRDwAAAAAAAAD6EhsgMAJZcPwKASAFEGgwWDcTY/+twNDz905gFhBIMCkSGxydgygS +Go2QKZIYj+CIgP6QdCAFEFAw+BYAIDAQWDBZjdvAINEPAAAAAAAAAPoSGyAkAllw/AoBIAAQaDBY +Nv9j/10AAPP+vGCNEGgwixTz9z1v/xA4MMDQ8/bqYAIQSDBsEDooIAQiFmQjFlH1FlAmdAA2IPIK +GCYCzA4g/wIABALIIiCMMC8SZCMWTy8WVP3wByzgAWAw/BZMINYCYzAMTBT8Fk0sIAFsMP0WSyQC +uwIgKBJUDwIADwIAKIAFxH7/AgAGAqQ+EMWReYErKwpUe4ElKhJUKxJP/BwUIDACaHBb++7/AgAA +CRiqoCoSTygSVIkVmacogAUsEk+DxvMHQQAEEDAwB2YMBgZB9jMIAFQQWDDzxgYmAOpeEPOMFAAA +EDgwlxwnFhAnFhInFhYnFhgnFhwnFh4nFjQnFjYnFjr3FjwsABBIMCkWKSkWK/kWMSP/EEAwKBYw ++BY9IAAQeDCfGv8WLiA/EFAwKhYo+hYqL/8QWDArFh/7FiUgABAgMJQbJBYv/BYiIBAQcDD+Fiwg +ARAwMPYWCCyABDzgLRYj9hJPIAMQaDCdHv0WOCAAEHAw/hYyIAIQYDD8Fg0gERBwMC4WJvucRBAa +ECAwJBYg+py0EA8QYDAsFjcfnDIYnOsoFhn/FiQgBhBoMC0WNSwSVCoWEyQSSysWERmc4ikWFxub +woZn9hYdK8AEOSD8wQgqACBasCoWTiqiOvwWOyAbEFgw+xYUIB4QODD3FhoqB+lekC8SThaccC/y +OQZGCiZir/b0AQ4H3bfQGJvIwZvwCAcCAABBMG2aAgBIYSUSVB+bt/NSACBAAkhw9pvrEAkQUDD1 +UQciAABBMPg7EQADEGAw9pusEgkANPD1BUoKCQBm8PxVEQIAACLw9pvgFAkANXBtqi+VgJSBn4KS +g5OEipSLlYySjZD+kgMgMAJKcJqG+4YHKgkAM3CciJ6J+oYFIGACQjAqEk4oElTBm/mmOSBUEDAw +JoQFKxJU0w8PAgArsBYsCv98sRYsElAqElQAzI4qoEFYNGn/AgAAB6KqoCQSSx6bfQxNEf4STSwA +IHdwLRZOLdI6/wIACgdW91AvEk4WnDEv8jkGRgomYq/29AEOB0s30BibifAIBwIAAEEwbekCAEhh +KhJRLBJU+5x/EAAQMDAmFkT7xSogLhBoMP3EVyAGEHAw/sRcIAgQeDAvxGQqoBX6ahQAAxBIMP8C +AAgHcdJQKhJUHJyKKx0B/BZEICACWvD6rGUgAxBgMFmC/iUSVMCgKlRoKlRpKlU1KlYc+VEHIEIQ +UDAqVHQam1n4EkwpQAFMMPubshnABD5g+1U3KAkAVnCZQIdQ9hJNIJgCQjCYQ/ibUReABD3g+EYC +JgkAObCWQSNQQR+cUihQBQM2CfxmEQBQEGgw9VEiLgAgN/D/8n8gJgRqMMS+e4EJxcb/AgAOB1hi +EBibXRqcSPXdFAlABD1g9pxGFwAEPOD/C0YOSAF4MPMSTCwHAXgw9kYHLUAEP2D1zBEPgAQ7oP4K +ACoJAHbw/cwCAHgCGPDzRQsqCQBm8PsSVCYJAF3w/kUKKAkAVnD5RgYmCQBF8PdGBCCQAjLw8AYW +AEACETAAQmEtsSr+RC8gABAQMPJENyAAEDAw9kQ2IAAQODD3RDUgABBAMPhENCAAEEgw+UQzIAAQ +UDD6RDIgABBgMPxEMSAAEHgwL0Qw/UQtIAAQeDAvRC4NjRQtRCwssFcsRDvTDwyMFCxEOgyMFPxE +OSB4AlEw/IwUALgCWvD8RDggBBBgMFmCnCgSVP9MQCDAAkIwAgiIAE+KAAiIAA+KLBJULMIcKxJP +LERTDIwULERSDIwULERRDIwU/ERQIKgCUTD8EkwgYAJa8FmCiysSVC8STA8CAP6wdC4AIH0wLvRY +LRJOLBJNLNY5K7AWLQr/fbENKhJU+qBBIgAAYPBYM5IqElQoEk/ElSmkBSiAEmSARRSa7IOnBIQo ++kwAACACGPBbwxYvElT9mzESAABhMP6cCxIAAFqw//IAIgAAUPBbwssiElSCJyIsENogW7jQ/wIA +AAZNBqDAINEPwWl4Yvb/AgAIAGWWEGP/6wAAAAD8PAAAIAJYcP0xCCIAAFPw/goAIgAAeXBYGh8t +Coj/AgAGBotukI4UZO+8iOeLiPWBFS/AEDAw+YILIEACajAG1gH2FkYkACA1cPVcQCYGi15QJ4kU +DEwR+88IBgAgZfD3hRQqBoZ9UCgSUcmByE/ZsG1JBQAIhgBJYS/SAAz/CP8CAAYGn6/Qn9CJ4PgS +ZCmABD5gCUkCmbEogAXDbvsWTyYGVDYQxKL/AgAGBk9WEMPN/wIADgaMYhAuFlRj+c0Vm8wlUnou +ElAiUhsjUh0vUhwvFl//Uigu4AFwMP8WYSIE4YOgKBJRiYcmmRT4FmMgBSOpoIyZLBZiKRJkKZIQ +KpAFKwqV/wIABgV/XpAqEmSKov8CAAIFIqqgLJITK5IUDLsM/wIAAATJDuAtEmMkEmIt0gz/CgIv +/xBIMPRAAyIBB/9QJhJiBG4ILuIPCTcD9xJjLgBAO7AudhQtYEQqYEX4YEYtgAQ/YArdAvZgRy2A +BD9gCN0CCN0RBt0C/hJfLAAgd3ANLQjw4AQB/gJrcA0NGQ0NT/11KyAGAjNw9iYSD5QQcDD2dSom +BQH1UCYSYyf6tP8CAAYE+r2Q/wIAAAT2q2Ao3P/42QEOAAvHUPqc/yIAAGpw+pkBCf/6U1AP3REb +mz0Xm3YZm3cam3b4m3cQBgIzcAYmFPpqAQABEGAw+GgBCABATbD3ZwEIBQBPMPfHOQgFAEMw+so5 +BgBAWbD2xjkAABBYMPwKECIAAHLwBs459goIIgAAYvAKbDn6UiwgBBAwMP68AAwJAHMw92s5DgUA +Q/D8uwIICQB2cAuZAv8CAAoEo86QKlItCZcPDwIACnoKiqAooQIpFkf+dxEABTQqIFgmwytSLae7 +jbb8sgEtoAQ/YA2tKP0SYywAIGswHpp5LNYTjLYvEkeLtPrMKAAAEEgw+P8RDQAEPqD8uwgMCQB/ +cPsWRSwJAHdwLxJjDZ4CDgxH/vYSIgT9KyApEmQem0KN8CmSEC7iYSmQcA7dDA1uFP0PRQ4E8ZOg +9xJFIAwCMnAAYQQA5Rr6dhEECQAv8AZVApkQlxL1FgEgBRBQMPybExAwEFgwWYuOKhJjiKzAsvsK +ASgJAFow9aYOKAkAWjD4pgwv/xBIMC0SYy3RKmTU+y4SYisSYxibHBqZ6vObGx4RAEzwKhZWLxZS ++BZdIAAQeDAvFlMrshP7FlsuACAjsP4WWiCAAnuw/xZVIHACc7AuFkhgAX0AJhJgrU3/AgAOAavp +kGSzTygSWikSSoqPKpZ/iI4oln4oEmQpElgkElkqElsbmnibQApaFAlZFJlCmkOIgCYSXPsSVimA +BDogCGYC9kYBIAAQSDDwCxcAIAIhMLGZ8hQeAfQCPmAtElguElksElcfmcP/5gYgABAoMP3mByC9 +ADcgGZpT9Jm5EAQQQDD96ggCAAB7sP7sOCBwAlKwLBJkDwIAjMAtEmP7EmEsCQBLMJz4J9IO+xJi +JgBAXfAn9gkmsEQssEX3sEYngAQ5oAxmAvuwRyeABDmg92YCAAAQYDD0VwsHgAQ5oPtlAgYAIB3w +9fYKIgAAW7D90hQggAJzsP32CyAAECgw/PYNIAAQYDD89gwggAJ78PUHFgACAilw8pseBegCPWDV +gP8CAAAIAkIw/wIAD/+zU5AmEmQmYAcGBkEuEmMvElct4SoYmX//3QwHwAQ5oP8SXCYAIEGw/2Y5 +LeABbDD95SojSgA3YCkSVygSWwqZEamIKBZbLhJkLuAHFplxDg5B/OURAAQQSDD2VQgIAwBPcPpS +OiWgBDpgK0wvC0sU+xZcKgL63pAfmiImUjkP5woncq/3ZQEOAvC9kCkWVygSYyUWWScSWiaBKyQW +WPdwMy/gBDpg9pqWHgMAM7CIjC4WYPbuCwAAEFgw++Z/IAAQUDD65n4gAGV6ECoSWigSU4muiq/5 +ElIoAEBKMPoKASgAQFZw+ak5CAUAQrD+FkooCQBKMPcWSSJFADYgJBJjJhJILBJaJRJdBgaIFpp9 +AMWKi80kQhQlYn8oYn4oZn4YmdUEJAwLRDb0uwwEAEBFcCVmf/vGDSAZADbghc+lRfnCDioAAyFQ +sZkmElqVb5luLRJjjNz7Elov/RBwMA7MAZzci70mEl8oEmCitfBgBAH+Ailw9QUZAf4CQjAFiDb0 +CgAh7QA2IPAAN2ABEGgwACsSWou9JhJf/xJgJAAgFvDwYAQB/gIpcPUFGQAAECAw/hZKLgMAL/D3 +FkkhrAA34MDQKRJaKhJgLBJIH5kZ/aoMAAAQIDD1qjYCAAAzMK1OD+4L+QYWDgAgG7ABDoqLnSiS +D/bMAAACAiEw9ZIOLgMAWLD+uwwIACBDsPuWDSARADbg+JYPKgAD8hAlXAGVnnpJumP8oQAAKRJJ +KxJV+xZnIAAQUDD6FmYh/gJKcPkWXiAiALZgY/yeKxJe+qwBIDACczD6FmYsBQB7sPwWZyf+RF6Q +KxJmLBJn0w/0EmAqAAFcMCsWZQy7CoiwKRJf/UQMBgAgEjDwkAQB/gIxsPYGGQAAEDgw/hJlJAMA +MTD2EmAgZgA1ICwSXS8SZwzZC//uCwoAIGkw/KoLABACY7D0FmgiAAArMPsFFgIAAHpwAU+KiLAI +JTb1iAwAEAJKcPi2ACAaADYgj+OE4g8CAA9fCP/mAyoAAyvQsUSU4vqZxnIAACswJBJo+hJmJgUA +JTD8EmcsACA/cP8SZS//lmmQZI8kKRJnKBJlCYgLKRJKioMqln+IgiiWfmP7qisSWtMPDwIAi70m +El/6EmAkACAW8PBgBAH+AilwBQUZBao29AoALlwAtqDz+1xgABBoMPP7VGABEGgwAAAAAAApEmQq +khQpkhMcmd8KmQwtnUB9wwUamd15qxL6EmQgABBYMPwKACACEGgwW/edJRJki1IlUAf1ss1kIAEs +MBqYlQxZEaqZJpI6/wIABAF7RaAcmUwrkjkMXAoswq/8tAEOAXFm0C0SZCPSEhKY1I7Z/dIKIggB +HDACMgoiIpD+3QwAYAJYsP8CAAoBDl9QKxJkK7AWLgr/frEQKhJk+qAHIAAQYDBYMWRko2ctEmQl +0QcWmIMFBUoMVREGVQKVQIfQwIX+mIEQQBB4MP5GAi2ABDng/0YDLAkAQzCcQSvSEhaY0RyYmfqY +0RpKAVww+jURC2AEPuDzxjgECQBdcAZVAvmYcx4mASww9tEaLgAgV/Av8ID1RgcmCQBN8JdE/y8M +BoAEOaD2RgYgYAJ78J9FLtIJLNIV8u4ID+AQWDD+7DAsACATMP7WCSBgAmMw/NYVJgDa3RApEmIs +TCD5nDAgAxAwMG1qBQIJhgBMYysSZMChKkQgKbIWLhJjKUQ/CYkUKUQ+CYkUKUQ9CYkUKUQ8j+4t +shAvRDMPjxQvRDIPjxQvRDEPjxQvRDD90hEgABAwMCZEI/1EOyAAEDgwJ0QiDY8UL0Q6D48UL0Q5 +D48UL0Q4LLAH/eYPLCABYDAdmC0MzBGtzCjGOSuwFioK/3qxDSoSZPqgByAwEGAwWDDhKxJjZLBy +iLhkgG0sEmT/sgkgABBwMJ67LMIQmPCCuPvCESIAAFLwLcIUnyGeqP6mCSACAlrw+8YRIAICa3D9 +xhQvmRBIMPmkBSAAEBAw0Q8qEmQtElEtFmJY8YzXoPoWYyBSALagLQqOKhJkKxJjLBJiWDCYwCDR +DygSZCiCECaCESmCFPISYyACAjGw9oYRIAICSnD5hhQvmRB4MP8kBSAAEBAw0Q8qEmRYMQNkrd5g +AFQAiqcrElH6rCAiAABhMFgsdYxw+hZiLYAEOyD7oQgsCQBhMJyhK3UIY/XHAAAAAAAA8/W7YAAQ +YDD6EmQgMAJbsP0SXCABEGAwWDKxLhJjLRJbLeYTLxJjj/j/AgAD+kGr4CYSZCkSY4pvG5kc+5YL +IEACEnDypgAgcAJBsJiYmpnyZg8gABAQMNEPAAAAAAAA+hJkIDACWXD8CgEgBRBoMFgym2P/rcDQ +8/cdYBYQSDAsElSMwv8CAAP6E6sgKxJL+hJUIAEQYDD9Ek0gMAJa8Fgyj8Ag0Q8ALBJUjML/AgAD ++f+rICsSS/oSVCABEGAw+7wYIBsQaDBYMoXAINEPAC4SZByY9yYSY43gLuIYj5CGYP7gdCAFEFAw +9hYAIDAQWDBZiU/AINEPLxJUj/L/AgAD+dcr4CoSVCugQcDB+7wSIAAQaDBYMnLAINEPLBJRLRJU +LMAULNRgY/ERAAAAAPoSZCAkAllw/AoBIAAQaDBYMmdj/t3aIFu1xxKYnQumEfSgk2IAIDCwDOow +KyKFK7IAIqz//LsIAgAAULBZi2QcmNIqwp7wIQQAARBYMAC7GguqAirGnlmLmcAg0Q8AAC0SVMXC +LNQFY/FIAAAAAADz/eFgjRBoMCcSRfP2M2//ECgwwNDz9eBgAhBIMC4SVI7i/wIAA/l1K6ArEkv6 +ElQgARBgMPu8GCBAEGgwWDJAwCDRDwAAAAD6CgcgARBYMFgrNSwif/wmgyAAEBAw0Q8qEmT7ElEi +AABhMFks18Ag0Q8tCoT9tQgiAABTsP0SUCIAAGEwWSvWwCDRD8Dwn4vz8x5iAABacCYSUftaDAA8 +ADWgCkMU+TwIIgAAObD5STYCAABC8G2ZBQQHhgBIZScSUSgSRgNPDPp3CACAAkIw0w9t+QUGB4YA +SGcpEkYKzwyvmSmcQJnQY/LGJhJGJmxAltBj8rva4P0SUCCFEEAw+LUIIgAAYTBZK7XAINEPAGwQ +CB2YgijRfsRl9ZebEC4QODD0LGUgANP6ELga+0wAAAMQYDBZft6MEgyMFCwWAioyBgWrASsWAykg +BSwwHvswIiCaCDJw/Qo2JgCxPxD/AgAOALHrEC4gaP8hNS4ArFuQLDEQL/wB/wIADgClexAsJTX7 +JGgiAABRMPgyCSAYAlhw+CYcIAMQYDBZfsWKNvmYYBIAiqKQ+wpCLACGzpAqMB//AgAOAIFakCwg +Bf8CAA4Ae7MQiSctIAcumRT6kgkqIAFsMPkhByDfADeg/pcMENcANqAMvBH4lwocACBzMC/COgi4 +CviClyQBG0PgL8I59JcQHAIBbDD1l38coAQ/YPj+AQ4BDcfQALsR/5cRGUABTDD4IQgpwAQ+YPOg +BygJAG5w86YBKAkAfnD55gAoCQBaMP8iACgJACowmOT15gYgBBBoMPTmAiAwEBgw8+YDIAAQWDD7 +5gUvgAQ/4PvmBy4JAG/w/+YBIEACS7ACCoYASWMACoYASWGPJ/76wCBAAnvw/cY5LgBAc/D79QQg +gAJzsJ7w/vYBIFIQUDD6JAUiAAAS8NEPwCDRDywxECswImP+ucWFKCQFY/7Rjicv6RRk8UqI6ZgU +Gpc0KSE3/wIABgCiVlCNNgEaAv2NVwIAAFkw/RYFIAMQYDBZfmyKEAqNFJ0QjzaOFQX/AZ8R+yAF +IACaH6B2uZ0sMB53wQf/AgACAJGekHveGyggdMSSeYgTKiBXd6EYLiBoLTAiftEPYAEBAAAvIFf/ +AgAOAHy70I4vLTELihSu3Z0vKKATZICriqb/AgAOAFHTUPsKSCBIEHgw/yQFJgBPPxDDhv8CAA4A +YkMQKjAiKSBo/wIADgBbUlAtITUsMRCx3f8CAA4AU2sQHZeXLCU1HpfcKiRoihEu4X79rAECAGN7 +kP8CAA4AQu6QH5b5LSE30w/8MQog5Ah7cCwlN3ynanmuZ3+uZHquYXuuXnymBygwH8SSeYBTtBv6 +MgkgAxBgMPomHCIAAFEwWX4qwCDRD32jN/8CAA//tTsQLDEQKjAiY/+EwLCbFGP+sSwxCiwlN2P+ +to0iytnE6f4kBSAAEBAw0Q8AAAAAfNGR/wIAD/82stDF9f8kBSAAEBAw0Q8AAAAA+iwAADACWvD8 +CgEiAABosFgxM8Tp/iQFIAAQEDDRDwAAGJdg+QoBIgAAazD8nTkIAAxCkB+Wxi4hNvwxCiA2BHuw +KCE3fImf/AoBIAAQSDANyThlnyNj/44sJTZj/+gAbBAEwCDRDwBsEA4nIhAFCEfTD/58AAIDBJog +2iBY78r1rAAFzAA2oIqnAzsC+qwgIgAAYTBYKseNUP6XZx+ABD9gD08Cn6Eu4n8mcHD+3QwCAAAa +sP1uFAAMAkGw/Q9FDgL7k6AAgQQA5BoE9AKWEPQWASAFEFAw/JdZH/8QSDD5FgIgMBBYMFmH0ZRe +LiIQKjEIKlUIK+AFLAqV/wIABgLJZtCNImXTAyjiEy/iFAj/DPsKAiACnQ/gKiISLXBvHpYk+okU +CggBUDD73AEAFAB7cAudAQ2qAi0gBw0NQQzbEf+WHBoAIHbwLrI6nRsP3wr/8pcqAolDoC6yORSW +Xv/mAQ4Cgn+QBKQKJEKQmhibGfTDSmBgAiEwLTBELzBF/jBGLYAEP2AP3QL/MEctgAQ/YP4iFywJ +AHdwCN0RD90CDt02pNSPKY4qD+4M/wIACgEhp5ArIBYoCv/8Fg4gNgRC8J0d+iAHIAAQYDBYLuGM +Hv0SDSTqADagKTww9AkWAEACUbAASmUCCYYASmMACYYASmHAsStkICoiFipkPwqKFCpkPgqKFCpk +PQqKFCpkPIleKWQzCYkUKWQyCYkUKWQxCYkUKWQwL3IRDYsUL2Q7D4gUKGQ6CIgUKGQ5CIgU+GQ4 +IAAQUDD6VhcgoAJJsP9WDyDAAkGw/5XjEboANyAqZCMrZCYtZCcLixQrZCULixQrZCQrMhmZFPgW +ByDgAkjw+mQiIkIANuD6VhYqAwBfcPtmFSDQAnDw8Q4WALACQbAACIouMhkL3QwL7gz+NhkijwA3 +oC4yG66+/TIaKgADW5Cx3S42Gy02GsDgKyEHHZXDCwtKDLsR+ZW/GgkAbvCbYP7rCQIAAEPw/yIA +KAUAYnD4ZgIgQBAYMPNmAyBgEGgwDbsKE5bv/ZZyEB4CWvD7SxQJgAQ/4PgSCygJAE7wmWEZlj8N +iAoogpeNGPmsAAgAQEow/Tk4DaAEP2D9luIYCQBucAmIAv2V/xgJAGow+SEaIiYBRDDzlaQcACAf +cJhn/dCAKIAEPmD5ZgYuCQAf8J9kDU0M/WYFIBAANyAfltONFLHsD8wCnNAtIhWMKaTd/SYVLAAg +IzCcKYkZK5Y5KyAWKAr/eLEM+iAHIgAAYTBYLjvAoChyFC9yEflSCCACAkIw+HYUIAICe/D/dhEv +mRBwMP5UBSA4ADZgi1maW5mwjFibwZpY+lYJIAAQEDDRD50dnB76LAACAABZMFgudIwe/RINLawA +NqCNWGTSFcAg0Q/eoGXOsS0hBx6Vbw0NSviWLB3ABD9g+5VrHAkAd3CdYI0b+SIALgUAZvD/ZgIg +QBBQMPpmAyAFEFgw+padH4AEOmD43QoOCQBbsJ5hHpXvLdKXiBj+CgAsAEB3cPiuOAmgBDog+JaT +HgkAQ7AO3QL4lbAcCQBHcP4hGiomAWgw+pVVGAAgUjCdZ/iAgC6ABDug/mYGKAkAVnCZZAhIDJhl +8/7SYAAQUDAAAPP84mAAEGgwK1IWA7sKK7IcZLBsC9s2K2YVLlIWCe4LuO7zDhYAsAJBsABIii5S +FgnuCojgC4gMmOAuUhaeGgnuCo7gmRz73QwAHwA3oI0aCd0Lg9Ojs/7SAioAA1jQse6T057SY/2i +AIgaZIDxK1IX+lYWIAICWvD7VhcgMAJKcIMX/goALtEAN2AoUhaYGogaCYsKi7AlFhH+FhAuAAFw +MPPlCgoDAF9wm1AlEhElUhYJVQv+FgYgEAIpcAQFiAPlCy4SEbhVAIWKLuIWCe4KheALVQyV4C4S +EZkcKOIWLhIQmBoJiAqIgAvdDCUSEfWARGACAnOwiBrKiitSF/pWFiAAEEAw+BYKIAICWvD7Vhcg +MAJKcIsWKDwY9d9wYgUAXjBj/jAAwLH7VhYgARBAMJgaY//fAI0aCd0LiNPz0gIoACBC8PgWBSoA +A1oQsTOT0ogV+NYDLLQAtyBj/frAsStWFmP/GI4vH5Yp/1YLIEACYXD85gAgcAJosJ1Ynln8Jg8g +ABAQMNEPAAAAAAD6LAACAABZcP0KjiIAAGDwWC1jwCDRDwCLG/osAAABEGAw+7wYIAwQaDBYL5lj +/YyJNyyZFPU8AABPADcgg5lj+mMclhCNICkiGIhQj+D+kHQgBRBQMPgWACAwEFgwWYZgwCDRDwAA +APP6Em//ECAwixv6LAAAARBgMPu8EiAAEGgwWC+DY/008/oaYAAQGDBsEBAqIAT9lL8SAABBMA8C +AP0WEiM1ADag9goYJgGTDqD/AgAEAY8ioCwgB4sw+BYILCABYDD8Fgsq4AFcMPsWECDWAlrw+0sU +AgAAIPD7FhEkBGsCoCogBcT+/wIABgFz/pAmCkf/AgAGBQ82kCsgFicK/3exEADFjiogQVgtff8C +AAAFEiqgiBsWlJEMhRH6EhEkACA1cClSOvQWDSoExNZQGZVHJ1I5CYkKKZKv+XQBDgS6zdAalJ8p +EhHwCgcCAABBMG2ZAgBIYf2VlhAAEDgwlxX9JSogLhBwMP4kVyAGEHgw/yRcIAgQMDAmJGQsMBXT +D/xsFAADEFgw/wIACATj4tAqLGX4laEQKAJYcPgWBSADEGAwWXwViR39IQcgABBwMC4kaC4kaS4l +Nf4mHCBCEHAwLiR0HpRw/BIQLUABbDD/lModwAQ/YP8lNywJAHdwnUCLIPoSESCYAmMwnEP8EhIr +gAQ+4PxGAioJAFqwmkEpkBNkl6AvIEEoIAUalWcP+wnzISIrwAQ+4PuqCABQEDAw+qJ/ICYEMjDE +znyBCcXW/wIADgS4ahAYlHLz3RQJQAQ84PaVXRcABD/g/woAKsABVDD/RQouSAFQMPZGBywHAVAw +8xIQLUAEP2D6lVIdUAQ7IPjuEQwJAGsw/rsCAHgCGPDzRQsqCQBm8Pt3AggJAFZw+UYGJgkARfD3 +RgQgkAJQsPIKFgBAAkkwAElj/iEqIAAQYDD8RDQgABBoMC1EMP9ELyAAEDAw9kQuIAAQODAnRDcn +RDb3RDUgABBAMChEMyhEMi5ELShEMQ6OFC5ELCsgVytEOwuLFCtEOguLFPtEOSB4AlEw+4sUAAQQ +YDD7RDgguAJYsFl7uPpMQCDAAkCwAgiIAEqKAAiIAAqKLCIcix0sRFMMjBQsRFIMjBQsRFEMjBT8 +RFAgqAJRMPwSECBgAlrwWXupJhIQ/yB0JgAgMTAvZFguEhEuVjkrIBYtCv99sQr6IEEiAABg8Fgs +s4gdKQpGKSQFKIASZIBBFJQOIyIHBIQo+kwAACACGPBbvDf9lFMSAABhMP6VLRIAAFqw/yIAIgAA +UPBbu+2CJyIsENogW7HzaaEFYAePdqEDwCDRDyQiEPgWCCrgASgw+UwAAgN2mqD6LAACAAA6MFjt +WPWsAAaMADagKqIH2zAPAgD6rCAiAABh8FgoVI1Q/pUUH4AEP2APfwIvpgEu4mEpQHD+3QwCAAAa +sP1uFAAMAkJw/Q9FDgNpk6AAgQQA5xoH9wInFgH5FgAgBRBQMPyU5R//EEgw+RYCIDAQWDBZhV6X +XikiECoxCCpVCCuQBSwKlf8CAAYDNmbQjSLTD2XTIS+SEy6SFA/uDPsKAiAC+Y+gKiISJkBv+ogU +CggBUDD7aQEAFAB5sAuMAQyqAiggBxyTqggIQQyLEay7LbI6+BYPKgLrQ2AdlGAssjmUHg2NCi3S +rxST6/3IAQ4C3u8QBKQKJEKQmhmbGvSTg2BgAiEwLDBELjBF/TBGLYAEOyAOzAL+MEctgAQ7IP0i +FywJAGswCMwRDswCDcw2pMSOKY0qDt0M+BYVKgEtp1ArIBb8FhMg/xB4MPgWFSBABHrwKRYU+iAH +IAAQYDBYLGwoEhUpEhT8EhMmVAA2oCo8MPgKFgBAAlowAEtpBgqGAEtnBAqGAEtlwPEvhCAuIhaL +Hi6EPw6OFC6EPg6OFC6EPQ6OFC6EPI1eH5S2LYQzDY0ULYQyDY0ULYQxDY0ULYQwK7IRHpN4K4Q7 +C40ULYQ6DY0ULYQ5DY0ULYQ4+1YPIAAQUDD6Vhch9QA2YCyEJ/yNFACgAjIwlhb9hCYgwAJSMJoc +DY0ULYQlDY0ULYQk+jIZIAAQaDD9hCMgABBoMC2EIvSiZWDgAmjwwLD7VhYqAwBTMPqGFSDQAjDw +9QYWALACWjAAi4omMhkKzAwKZgz2NhkiqQA1oCwyG6ys+zIaKgADUxCxuyw2Gys2GsCwFpNJKiEH +IxISHJNH9RYWK0ABUDD8qhECBQBNsPaUARoJAGKwmoALugn8IgAgQBAoMPWGAyBgEGgwDaoKk4Lz +Eg8gHgJSsPpKFAWABD8gBaUClYEGMwoWk8UjMpcdlG32EgkiAEA08MBQ9tU4B6AEOaD+zAIECQA1 +cP2ThhIJACzw9SEaIgkAfPD8hgQmJgEYMPOGBywAIDdw/dCAJIAEPWCVhiUSFg1NDP2GBSAQADZg +HpRZjRaxvA7MApzQKCIVhymkiPgmFSYAICXwlymGGipmOSsgFi8K/3+xCvogByIAAGEwWCvBix4q +shEsshT4UgggAgJSsPq2ESACAmMw/LYUL5kQSDD5VAUsZwA2II5ZwNCdW5jgj1ie8Z1Y/VYJIAAQ +EDDRDywWEykWFPosAAIAAFkwWCv6KBIVKRIU/BITLY4ANqCGWGVsJoovG5Qz+1YLIEACQXD4pgAg +cAJIsJlYmln4Jg8gABAQMNEPwLBlnowdkuwsEhIjFhcjIQcWkurzA0oMBQBLcP0SDyPABDzg9pOj +EgkANPCTgPsiACBAEFAwmoP8hgIgBRBQMPbdCgOABD7g9pNtEgkAVPCTgS3SlxyUE/YSCSwAQDdw +wDD2wzgHoAQ5oAYzAvyTLhwJAB9w/rsCDAkAf3DzIRomJgFoMPuGBCwAIDMwLMCA/YYHIoAEPOCT +hgxMDJyFY/6sAAAAAPP8qWAAEGAwKlIWA6oKKqIcZKBlCso2KoYVI1IWDTMLuDP3AxYAsAJaMADL +iiZSFg1mCoNgCjMMk2AjUhYNOwqLsPrMDAAcADbgDTwLjcOtrfvCAioAA1NQsbudw5vCY/2HZDGi +JlIXwKD6VhYgAgIxsPZWFyAwAmtw+woALt4ANyAjUhYNOgqKoIMcCwdA83YKCgMAUzCaYCZSFg1m +C/NzCwAQAjGw+QYWABACGPABA4omUhYNZgqDYAozDJNgI1IWDTYKhmCxu/rMDABIALWg+goAIDMA +NOAmUhct3Bj6VhYgAgIxsPZWFyAAEBgwhhwqbBj9OgoGBQA6sPYWDC+IALcgY/5UAMAxI1YW8//e +YAEQGDANPAuDw6Oj/cICKgADUNCx3ZPD/cYCLMAAtmBj/iuIHSaCE5aGY/hUAAAA+xwQIgAAULD9 +MQgiAABg8P4KACIAAHlwWBHPLQqI/wIABgELbpCPFGT58oj3i4j+gRUvwBAwMPmCCyBAAiIwBkYB +9hYHLgAgM7D+7EAmAQpeUIwYJ4kUDMwR+80IBgAgZfD3hRQqAQVvkMk6JhIIyWX5vAACAABA8G1p +BQAIhgBJYS1CAAzdCP8CAAYBGPdQnUCG8IQYCGYRBkQClLEoIAXD7vS8AAYA0XYQxHL/AgAGAMw+ +EMOd/wIADgEGyhDz9oNiAAAT8ADAoSpWFmP+afosAAIAAFlw/QqOIgAAYPBYKsHAINEPAIsf+iwA +AAEQYDD7vBggDBBoMFgs92P88YwiZckYixv6LAAAARBgMP0SESAwAlrwWCzwwCDRD4g3LIkU9TwA +APEANyCDiWP5igAck2aPkC4iGI0ghlD+4HQgBRBQMPYWACAwEFgwWYO2wCDRDwAAAADz+TZv/xA4 +MPosAAIAAGlw/jILIgAAWPD/MgciAABiMFgIQcAg0Q8AjyJl+JgrIEH6LAAAARBgMPu8EiAAEGgw +WCzPwCDRDwAsMBQsJGBj9jMAANogW7AsEpMCC6YR9KBmYgAgMLAM6jArIoWLsLCi/LsIAgAAULBZ +hckckzcqwp7wIQQAARBYMAC7GguqAirGnlmF/sAg0Q/FwiwkBWP2iwAAix/6LAAAARBgMPu8EiAA +EGgwWCyyY/veAAAAAADz+J9gABAYMPoKByABEFgwWCWlLCJ//CaDIAAQEDDRD9og/BIIIgAAWPBZ +J0fAINEP2vD8EggghBBoMP21CCIAAGlwWSZHwCDRD8Dgnovz/ihiAABacAAA++0MADoANOCGGA1J +FPqcCCIAADjw+mY2AgAAQvBtaQUKB4YASGuOGIgXDTcI+e4MAIACQjBt6QUMB4YASG2GFw3IDKhm +JmxAlkBj/dSJFymcQJlAY/3KAN1Q/BIIIIUQUDD6tQgiAABT8FkmJ8Ag0Q8AAABsEASLJ4u++7wQ +IgAAUPBYgCGMJy7JFIvILcEV/u0BL8AQQDD0wgshQAJzsP/MICoATXdQ+OwADgBAR/D+vQEsACB/ +cP3cQCYATl0QLuyg+MUUKgBO91D/AgAGAFNvkJ7IGZGxHpHSGJHL8AkHAgAASvAASWEASWEASWEA +SWEASWEASWEASWEASWEASWEASWEZkcCZsI0gwMr4tgIgIAJ6sP62BC2ABD9g/7YFLAkAZ3D9tgEg +FQA24I0iI7UQ+rURIBIAN2DAINEPwCzRDwAAAAAAAPosAAADEGgwW79/wCDRDwDA4J7L8/91YgAA +WTAL2AwI+AwojQEojOCYyGP/Xyn8QJnIY/9XAAAAbBAGjCcuyRTywggiAABQsC3BFf7tAS/AEEAw ++8ILIUACc7D/zCAqAIN3UAj/AfoWACwAIH9w/dxAJgCLFtArLQErvKD+xRQqAIpfUP8CAAYAju7Q +m8gckXGNHBuRi/AMBwIAAEiwAElhAElhAElhAElhAElhAElhAElhAElhAElhAElhHJKXHpF//iYA +IGYQeDD4ogAgARBIMPsmAiBeEHAw/CYEIgAAY3D9nDkAChBYMPiIEQ4FAGPw/iYFKAkAWjD4JgEg +ZwA0oCcmCv0mCyIAAFjw+QpWIGACULD/CoggThBAMP8lECgFAGJw+CYJIBAQYDBZeNjzEgAiAABZ +MPwKECCAAlCwWXjT21D8ChAgoAJQsFl40Ntg/AoGIMACULBZeM2KMsitwCDRD8As0Q8AAAAAAAAA ++jwAAgAAWLD8CgogAxBoMFu/IcAg0Q8AwNCdy/P+/mIAABLwAt4MDv4MLu0BLuzgnshj/ugo/ECY +yGP+4AAAAGwQEh6RKxyRK4UnjeEp4gMlUg774gIgIAJQcCumAimmA/2mASmgBDjg/uIAJAAgRXAt +Urr/UrwgAxBIMClWvihSvS6mAP5SuyAwEFgw+BYAIAUQUDBZgpr5XQMgABBQMCoUIioUIyoUJCoU +JSoUJioUJyoUKCoUKfoUKiD/EHAwLhQg/hQsIAEQeDD/FCsgAhBAMPgUISEAAkpwKJB2L5B3LxQv +KBQuKZB1+RQtIEACcHD64gEgYAJAcJqBj+OJ4pmCn4OO4P6GACC3ADUgGZD6i5KMkf2SACCAAlBw +naCcoZuiKZID+aYDIgAAULBYg7cqFhQtFhcrFhUkXQMsFhb4SQogoAJgcIvB/8IDIAEQODD5wgIg +wAIwcPlmAiAAEGgw/2YDIAICQjD4fTgAABBwMPtmASIAAFCw/MIAIgAAWbD8ZgAggAJgcFu1By1J +CtMPaNBqL0kL+xxgIgAAULD+CgEgYAJgcP/8ASAAEGgw/+04AAAQcDBbtPsoSQtogEb7HBAiAABQ +sP1dAyBgAmBw/dzoIAEQcDBYn7UpUr/6LAACAABo8PyQxRACAkpw+Va/IGQQWDBY+acqVsDRDwAq +RQpj/44AACpFC2P/sgAAbBAEgyfzMg4gBRBQMPyR4xAwEFgwWYI2KDLJfY8JKTLOzJMqMhrJqByR +3v0yGiAFEFAw/jLOIDAQWDBZgi3HL9EPjCArID8MjUfwuxENgAQ/YPwMRwoJAG7wDLsC+zYdIPgC +UPBYjaT6NPwgABBgMCw2YPw2YSABEFgw+zYaIgAAULBb/sr8kJsSAABQsP0KACBkEFgwWPl7LjLJ +KjYc/woIIAIQaDD9NhouCQB7sP42ySAAEBAw0Q8AAABsEASHJx+QhCp5FIh4KXEV+q0BL8AQaDD8 +cgshQAJSsPt8ICoAPVZQDbsBq5n5nEAg4gRDMC6NAfp1FCFAAlOw+pNqcgAAMjB5oXOaePAPBwIA +ADmwAEdhAEdhAEdhAEdhAEdhAEdhAEdhAEdhAEdhAEdhF5CDl2CFIJNl9GYEIAoQODDykIAVgAQ9 +YPJmAiQJAD1w9WYBIgAAEbDRD8Ag0Q/AgJh78/+jYgAAMzAImgwKugwqrQEqrOCaeGP/jSy8QJx4 +Y/+FAGwQCCkxBygxAyoxACUhASchBSwhByshBi0hBC8hAvwxASoAIGbw9zECLAAgP3AmIQP+IQAq +ACBisPwKOiYAIEXw8jEEKeABIDD2MQYuACA38PUxBS4AICuw/woALgAge7D/FAAsACB3cP27CAAA +EHAw/hQBIAAQaDD9FAIiACAosPURACoAIFqw/BQDJgAgVfD3EQEiACA4sPQGXwIAIDCw+GYIAgAg +SLD3VQgCACAwsKUi8gVPB/ABEDClZfUFXwIAIDCwpSICAk/RD2wQCCYWByQwEiYwEyciBwhEEfU2 +CAQJADEw93IOICACKbBZfPRZfOooMBUsMBb9Cv4g/xBIMP4wFy4Ab0oQ/wrALgBraxAP7gH/AgAO +AGWboCgwEikwEw8CAAiIEfsWBigJAEow+hYFKgBXxiDApfyRORAwEFgwWYGKLXKzwJH52gIADgB7 +cCp2sys8FvocAAAQEGAwWXeKLmAHKXLbKnLajxCIEf1gBiAAEFgw+v8MAAEQGDD5iAwAABBgMPg8 +OA2ABD9g/zs4DAkAd3D9FgQuACxm0C9y3Shy3I0SjhMI3Qz/7gwAABBgMP48OAAAEFgwDTs4fLAw +iRRkkbKLFh2P4/oSBSAAEGAwWYHvihSrqip23mAAUgDApfyRDxAwEFgwWYFfwCDRD4sUDwIADwIA +y7XApfyRCRAwEFgwWYFY+n0DIgAAWHD6rGggEBBgMFl3W4sWHY/N+hIFIAAQYDBZgdmMFKvMLHbe +LmAELna2LWAF/3KzIBgAY3D/AgACAGf70CRM8G5DOihgEQ2IEfaQ9BoAGMUQLVABDd0RKVAA0w/4 +kWpiAAAbcGiTSmiVLwNEDP5DDWQAICzwLVABDd0RfUvYyUH6CgUgMBBYMPyQ5RIAAGkwWYEywCDR +DwAAAP8CAAgAXRtg+nwAAgAAWXBY9nhj/7kAAP8CAAwASJtg+nwAAgAAWXBY9n1j/6EAAGnYKdxg ++goFIDAQWDBZgSCOFGTvitog+woAIgAAYHD+EgcgBAJpcFj3q2P/csCl/JDKEDAQWDBZgRbAINEP +gyf8kL8QBRBQMPMyDiAwEFgwWYEPKDLJfY8KKTLOzJQqMhpkoFEckLf9MhogBRBQMP4yziAwEFgw +WYEGY/71wKX8kLcQMBBYMFmBAsAg0Q/ApfyQtBAwEFgwWYD9wCDRDwD6fQMgABBYMPqsYCAgEGAw +WXcKY/6jjCArID8MjUfwuxENgAQ/YPwMRwoJAG7wDLsC+zYdIPgCUPBYjG/6NPwgABBoMP02YCAB +EFgw/TZhIAEQYDD8NhoiAABQsFv9k/yPZRIAAFCw/QoAIGQQWDBY+EUuMskqNhz/CgggAhBAMPg2 +Gi4JAHuwLjbJY/5EAABsEAockIz3IgckACAs8CZQAyhQAflQAiH4AlFwJKAAKqABI3IO91AAIDAQ +WDD4mREJAAQ6IPmIAgWABDkg+goFJAkAUTD4ZgIB6AIhMP18AAIAAHEwWYDCKzIa/wIAAAF0puAu +Mh3/AgAOANszkGRCRpcZ+xYIIAAQMDDwAFJgABBoMAAAAAAA+woAIAQQQDAPAgDTD22KF/6gCCYA +IBbwJ3A8sbsPAgD6rAEuAmI7kPkKCCAREEAw/xYEKgADRxDAzAlmArTKqt3/AgAKAJWjUK1aK6AF +KKAEL6AGLKAH/qyEKYAEOiD4/xEKCQBG8P/MAgvgAVww/AxPAKoAPuD5CoAi+gA64P8CAAAIAnqw +/wIAA/+6huD/AgAAGBB4MP8CAAQAQobg+QoNJgBYBuD/AgAGAEbO0P6P5xAOEFAw/7zpJgBVVtAO +bgIP5jhj/3QoMPza4PsKAC4AXuIQbckU/qCELgAgHvAv8Hyxu/qsAS4B9/PQwILz/0pmCQBBsAD/ +AgAAEAJysP8CAAoARWZQnBL+FgEgBBBYMPP/J2YJAFmwAAAAAAAA/8lqcAgCSrD5FgUgIBBAMPP/ +CWYJAEGwLqAIK6AJ/5ALH4AEO6AOuwKbGPP+7mYJAHmwtKn5FgYggBBAMPP+3GYJAEGwGo8h8/7R +ZgkAUbD/AgAOAEZpEPcSCSIATkmQJBqA9Qr9IgB+geBodyLAINEPAAAAAAAA+goFIDAQWDD8kAQS +AABpsFmAS8Ag0Q8AAIMngz78j/8QBRBQMP0yGiAwEFgwWYBEKDIa/wIAAgCcgiApMhpok14qMhpo +p1grMhpouFL9MhogBRBQMPyP8hAwEFgwWYA4wCDRDwAAAAD6CgUgMBBYMPyP7RIAAHEwWYAxwCDR +DwD8j+oQBRBQMP0SCCAwEFgwWYArwCDRDwDz/0xgABAwMMLA/wIAD/+oZZDA1P8CAA//o22Q/wIA +AgCrRZAuMhr/AgAIAKWDoI8S+xIBIgAAUPBt+Q8soP0tsACxqvu8AS4BQGNQhRVgAVMAAACDJ/yP +zxAFEFAw8zIOIDAQWDBZgBAoMhpogjgpMhpolDL9MhogBRBQMPyPxhAwEFgwWYAIwCDRDwAAAAD9 +MhogBRBQMPyPwRAwEFgwWYABwCDRDwDCoP8CAA//WFWQwLT/AgAP/1NdkHhnKCwyGo0WJz0BJ3yA +/dAEJADdAyAtdP5gABUYjrn/AgAJ/2JBkGP+zgAAJz0BJ3yAhhKlOvsSASIAAGGwWXXzghT2dP0q +ACAg8PssCCAEEGAwWXXu+j0CIBgCWLD6rIQgBBBgMFl16YIV+j0CIBAQYDD7LAQhEAJSsFl15Po9 +AiAoAliw+qyYIAQQYDBZdd/6PQIgMAJYsPqsnCAEEGAwWXXaKzJgZLDZwMT8NhogABAQMCI2G9EP +AIISpTr7EgEiAABgsFl10YUVKz0B+j0CIBAQYDD6rIghAAJa8PK0/SAIAllwWXXJ9DQIAgAAYXD9 +ChAiAABZMG3aDy3ABCqwCLG7/MwBLgCSU1AuMhpo5xEvMhpo+AvAJfI2GiAAEBAw0Q/6PQIgKAJZ +cPqsmCAEEGAwWXW1+j0CIDACWXD6rJwgBBBgMFl1sYIU2kAPAgD7LAggBBBgMFl1rPo9AiAYAliw ++qyEIAQQYDBZdacrMmDLtsDG/DYaIAAQEDDRDwAAAAD9MmcgABAQMPI2GyAEEHAwLjYaDR0UDR4U +/TZgLAAgd3AtNmHRDwAAIjJnwPYvNhoCEhQCGBTyNmAiACBAsPI2YSAAEBAw0Q8ocP7/AgAL/yHu +EMCl/I9CEDAQWDBZf4LAINEPAAAAAP/jBn//EFAwwKFkrAdj/KX34wZ//xBQMMChZKsyY/yV/cMG +f/8QUDDAoWStdsCl/I8zEDAQWDBZf3LAINEPAAD60wZ//xBYMMCxZL7SwKX8jywQMBBYMFl/asAg +0Q8AAGwQDpQUIhYT9hYSIgAAEPAnIQwqIRYoIRUrIRj2IRcgKAJwcPkhDiAAEBgwI+QC8+QDIAAQ +eDD/5AAgABBoMC3kAS8hDS0hCyMhEPkhEy4AIE/w/iESIDoQYDAsFBf7IQ8mACBZsPohESgAIFIw +/CEULAAgP3D/3QgKACAe8P27CAoAIHKw/JkICgAgWrD3IRkoACBWcPohGigAIEow+RELJgAgQbD3 +EQomACA5sPQLTwnwASAw+4gIBgAgUbD4ZggGACBN8PdmCAIAnEEg9BkUAAAQeDD1IwgAABBYMPw8 +AAhBASAwbYkMKsEAsf/8zAIqACBesAk9FGTQkCjBBCfBAC7BAfrBAiAQAnvw+cEDKgAgXfD3wQUq +ACBfsP7BByoAIFqw+8EGKAAgVnD5iAgB/gJLcPjMECYAIEXwbZlH+YEAIBACe/D3gQEsACA+8P2B +AioAIGuw+YEDKgAgVnD3gQQqACBd8P2BBSoAIF9w+4EGKAAgXnD+gQcoACBN8PnXCAAgAkIwp7sL +6wgP+REJTAzIxaOdLdAAq9sLCV/JlW0ICQsLT6ubCwlfyJhj/+8AAAAAAAAA9g9PD/ABMDD+bAgO +ACB7sP4OXw//EGgw/swIDBEAbvD9DU8N4AFgMH3JBCgwAcqLLTAA+goCIDAQWDD8jqwSAABxMFl+ +6cAg0Q9kQFr5CgAiACAssPP/hGAAEFgwKzAA/wIADgHKAuApCoL/AgAGAdHO0CoKhv8CAAYB2VbQ +/QqHIBcQYDD1Cv8glgRq8C4KiP8CAAYA4vbQLwqJ/wIABgFFftDAINEP9glPCfABMDCpifkJXwgA +IEGw+Y2SGAAgSjAICE//AgAGAZPOEPP/X2IAICywJBITKiAVhEf0Qg4uAWwqkCgwCP8CAAYBZq4Q +LSASLiATCN0RDt0C/wIACgFc6xAuIQsvIQwA7hEP7gJl4JkvIQ0oIQ4A/xEI/wJl8IooIQ8pIRAA +iBEJiAJlgHspIREqIRIAmREKmQJlkGwqICb/AgAOAdSqkCsgJ/8CAAIBzxrgLCAoZcOTLiApZeON +LyAqZfOHKCArZYOBKSAsZZN7KiAtZaN1KyAuZbNvLCAvZcNpLiAwZeNjLyAx/wIAAAGun+AoIDL/ +AgAOAakqECkKGP8CAAoBo+5QwKX8jlAQMBBYMFl+jCocMPwKECAQAljwWXSQ+xwwIgAAUTBY9hr0 +rAAOzQA2oIqm/wIAA/9hpqCLRv8CAAIBTobgjEb/AgAF/1ebIC0hCy4hDADdEQ7dAs7aLiENLyEO +AO4RD+4CzewvIQ8oIRAA/xEI/wJl8A4oIREpIRIAiBEJiAJkgs/ApfyOLxAwEFgwWX5qKhIT/CwO +IgAAWTD+EhIiAABo8FjxLMAg0Q8kEhMpIBUPAgAkQgf0Qg4uALCqUCgwCP8CAAYAqy4QKSASKiAT +CJkRCpkC/wIACgChSxAqICZ1qSkrMAQsMAX9MAYrgAQ+4Ay7AvwwByuABD7gDbsCCLsRDLsC/wIA +AgCJBtDApfyODhAwEFgwWX5IKhwY/AoQIBACWPBZdEz7HBgiAABRMFj11vSsAAFfADagjKbAsfrD +BmIAAErwwJDA0Am9OGTdoo5G/wIAA/7On6DApfyN+xAwEFgwWX40+hITIgAAWTBY8Q3AINEPAAAA +JBIThEf8jfQQBRBQMPRCDiAwEFgwWX4qKCAV/wIADgBJqhApIBYqCv7/AgAOAELSUCsgFywKwAy7 +AWm+dC4gEi8gEwjuEf/uAgAnEGgwfttgLyAmdfFa+zwAABAQQDBtig0qsBgssAj7vAEuAPVTEPs8 +GCIAAFEw/ApAL/8QaDD++v8gARB4MFjy8sAg0Q/ApfyN0hAwEFgwWX4JwCDRDwDApfyNzhAwEFgw +WX4EwCDRD8Cl/I3LEDAQWDBZfgDAINEPAAAA8/w3YgAgLLAqEhP7LAACAABhMP4SEiIAAGlwWPax +wCDRDyoSE/ssAAIAAGEw/hISIgAAaXBY9Z/AINEPKhIT+ywAAgAAYTD+EhIiAABpcFv8QsAg0Q/A +pfyNshAwEFgwWX3lKhwo/AoGIDQCWPBZc+opMBj/AgAD/h4aYCoSE/wcGCAAEFgw/hISIFACaHBY +9GvAINEPAAAqIQsrIQwPAgAAqhELqgJlrAkrIQ0sIQ4PAgAAuxEMuwJlu/csIQ8tIRAAzBENzAJl +y+gpIREqIRIAmREKmQJlm9n/AgAB/esGYCoKBfyNjxAwEFgwWX3C+hITIgAAWTBY8JvAINEPAMCl +/I2JEDAQWDBZfbvAINEPAADApfyNhRAwEFgwWX22+ow0EDMQWDArFEArFEGJoYii86IDIGACYHCT +w5jCmcGKoJrALhA8LRA9KxA+KxRELRRDLhRCKhA/KhRFKSAm/4y+EAEQQDD6EhMgABAYMPWZDACA +AnBw+YM4ABACaTDzFgAiAABbcFv6jsAg0Q8AAPrDBn//EFgwwLFluxZj/glsEAwoIAT0IAciAABR +MCwiB/YsAAAcEEgw+hYKJCABIDD8wg4mAZXOECgiAvqM/hBuALYgKyBTLSAWC7sJ/gr/K8AEPuD8 +FggqACBasPqifyIBc4Tg9wpQJAFvgOD6FgkgJARzcPS1GgIAAFCwWLiyZKNK2iD2FgsgPgJZ8PtL +FAIAAGHw+xYNIgAAaTBYuIv2rAAAVQC2oCwiAmTDCY4gGI08/RIKIAIQeDDzFgIvgAQ7oPgWAC4J +AHuw/hYBIBEAN2Db0PwKBiAYAlBwWXNq+iwAAgAAWHD8CgIiAABpcFgh5dKg0Q8AAIobjRkbjSn6 +oCYgABBgMP1/QAhIAWgw+IgRD1AEP+D9DUYOCQBH8P/dAgsABDqg/GUKKgkAarD9jIYaCQBasPpm +BCIBFITg/wIABAEQgODEsCtlCykhGRiNFp1m+QxLD0AEPmD0wA9uCQBH8AneFATuEQ6uAp5k/2YG +IgEYAOD/AgAEARQA4B6NC9MP+eECIDACaHD51QIgQAJRsP7iACAGEGAw/tYAIgAAW3BZczgci5ge +jQH6bCYggAJ4sP8WDCRYAjzgLyBBLSBALWQmL2QnKyBDKiBCKmQoK2QpKCBFKSBEKWQqKGQrYAAk +AIwbLMAmG4uHDMwJDMwRrLsrvSD7vIogBhBgMFlzIR6M6xyLgCNkMxqMpvpkLSCJEEgw+WQsIBAQ +QDD4ZC4gABB4MP9kMCAFEGgw/WQxIgCSBOD/AgAEAI4A4MCqKmQ1+xILIAIQeDD/ZDggABBoMC1k +N/9kOSAAEGgwLWQ2Co8UL2Q0K7AmC7sJDLsR+8sIAHQCUbD+uwgABhBgMFlzASpsRPkKAyBwAliw ++WRBIAQQQDD4ZEAgCBBgMFly+cC1+2RNIAsQUDD6ZEwgBhBgMPsSDCCcAlGwWXLyKmxY/AoIIJAC +WLBZcu8qbFX8CgMg0AJYsFly6/oSDSIASATg/wIABABEAOAfjLIMThGv7prgLCAWLQr/fcEK+3zw +IgAAULBYt+yKGIuhiaAFCEf3mQgAAgJa8PumASHoAkpw+aYAIlIAOiCKJ/sKAiAAEGAw+qwgIAIQ +aDBYHD7SoNEPAAAAAPP9IWD4EDgwwCDRDwAAAADz/uZgNBBQMIYoY/zTKwroK2ULY/3eAAAejJL4 +bQEgKRBYMPtkYSAPEGAw/GRgIAYQSDD5hAQgARB4MP+EBSAIEGAwLIQGLoQHY/9Hixr8CgYgQAJR +sFlytmP98wAAAAD6LAAAMAJZMP0SDSABEGAwWCXTY/zfjSJl3NorIFP6LAAAARBgMPu8EiAAEGgw +WCXMY/zBbBAEhifCefZiDiKGALzgyzv8TAACAABpcPosAAIAAFjwW/8AyqH4CiQiAGEA4ClhErGZ +KWUSKiAF+wonJgBlxpD/AgAGAGvekNEPAAAAbzUCbzNP+TXxYCYQWDAqIAV7oeb7PAACAABQsPwK +ACIAAGlwW/7sZK/QLCAFd8F3+4xUEgAAULBY9nGNZ4pmsdv9u1NwAgJysJtnnmbRDwAAAAAAAAD6 +LAACAABY8PxMAAIAAGlwW/7bZK+O+DRAYCgQQDApYRcpnAEpZRcvIAX/AgAP/7vD0CckBfsakCIA +AFCwWPZa0Q+bZ5pm0Q8qYROxqiplE9EPwrUrJAVj/38sYRaxzCxlFtEPKyQF+wpkIgAAULBY9k7R +DwAAAAD6LAAAKBBoMP0kBSGQEFgwWPZH0Q8AAABsEAqHNPaLwxZwATwwB3gJDIgRqGYrYn/0MDMg +OAA24BqLHy1tAi3QAC6igCqiig7dCAndEf2qCAABEGAwWVG/KW0CKZyAKZB5/pcHcgAAMrB/nwPR +DwAA+jwAAgAAWHBY/F7Ir8Ci/IwOEDIQWDBZfDbRDwAA23D8MQoiAABRsFj8R/esAACjADag2nD8 +TAAAQAJY8Fj7LmWvuPYKKSAoEHAw/wonICUQaDD/AgAAJBBgMP8CAAAATIUg/wIAAgCDASD/AgAC +AJKFIGhEhWlFgipwBdMP/wIABgDY5pD/AgAGAONukHriB/8CAAgAz36Q/wIAD/+vspAqfGj8CgMg +QAJYcFj7B2WvSSocGPwKCCBwAlnwWPsD/wIAAADmJqDaIFj69dEPAAAAKzEK+wtLAgAAUbBY+z73 +rAAPTwC2oMCi/IvYEDIQWDBZe//RDwDacPscAAADEEAwbYoVLKBoJLAg/wIADgDo4RD6rAEgAgJa +8CpwBcKUeaElfaExeuImf6Ij2nD7HAAACBBgMG3KDy2wGCygSLGq+7wBLgCx41DaIFj61dEP/wIA +D/9UMpD8PCYiAABR8PsKAiIAAGlwW/9C0Q8qcAV8oRf/AgAGAHjukP8CAA3/PtGQ/wIADf86+pDa +IFj6xNEPAAAqcAV8oQh9oTp64i9/oizacPscAAADEGgwbdoVLKBoLbAg/wIADgCrY1D6rAEgAgJa +8NogWPq10Q8AAAD/AgAP/xQykNpw+xwAAAMQcDBt6hUsoGgtsCD/AgAOAJjjUPqsASACAlrw2nD7 +HAAACBB4MG36FSygSC2wGP8CAA4Ab2NQ+qwBIAICWvD6fAAAAxBYMPwKACIAAGlwW/8R0Q8rfGj8 +CgMgQAJQcFj6o2Wtt9ogWPqW0Q8AAAAAAPp8AAIAAFhwWPpEya6Jp4mewIEolH7RD/p8AAADEFgw +/AoAIgAAaXBb/v7RD8Ci/It0EDIQWDBZe5rRDwAAAAD6fAAAAxBYMPwKACIAAGlwW/700Q8AAAD9 +wwZ//xBQMMCh/wIAAf9JpqAqEQH/AgAB/0RykPw8JiIAAFHw+woCIgAAaXBb/ufRDwAAAAAAAPTD +Bn//EFAwwKFlrQ5j/ir9wwZ//xBQMMCh/wIAAf+QJqACKgJY+mbRDwAAAAAAAP3DBn//EFAwwKFl +rN5j/qX9wwZ//xBYMMCxZL7N+nwAAgAAaXD7CgQgTAJg8Fv+zdEPAAAAAAAAbBAWIhYg9xIgIgAA +YfAtcAf+bAAARxBQMP9wBSBGEFgw/BYFLCABbDD9Fg4iAAAQ8P3cGCAYBFvw/wIADgNo09AkcSKM +fp0UlRkmIhMjIBUpcEEvIAMYisf5mQkP8BBQMPyZEQ4AIBfw+YgIAH4Ce/D5EgUuAEBX8Irx+IJ/ +IiQBHDD4Fg0gIAJb8PlmNgoDENOQwND/FgggABAoMA3vDB2KLi3SfwnMEazcLME3KHr/9BYHKgAG +4hAUil+TFmAADgAkSgAExDbzFgYl4AEgMByKrw8CACzCf/8CAAIAABsw/wIACgBPU5CNGIjTD6oM +LBYD/dICKAAgQ/D4FgoqAAP6EC3cAcDw8hYMIgAAY3D9EgogAr4loPIWDCACuiagwCBtCFoKaTTz +KAoIAQDNMJmAAykLnZOckoiACGYM+KoMAAICELD9zAAIACBuMPkWDyoAA0JQsc383AAAAgJ78P0S +DyAYADyg8goAIDACGPD/AgAAAoeloP8CAAACg6agY/+enBMLXQsLWgqKoIjTkhyN0v+qDAgAIEPw ++BYLKgAGehDyFgwgAgJrcNzQ/RILIAAQeDD/Fh4gABAQMP8CAAAAU6WgLhYfYAAuAAAoEh71XAEo +ACBDsPgWHiAYAD1g9QoAIDACWvALXAsLWgqKoI3D/MICIAA3paD+CgAvzwA2oGphx20IVPMpCwgB +ANGw8y8KCAEAwTAIZgwIqgyY8PyWAiACAhCw/ZYDLgAgbjD4+wZyAABrMLHN/NwAAAICc7D4IQxi +AABr8PIKACAwAhjwZK93/wIAAf+6JaBj/6QAAAAAAC4SH/YSDCAAEEAwmBArcBaIFSZiE4MT/hYb +IP8QSDD4ZjYAJgRK8CwSCSpwQQDMjlgiDWSkdC0SHvmJIhORADdgGIkhhR6KF40dH4k3/xYQIJAC +IfD0FhQguAIR8PIWFSAAECAw+tsUDMABaDD9jkILQAQ+4PjuEQwHAWww9d0RDAkAczD+fGAqCQBu +8P4WFiAAEGgw/RYcK0AEOqD8ih8aCQBm8PsWGSvABD1g+bsIBeAEPWD8EgYqCQBisPsWEyQAIEVw +9RYRIAAQQDD1CjUgARBYMPoWFyGkAmMw/Lg4ADYQWDD6FhIqBQBFcPsWGCAAEBAw8AAQYAAQKDAA +LhIe/wIABgFwdVDA9Q9fL/TyY2ACAilwJE0BJEyALXEHHoj0DQ1KDN0RDt0CnUCMcPiJDxBEEFgw ++ojtEAgQaDD6RgItgAQ7IPtGAywJAGswnEEpcEEDLAqOwC8SGfwWGiAEEGgw8JkRDiABcDD+3QwO +CQBP8P6J6h4JAEfwn0T/EhQsIAFsMC0WHYzA/kYHIAAQcDAuRQr9EhcsACBrMP1GBiB4AmMwLEUL +8A8WAEACaTAATWEtcSr+RC8gABBIMClEM/1ELSAAEFAw+kQxIAAQWDD7RDAgABB4MP9ENCAAEEAw ++EQyIAAQeDD/RC4gABBAMPhENyAAEFgwK0Q1+xIcIAAQUDAqRDb9jRQN4AFgMP1ELCoAIF8wKxYc +KnBXKxIVKkQ7CooUKkQ6CooUKkQ5+ooUAAQQYDD6RDggeAJRMFlwSPkSFiCAAlEwAgmIAEqKAAmI +AAqKL3IcLhIeL0RTD48UL0RSD48UL0RRD48U/0RQIHQIcXAoEh35igsSAABYcPwKAyBCEFAw+nR0 +KCABQDD5CgkoCQBKMPl0ZSmABDog+BYAIIoCUTBZcC4sEhspcTUDLQsqEhgqdFf+0gMgAgJKcCl1 +NSkSGhiJmShGFo+QL0YXi5CKfy5GGS3SAv1GGCwAIGLwLHYcKZIA+2YMAAICELD8FhsoACBWcPl2 +DyAYADyg8goAIDACGPAdiHotRhotEh3AtQtbL7jcLEYb/HB0LAAgJ3D81HQt0gC24C4SHgXuDLHu +/wIAA/7hQ6ApEhMoEhIoljkrcBYvCv9/sQgqcEEsEhxYIRYtEhKOHCwSG5zrlueKcsS3+3QFIMQA +NqDRDy0SHigSE/XdDAAFEHgw+II6IAICa3AP3TYN3RH9FhIqAFduECgSESQSEyiClyRCOQhEAWRP +sikSEP5MAAAAEFAw8AkHDWIAN2BtCAmxqvAOoAf+qu6QY//vjB7AoPsWEi3ABDsg+hYcLAAgSzAs +FhMvEhMuEhIu9jkrcBYtCv99sQgqcEEsEhxYIO2IHCiAE8iOiRyKf4mWepkGxKgqdAXRD8S2K3QF +0Q+Ns4yyirAvFh5j+y0A8//vYAAQEDDacPsSBCABEGAwWCLt0Q8A8/9hYAAQIDCF9KpV9eNocgAA +arD1FgIgABBAMPgWASAAECgwiBGNEvVcASAIAkIw+BYBJCgAOiD5CgAgMAJa8PkWASAAECgwiBGr +iIiArYj4FgIr/+hDkP8WCCv8zOuQDt8MY/mR0Q8pcEEpnBKZFPP+p2AAEGgw8//cYAAQKDBsEAiU +ESgiByYxC/kgByBIEGAw/YkUIEYQODD6IAUgRRBYMPkJQQGIAjGw9YIJIYkAN2D/AgAOAPjikCxQ +EsjL+RYEICACUjBYGvCJFIRQ2lD7+sAk+AEgMPUWAiYBSoEgiBKIiiwwX/8CAAoBWjYQ9MFXacAE +OmAnbDcHRxS0dxyH4AyICCyCOvWJVxoBTb8QHIfcKII5DJwKLMKXDIgBKBYA+BYDIokANiAeh+4A +DotteQIASGH/AgAGAcCBIC8wXxuH3PmH4xAzEEAw/DBeIXgAt+D/AgAGAJvFEP8CAAYAm4EgLaAT +9KIGITQAN2AtIg//AgAOAa3pEGXBSsCgjxIu8AcIqBH9IQcuCQBDsJ7xKCAHihP9DUoOAgFAMPzd +EQ6gBDug/Ig0HAkAd3D+IQgsCQBPcP2mACggAUAw/SIAKQAEPiD7pgIuCQBLsPymBi4JAGOw/qYE +IgAAS/D8CjAgABBwMP6mBS2ABD9g/qYHIAQQcDD8pgMsCQB3cP2mASBAAlKwAgmGAEpjAAmGAEph +G4eb+RICK8AEOiCrqiemOYmQ+YlXADIQUDB6kSnaIFgVu9EPAPypf3AAECgwwMAswBJlzndj/oEt +MF5l3qbz/qtgBBA4MAAmIRQjIRL0CgAvzAA1oPpSQiIAAFjw/AoAIAEQaDBYGB8oUkP0TAEgAgIY +8AgzLnZJ22P/oABkzupgADIAAGTO4mAAKgAAZM7aYAAiAAD/AgAH/we+kP8CAAf/A96QY/95d6GB +/wIAB/+/XpBj/2uKECwgByshCP6HgBBuAiGw9EQUDAIBZDD8DEEMoAQ/YPDMEQwJAHdw/aYAKgkA +ZvD8IgAgMAJpsP2mAyAAEHAwnqUdiNgeh2n+pgItgAQ7IP4SAiwJAGEw/KYBKgkAbvCbpABOjZan +naYCCo8sIAwAzBH2pgcqCQBm8PumBCIAAGGw+zxUIFACUrBZbvOOEPmHXx/ABD0g+4dVHgAge7Ce +E/P+EmCJEFAwjSeFESzRFS3cIAvdAf3NCAXABD1g/dxAJAAgLPD4XAAKAMbpUJgSY/1EAAAAAPP9 +TGIAADIw8/10YAAQQDCPImTxUv8CAAf/PgEgiCcpiRQmUr30kTdgQAJSMIWJJVAH+woAL8AQIDD8 +bAACAABpcFgYO4gniogpgRX7ggsgQAI6MAR9Af2ZCAIAAGHw+ZxAJgCR1tCLES6JFAy7Eavu/oUU +KAAgWrD/AgAKAI3GUMozjhH/rAAAHwA3oG3pBQQDhgBPZYwniHAPAgAPAgD8zCAoACBaMP8CAAYA +xk4QmHCLwynBBY4gjRH4wgAiAEAjMPKZCA+ABDug+ZxALAkAd3D9pgEmAF3G0C/JBAxaEfqLCA4A +IFfw/8UEKgCB3lDJa9Ng/4wAABcANWBtWQUGA4YAT2eLwA8CAA8CAKq7/wIABgCYztCbwNEPiieN +EcDA+qwgIgAAW3BYGAGaEmP8bHTbEg1ODP6mBi3tALcg8/ycYIsQUDAE3wz/pgYt2gC3IPP8iWCM +EFAwAAAAAADz/stgABAoMPucGCIAAGnw+iwAAAEQYDBYIaVj/pYAAAxYDGP+cMCQmYvz/yRiAABS +8MCgmsPRD/qUDAA8ADTgjBEESRT+nAgiAABA8P7MNgIAAHqwbckFCAiGAE9pjxGkOPn/DACAAktw +bfkFCgiGAElrjCcszCAEvgyu3i7sQJ5w8/7Mb8AQIDAAAAAAAAD4lAwANgA1oARJFPucCCIAAHow ++1s2AgAAQbDTD225BQwIhgBPbQRoCPldDACAAkiwbdkFDgiGAElvBK4Mri4u7ECewNEPAAAv3ECf +cPP+cW/AECAwKCxAmMDRD2wQEvQyBCIAAHkwAiYC0w/yh7QUcAEgMARECQxEEaQiJCJ/DwIA/jEL +ILUANSAah9wZhw0oLQIogAApkoD+FhQiAABZMPqiXSABEGAw9hYTKAAgSjD1FhIpkAQ6IP8WESoA +IEKwWU2oLzAzKzAxLjA3LTA2KTAw+qAHIgAAYrAlMDT2MDUpgAQ+YPjdEQogAVAw+hYXJYAEPWD2 +IoMkCQA1cP4xCiwJAHdw+5kCAHACWPD3vAAARgA1oIpn+qIOIIgAPmD4kmxgDhBwMP8CAAIAVgZg +aJQJ/wIABAEqBmDRD2ny+mRv9ysWEPwWCSB0AmjwLRYWYAEjAAAAAPmRxGAAEFAw/wIAAAF7h+D7 +FhAjngI74I82Dx9S/hYYJK8AN+D6zAACAABhcFj9A9EPAAAAAAAA/wIAAADQh+Bp8p8Yh5gogX7/ +AgAAAP32EC4wOMCYfpKJaueG/wIABgJRh6AroSOxuyulIyoSEywSEf0SEiIAAFjwWQnJ0Q8A/wIA +Af+vh+D/AgAD/6ub4GRfT/sWECAUEBgw8ADZYAAQIDAAKHABpIT3hwoKADepEClwAH6Z7C9wAihw +AyphGQj/EQj/AvoKSw9gAXwwevnSKS0CKZyAKZB7A5kRCfkCKWUZiRgokRvaYPwSESIAAFjw/RIS +IAICQjD4lRsgBhBwMFj7VCpwAdMP0w/0pAgADhBwMPenCgv/zK0QhmnLZosZKhIW+7AWIAYQYDD7 +ZBYggAJZsFj8kv4KDi/gALagiWf3EhAgABAgMPmSDi/OADVgmRhj/1kA0Q8AAAAAAAD8h4of/z/a +kAwzAS1wAaTU99cKCgHOKRAqcABoojL5pN5gCxBYMCYigy767/RuU2IAQHTwL3wEnxSKFPwKBiCQ +AlmwWPx3ZK/Bhmllb+pj/jEmIoPHi/RuKWIAQETwsnkpFhUqEhX8CgYggAJZsFj8bGSvloZpZW/p +Y/4GAC4wOMCofqIH/wIABgGDj6DAuf8CAA/++FuQHIdlLzBDLjBCLTBBKjA9mhApMD6ZEfgwPyAy +EFgw+BYCIAQQUDBZd34qEhMsEhH9EhIiAABY8Fj8YNEPKhITLBIR/RISIgAAWPBb+yPRDwAAGIZJ +ijMShxUogm8iIl2qiAmIEagiiicrqRRksoIrogkvEhcdhcsM+hH3sgAqACBqsCmiOvW8AAAwEFgw +94dXCgAMdlAYhcQuojkI+Aoogpf45gEIADjDkIkiZJM6/wIAD/6dWdCJJxWG0yuZFCVSf/SzHGBA +AlJwi5kmsAfcUPsKACIAAGmwWBbGiifbMPwSESBAAlKwWBovjSAsEhGLJwjdEQ3MAvymASBAAlLw ++1wAAgAAYbBYGifRDy6gULHuLqRQ0Q8uIAcfhbcOLkAK7hAP7gKeYI8g/BYJIAoQQDD6hakQjBBI +MPpmAi+ABD/g+WYDLgkAR/D/ZgEgMARZ8IonLRIRwMD6rCAiAABbcFgWpNWgLSAHLCEI+4cIHCAB +bDAA3RH9zAIAABA4MPdmBSwJAFswnGQARY3yBh8AUAJRsPtmBiB0EGAw/GYHIKgCWPBZbScahsGL +LSqiXfm7EQAGEGAw+z0BKgAgWrD6Fg8g2AJSsPoWDSGUAlrwWW0cih/3PD0gAxBgMPqsSCIAAFnw +WW0Xix8PAgAPAgCLt/qFhxAgAlrwmxtbrbIchYQdhdCPHx6G5foSCyIAAFqwj/BbrWmKH4qnKqwQ +mh5bo27/AgAAAPQGoNtw/AoDIDICUXBZbQMrPEH8CgMgOgJRcFls/4Md9woAIgAAWXD8bQEiAABQ +sP5QByAOEGgw/lYBIUACYzBZFCwfhs0ahs4Yhswdhs2Xr5eul62XrJerl6qXqZeol6eXppell6SX +o5ei96YBIgAAWPD3pgAgBBBwMP6GPywJAG0w/YY+IAYQYDD/hYAgFAJSsFls4RiGSIoZKILtG4a4 +/AoEIAUQaDALgADaIFgTW9EP/hIUIgAAUzD/EhgiAABhcFj8fdEPAAAA8/18YAAQWDAqEhMsEhH9 +EhIiAABY8Fj/t9EPKaEhsZkppSFj+10AAGU6z/cSECAAECAw8AAcYAsQaDAAgznPPCtwAfS0CAAL +EGgw97cKCgBGKRAscAB9yeZkap6JavOcAA/eADZg+RYFIBICefD/FgcgGAJx8P4WBiAEAhHw2iD8 +CgYg2AJY8Fj7g2WvrYoX/AoDIJACWPBY+39lr52KFvwKBiCAAljwWPt7Za+NiBVzgV8pgAXCrHqZ +hPo8AAABEFgwWQDv2jBZAKzaMPsKUSAAEGAwWPsWY/9ki2eLviqxHLGqKrUc0Q8AAAAAAAAA8/zm +YAAQWDD6LAAAHBBYMPwKASAOEGgwWB+18/yuYDAQWDDaYFkBpGP/JACKHlujEhyF5wutEfSgOGwA +IGswDeowK8KFi7CwqvoWCioAIG7wWXivHIYejRoqwofw0QQAARBYMAC7GguqAirGh1l442P91Jwc ++goHIAEQWDBYGJaPHC7yfy72g2P9vABsEAgZhg8rMQonMET9MgQgIhBwMP8wRSIAAGCw+goAIgAA +ETD0hcEccAFsMPD2EQAOAOPwev90jDL/AgAAAWI3EP8CAAABXjMQG4UviDMrsm8vkl2riAmIEfoW +AC4AIEfwLxYBZHL0HIWrDGwBdMk1KjA8aKEy/wIAAgERBqBopVf/AgAGAReGoH6hHMLTfakX+hIB +IgAAWPD8LAACAABpcFkStdEPeWYa0Q8AGIUJLzEn/wIABgBlx9CaEGP/pAAAAAAA+hIBIgAAWPD8 +LAACAABpcFgDZ9EPjREp0AXEpnqZxYnXK5kUZLHgi5kv0GgZhaYssAf6sBUgAgJ78P/UaCABEHAw +/tRcIAgQQDAo1Gco1GT51SogABBAMCjUZijUZf4wTiAtEEgw/zBPKiQBUDD41TUhpAJSsPjuEQAu +EEAw+pg4DgkAe7D41FcgQRB4MC/UdC7VNy6wFf5uFAADEFAwfqAFKbAUKdRg/jIVIgAAU3D/MhYi +AABpcFv6o9EPLzA8aPZhfvFemhD+0TcgeAJI8PAJFgC4AkNwAEhh/zEmILoCW3D/1TYgEAJQcP7V +NyADEGAwWWwHixHAw/q8XSDCAlrwWWwDihErHAgPAgD6rGEgAxBgMFlr/v4KIi6HALXgYAF0AAAv +wAT/AgAGAHYD4BqF0w3cCQzMEayqKqJ/ZK6jjDb7DUsNCAFgMC+hGQ8PS3/ZDouqyLkosT98gQuL +uWW/9IqpZa/i0Q+KupsU+hYAICsANqArPEGbEyqsTvsSAyADEGAwWRY//goiIIMANqCKEIqo+hYA +L+IAtqCLFGS+Qh2Fdi3RfvwwPCAOAHtwaMZ8fsFtjRQt0QiKFPw8AAAIAlhw/goAIgAAeXBYA5SN +EWXe8mP+DPoSASIAAFjw/CwAAgAAaXBZEjLRDwAAAPoSASIAAFjw/CwAAgAAaXBb/BvRDwAAAPP+ +HWAAEFgwjxCfFGP/hQCaEJwR8/6oYgAAazB9147z/5Bj/xBoMAAosAT/AgAF/74CINEPGYVP0w8p +kX7/AgAB/s56UPoWACBAAmDw+twAAgAAaHBZFtH9rAAASQC2oCowPCsKgf8CAA/+udqQihBkrWnb +MP0WASIAAGCw/VwAAIQQcDBZFgjRDwAAAAAAAPoSASIAAFjw/CwAAgAAaXBZFODRD5oR8/ztYCIQ +cDAAbBAIKTEPHoSwKjAs+zAtJlEAtmD8hW0bgAQ6oPuFbBoJAFqw//r7IBEQMDD9zPwgagRysPeF +ZxYAUV6QHYQP0w/+hWQWAOPukP+E8BYBRfaQ+IUJFgFZ/pD/AgAGARnGkMAg0Q+LNCjSdvfCiSpw +AVgw/acHcgAASrAPqQGpiAmIEfh3CAIBESbQKzA3/wIAAAKDhuBotsh2ucUpMEIqMEMImRH6CkMo +CQBWcHqZsSowRCswRQiqEfsKRCoJAFqwe6md+iwAAgAAWPD8TAACAABpcFju98Ag0Q+LNCkwLiow +LwiZEfqZAgpwAVgw+JEMYgAAErD/AgAH/7QaYCgwMCkwMdMPCIgRCYgC/wIAD/+pchAlMDQoMDUI +VREIVQJoUQf/AgAD/52ZYCYwRi4wR/QwSCeABDmgDmYC/jBJJ4AEOaD4hH0WCQAhsPmFIxeABDmg +DmYCCGgB/wIAB/+CThAYhR4ZhBMIaAH/AgAH/3nOEH2nAg+iASjSdiTCiQ8CAAKICAmIEfhECAAB +HSbQKjEKDwIA+hYGK2ABUDD/AgACARSioP8CAAwBENHQ+xIGIgAAUTBY7wz8CgEgABBoMPrNOAAA +EFgwDcs49L6SZAUAUrAmMEYoMEcqMEj1MDQngAQ5oPkwNSYJAEGw+DBJJ4AEOaD4VREGCQBRsPlV +AgeABDmg8AHCZgkAQbCINCnCdRWEK/LCiSpwAUAw+jA0KAAgVnD6FgUpkAQ+YPkiCAIAeSYQLjAy +LzAzJyoj+yoiL4AEO6D8TREECQB7sP3c4CBsAnEw/EwACgCMd1Bkotf9CjYmAI+2kMP6+hYFJgBB +fpD8hNsQBRBQMP0SBSAwEFgwWXTtwCDRDwAAAAAAAAD6LAACAABY8PxMAAIAAGlwW/ygwCDRDwD7 +MQoiAABR8FjuzfkKASAAEFgw+ps4AAAQQDALmDj1jcBmBQBWsGP9jwAAAAAAAAD6LAACAABY8PxM +AAIAAGlwWRZawCDRDwDaIP5cAABAAljwW/VnwCDRDwAAAAAAAAD6LAACAABY8PxMAAIAAGlwW/5a +wCDRDwAAAByEsP0xCiAFEFAw/iIQIDAQWDBZdMAlMQoFC0v6EgUj/3ki4P8CAA3/dVnQ+iwAAgAA +WXBY7qT9CgEgABBgMArcOPXM+WIFAFKwihVj/sLApfyEnRAwEFgwWXSvwCDRDwAuMFYvMFcI7hEP +7gL/AgAP/mc7kC8wWCgwWQj/EQj/Av8CAA/+XVvQw9b7PCAiAABQsP5cAAAQAmtwW/PGwCDRDwAY +g76YFhyEiC8wPIdH+DA9IAUQUDD5MD4gNBBYMPdyDi+ABD/g+P8CAgAAaLD4MD8vgAQ/4P5yqi4J +AE/w9hYAL4AEP+D1FgEuCQBH8Fl0iSswRiwwR/0wSCuABD7gDLsC/DBJK4AEPuANuwIIuxH8uwIC +AABR8FjmzGSsHipykNMP/wIAAgC0gqD/AgACALCGoCwwPC0wPf4wPi2ABDsgDcwC/TA/LYAEOyAO +zAIIzBENzAIoMDUvMDScECUwRiYwR/0sAAAFEFAw+TBIJYAEPWD2VQIANBBYMPYwSSWABD1g/hIG +JAkATXD8hFAVgAQ9YPj/EQQJADVw9RYBLgkAR/BZdFwpMDQqMDUImREKmQL/AgAAAJEGYP8CAAP9 +v5pgGIRDLDA9KzA8jxb+QRsiAABRMP0wPiuABD7g/w9LCgkAZvD8MD8uAEBDsP/uAguABD7g/bsC +AGwCaPD+Dk8LgAQ+4PwKACoJAGbwWOrSwCDRDygwV/yELxnQBDog+IwIIAUQUDD4FgQgMBBYMFl0 +OY0UKjBWDUwM/dw2L/6DMpCtOi6gIC+gIQjuEQ/uAv8CAA/9dLuQL6AiKKAjCP8R+P8CAiIQQDD/ +AgAP/WhD0GP+FI1wLnA5/zBCIAUQUDD8hBUQNBBYMFl0IsAg0Q8sMDwtMD3+MD4tgAQ7IA3MAv0w +Py2ABDsg+HKGLAkAczAIzBENzAL/AgAP/01iEGijBMDTLXaQwKX8hAQQNBBYMFl0EMAg0Q8uMD0s +MDz9MEYiAABRMP8wRyACEFgw+DA+LYAEOyD43REMCQBzMP4wSCwJAH9w/zBJLYAEOyD43REMCQBD +MP4wPywJAHdw+MwRDYAEP2D/3QIAbAJ48P78AAwJAHMwWOYpwCDRDx+D6CLxfi7yQPn1fyIJAEiw +8vV+IAICc7D+9kAgABAQMNEPAAAAAGwQBiwwBx6CYRiCSf3ggCwgAWAwDMURqFX4UjogbgJrcA1N +FC3cCP8CAAoAY24QGIJBL1I5CMgKKIKX+PsBDgBZR9DxDgcCAABK8ABJYQBJYQBJYQBJYQBJYRmD +yf+DUhABEGAw+iIAIAAQQDD4FgEgABBoMP8WACADEHAw+RYCIAAQeDBYFlYuMQcfgjQODkr9g7wf +wAQ7oP+CMh4JAHuwnqD8IgAgEBBAMJij/6YCIAIQWDD4yREMCQBrMPymBCgJAF5w+aYBIAEQYDAs +pBnA5S5WOYsywNctJAT8NHgqCQBm8JsyiUTHpwqZAZlE0Q+PMsjx0Q8AK8wY+jwAAAEQYDBYHNfR +D2wQCBaCCRuCICwgByhiSvwMQQABECAw+7J/If0ANiAqYkkLqgH7rAAB+gA2oB6CFS3ggAzKEaaq +/6I6IG4Ca3ANTRQt3Bb/AgAKAPdv0B+B9yqiOQ/PCi/ylw+qAfoWBCHkADagjCfAkCkkdirJFIfJ +ZKGLjXEsIBQMzYf8JBQsAPGTIBSDfxWCWy9xF4pzjHr85jMgABBYMCvmMvqIFAqABDqgKuY5+OY4 +LgkAL/Av5j8P/xP/5j4g8AIpMIsUKEKCijAuQn8tQoQvQoMpQoGZEf0WACABEGAw+BYCIAAQaDBY +Ff0kTBj6FgQhoggpMIh0jCf1IQcgEBBIMP2CSRgJAEow/8kUIAAQSDD4dgQlQAEsMPQgByXABD1g ++zIAIOgAN+CDyYg0x8j+MRcoAEBiMPg2BCtABD7g/CAsJgIBJDD0BEEGoAQ94PeBzBQJAD1w8E8R +CgkAXzD7gcAYCQBaMPg2BCQJAD1w9aYALgkAe7D8IgAuCQBrsJ6kmaX7pgIggAI6sP2mBiIAAFjw ++aYHIAQQaDD4zBEAMBBIMPmmAywJAGsw/KYBIEACSrACC4YASWMAC4YASWGFMiUmHIkx/wIAAgBU +hlD2kMNgABAYMMCz+iIHIAAQYDD8TxEAAxBoMPb/CAAmAnDw/vY5IEACUrBYEqHSoNEPAAAAAAAA +8/5wYgAAOnDAMPP/GGAAEEgwAAAAAAAA8/4JYAAQUDAAAACIImSAh/QkdiAAEBAw0Q8AAAAAAADz +/iFgABBQMAAAAAAAiSJln90rzBj6LAAAARBgMFgcQPQkdiAAEBAw0Q8A2iBbmjwegYdj/hXaIPt8 +AAIAAGFwW5uliTH6FgUrwAQ6oAp3CPMSBSH/orJg+3wAAgAAULD8CgAiAABpcFubdfP/KmIAIB6w +AAAAAAD6LAAAHBBYMPxMAAABEGgwWBwl9CR2IAAQEDDRDwAAAGwQBIYwxIL5CoQgPhA4MPaGVwAw +ECAw9GE9cDMQUDD2oglwNxBgMMOxe2oK9sI5cDUQaDB9YjEiIAV3IUr4IUdwPRBwMP8KhSBABHCw +/zUIIAEQEDDRDwAiIAV3IQ74IQtwLRAgMHQhA8Ag0Q8oMDD7Ov8l6gA+ICoxCPuhE3ABEBAwKTUI +0Q/5NQggARAQMNEPwCHRDwAAbBAGLzA8LiAHKzELhi79IgAqIAFwMPy8xCAA0gfgF4Jr9BYAJgDc +m+Avcl0JaBH3gSQeACBH8CjwBfymEQA9EEgw97wTJgAgObD3RxQOANxKECliOneTExmBGyhiOQmp +CimSl/mEAQgAPkoQiyJksTYFDEf/AgACAJYbIIoni6j9oRUvwBAQMP+iCyBAAnKwAuIB+KkULAAg +F3D93EAmALtf0I8QDP8Rr4j4pRQqACB68P8CAAoAtVdQiBDJOPm8AAAWADYgbYkFAAOGAElhKuIA +DwIAD6oI/wIABgDGbpCa4NEPJSEH+fEILYAEP2D5JQggBgJC8PhIFAHoAlrw+0YDKAkAQ3CYSffb +Ag/hEEAw+0YBLQAEPqD4RBgrQAEoMPiA9BvABDqg/vIfKgIBdDD+RgsqoAQ+4PuA9hoJAFqwHoJ3 +JfIe9UYKLAkAbnD8RQ8gABBIMJlFmEIfgnD7qgIAqAJY8PpGACwJAHdw/UYELgkAfzD/RggggAJR +MFloeIonK/rA92Y5IEACSrD7CgAoAEBecPulFCCAAkpwmamZqNEP0Q8AAPusGCIAAGnw/AoBIgAA +ULBYG41j/rKNJ/76wCBAAmNw/goALABAczD+1RQggAJjMJzZnNjRD8KCePnaKXF+fZfU+zwAAgAA +YTD6Ov8iAABpcPolCCIAAFCwWP7e0Q/FtfskBSIAAFCwWA7T0Q/AwJyr0Q8AAAAAAPvdDAA2ADTg +KBIADUkUKpwI+jwACAMAUjBtiQUCCoYAS2OLECosQNMP+bsMCAAgbPBtuQUECYYASmUN/AysLCzM +QJzg0Q8AAC0sQJ3g0Q8AbBAS3XD9FhIgABBIMJkQmREoIAeFQPk2ACIAAFkw90AHIiABRDD0Yb5k ++AEsMPpiACYAzwFgLCAEKhUE/QowJAD/AyAuIHz+FQUmAOrtUMDRG4B/DDoRq6ooojqMEPsSASQB +o0IgGIB7LqI5CDgKKIKXwpj45QEOAIRHkC4hBxiAf/0WFC9AAXAw/BYVL8AEO6D7FhYuCQBDsJ5Q +jiAYgHn4VgIgBBBAMPlWAymABD+g+BEFKAkARnCZUSkgBCoWFwiICfyIEQgAzIJgHoH6qO7+4X8k +AJ2GYCgiEigWESkgTCkWEBmB9Ak5AgCEBClWBBiB8iQWGPv/UAUXAWAw/1YGKABAQvD/ge4Z8AQ6 +IPx4FAQJAEEwJFYH/xIRKABAejD0EhAoCQBqMPhVCinABDugKFYI/0QYDoAEP+D0VgwgARAgMPSB +3x4JACfwKBEE/1YNL+AEPOD4VQsuCQAn8C9WCSggBwgoQP96/yhgBDogD4gCKFYLJAoEJKY5JBIY +/4HSEd8ANWADOgn9gdAbwAQ6oPuANxgAIH6wLJJ/8iIAIBACQbD4xgAqACBu8PxmAyoAIFqw+mYC +I4AEOKD4ln8iCQAR8PJGASAAEBAw0Q8cgIcrQSf1TAAGAJVm0PP+b2AAEGgwAAD7TAACAABQsFu2 +fvasAAHJADagLakU9KIJISYAN2Dz/iRiAABZMAAAKSITKRYRKCBQKBYQY/7FACqwGPW8AAIAZv6Q +K1wd/AoDIAgCUHBZZ65j/hIAANoQ/AoDIKICWLBZZ6m0GvwKAyCcAliwWWemKyBVKxUFY/3tH4Gb +HYDlKSEX+AoAICACUHCYoJihmKKYo5ikmKWYppinLdJ/mKiYqf3tDAxIAUgw+cwRDAkAf3D5CEYI +BwFMMP0WEykABD5g/AoBKAkAYjD8FgQoCQBKMPgWBiCAAlhwWAxHZaB+HIGD/RIQIAUQUDD+EhEg +MhBYMFlxfSoSFysSFiwSFS0SFCkSE/P9+2AAEHAw2hD8CgMgMgJa8FlnfGP/JikgBPoVBCQAcQZg +aJQdK1xB/AoDIAgCUHBZZ3Rj/roAAAAAAPP+2mAAECAw2hD8CgMgogJYsFlnbSkgVSkVBWP/y8Cl +/IFkEDIQWDBZcV/ApfyBYRAyEFgwWXFcH4FaG4FfAzoJLBISDKoR/6kICgAgWrD4wzVgEAJZsCyS +gZvAnGOaYiuWgSqSgo2iy9GOIAjuEQ5+Av5GASAAEBAw0Q8AAAAA8/3UYAAQKDAvkoCb8Zpjn2Ir +loBj/8sAIgqI0Q8roAf7C0EAARBgMPu8GCAEEGgwWBppjCAIzBEMfAL8RgEgABAQMNEP2hD8CgMg +kAJYsFlnPi0gfC0VBWP/DgBsEAYYf6v3gKMSAAApMJUQ8AgHAEACSPAASWEASWEASWEASWEASWEA +SWEpIAT0PE4gkAJQ8PgiACQAfYZgLSIWJXI5CdYR/yEiJAAgNXAsUgguUHwtNg38wAQgogI4sPg2 +DiCcAjCw/zUiIBwQaDD+NEEmAFDvEPwKBiDkAllwWWcb2kD8CgYg2AJZcFlnF/USACAAECAw23D8 +CgMgwgJQ8FlnEveAfBIAAFmw/AoDILoCUPBZZw2JKZk79DYMIAYANmCTnJMpLiANLSEHLCEJKHLG +KyAHKiAW/yAMIAICQjAodsYvNAwuNA0tNQclNQgsNQkrNAcqNBb0NgIgBhBIMPk0BCBPEEAwKDQF +0Q8AAAAAAAD1EgAiAABZsFkTs/pMAAIAAFnwWROx8/9pYAAQIDAAAB2AVCwhKSkgfI4gnj0pNEH8 +NSIg5AJYsP02DiAGEGAwWWbl2kD8CgYg2AJYsFlm4okr+TYLIAAQIDD0NgwgBgA2YJOckytj/0kA +AABsEAQjIhgcgNb9IgAgBRBQMPT1GgAwEFgw/iICJOABLDBZcMqKIvQKAi/9EEAw+KgBABQAerCY +ImAAEgDIrllu1tug/Pr/IgAAULBYFxgsIAeNIib6wPQhV2wgAWAwZdFPHX8a/4DAG8AEPyCtuy6y +Og/PCi/9BP/yDSIAoMOgLrI5GH8r/+oBDgCZ/5AffxzwCAcCAABKsPh/GBAIEHAwAElhAElhmKCM +IMeQ/6YCLYAEPyD+pgMsCQAncP2mASYAeM6QGYCpCckCmaSMPvS2OSAPADcg+zIQIgAAULALwACK +J/x/oRAAECAw/X/DH/8QeDD0oENgQAJysPSlFC4AQDOw/6YAIIACc7CeqP6mCSAQAlqw9CYHKgCH +11Atwn0Zf7eb0ZmjKMJ9mKIvwn/7xn0gAgJ78C/Gf5QulC8kJhAkJhEkJhIkJhMkJhQkJhUkJhYk +JhckJhgkJhkkJhokJhskJhz8gIEQBRBQMPsKMCA8EGgwWXB1JCQEJCQFlCIkJCAkJCEkJCIkJCOU +KZQqlCuULCQlGiQlG/o8AAAAEFgw/AoAIAIQaDBZFHbAINEPaFIdwCDRDwAAAAD7zBgiAABQsP0K +AiAAEGAwWBmLaVLhiycvuRT6sgkgQAJy8AbuAf7sQCAgAnvw/7UUIeACUrD6tgkqAClykCixFfx+ +5hgAIFIwmLmcgIkgH4BZ+JkRAAEQYDD/hgIoCQBmcPmGASAAEBAw0Q8vwoAuzQKb8Z6jLcKAnaIp +woL7xoAgAgJKcCnGgmP+8Rl+1JmgiCDAkfKARxmABDog8qYCKAkASjD4pgEgABAQMNEPAGwQCIw1 +G4A1/oBAGWgBYDAIiAn5fpsZwAQ6IK6KKqJ/9oA8GAAgXnD9gDkYACBKMPsKACYBe0aQh6GJoJlw +iKApqRCZFJeBm6GboP7S7iAAEHgw/a3/INMANmCEp4hCJUIBKUID80IAIAAQWDD1hxQE4AEsMPcK +ASYAQDHw+X84AvgBHDD4ezgHkAQ5oPbmCA4AdH7Q+Tr/JgBwAOAoQQj/AgAGAGrOEBiAGy+CQyfR +ff6s+CAwAkqw//z/KuABZDD/hkMiawA24Ph/MB/AEFgw+woAKABAXnD71ZAggAJKcCnWR/nWRioC +BHYQHoALief6lgEgOAJDsCjWQY/nL9ZAjOn65gcgAgJjMJzpGoAB+3wAAAAQYDD6okQgARBoMFgO +7cAg0Q8AAACFsQWIFPiyAyYAQDIwhLLzCgEgABBIMPg5OAAAEEAwBDg4g7D1BUcHkAQ5oPS8AAYA +IDOw84NXDgALzhBoNg/AkCmRCCs6//8CAA//mdpQGX/o99F9KuABZDD1sihh8AJysP8CAAYARgDg +LEEIjyD/1j4iAABRsP4mByIAAFiwW/6aiCDE0vwKPiA9EHAw+IgRADMQUDD4WAIAMBB4MPhGASAx +EFgw9yUJJgB2AOB/MVRzoh17MhoqYAX3JTYmANRmkP8CAAYA0G6Q/wIADgFscpAYfmMIOAooghD6 +LAACAABZMPxcAAACEGgwC4AAwCDRDyqRUv8CAAH/uPaQ8/9sY/8QYDAAKGAE9yU2JACSgiCPQP+P +VwAwEEgwefFAf6ICe/oJw4d/gqjDlXnyoypgBQ8CAA8CAHyhS32hSH6hkSoKhSpFCPosAAIAAFkw +/FwAAAIQaDBZEmvAINEPAAAqYAV8oQx9oQnCvf8CAA//slqQLEAw/wIABf+shyAtQQguOv9+0b8v +CoQvRQhj/7YAAAAAAAAA9yU3IHgCUTDwChYAuAJIsPAJoAADEGAw+EEmILoCGLD3JTciAABY8Pgl +NiAIAlBwWWWDLyBhLiBiLjQB/zQAIAgCWHD9IGMgAxBgMP00AiDCAlCwWWV6+iwAAgAAWTD8XAAA +AhBoMFv8nsAg0Q8AAPgiACIAAFGw+NY+IgAAWLD+Jgcj/xBgMFv+MvclCSIAAFCwWAvrwCDRDwAA +KkwZ/AoDIKICWbBZZWYqTB38CgMgnAJZsFllYvoKMyAxEFgw/Ao+IEIQaDDz/q9gPRBwMPosAAIA +AFkw+QqEIgAAYXD5RQggAhBoMFkSIcAg0Q8AGX9ZjxT7kkMjwAQ5YAL0DAhPMp8U/9WQIf4CWvAr +lkP70ZEvwBBAMPTSRyAwAmKw+ZK8LABAQzD7FgIqACBm8PkWAyCAAlrw/0wACgCWWRDLJ68k/wIA +CgCoptDZ8P8SAyAeADVgbVkFAgmGAE9jJNJHL9mQDwIA/xYEJAAgILD/AgAGALPdECTWR4gUZIDT +GX5T/wIACgB2dlAcfzOIx/qGASA4AnswL9ZBjscu1kCLyfrGByACAlrwK8YJ+mwAAgAAWHD8EgMg +ABBoMP4KACACEHgwW/zi/QqIKeABVDB9mR5oNhsqEgPTDyqhCCs6/3uhDQZqAvsSAyIAAGFwWRLa +Gn8a+3wAAAAQYDD6otUgARBoMFgOBMAg0Q8AAAAA+iwAAgAAYXD7CoUgAhBoMPtFCCIAAFkwWRHR +wCDRDwAefwqJ6vqWASBQAkOwKNZBj+ov1kCM7PrmCiACAmMwnOxj+/jA8P/VkCCAAlswK9ZHK9ZG +Y/8YAI8SD08ML9ZHY/7MGX75jpr65gEgUAJicCzWQYuaK9ZAiJz6lgogAgJCMJicY/8VAACJEw+0 +DARLFG25BQQPhgBJZY8TKcxA0w/7WAwOACAn8G2JBQYJhgBPZwQoDPnZkCgAIEMw+RYEIIACQjAo +1kdj/p8pzEAp1kdj/pZsEASKNQpJUft+3REoADZg/wIAAAC0BmD/AgACAIACYCiyef8CAAIATB5g +9bKFKWgBVDCpiAmIEahViFIp+v76CkcIAEBKMPhWAiBZADag+KNzYBYQWDB7qUkpUgcsmRQjkglk +wI0vMgT8fsUQBRBQMP0xCiAQEEAw/jIKLgkAR/D/NgQgLhBYMFlurPpcAAIAAFjw/DAHIAUQaDBY +AgbAINEPAPJWGSIAAFFw9SYIIgAAWLBb+veLKMiiibLLm8Ag0Q8AAACJV8CxK1R4KpkUwDDzVhkg +BgA2oIOZ+lwAAAMQaDD8MAciAABY8FgB8mP/xAAA8/9uYAAQGDAssAfasPwLQQIAAGkw+7wYIAEQ +YDBYF7bAINEPAAAAAPosAAIAAFjw/EwAAgAAaXBb/kvAINEPACiyefWyhSloAVQwqYgJiBGoVYlX +Hn07jDQtmRT00EBsAEBzMIuZKrQbnLcsUAb8zP8iAABRcPxUBiABEFgwW5gfwCDRDwAAAPosAAIA +AFjw/EwAAgAAaXBZE5zAINEPAPP/wmAAEFgwbBBKGX1jLCAHJRaGhzD1TP8o4AEoMPwMQQIAADFw ++XcBAgCRAiCJIhh8vvWRt2AGAmlwGXzT/MoRBgUAO3D9fLkaACBCsCoWh/qiOiAGAlmwKxaFDc0K +/dKXKgDm3pArEocrsjkNuwH7FoQhnAA24C6CSmTheSmSfy+CSfn7AQ4AuE/QKiAUCqSH+iQULADJ +EqD4PBAgKgA9IPpM/yIAAEhwbakFAAiGAElhIhaA+h0CIgAAWHBZYv/YoPoWiCD+ADagwKP7HAAC +AABiMFlrZcl8+xKEIgAAULD9bAAAEAJg8FgQnvAAB2IAAFqwAAAA+EEXYgAAQHD6TP8iAABK8NMP +bakFAAiGAElhjTP8XBECAABQsPwyAioAIGbwWBBULBKHiif7EoUiAABpMPvGOSBAAlKw+0wAAAAQ +YDBYDYzSoNEPiieLqP2hFS/AEHgw+aILIEACcrD+FoMuAEB7sP4WgSwAIHdw/dxAJgBiXlApqRQM +SBGomfmlFCoAIFow+BaCKgBeV1DJPMlK2bBtSQUAA4YASWEqEoMuEoIqogD+qggCAAAxcP8CAAYA +dm6QLRKDmtDz/m1iAAAa8IgQGX1n0w/9EoYo+AFAMAmICiiC2CoSgPwQByIAAFhwC4AAKBKIY/7c +wCDRDwAAAAAAAPosAAAcEFgw/AoAIAEQaDBYFxPAINEPAPvMGCIAAFCw/RKFIAAQYDBYFw3AINEP +ANogW5ULY/5p8/48YAAQWDDAsJur8/3uYgAAGnAAAAAA+9oMADoANOAKTxT9/AgiAABA8P1NNgIA +AErw0w9t2QUACIYASWEpEoGqONMP/04MAIACSnBt6QUCCIYASWMuEoItEoEK7gz+EoMsACB3cC3c +QJ3gY/8dAC8SgSgSgy/8QJ+AY/8OAABsEAYoIHL6IAUgABAgMPkKCC/AEDAw+YgCABQQKDD4JHIm +AGsukPd9xhASEBgw+jMycAsQKDBzoWbzCgkqAMSGoHWhbP8CAAgAQAag/wIABgDYnpDAufujBn/1 +EBAw0Q/SQNEP2iBYsAz6LAAAABBYMFgR9h18do4gIyQFLdJxLHKYrt0J3RGtzI7HLewgBt0B9OUU +IIACa3Cd6Z3oJMQFjiLze/URbgA3oCogBWP/owCPImX/ndogW7WvZa/rwIr4JAUgChBQMIkiZZ+G +2iBbtYhlr9QsIAaKIiMkBfzMASABEFgw/CQGKgkAWrCaIvP/YWAJEFAwAIknLZkU9woWIAAQWDD/ +nDAgQAJycPTRd2IAQDewjZmJ8P+cDAGQAkpw9NBragUAZnCM4A8CAA8CAH3BWyzQAPgKFSYAYC8Q +/wIABgBcRxD5ChgmAFg/EPgKGSYAVE8Q+QoaJgBQRxD/AgAGAExPECnQByjhBSgWAPOICAnABD5g ++d0IAIACQjD42zlyAABjcP3MAA+dALcg/QotIBwANuAssAB9wVyMvsCw/P4MAZACYzAOyzllv+ct +IE7cQPAAQmwFAGnwjBAM3Axj/8IAjSJl3ojaIFu1kmWu1i8gBv4iAiAMEEAwKCQF//wBIAEQQDD/ +JAYuCQBDsJ4i8/5dYAwQUDAsJHFj/iSJImWeTcCoKiQF8/5FYAgQUDAAKzJKDwIAdbNyLXJmLDJJ +/csBDgA07xDaIPwhCSAAEGgw/wr/IAAQcDBYr2b8ISMgABBoMP4KASIAAFqw/woCIgAAULBYr18l +NkkvIAb+IgIgERBAMCgkBf/8ASABEEAw/yQGLgkAQ7CeIvP90mAREFAwAADz/otiAABpMPosAAAc +EFgw/AoBIAYQaDBYFkFj/gMAAGwQBIk/Gn0lhT6VkPsyDiBwAjDw9Hw6EAAQQDDyVwwAABAQMPm2 +ASGQAilw+DYPIgUAOXD4Ng4qABGdEC+ifR59GJbxnj8ton2dPiyif/amfSACAmMwLKZ/0Q8rooAp +rQKWsZk/KKKAmD4kooL2poAgAgIhMCSmgtEPAABsEASKIseN+ilTABgAerAIqAGYItEPAMieWWsB +26D8IgIiAABQsFloStEPAGwQBIkn+JIMICMQKDD2CiQgJRA4MPKcMCAAEFgw8okMAZACQjD5izkA +KRAYMPQKKyA/ADbgYAAQir7AsPosDAGQAlKwDKs5yrUssABzyegtsBD6sB8hxAgjcHWhBXahAnep +1NogW/+88//bYgAAWrDRDwAAbBAE9CIHL8AQQDAlTCD4VQEAABAYMPNFFCCAAilwlUmVSNEPbBAE +KCBw0w98hxQqIAf7IgAqAgFQMFkSMsin0qDRDwDAINEPKyBwLAr3DLsB+yRwIgAAErDRDwBsEAQV +e7wiUn/2CgAgJAA0oCJSfYQhiSCZQIgglIGWIZYgI1J/sDPzVn8h8AIQsNEPIlKAhCGJIJlAiCCU +gZYgliEjUoKwM/NWgiHwAhCw0Q8AAABsEBCHOYo49iAHKOABKDD5+gAvwBAoMPmqAQYAQE3w9gZB +AgBJmiCNJ4zYK9EV/9ILIEACc3D15QEAABBAMPnZFCoAIC7w+7xAIB4IY/CY2/AAYmIAABvwAAxP +Ea+Z+dUULAAgZ/D8uQwKAO1q0Ps8AAA4ADTgCUgU+BYSIBACQjD4SDYCAABrMG2JBQALhgBNYSgS +Eqk70w/4SAwAgAJpcG2JBQILhgBNYwn7DKtbK7xAm+DTwIsiyLLAINEPjDQfe43+fIIQBBBoMPV6 +zhAApu8QHHyB+AoAJcAEOaD4JhkkACApMChCOi0xCy8xCvzAfyQBxsIgGHt/KUI5CGgKKIKvHnrN ++JUBDgB1RlApIQcbescJCUoMmRELmQKZUIsgLBYU/lYCICgQQDD4uREABBBwMPhWAygJAHZwmVEp +IAQqFhUN2An8iBEIAViCYBt7aSu9Bqi7+7F/JAG4hmAuIhKeHS0gTJ0cHXxADW0CAIQEHnw/nVT6 +eVgIHwE4MPhWBi4AQHHw+Hw7H/AEO6D6fhQICQB2cJlX+BINLgBAQ7D/VQsuCQBzMC5VCv4SDCvA +BD7gm1j4iRAAARBYMPt8LhgJAF5wmV347hgJ4AQ9oP5WDCgJAF5wmVkoIAcIKED5ev8oYAQ6IAmI +AphbwOQuRjmLImRSiIg0xp/5iAEAARB4MPg2BC4JAH7w/yYCIAAQEDDRDwAAAAAAAAD/AgACAT/z +ECsgdvggdyBBADbg+yIZIgAAULBb+GnSoNEPAAAAAAAA+cwAABQANODITG1JBQQDhgBJZY3gD90I +/wIADgEdW1AvXECf4GP+R2WOSikgeGSeRCpSSmSi+CjiZitSSQi7AWSy7Bp6diqggAxnEaV3+XI6 +IG4CUrAKShS3qvoWECoBXNZQKHI5D2kKKZKv+YoBDgFUThAuIBSaHg7kh/4kFCwBZBOgLyIZZPI+ +wIj8yRQICQBDMPg2BCAAEFgwwOAuJHiMMP4mGSAA9ysQjCcvyRRk8iyEyYhEJyEH8yAHL/gQYDD+ +QgEoAEBiMPhGBClABD5g/CAsK4AEPuD/QRcnQAE8MPx3EQoJAF+w/nqzGAkATzD5iQIIAgEYMPtG +ASigBDog+HpEFgkARfAbejr5RgQiIAEcMPA2EQYJAEXw96YALgkAN/D8IgAuCQB38P+mBCAwEEgw ++aYDIAIQQDD7pgIiAABJMP6mBiAAEHAw/qYFLYAEOyD+pgcsCQBrMPymASBAAlqwbYoFBgmGAEtn +hkImJhyJQfesQCIA8AZQLgoA/hYPIAD6LmD+Eg8gAxBYMPoiByAAEGAw/D8RAAMQaDD1/wgACAJz +sP72OSBAAlKwWAsX0qDRDwAZe6IvFhMYe7L9IRcgABBwMJ4RnhOeFJ4VnhaeFyiCjJ4Y/hYJIgAA +UHD4uAwAARB4MP0MRggJAEow+BYRLkgBaDD9fUAPkAQ7oPDdEQwJAHMw/xYALAkAazD8FgIgYAJY +cFgGTWSgycCl/HuJEDIQWDBZa4XApfx7hxAyEFgwWWuCiyJlvDb7bBgiAABQsPwKASAEEGgwWBSo +wCDRD53gY/wUK+J9KuKYDMwUrLsJuxH7qggCAABpMPomGSIAAGDw8qYIIgAAWLBb94PAINEPLiIT +nh0tIFCdHGP8j4UyJSYciTGaHvusAAIAeQZQ9pELYAAQGDCKJ9tA/AoAIgAAaTDzdjkgQAJSsFgK +09Kg0Q/BsAvLAvs2BCAAEEgw8/3CYIgQWDAAAPP90WAAECAwAAAce1b9EgwgBRBQMP4SDSAyEFgw +WWtQKhIVLBIULxITLRIR8/wbYAAQWDAAAAAA+2wYIgAAULD9EhAgARBgMFgUccAg0Q8A+iwAABwQ +WDD8CgEgARBoMFgUa8Ag0Q8A2iBbkmmKHow08/0vYAQQaDDaIPt8AAIAAGGwW5PRiUH6Fg8twAQ6 +oPeeFGYAIGXw+3wAAgAAULD8CgAiAABpsFuToY0fra2dH2P989og+xIOIgAAYXBbk8KLHokx86wA +DcAEOqD3nv1qACBm8Nog/AoAIgAAaXBbk5Tz/uhiACAesAAAbBAGGXsyKiAF+CAHIgAAcPD2CgAi +AABZcPwiByADECgw9KDHaCABQDALCkf9zDAiAHwCoBl5cP97FRfABD4gqXcpcjoPjwr//QQkAQAt +MP/yDSoAri5QLXI5/9kBDgCo/1AoIAcceXgIK0AKuxAMuwKbkCYiAPt5bBeABDmg+5YCJgkAMXD2 +lgEuAK8hUCwwA/yWAyAgAkpw/wIAAgDAGqD+PBAgKgA9IPpM/yIAAEOwbakFAAiGAElhwDD8ewUQ +BRBQMP0iACAoEFgwWWrpJXY5LiAE/yAFIAkAt6DM8WQwh9Iw0Q8AAAAAAAD6ktsvOQC3IGSh1CqS +2Y2hjKCc0I+gnfGWoZagLJLbsMwsltsvrf+NIP32PiHwAmKwnCcmJCAmJCFj/wCHzMCQ/X8MAZAC +OfAPeTn3Cisu+QA2YGAAEY+ewJD/1gwBkAJ78Ab5OWSe3yaQAHdp52Se1m1JBQADhgBJYcAg0Q+J +Jxp57fsKAC//EGAw/JYAIBACQnD7JgcqAJDOkB560Sri2RJ55ZihkpMv4tmfki3i2/jm2SACAmtw +/ebbIgAAEPDRDwCKIsDeetAQjsL4FgEhLAC3oMfxD6oBmiKYEfsWACC/ADag+iwAAgAAWPD9EgAi +AABhMFgNaNKg0Q8bedsvIQj9CiAsIAFAMP2WAy0ABDsg/AoALgkAZ/Cclft6sx4JAF/wn5SblvP+ +gWBAAkpwAIsniryMoY+gn8CNoPzWASAAEGgw/aYAIGACWvD9pgEhsAJCsG1JBQAIhgBJYRZ5tSis +yP8CAAoAWEWQHHqdKMLfH3qZmoGfoS3C352gKcLh+sbfIAICSnApxuGJsMAw+5oMAZACSnDz/jRi +BQBWcPosAAAAEGAw+4wYIgAAaXBYE6Fj/y4AAB16iiLS3B96fZghn5Mu0tyekizS3vjW3CACAmMw +/NbeIgAAEPDRDwAqktyNoYygnNCPoJ3xlqCWoSyS3rDMLJbeY/4nmxBZaHXboPwiAiIAAFCwWBC3 +ixCIEYoiY/7DAB96cizy4hl6c5rBmaEo8uKYoC3y5Pr24iACAmtwLfbkY/9QAGwQBIooiacomRT7 +kgkgNgA2IPmiAiAAEGgwLSQE/SQFL/4QYDDyphkoAEBmcJmi/LAHIAMQaDBb/aTSoNEPAAAAAAAA +8//KYAAQWDBsEAYuIATTD/cKGCYBJgeg9QxHBgE1P5D8FgEiAHIDICswEPgKASArEGgw9gotJgHM +7tD3CjImAfC20P8CAAYAoL7Qwob/AgAGAN/G0IoRKSAHwMD5CEECAIWCoIsinBD8eIgQAxBoMPWz +LmwBAG0w/3oqG8AEPiCsuy6yOg+PCi/9BP/yDSoBg2+QLrI5CSdA/HiQFqAEPeD/6gEOAXh/kAx3 +ApeghiAprBD3eIIXgAQ5oPemAiYJADNw9qYBLgG0o1AoMAOYo4oRHHk4+DwQIgHGGqD4QQ1h/gJR +MG2pBQAIhgBJYcCALbY5LiAE/yAFIAkAt6DM8WSEAtKA0Q8AhieIMh94eB56GPRju2r4AUQw8A8H +AgAAUPDwDqABwAJjsPEKFgIAAEsw8hkeDHwBRDD5zBAgEAJA8G3ZBQAIiAAJivN6ChfQBDtgv2QE +RBT2NHsgAgIhMCQ0f/P+xGIAABswhieKbCZsMP8CAAf/dzaQK6zIZL7jwOGeEGACGgCMEf8CAAP/ +Y5sgKSAiy58uIAcbeEvA8P8kIi4gAXAwC+4JLeHeqd0t5d4pICJkk48tsnuGK/DRBAH+AmJwAMwa +AIoa+qz/JgAgYbAKZgKWKiggIC4gBcCUCYgC+CQgI/83G6AICkP/AgAL/zGaoIonwLD7JCAgIAJS +sFgLJMDALCQULCQFY/5EjRH/AgAD/x+bYI4nyOjaIFv7+9ogW/z0KSAF+AoIIMEANmAvICAI+AL4 +JCAj/wwaYAgGQ/8CAAv/BpmgiifAsPskICAgAlKwWAsOY/+mAAD6LAACAABY8PxMAAIAAGlwW/9S +3KD6IgggXAC3II2iZNBSwCDRD48y/Hm8EAUQUDD9IgAu+AF8MP8WAiAwEFgwWWmZiBLCZnaBZcJ7 +d4F4wp3/AgAGAEXOEMOieonD+iwAAgAAWPD8TAACAABpcFkPYsAg0Q8roAf7C0EAARBgMPu8GCIA +AGkwWBKzwCDRDwCMJ2TAYvgkICAAEHAw/iQhIAcQaDAtJAVj/UkAAAAAAPosAAIAAFjw/EwAAgAA +aXBZD87AINEPAPosAAIAAFjw/EwAAgAAaXBZD4nAINEPAPosAAIAAFjw/EwAAgAAaXBZD3HAINEP +AB95gi/y22TyKRp5fymi2YyRjpCewI2Q/NYBIAAQWDCbkJuRJqLbsGYmptsqnf+GIPamPiHwAnpw +nydj/1/aIPuMGCAAEGAwWBKG+iwAAgAAWPD8TAACAABpcFgMGYsiZb7HjBBkzsL6LAAAABBYMFuS +/cAg0Q8AAPx5axAFEFAw/SIAICgQWDD/MB8iAABw8FlpRygwH8LT/wIABgCubhDC5P8CAAYAqXYQ +Lwol/wIABgCj/hD6LAAAAhBYMFgAomP8OQAAAAAA+iwAAAIQWDBYAHBj/CYAABl4bhx5TSYhCP8K +IC8ABDog/6YDIAAQeDD/pgUmCQBxsPymBiYJAEmwlqTz/HFgQAJKsAAAjieK7I+hiKCY8Iag/2YB +IAAQMDD2pgAgYAJzsPamASGwAkKwbUkFAgiGAEljKazI/wIACgBnzxAWeTAvYt8ZeS2a8ZmhKGLf +mKAvYuH6Zt8gAgJ78C9m4YbgwID+aQwBkAIxsPP8I2gFAEmwHXh1CApR+SAHLAAgbrAt0IAsCvv8 +mQEN4AQ/YPwK/CgJAG5wDJkB+CUIKAkAVnApJAdj/BOKJ/4KAC//EHgw/6YAIBACSrD+JgcqAEpX +EBZ5EC1i2Rx4JJnRnKMrYtmboiJi2/lm2SACAhCw8mbbIgAAEjDRDwCPKZ8qY/yIAPosAAIAAFjw +/EwAAgAAaXBb/czSoNEPABh4/iaC4h94/5phn6EpguKZoCaC5PqG4iACAjGwJobkY/8xG3j1KbLc +jZGPkJ/QjpD95gEgABBgMJyQnJEqst6wqiq23mP90hZ47C1i3Bx435nRnKMrYtyboiJi3vlm3CAC +AhCw8mbeIgAAEjDRDwBsEASFIPJUDAGQAhlwwCAEMjnRD2wQBCogICsK8/uqAQAAECAw+iQgIk4A +OOBpMRj8Cv0gKgB6sAysASwkINogW/vuLSAgZNBk0Q8AAAAAAP+n9XABEBgwLgr+Dq4B/iQgIgAA +ULBb++4oIAccdyEpICL0JCIoIAFAMAyICS+B3qn/L4XeKSAiiisuwnv0kC9h/gJqcADhBADdGgA7 +Gvu8/yoAIGqwC6oCmipj/4+KJ9MPKqwQWAoBJCQUJCQF0Q+LKZsqY/92AGwQBCogBcCIeoM09QoA +IEUANqAqICDAQfOpAggAD5qQ+SQgIvgAOODaIFv7wtogW/ukKiAgCgpBaqNZ0Q8AAAD6LAACAABY +8FkOCWWv7CogBWP/tosnZb+5G3eKLLJ/+bJ9II4ANyCOkYiQmOCPkJ7xlZCVkS2yf7DdLbZ/LJ3/ +iyD7xj4h8AJScJonJSQgJSQhY/992iBb+38kJAXRDwDaIFv7rS4gBxx24C8gIvUkIi4gAXAwDO4J +LeHer90t5d4pICKPKyvCe/SQHWH+AlJwALEEAKoaAEga+Iz/LgAgV/AI/wKfKmP/PYwpnCpj/zYp +soCOkYiQmOCPkJ7xlZCVkS2ygrDdLbaCY/9vAAAAbBAIKjADKSAH+DAQIgAAMTD4FgYgCxBgMPoW +BCggAUww+RYFKAChhiD4FgYmAKXmEMDQnRKOIokV+nanEAAQeDD/FgEg7gC3oAyXEap3K3I6tEz8 +FgAqAKDm0Bx4RStyOQycCizNBIzNDLsBKSAE+xYDIS0ANuD+eFYQlwA2YC+iSmTwmizi2CmiSfyb +AQ4ASOZQLSAUmBYN1If9JBQsASyTYP8CAAgAjIIgihMddpWdoIgg+xIELuABLDD1dpQQIAJKsPum +AymABDog9aYCKAkAQTD4pgEiANKb4Pg8ECAeAD0gsEptqQUACIYASWHAoI8w/wIAAgBsq9Amdjko +IAT5IAUgCQC2IMyRZKI80qDRDyogFKSqKiQUY/+FAAAA+iwAABwQWDD8CgAgARBoMFgRNYsSZLHn ++iwAAgAAWPD8TAACAABpcFgKx4wizM2NEcjZ+iwAAAAQWDBbkazAINEPAAAAAAAA+iwAAAEQWDBb +/1+IFmAADPosAAABEFgwW/8uiBYFDkf/AgACALsDoMDxnxJj/p0A8/7QYAAQWDCLFf0SACIAAFCw ++AoBIAAQYDD5jDgAMAJa8FgRE2P/ctogWQ6xZKFvjCJkzt1j/2ItIASMIC8gBxt2Vf4SAyABEDAw +/ylAAf4CQTD8iBEIoAQ+YPuZAggAIHIw9NGxa4AEPyCVhh12TZmE/woEIDAQcDD+hgcuCQB+8P+G +BSADEGAw8A0HAEACSjBtygIASWEsIAcZdqf2IQggYAJ6MPt35RwgAWAw8MwRAAIQKDD0VTYGCQBh +sPuGCiYJAEmw9oYIIgAAY/BtWQUAA4YATGH2EgAgABBoMC30BmP+fY4S+CIHIRUAN6CKjIuhjaCd +sIyg+8YBIAAQeDD/pgAgYAJCMP+mASGwAlqwbUkFAguGAEljHnbUL6zI/wIACgCuf5Abd8Qush/6 +5gEg+AJq8J2hLLIfnKApsiH6th8gAgJKcCm2IY+AwKD4+QwBkAJ78PP9/moFAEvwANogW47DiBZj +/aCJJ4qcKZww/wIAB/9BzpArrMhkvnjAwZwRY/4ZAAD6LAACAABY8PxMAAIAAGlwWAwR0qDRDwAt +IAX/AgAJ/0SbYMDiLiQFY/58iSf/dq0f/xBYMPuWACAAEEAwmCf4nAgqAGxP0B13miLSGfgmASDI +Antwn5Mu0hmekizSG/jWGSACAmMw/NYbIgAAErDRDwAAAAAAAAD8nAAAARBYMPqMICIAAGkwWAbf +Y/1CAAAuIQj1hgYiIAF8MPmGBCAgECgw9YYHIAMQSDD1ddkYCQBO8PmGBSMABDzg8yAULgkAG7CN +KYkr9e4CBAkALzCVipmN/YYMIoAEPOD+hgggABBwMP6GCSIJADTwk4suJBTz/OdgBgIxMBx3bi/C +Ih53Z5rxnqEtwiKdoCvCJPrGIiACAlrwK8YkY/6nG3dlLrIc+OYBIOACavCdkyyyHJySIrIe+LYc +IAICELDyth4iAAASsNEPAAAAbBAGiSL4IAch/gJRMJoTmhIadZf1kKhoIAFAMAyGEapmLGI6+SAE +IAYCaTD9FgEqAGBvEBx1kCtiOQyMCizClwy7Afe8AACxADbgZJBhLaJKH3WfZNCAL/J/LqJJ/+sB +DgA7/5AoIBQIhIf4JBQsAOoSIAUJR/g8ECIAThpg+EEQYgAASfAqTP9tqQUACIYASWHAgIkTizAM +mRH5eQgCAIGq0IoS+mY5IgAAEjDRDwArIBSkuyskFGP/twAAAAAA+iwAAgAAWPD8TAACAABpcFgL +j9Kg0Q8A+iwAABwQWDD8CgAgARBoMFgQLWP/0QAA8/9PYAAQWDD9CgEgABBgMPncOAIAAFCw/RIB +IDACWjBYECNj/6mMJ/jCCS/AECgw/ckUIEACWzD6wRUkAEAu8PUWACnABD0g+d0MACACQjD4xgkk +ACAusPjdMgCAAilw/cUUKgCrqhBomzyomiqs8P8CAAoAc9VQ+EEcYgAAYfCwTW3ZBQAIhgBMYYqx +CpoI/bkEIeACUrD/AgAGANIukPq2ASIAAEKwZd74YAD0AAAAACUgBy0gBBt1R/8iACoCASgwCqoQ +/HU7GgkAWrD00PFrgAQ/4JySHnVDmpD9CgQgMBB4MP+WAyoJAGrw+pYBIAMQaDDwDgcAIAJScG3a +AgBKYRV1nSwgBxt23C8hCPwMQQACEHAw9O42DQAEOyDynCAuCQBn8PuWBi4JAC/w/5YEIgAAULDT +D23pBQIDhgBKY8DgLiQGjRH9ZjkiAAASMNEP2iBbjdZj/icIXAz8TxQCAABR8G35BQQIhgBKZSgS +AP9PDAoAIGHw+IxAICAAP+At/P9t2QUGCIYASmeIEC65BAyaDKqIKIww+LYBLgUAt6CMEMDQ/bUE +IIACYzCcsZyw8/3tYAAQQDAKiAyYyWP+pBN1BZqQ/JYCICAQaDD9lgMgAxBwMP0hCC4JAHLw/pYB +JiABLDD0IBQnAAQ94P4iCSwJAD9w9yILLAkAH3CdlPeWCSSABDkg/pYIJgkAH/D+EgMgABAYMPeW +BiABEDgw85YFJAkAOTD0lgcgBgJzsPMkFCIAABIwLmY50Q8AiBAojECYsWP+XQAAbBAEaEMGaEJC +wCDRDyggBrCICAhH+CQGL/AAtiCJIiwwAceu+wr9KABAVnD5JgIiWAE/IHvJ0fosAAAAEFgwW5AK +wCDRDwAAAAAAAPs8ECIAAFCwWQ2XwCDRDwD6LAACAABY8FkNp8Ag0Q8AbBAEGHS5GXZw+goBIgAA +cPDwMQQCAABosPMzCQMgEBAw8tIoAgAAeTDwqhoP/xAgMPkpCAQRACKw/JKuI8AEPOD4kq8iACBA +sPuSsCIAIBTw8z0ILABAYTD8lq4oAEBBMPiWryQAQFkw9JawIwAEO+AiNs/yNs4gDQA34CiSrgio +AiiWrsCk/HZRECAQWDBZZi7AINEPAABsEAiXEBx2TPoSECAgEFgw+BIRIgAAaLD4FgUiAABw8PgW +AimABD1g+hYELwAEPSD6FgEuCQBP8PoKBC4JAH2wWWYbGnY++XSCEAEQcDD3djwQABBoMPz6/yCu +ADUgaEEExirRDwCIFPsSEiMgECAwBCQoAz8J+UkID8AEP+D/EgUoACBP8AAxBPDuGgQAIDkw+Z0K +L+AEP+D7+zgBAAI6cPv7OADkADYgK5WgLEKvGHYlDwIA+hIFLAkAY7AsRq8qlaX4lkwg2QA1YGlR +kooUixVZaAn9CgggABBgMFlmcPwKACPoEGgwWWZ72iD9ceAiAABi8P5CryIAAFjwWRkawCDRDwAA +AADwMQQDIBBgMAwsKPDrGg//EHgwD7sD8z8JBAAgOzAoQq/5zAgPwAQ/4K/M/M0KKABAWjAoRq8q +xkz9xaUgfgA1YP8CAAH/ih1gihSLFVln6P0KCCAAEGAwWWZP/AoAI+gQaDBZZlvaIP5CryIAAGLw +/QoAIgAAWPBZGPnAINEPAAAvQq8M6AMI/wEvRq8tlaX6lkwvLwC1YP8CAAH/Xp2gLXHg/BIEIgAA +ULD+Qq8iAABY8FkY68Ag0Q8AyW3/AgAB/0wdoPwSBCIAAFCw/kKvIgAAWPBZGOLAINEP2iD8EgQi +AABY8FiUKsAg0Q8AAABsEAYpMAJkkQtokUD4kghv6hAQMNEPAAAiMAb4dQwTIBBIMAkpHamIKIKX +JDAF8zIDIK4ANiD6CgQgIBBYMPx1xBIAAGiwWWWcxirRDy8xCBR0Ai0wBi4wB/l1txMgEFgw+9sd +D/8QEDD+7AkAARBQMPDhBA3ABDsg8KoaCAAgTvD4kq4iEQASsPSSryoAICbw9ZKwLAAgWzD8zQgo +AEBAsPiWriQAQCCw9JavIgBAKLDylrArAAQ/4CvGzvvGzyANADfgLJKuDKwCLJauwKT8dZsQIBBY +MFlleMAg0Q8AAPoKBCAgEFgw/HWbEgAAaLD+TAACAAB48Fllb2RAQf8CAAH/o50g+iwAAgAAWPBZ +DMXAINEPKjAGKzAHLDADLTAELjAFjzKIM5gQKTEJmREoMQooFgJb/zTSoNEPAAAAAPosAAIAAFjw +WQzzwCDRDwBsEAQSdMgiIAQiNAgiNAkiNAryNAsgABAQMCI0AtEPAGwQBMAg0Q8AbBAEJiIQ0w/1 +CgAgOAA1oBp0ISgiEfcgeCAoAkqwbQgfI6J+8pKFJAAgQXCkMwkzEfVcASIAIBiw9yQWKgADsVBj +/9nRDwAAbBAEIiEF0Q9sEAYVc+X+dWIQABAgMPYKACIAAEjw+RYAIAAQODD+4X4gARBgMPAANmAA +EBgwAAD6CgAiAABhsFlnT48RLhIC+zMCAAEQYDD29ggGCQBV8PVcASACAiEw+EksYAgCELAAQAQO +CBt/h+aLIGiwKSpQMJ4SAKEEAMkaCQkG+hYBL//YXlDGKtEPixCTsfe2ACAAEBAw0Q8AAP1MAAAC +EFAw/HU9EAAQWDBZZRHGKtEPAGwQBAAEi8hWAyJgAARh0Q8DImDRDwAAAGwQBIQn8/r/IEAQUDD0 +Qg4gABBAMCVNBCpGtiNG+yNG+ilNAyOVCiOVCyhGviOVKiOVK/hGziFAAjFwJkbo9kbpIegCKXAl +Rv0lRv4jRpLRDwAAAGwQBCghBCUhBSkhAoQg+Iz/JcAEPWD8iBEB/gJKcPklAiQAIEEwBUQM8AAw +YAAQQDAlIQUmIQT0IgAgAgIpcPUFTwH+Akmw9SUFKcAEPmD1YSJ0ACBJMAxXEQdEDAAEiwhYYPAE +gA+UALlgIiEFCSIRolLRDyglBfP/22AAECgwbBAE9XT+EyAQQDAIKCioVS5SsvlSrCAMBBuwyJLR +DwAAwKT8dPcQIBBYMP0sAAIAAHjwWWTII1ay+iwAAgAAWPBZGG8WdDMmYAT0CgAvzwA1oNog/DwA +AgAAWTD+UqwgABBoMFkX6bFEdknlY/+tAGwQBCogB4gi/HMXGCABVDD1gJhrwAQ+YP5zFBoAIGbw +LLI6Dp4K/uKXKgBNJxAtsjkfcxf+2AEOAEZ3UIwyKSEHCi5A+u4QCvgBYDD5CUoLAAQ6oPyZEQ4J +AFOwCe4CD+4CnoCJIP1zDBH+AlEw/KwRDuABLDD9hgIpgAQ+YPyGAygJAE0w+YYBIqACO+D5jBAg +ABAQMPg8ECAaAD0gbakFAAiGAElhJLY50Q/6LAACAABY8PxMAAIAAGlwWAkR0qDRDwD6LAAAMAJa +cPwKASIAAGkwWA2vY//RhieCafNpFC/AECgw+mEVIEACYbD8SREEAEAvMPkzDAAgAhCw8mYJLAAg +LrD4MzIAgAJrcPNlFCoAT2iQaJs3opoqrPD2jBAqABvXUPhBHGIAAEGwLkz/bekFAAKGAEhhisGq +mvPJBCHgAlKwfaFu+sYBIgAAErBkMEgktjnRDwLdDA1KFNMPbakFAgKGAEZjCk8M+FxALgAgajD6 +7BAgIgA/4LD+0w9t6QUECIYASmUvyQQNkgyiUiIsMPLGAS+7ALfgwCDyxQQggAJBcJjAmMEktjnR +DwoiDJJpY/9dIlxAksFj/5AAAAAAbBAIKxIQkhX3dHISAABJ8PYSBSIAABGwmxeZFPdzTxYAIDmw +YAAFAGRQb80sKmKACjwB/Enxcf4CKXCNFGTQTfrWACAAEBAw0Q8Ajxdk8EII6jAZcpspkjHApQoq +NwqZKKmImBYMAgCKFylygvhylBgAQFZwCKmOCKgKiIQLgAAM6jCLFgy7DGux2WP/nsAg0Q8A2iBZ +XBtj/5HeMP0SBSIAAHkw+hYAIAgQWDD8dEkQARBQMFlkGscr0Q9sEAQF6jAWcn8oYjHAlQkpNwmI +KBRzIvAAFWQAIEVwBqgKiIQLgAAJ6jAJWQxqkRMMAgAqQoIKOgEIqo5lL99pptzRD9EPAAAAAAAA +bBAEE3Mw9nMLEBACKLD6cy4aABAU0CtifZWxmiMpYn2ZIihif/VmfSACAkIwKGZ/0Q8vYoAubQKV +8Z4jLWKAnSIsYoL1ZoAgAgJjMCxmgtEPAAAAbBAEE3Mb9nQCEHACKLD6dAEaABEU0CtifZWxmi8p +Yn2ZLihif/VmfSACAkIwKGZ/0Q8AAC9igC5tApXxni8tYoCdLixigvVmgCACAmMwLGaC0Q8AbBAI +GnLbGHJCKaKGK6J8KqKMKIDBCbsR+qAkKAAgXnD6FgUgHgB+MCqdASqsgJoUYAAHK50DK7yAmxSM +Ff8CAAAApqcg9MwAAAAQGDD2c/YQABAQMPAAumAAEDgwLVAHJ1Ub81Q5IPwQcDD+3QEP/xBgMPxU +FiwJAGyw/VQHIgAAUXBZL62KV4quLK0E+60EL/8QSDD5pvogQBBoMC2mtimm+y2tAynVCynVCiem +vinVK/nVKiHoAlrwK6b+K6b9+aaSIUACYzAspugspuknps4cc9aNUC9QDS5QDJISnRGTEPhggCA0 +EFgw+BYDIAUQUDBZY58rYIAAMAQLCxt/v3aMFfIsASACAhjw88F/cAICITCPFB5ylinwDSjici/w +DC7ihvg1CAgAIEEw+YgRBZAEPWD+VQgOACBDsC5WEP9UDCXcEFAwKlUaKVQNKWCAACAECQ0b/wIA +A/+B/1BtCA+xIgAgBAkPG/8CAAP/eH/QY//pAAAAAPoKBSA0EFgw/HOsEgAAaPBZY3lj/3LRD2wQ +BBhy5Y0uH3NaLiIL+iIMIAAQGDD88okgVhAgMPvsAAYAiMdQCdkR9KBsbAAgSzCeq4sryLCavIon +kyvzJgwgIAJSsFgEyY0n9vrAIEACY3AGzAHz1RQggAJjMJzZnNgrIAXzJBUmAJWm0CUgBxtyyfRy +1BBPEGAwLCQFK7F+9EJ/IgAAULD7KxQEIAEsMFiPemShFNEPAI3J+sILILoIE3D+xgkiAABbsC7A +BfgKQiAGADbgk7z/AgAP/77DkInJ0w/6wgsvcQC2YGWvafvCACA/EEAwKMQFLvJy+vKMIAEQaDD+ +uwwAABBgMFgCOhpzbYmusJmZrmP/PHKpBp7Liytj/6SNynLZCZ7K8/+aYgAAW7AuIAV06Y8tITb+ +ITcgBRBQMPxzYBAyEFgwWWMs0Q+ILQmIEfWu9WwAIEMwicv/AgAOAHMSUJ7LiyvIsJO8KsAFw7D/ +AgAP/29akI3LZd7Ujsxl7s8owg1ljsn7wgAgKxBAMCjEBS7yc/ryjSABEGgw/rsMAAAQYDBYAhJj +/qUtITb+ITcgBRBQMPxzQxAyEFgwWWMO0Q+NJxlzQJlALNEV+CIAIAEQODD70gggQAJzcPnSCy4A +QDew/8wICYAEOiD8zEAoCQA6MPhGASDeBFpwKtkUDwIAKqwQ+tUUICACUvB6w15kQAsABIYAS2Eq +0ggqrBD/AgAGAEbmkJrgiyJlvn77XBgiAABQsPwKASBAEGgwWAwa0Q+NzXLZCZ7N8/8XYgAAW7CI +zP8CAA//hpIQnszz/wNiAABbsACT22P/vAAAAPvMDAAyADUgDE0UuNr6ejYCAABJMG2pBQIJhgBL +Y6xJ+vxAICQAP2ANewzTD225BQQJhgBKZQz9DC3cUJ3gY/94KPxAmOBj/3AAAAAAAAAAbBAE+CIA +L8AQSDD0MEloAEBMsNMPbQgwc4E8KjAA/DAHIGwEIrAqIQX5qwgNwAQ7IPu8QCIAIGTw+zsPcgAA +OPDzfAAAEwA14GP/xgo3DGP/7gAAAAAAAPOODAAAEGgwDtM40jDRDwBsEAQrIAf2cScQAxBoMAsl +QApVEPchCCQJADVwlTCIIBpxIv5xGBAgEHgw/zYDLYAEOiD+NgIsCQBrMJwx+SIJKiABXDD2IBQr +AAQ+4PsiCyYJAF3w+zYJIAAQKDD5NggoCQBSMPg2BiYJAFXw9zYEJoAEOaD1NgUmCQAxMJY39SQU +IGACEPDRDwAAAGwQBCQgIhhxAPMiCyABECgw9EAfYf4CMTAogn8AgQQAZhoAVRr1XP8iACA08AUz +ApMq0Q+JKZkq0Q8AAGwQBPVw8hABECAwJVJ/hiuDKQBRBABHGvd8/y//EEAw9jIMBhEARfDwUAQG +AEA5sAYzDPMDGQIFABEwoyLRDwBsEAYoIAUuIAfTUP4KQQgAXJogiymPK/8CAA4AVtvQjCcswRTB +kP8CAAoAT2ZQHXDGDKwRrcwtwjrAYfUKACIATMdgGXDCKMI5CakKKZKX+Y0BDgBCzhAXcNEOJkAK +ZhD+cMcWCQA5sJbQhiD3IQggIBBIMJnT+GgRAAMQSDD+1gIoCQBKMJjR+HDGHwAEOqD+IBQmCQB1 +8JXVn9n71ggugAQ7oPh3AgYJAEGw9tYGIAEQMDD31gQuCQAzsC7WByUkFC4SASnGOQMIR/8CAAIA +SJogwCDRDwCJImSQqgMKR2micoknK5kULZwg+xYAIJEANuCKmRRyafSgom/AEDgw8AAHYCoQGDBk +oJKL0PSgN24AQDtwerEvLKAA0w/5oAcgTgQbMC/RBf74CAnABD5g+IxAKgAgSrD4qx5yAABisPrM +AA/RALcgCrwMDFo4ZKBMjqJ06bHAINEPD6wMY//fiif7TAAAABBgMPqsICIAAGkwWAGJ0qDRDwAA +AAAAAADz/3FgABBQMPusGCABEGAw/QoDIgAAULBYCzdj/z6LEIrRK7wQ+9UEKgBAP3D6rPAggAJa +8PrWASoAFVqQLNEF/nCVGgAgUzCa0Z6gjSAI3RH0pgIsCQA3cP2mASAAEBAw0Q8ecIyeoI0gCN0R +9KYCLAkAN3D9pgEgABAQMNEPAGwQBiggBSwgB8GT/AxBDgCRyhAtIgL6CgAhLwC3YBNwSgzHEQN3 +CC5yOv8CAAYA4UOgGHBGJnI5CMgKKIKXCGYB+2wAAbMANaApMkr/AgAGAMBCYB1yEywySS3S4f3G +AQ4At+8Q/AoBIAAQaDD4IgkgGhBwMPoiAC4AEHgw+CYMIAAQSDD5FgEpAAFAMP8WAClwBDog+BYC +IAAQeDBYBFb4cgASAABasPoiACAAEEgwmRAogHQsCgH/cPwQABBoMPkWAigQBDog+BYBIB4QcDBY +BEnAlvYhCSIAAFmw+iwAAAEQaDD/Cv8gABBwMPl2OSIAAGGwWKPsLSAV/wpAIAAQcDD+JBQtgAQ5 +oP6kACxABD9g/QoBLAkAazD/pAMsCQBrMJyhwLb7NkkgFBBQMCokBQUOR2jiDC8gOsCP/wIABgBp +R9DAINEPBQlHaZL1iScnmRT0cHBgQAJycIuZFXHR9LDHb8AQMDD27wEAKhAgMIzgy7F7wS8tsADT +D/mwByBOBCNwI+EF/zgICcAEPmD4jEAqACBO8Pi7JXIAAGrw+9wAD9EAt2ALzQwNqzhksHqPsnXx +jvW/t24AQDewYABqA70MY//YAPP/kmAAEFgw+iwAABwQWDD8CgEgBhBoMFgKpfP/YmAAEFAwAAAA +AADz/k1iAAAysPvMGCIAAFCw/QoGIAEQYDBYCpvz/zpgABBQMIon+0wAAAAQYDD6rCAiAABpMFgA +3NKg0Q+K4St8EPvlBCoAQDew+7xAIeACUrD65gEqABZakCzhBarKmuEeb/GeoI0g+N0RAAEQcDD1 +pgIsCQB3cP2mASAAEBAw0Q8eb+ieoI0g+N0RAAEQcDD1pgIsCQB3cP2mASAAEBAw0Q8AAGwQBCgg +IiUgBxdvugOIDPgkIiQgASwwB1UJJFHeo0QkVd4jICKJK/xyeyABEFAw9DAcYf4CWPAAwQQAuxoA +qhr6rP8oACBecAqZApkq0Q+NKZ0q0Q8AAABsEAQoIAb4jP8iAABQsPgkBiABEFgwW4rewCDRDwAA +AGwQBMBRAyQsAyIuAlI5pCLRDwAAbBAEFHEhASIRojKkIoIg0Q8AAABsEAYYcVABKRGpM/AACWIA +IEDwAMpszXoqIoAKSwH7WfJx/gIxsIkc8goAIBQANmBgAAgA2nBZWRBj/9uakNEPwCDRD90w/kwA +AgAAeXD6FgAgCBBYMPxxPBABEFAwWWENxyvRDwAAAGwQBBhxNgEnEac3qHcmcoDHjwhIAwhmAQZW +AiZ2gAwCANEPAGwQBCkhBIcg81gUCcAEPmD5dwgJ4AQ6IAh3DCd8/PZyACiAARww8JEEAAEQQDAA +iBrwkAQKAEA2MPsDGQ//EFAw8JEECBEAUjDwSBoGAEBBsAhmAvZ2ACAZADVgJSECzUIqIQOxWwsL +T/slAiAoBFqw0jDRD7Bc/CUCIgAAEPDRD8DQ/SUFIgAAEPDRDwAAAGwQBANUFCUhBIIgDFUR9SII +BeAEOSAEIgwiLf/yIj8kgAEYMPBBBAABECgwAFUa8EAEAgBAKLACAhnRDwAAAABsEAQnIQSIINMP +9nz/KecBHDD5JQUlwAQ9oPWFCA3ABDpgDFwMAAyLKiED/QoBKsABHDANO2AKCkb+PhNgABBgMAyb +EQtbDAILiw08Ym8+E/lpCX//EFgwyKFzoSMJkhGiMtEPJyEEsZkJCU/5JQUgoAQ6cLB2DGUR8//E +ZAAgLjAJnxGvP/9UFA/ABDng/kQRDgAgcjD07gwOgAF8MPDxBAH4AnOwj+AA2BoLhAME/wH5IQUo +CQB6MJjgiCBj/6MsJQXz/61gABBIMABsEASIMwiIV2+EA4kizpaKJ/UyBCIAAFkw8zIFIgAAaTD6 +rCAgABBgMFgABPosAAIAAFjwC1AAwCDRDwBsEAT4LAALwAQ5YP2CASIAABDw+4kEIgAAGTDyVAwF +wAQ8oP2BBSIAICtw+rsMD8AQYDD1qgwMAEBiMPi7MggAIGdw8oYBIIACSnD7hQQqACHIkMqkCiUI +dZM/yUFtSQUAAoYAQ2GFgfuJBCQAIFVweVFl9YYBIgAAEXDIsdEPACbMQJaB9oYAIAAQEDAihQTR +Dw0iDJKBY/+4AAKXDAdLFPtEDAIAACjwbbkFAAKGAEVh8sxAIgAgPPBtSQUCAoYAQ2MtiQQHogyi +wiIsQPKGAS+rALdgY/+mIsxAkoFj/5kAAABsEAbzcIsQABA4MPRwiBAEEDAw8hYAIAEQSDDwIQQF +sAQ8oPCdGgAAEBAw/RYBJAAgKTDwABpgABAoMAAAlxqxVfZs/yBAAiEw9GDbYAQCGPAoMa4qQlLw +UQQFwgE6IP5vJx/cADagJ0JOLuJ/iHGNcC99/y/yPi2GACxyAC1CUCjGAfx9/yH+Alqw8nYAK5AE +O+DydgEqACBTsPtGUiH+Amtw/UZQIfgCYzDyxYEgHQA24CsSAfBRBAABEDgwAHcaW4nP8/93YAEQ +SDAuTQEu7IAs4MYtzOgNDUfy5McmMAC7YBhwVPjYCg//EHgwL4arL4azLODG2yAL5BYBAgAebyEt +4oIAwQQALxoAwQTwmBoP/xBIMAmIAwjdAQ/dAi3mggvkFmP/igLkFgECABtvFSqygo0Rx+/+EgAs +EQB3cMDx8OEEBgUAP/DwfBoKAEBqsAyqAiq2ggLkFtEPAAAAbBAKKiAHiCL+cDQSAABIsPoKQQAE +EHgw+qsKALUAtiDzcC8b4AQ+4PxuWR4AIHLwLeHiJ+Hj9nAqEAAQIDD/3TYMACBi8Px7CwF6ADdg +nhSaE5kS8r0VIAEQeDDyLPQoACAe8CUir/lRanAAEEAwiVGOUJ6QilCZofhWAC+AEHAw+FYBLgBA +cXAp4Tko4Tgq4Af6CkEApARKMA96AwyqC6aoKYJu9ZYAIAICITD5VgEqACAasPpWACgAIB7w9YZu +IUYIaTDAkIsU97XjIACArmDAINEPD3cDDHsLIr0VIiz0JSKvY/+HAJIaGG43Em4fmxD4gIApwAQ+ +oPtuHRgAIBZwmRb5kjogbgJCMAhIFPISCiAGAkIw+6sKCgAsxlCJFiuylymSOQuZAfucAADHADZg +KOB1mRX8FgkgQQA2IJ4R/RYIIgAAWnD84hwiAABTsFuIQIwZjRiLFf4SASABEHgw/KgRAgAASrDw +ABBqACBG8AAA8/+yYAAQSDDAkCrgdJkXnRj8FgkgJAA2oJ4RHG7L/eIcIgAAU7BbiAiMGYkXjRiO +EfmpCAABEHgwixCKFhhuVSmmOSjmHGP+3AAAAAAAAAD35eMgABAQMNEPHW3+LdCAixP6EgIgbgJr +cP1NFAABEGAw/dwCIDACWvBYCKvAINEPjhAvIq/19gEuACAbsJ5Rn1AlJq/z/rpv9BBIMAAAAGwQ +BBtunRlumwAhBCewgPaQgCABEGAwAMga+m6YGOABQDD0RjkEAEA+MPXFOQIAACHw9pSAIGAEGXDH +7/oqCg4RAHIw8D0aBgBAdfD/ORAGCQBt8PmmlybgATww97SAIAgANSDJeNEP8m6GH/oANeAvItLH +PgP/AQ/PAi8m0tEPGG6AJYLSx54JVQElhtLRD2wQBBNvjxJvj9MPKDId/G+OEAAQSDD6IAAh/gJa +MG2JGwqtCgzdCi3RrvXQEmACAkpwsaoKugEKCkcqJADRDwAcbhcswn8uMuL6yggAABBYMPmqEQAA +EGgw+uoIAAAQYDBb/z4tIAAuMh3+7P8gAgJrcA7dAS0kANEPAABsEAQVb2OCWfZvchj/EEAw9CCg +bv8QSDD0b28QAF2EoPgiKmAGEDgw/wIAAgBmBKD/AgAEAHiAoGglUmgmJYJasCLyVgov/xAQMNEP +AAApYqojQoAJKVcpnKb5Rn8g9AA04JdZKgpkK2KqLEoA91IKIAAQaDD9VgkqCQBm8CtmqveiDAgA +YVXQon6eWtEPL2KqEm9S829RHxEBfDAC/xwSbnT3VgkuACAf8AL/LC9GgGP/sAApYqoqGgDzCgEg +ARAQMPJWCSgAQEZw81YKKAkAVnApZqrRDyxiqotawKL6VgksAEBLMPxmqiACAlrw+1YKIAEQEDDR +Dy5iqvJSCiMAEHgw+O4BAAQQaDD9VgkuCQB7sP5mqiACAhCw8lYKIAEQEDDRDyNiqoJawPX/Vgki +AEBM8PNmqiACAhCw8lYKIAEQEDDRD7F4+FYKIAEQEDDRD8CTmVkuYqryUgojABB4MPjuAQAEEGgw +/VYJLgkAe7D+ZqogAgIQsPJWCiABEBAw0Q8AbBAE9G05EAEQGDAkQMH0BEAAABAQMAQyONEPAGwQ +BBRt8RVvDhdt8SNAgBtt7/5t8B/+EHgw/fr/IAwANOCwMiJEgNEPAMDB9AoAIAQQQDDTD22KXSpR +4ClR3CiwgPBBBAABEDAw+pMGcAEQGDDAYADJGgkJRwiSAQIyOfYhKXIAAFIwDZID8GIaCABAEjDy +iAIC8AQ5oPJ2lyjgAUAw+LSAIBUANqDJiLFE93wEIAQCKXDRDwAAAPMKAS/tADYgYAABwDAo4tIP +iAEIOAIo5tJj/9RsEASFI4ggFm08/W0CFCABLDD0gGNrsAQ5YKamKWJ/J2J+HGz78pkrcAAQWDBy +eSsrZn8rZn6EIYggmECFICNifZRRmyD7JgEh/gIY8CNmfdEPAAD/AgAGAEAV0HKRHIohmKCMICli +fZrBmyH7JgAh/gJKcClmfdEP0Q8ArN6urn6BMPhmfyA1ALVgzo0vYn1q8igjYnsjZn+FIYkgmVCI +IJWBmyD7JgEh/gIj8CRmfdEPAAD7Zn8v1QA1YIUhiSCZUIggJGJ9lYGbIPsmASH+AiEwJGZ90Q+O +Iazfr68P7wwPvjguZn5j/3EAAGwQBCMhBBVsxvN0RgIAQCzwBDMCIyUE0Q8AAABsEASIIxptRSst +/iuyfCqif/m7EQ/8EEgw+6oICABASjALgADSoNEPbBAEiTAWbTuHQIqRJmJ/jJCcoIuQiDL6tgEg +ABBYMJuR+5YAIf4CQjCYMoUn9Vz/J5AEPeD1JgciACA9sPtFAyAIADVg0jDRDyQgGg8CAA8CACZM +6PskGybgATAw/2YTb/8QSDAYbnEIaAophqsphrMkIBryPAACAABS8ArkFgECAB1tPizSggBBBAC+ +GvBBBAABEHgwAP8aCf8DD8wBDswCLNaCCuQW0Q8AAGwQBBZuHQElEaU1plWUUAwCANEPAAAAAGwQ +CBhuS5IU9hIEIgAAEbDwAAhmACBBsMpZzScqYoAKOQH5SfJx/gIpcPIKACATADXgYAAH2iBZVgtj +/96acNEPwCDRD94w/RIEIgAAeTD6FgAgCBBYMPxuNxABEFAwWV4IxyvRDwAAbBAEGm0TFm5K8/r+ +IAAQODAkYq4AAgApotADmQEpptAYbSgnhlxZWKpZYD8VbkIdbL4ILBAMTAINzAIsZq4bbjcntoQq +UiAWbiL0bGYQABAQMPdsxhBGADagE243K3KEKlLfqysJuxGrqi4ygC1ggAAgBP0NGw4AQCOw/jaA +IBwAf3AsohvIw8CxWSHFLVIgsSLzPUAr/+PskMc+H24oLvKCA+4BLvaCWIds0Q8AAABsEATAQATk +FgECABZs5SViggAhBAA3GvAhBAABEEAw8IgaD/8QSDAJiAMIVQEHVQIlZoIE5BbRD2wQBCsgBxZs +NxxsPvsKQQoCAVww+Gz/GqAEPuD5IQgqCQBm8JswhyD0NggrAAQ6oPU2CSgJAFZw9jYCKAkARnD4 +NgYgIBAQMPI2AyADEEAw+TYEIAAQSDD5NgongAQ94Pk2CyYJAEXw9zYBIGACEPDRDwAAbBAELCAH ++yIAIgAAUPD9CgEgBBBwMPwsQAAwEHgwWVq9HWwfGGyEHm3v8A0HAgAASrAASWEASWEASWEpIAcv +IQgJCUHwmREAABBYMPKsMC4JAE/w/qYCLgkAR/D/pgAgIAJKsAIEhgBJYwAEhgBJYSukFtEPAAAA +bBAEKCAHGmwECChACokQCpkCmTCHIPZr9BeABD3gB1cClzHwBhcAEAI48AAHivshCCnABD1g+m1e +HQAEOiD9a+4QYAIQ8P02BCoJAGbw/JzoKgkAVvD7NgYhoAJKcPw2BSAAEHAwnjcABI2aOJk5AhOP +0Q9sEASXNh5sFvlr3RADEEAw+mvdEBgQWDD7NgMvgAQ8oPo2Ai2wBD1g+TYALBAEOSD9bbMcCQBr +MPgSCi4JAEfwmDmfMf8SCSwJAGGw/zYILgkAcLD9a8gcCQBrMJ40nDWOGJ438A0XAFACEPAAAooi +PDDRD2wQBBhtaSWCf8CQ9oJ9ID8ANWCKYYxgnKCLYJqxmWCZYSeCf7B3J4Z/jiGW4J5hkmD2JgEh +kAIxsPI8AAIAABmwbUkFAAKGAENh0mDRDyaCgIVhimCaUIdglXGZYZlgL4KCsP8vhoJj/74AAGwQ +BAUGR2liSBhtTIUnKoJ/9VwwIAAQSDDygn0gOwA2oIchiyCbcIogl6GZIJkhJoJ/sGYmhn+NUZLQ +LSYBJSYA8lYBIZACYLBtSQUAA4YATGHAINEPAAAigoCPIYcgl/CGIJ9hmSGZIC6CgrDuLoaCY//C +bBAEiCD6IQUvwBBgMPIiAyIAADiw/EsRDABAYfD4uQgKACBisPqsQCBuBECwLXkEq939dQQqABjO +kMk2yUTyPAACAAAaMG1JBQAChgBDYYlwC5kI+pFZcgAAEjCZcNEPwKCac9EPAAipDPm6DAA0ADTg +CUsU/bwIIgAAEPD9TTYCAAAyMG3ZBQAChgBGYQkyCPtODACAAhswbekFAgKGAENjqs8v/ED/dgAi +AAASMNEPIsxA8nYAIgAAEjDRDwAAbBAMkhuUF5UZlhgpMAOIJyswAvcWDCIAAHDw+IwgIgAAOXD4 +Fg0iAckC4CwKEPwWBCAeAlJw+koUAAIQEDCGHfUSDCnABDyg82EFIAICYrD4+sAtwAQ/IPhmAQwA +IG+w9hYKJgAgMPD2bEAkACBNcPrcAAoCODNQ/80RAgAAerD8vAAOAVzq0IihiaD5VgAoAwBB8PhW +ASAQAkqw8QkWABACGXAAA4qNoYqjCHcMg/L43QwKACBSMP32ASoAA0KQsTOa85Py9RYAIAEQSDD9 +nTkAABBAMP2YOAAEAksw9ILCagUARnCFEPRyuGAgAhlwhBf/RBEAAgJS8P8CAAoBUyKQGGsnwND4 +FgUgIAJCMPgWBiAAEHgwbQgXAAEwAAAxIAwQIA0BAAExAAIAAAIwIAwBjB2JGizBBanJ/rYLAIAC +SnD6bAAKAH9JkIyg89YKAG4ANyDz3AsIAwBh8PhmACAQAjKw9QYWABACYzAAjIqJoIajCHcM/KIC +IAICe/D4mQwGACAyMPmmACoAA0GQscyWo/ymAiD+ADXgZNCt8zwYIAAQaDD4EgYgAFVw0PAIFwIA +AEjwAAmKAAmKAAmKjKFkwG0D1grz3AsIAwBl8Jlg+XcMACACMrD5BhYAEAJjMAEMioihhqWMpPmI +DAACAnvw+KYBJgAgMnD2pgUqAANJkLHM/KYEII0ANeBk0Fz4EgYgMAIY8P0SBSCyAHDw8AgXAgAA +SPAACYoACYoACYrA0ImgZJBN9HBaYAICUvB0q1Jj/tEMagxj/v8A8/9vYAEQaDCMFfAMBwIAAEjw +AElhAElhYAAnAAAAAADz/8JgARBoMPANBwIAAEjwAElhAElhYAAMiaGzuAmLOGP/p2P/LGP/mivk +Av/zCQAPEEAwCDMKA0MU//wBIgAgGLD/VQEgAgIQsIMbJDEHGGqr+xIMJUABIDD+aqcVwAQ5IP1q +qBQJAEEwlLCDMBlshPwSBCwFAH+w/LYDK4AEOOD9tgIqCQBQsJqxKZJ//wIAAgDZflCIGGaBqI0b +Hmx5/dEaLCYBQDD5apkcACBzMCzAgPi2ByyABD9gnbb7EgkiAABS8Ak5ApmkDLsMm6XRD4QXmxLz +FgEgABBoMP9EEQACAlLw9RYAKgAropD7FgIiAAAasP8WAyIAACrwbQgq/rwLAgAAevD2yyJyAABT +MIygZcCajKGx3fXA0GAGAhjws/v0OxlyAAAq8GP/zooRCsoMY//ZAADz/u1gABB4MIsSDdwJ8/0x +agAgZvC/mvpKFAErADZggxzy7BAgQAIY8G2pBQAChgBDYYcZC6sJ8woBIAQCErD5dwwAIAJCcPgW +BCAVADXgLBIHD8wR/LwMAAAQSDAMkzn/CgEgABBoMAP9OGXcK/P+oGAAEHgwAAD8EgAoAwBh8PjG +ASAQAmqw+w0WABACYzABTIqJoIyjCHcMg6L4mQwMACBiMPmmACoAA0MQsTOco5OiYAA2gxAMfTb9 +NgEgIAJCsP0IFgAQAhjwAYOKj6GMpQ13DIOk/f8MDAAgY3D/pgEqAANrELEznKWTpIkTHGsliZD8 +EgAoAEBmcJnAiKBljD+NobNcDcs4Y/w1G2wQihiPHIgZ9GovGCYBVDD69gcoACBecPmQgCAAEHAw +/vYGJAkAIPCU9AmIDJj10Q8AAAPaDGP7jcHAnBTz+0xgAhAQMAAAbBAEhCmDLAQzDAMDSCMlHyMl +IdEPAAAAbBAEiSeKnPiZFCAAEBAw9ZwgIGACInD0qwwBkAJSsPSAoWIFAFqwipn2Cg0gVwA2oCcK +PM02K6AAd7FHaLUsdrkLLKAQaME7drkCaMI1LaAHwMD6XAACAABbcFv7CCtZBIpRybVlr8tgABgA +LKAQacjMYAAOAAAAAAAAAPP/5WAAEFAw8wotIBwANKAtIABz0RmOLsAg/k8MAZACc7AP4jllL+fA +INEPAAAAAPssAAIAAFEwW+5p8qwAD9EAtqBj/+EAAPP/YWAAEFAwbBAEBQZHaWJahSeIWPlRFS/A +EGAw91ILIEACUXD9WRQsAEBisPyZCAvABD0g+ZxAIG4EQfCr3f1VFCQAIF4wdZMtyTLJQG1JBQAD +hgBIYSWiAA8CAAtVCPlRWHAAEBAwlaDRD8Ag0Q/AIJJb0Q8AAPiVDAAuADTgBUcUuHb2RjYCAAAQ +8G1pBQAChgBIYQUyCPdIDACAAhswbYkFAgKGAENjBbkMqckpnED5pgAgABAQMNEPK8xA+6YAIAAQ +EDDRDwAAAGwQBC4gBx9pug4uQAruEP1psB4JAHuwnjD4IgAiAABRMPRptxAEEFgw/TYCIDAQYDD8 +NgMpgAQ6IPtqFhgJAFow+DYBIgAASPDwBAcAIAIY8ABDYQBDYQBDYS0gB/ghCC0ABDng8pxAIAIQ +IDD1RDYMIAFsMPWcIC0ABD9g+8wCCAkAajD8lgYoCQBaMPiWBCIAABlwbUkFAAqGAENhBg4GLlQG +0Q8AAGwQBPogBSALECgw9mssEAgQWDD3CgEgEhAYMPozMnAAECAwc6Fr8woJKgBeBqB1oXD/AgAI +AEKGoP8CAAYAa56QwIn4owZ/9RAQMNEP0kDRD9ogWJ1w+iwAAAAQWDBb/1oaadmLICMkBSqicSli +mKuqCaoRqpmLl/z6wCBAAlLwDKoB9LUUIIACUrCauZq4JJQFiyLzaVgQkQA24CogBWP/nowiZc+Z +AioCW6MSZa/rwNr9JAUgChBQMI4iZe+B2iBbouplr9QoIAaPIvMkBSACAkIw+CQGLgkAP/CfIvP/ +X2AJEFAwiSJln1XaIFujKWWvqCsgBooiwMz8JAUgAgJa8PskBioJADqwmiLz/zFgDBBQMI0iZd8n +KyQF8/8hYgAAUvAAAAAAAC4ySvhiZioANi+QLzJJ+PsBDgAwx9DaIPwhCSAAEGgw/wr/IAAQcDBY +nQD8ISMgABBoMP4KASIAAFqw/woCIgAAULBYnPmJIiogBvU2SSAREFgw+yQFKAkAPnD5JgIgAgJS +sCokBvP+rmAREFAwAAAAAAD6LAAAHBBYMPwKASAGEGgwWAPdY/7pAABsEATyIHAiAAAgsPQgCWgJ +ABDwKERw0Q/6TAAAABBYMFv+/CpAcAw5EQk5AgqZAilEcNEPbBAGhif3IAco4AEoMJgQhm73B0EC +9gI6IBxq6v8CAAoAjBsQ/wIAAgCyASD/AgAKAJ4fEIknDwIADwIAKpkUyKkrIAb6kgkgAL6G4Pos +AAAEEFgwW//dyKLAINEPjDONNishCQ0NQ/S7EQxgAWAw+8wRCgkAbvD8ChAqCQBm8Ay7AptgLSAF +bthsLiByfu9m2iBb/2FloIMvIAX5IgIosAE74Cggcn6PTfto2BBvALZgDHoR/WjXGgAgWrArojoN +fQr90pckALNC4CyiOZoR/csBDgCs7xD8bAAAAhBoMP4KACIAABqw/woCIgAAULBb/yDA5C42OY8Q +aPI3iif7TAAAABBgMPqsICIAAGkwW/nT0qDRDwAAAAAAAAD6LAACAABY8PxMAAIAAGlwW/7f0qDR +DwDAINEP2jBZWGUcaqH7rAAD/3BCoP8CAAIAWQKg/wIABf9oFqD/AgAD/2RGoNogWVhEwCDRDwAA +APosAAACEFgwW/+VZa7eLDwQ8AwWAgAAWbAAS2Fj/vgAjTENzVNo0X+OMv6OVwA3EHgw/wIAD/9F +e5D6LAAACBBYMFv/h2WupCg8EPIIFgIAAHmwAE9jY/6+ZK6DiaD5iVcAKhBgMP8CAA//O2JQHWk5 +jKL/AgAP/zTrEI8i+bz/L/4QQDD5JAYuAEBH8J8iY/5NjjP/AgAB/xBvkNogWVgqwCDRDwAAAPos +AAIAAFjw/EwAAgAAaXBZV9/SoNEPAPosAAAwAlnw/AoBIAQQaDBYAz9j/tkAAGwQCBtqYJsQ+CIA +IAAQSDCZEvkWAyIAAFCw8xYEIAIQaDD0FgUgAhBgMPUWBimABDog9hYHKAkAYjD4FgEiAABYcFv/ +XtEPAGwQBMAg0Q8AbBAGkxD9CgEggAJZ8PogOyIAAGFw9iIHIgAASbD8FgIvwBBAMPkWASpgAVAw +9WwgK8AEOqD6OggEAEBFcPAAJ2BQAlKwKCA7sYgoJDssYRX93AEuACAvMP6sECCAAnvw+uwACgB2 +e5D/ogEiAABKsPMJFgIAAGLwAEyK8QkWDgMAfTAADIqDoo6jn7GIof9EDA4AIHPw/qYDICACWvD/ +iAwCAABg8PimASoAA3uQsTz8pgIh/8iNIBxodishIi4hCS8hJIgQGWocKiAHiIAjIQf0EgEqAgFQ +MPloyRgAQEow8wNKCqAEOqD0BUMICQBSMPloKhgJAEow+HYAJIAEPWD6IgAuCQAv8P92BCoJAGbw +9HYGIsAEOOD7dgIuCQATsP52AyAIAhNw+xICK4AEOqD7dgUqCQBQsJpxAAmN+Wn/EGACKfAABYoJ +2QKZftEPDOoMY/8RAABsEAT9aA8QERBAMPs8EQoABJoQwZVzm2iLJ4kij7MosQOKsprw+rICKA4B +QDD4iAkMACBvMA2ICviNFC//EHAw/6YBIAAQaDD9tgMqEQBxMP22AigAQFZwmSIvgroZaeKw//+G +uiwAIEswKsJQsKr6xlAgARB4MP21AyAVADag0Q/bMPwiAiIAAFCwWVTk0Q8qzQEpoD4rnOgLC0f/ +thNiAAATsBxpuQy8Ci7Gqy7GsymgPt7QDuQWAQIAGmiHKKKCAJEEANsaAJEEAPwaAswDDIgBC4gC +KKaCDuQW0Q8AAGwQBCwgBx1nzgwLQQy6Ef9nzRoAIGqwKKI6D78K//KXJABSwiAuojkMKUAKmRD/ +7QEOAEp/kCghBx9oP/5n1hlAAUAw9DEQKcAEOiD5iAIJAAQ+4PtnyBgJAHIwmND5IgAkCQBJMP/W +BiAAEHAwntX+1gckCQB5MJTU+9YCIAQQIDD4mREAMBBYMPvWAygJACZw+dYBICACSPDyCRYAQAJb +cABLYwAJhgBLYYknwLP8CgAgAxBoMPSmOSBAAlJwW/i00qDRD4oiyKTAINEPAAD7vBgiAABQsPwK +ACAEEGgwWAJjwCDRDwBsEAQlKQSHIfghBS/AEEgw/EoRCABATLD6dwwEACBVcPUlBCCAAlpw9yYB +KgAEWdCod5ch96UIAgAgQnD0MBpggAIQsHUjGPI8AAIAABnw0w9tSQUAAoYAQ2HScNEPAAAHKQwJ +SBT6jAgiAAAx8PpKNgIAABDwbakFAAKGAEZh+EwMAgAgGnDTD23JBQIChgBLY9Jw0Q8AAGwQBIsn +/2ehEAIQaDD5uRQvwBBQMPayCSBAAkLw/LEVKABAUjD4jEAgYAJKcPm1FCGgAjGw9rYJKgAEQZCm +xpa5n2COIPhpURAgAkmw+O4RAAMQeDD4ZgIuCQB7sP5mASmABDlgbdoFAASGAElhwJIJiAL4ZgUg +IQA04Ik4izn6CgAgEAA2YJo7mbCMOJvBmjiaOdowWVcO+iwAAgAAWbD8CgMgAxBoMFv/ddEPAAAA +bBAE+mdVEyAQaDANLSj/Z0gaACBTcCiirvAxBAABEFgwALsa/mg5GAAoWhAYaDj8LBEIgAQ84PjM +CAgJAE0wmcAooq/8orAuABbG0Ay8AiymsAjqMAM5CfyZEQwAIHtwqcyuzCnCbyjGbgSZDPnGbyAA +F65g0Q8AAzkJ/JkRCAAge3CpiCiNCSiMfC6B4/TuDAAAEEgwCe41LoXj0Q8AACyisQy7AvumsSIA +AFCwW7P00Q8AbBAE9mcoEyAQQDAIKCimhiRirvAxBAABEEgwAJcad0gyFGcODCIRpCKCICpir/Jy +AQAAEEAw8pI5CAADUdDRDypisfp6AQABEEgwCpg4CCIB0Q8AHWcKAzwJ/Y0IDcAEOyCtzCzNCi3B +oivBoSzBpQ27AXy7A8Ag0Q/SkNEPAABsEAQqICIpICMeZwT6mQwAARB4MPSQVmHgAiOwLCAHDAxB +DswJK8HeCb02DbsMK8XeKiAiiysKrYf6JCIgSAA2oCjie/CBBAH+AmKwAMwa8PgaCgAgZvD8Igkh +/gJCMAi7ApsqDLkMc5MmwCDRDyogBWihcYsiwMIMuwL7JgIv9BAQMNEPiymbKvP/12IAAGLwKyAH +DawM/CQiKiABXDAOuQkokd6tiCiV3iogIsupKUJ/jSvwkQQB/gJCsACIGgD+Gv7s/ywAIEdwDt0C +nSr7vB8iAABQsPwKASAAEGgwWAGLxyTRD8ck0Q+KKZoqY//dAAAAbBAOKiIQKKAFKQqV/wIABgLg +zhCMImXF9xdmsykiEhpm/P9n9hAAEHAw+YlBAAEQWDD6nREAABBAMPm4OAngBD5g+pkIDgUAQ/D5 +FgYsCQB3cJ0VYAJhAPgKACoAQFLwCpg4ihgIqgFkpUktVCcNiBT2FhEgABBQMCpUIypUIfhUJiAF +EDAwJlQg+IgUAAAQMDAmVCIoVCUIiBT4VCQgcAJZMPELFgBQAklwAAmKiD4oVDMIiBQoVDIIiBQo +VDEIiBQoVDCLPfZUOiAAEEAwKFQ5K1Q3KlQ7C4sUK1Q2C4sUK1Q1C4sU+1Q0IAAQWDArVDgqIhYm +VE4mVEIoVE0oVEEqVD8rVEwrVED6ihQAABBYMCtUTypUPgqKFCtUQypUPQqKFCpUPCgyFbGJKTYV +KFRHCIgUKFRGCIgUKFRFCIkUKVREKzITJjIUq2YmVEsGhhQmVEoGhhQmVEkGhhQmVEgqMhImEhH7 +qgwAsAJBcPrZEHAAEFgwKVAhKgqACpkCKVQhKkIZ9KJGYNACSTArNhf7NhYqAwBTcCpWFQIJiABI +ii4yEwruCC42EytCGQreDC1CGgq7DPtGGSKlADbgLkIbDq4I/kYbKgAD05At3AH9RhogABBoMCkh +BxpmTv9mTBlAAUww/dgJCcAEPmD6ZwcYCQBWcJlQ+RIMKeAEOiD7IgAg3gJCMAhIFP9WAiBAEHAw ++pkKC4AEOuD+VgMqCQBSMJpRGmbMKZKXjhX6Z3QYAEBWcAnuAvpmOx4JAFOw+SEaKgkAVvCbVBtm +jQ5qQfpnbRoAIFbwK7CA/lYHKIAEPmD5VgYgAgJ7cPvLDA4JAFfw/1YUIGACWvCbVYopKSIVrKr6 +rDAoACBmcPomCSBgAkpwKSYVKGY5KyAWLwr/f7EHKiAHjBpb/ssrMhMqMhL/AgAGATtekCogBwoK +QQymEadmLGI6wb76FgwqAVHfEBxmBi1iOQyuCi7il/7VAQ4BR/dQLiIXiTctMhKKKS+ZFPwSBiDA +AkFw+BYHIAAQIDD4MhMiIgA34ISZLzIXKSIKLMKQCN0M+pkMDAMAd3D//wkMACBrMPT/CwBgAlMw ++hYKIgAAc3D//HAqADbWUCggFiogB/s6ICD/EEgw+BYLJ/6MzhCaGQuqHRtl9Ykb+hYEKgAgWrAo +oq7wkQQAARBYMAC7Gv8CAAgBB9oQiRkMmRGnmYmQ+bkBAAEQQDD4oq8oBQBOMJkYKqKx+QoBKf5c +QtCKGGP8vpwdnx6dHy0WEPsSCiIAAFCwW/7ULRIQjh+PHvwSDS94ADagwCDRDyoyFg+qCoqgZKCE +Cto2KlYVKzIWD7sLuLv1CxYAsAJJcACJiigyEyQyFv9ECggAIFIwKDYTjkAK7gyeQCQyFg9LCouw ++t4MACgANuAPTguP443ir6//5gMqAAPT0C3cAZ3i8/2iYAAQaDAAAAAAAAD5CgAhJAA1ICgyF9MP +DwIA+TYWIAICQjD4NhcgMAJ78PkKACDsADeg9DIWKgABTDCNFw9KCoqg/bgKCgMAU7CagCQyFg9E +C/29CwAQAiEw9wQWABACa3AAzYooMhMkMhb/RAoIACBSMCg2E41ACt0MnUAkMhYPSAqIgAruDPWA +T2ACAmpw+hIHIDoANSAoMhf5CgAgMAJ78Pk2FiACAkIw+DYXIAAQIDD4rBgiAABLcPuKOQoAAUww ++hYHL3QAt6BgAE7AkSk2FvP/3GABECAwD04LhOOP4qSk9OYDKgADURCx/5/iY/yvY/3giTjKmYs5 +wKCaO5mwjDibwZo4+jYJL5kQQDD4NAUgABAQMNEPAMCQ8/yCYgAAanAt+pn9NAUgABAQMNEPAMCB +KDYWY/7vixz6LAAAARBgMPu8GCAeEGgwWAAlwCDRDxtlX4oUCZkJ+6oICcAEPmCqmSmdCimciCqR +3iiR3SmR4QqIAfoKASv9W8oQixz6LAAAARBgMPu8EiAAEGgwWAAUwCDRDwAAABxnOY0gLiIYiDCP +oP7gdCAwEFgw+BYAIAUQUDBZVt6JOGSd1oI5mSCIOPKGASAAEHgwnzifOf82CyAAEBAw0Q/AINEP +AAAAbBAE+WclEBEQQDD/AgAAARAwMP8CAAoARp4QCzoRqaksklDTD2TAqhxlMogn9EsJDAAgYrAM +uwovvRQt8rn7vRcgEAJiMPzWACHAAlrw+4YCLyAEOSD7ZYYXgAQ84P7yuiYJAHXw/YYDJgkAPXD8 +9rkmCQBd8PeFAyACAnOwLva6LpJQLZ0BLdyA/dC+IAICc7AullCLIgDRBABsGgy7Apsi0Q/B1f8C +AAv/uJ9QGGb9CDgKKIJ/2jD7LAAAABBgMAuAAIkiADEEAGoaCpkCmSLRDyydAfXEPyAAEDgwJ8Q9 +J8Q8/MA+IgAAQfAI5BYBAgAdZaUu0oIAwQTwbBoP/xB4MA/PAw/uAQ7MAizWggjkFi886A8PR/8C +AAf/hhPgHWbGDf0KJ9arJ9azY/74AGwQBIgnG2T/9/rAIAQQUDD1iRQiAAAwsPKCCSBAAiIw+YEV +JABAOTD0TEAggAIpcPWFFCGAAhCw8oYJKgAEIJCikpKJAAuL/WUJEgAAKLDTD22qAgBFYZ0gjGD4 +zBEABBBoMPMmAiwJAGswnCHRDwBsEATIMdEPAIgn9okUL8AQODDzggkgQAIqMAdVAfVcQCAgAjGw +9oUUIeACGPDzhgkqABSo0CqBFftk8RoAIBqwmomboIkg+JkRAAEQWDD0pgIoCQBecJmh0Q8cZOic +MIsg+LsRAAEQYDD0NgIqCQBm8Jsx0Q8AAABsEARkIHZkMHNkUHAoIgIaZKr0CUcAPgJo8P1NFABf +ALYgDJgR/GSlGAAgUjAqgjoMnAr8wpcqACVukCuCOR5kt/y6AQ4AHmbQ8A4HAgAAQrBt2QIASGEY +ZKOYoIIgnVAfZKPzpgMjgAQ4oP+mAiIJABNw8qYBICACErDRD8Ag0Q/aIPwKACAwAlkwW/9XwCDR +DwAAbBAEKiAHG2ScCipACqoQ/WUDGgkAWrCaMIYg9WSbEAQQODD5ZI0QMBBAMPg2AyeABDmg+TYC +JgkAObCWMfAFBwAgAjDwAEZhAEZhAEZhLiAHLCEIDg5B8O4RAAAQeDD7ZmccCQBzMPs2BiwJAGsw +/DYEIEACEPACBIYAQmMABIYAQmH/NCAggAIQ8NEPAAAA//8BA/8C////AAAAAAAAAAAEBAgAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAIANdXiADXTYgA11NIANdTSADXU0gA11NIANdTSADXU0g +A1+RIANfUiADXU0gA11NIANdTSADXU0gA11NIANdTSADXr4gA15LIANdsSADXZogA12LIANdewAA +AAAAAAAAARAYAQABAAAAAAAAAAAAACAEP3ogBD/dIAQ/ZyAEP90gBD9nIAQ/3SAEP34gBD9+IAQ/ +xSAEP60gBD+VAAAAACAESKogBEiqIARGtiAESKogBEa2IARIhyAESF8gBEa2IARHkSAERrYgBEa2 +IARHiCAERrYgBEiqIARGtiAERrYgBEiqIARGwwMBAAIAAAAAIATKECAEy7QgBMzbIATKECAEzNMg +BMy+IATKECAEyhAgBMoQIATKECAEyhAgBMoQIATKECAEyhAgBMoQIATKECAEzLEgBMykIATKECAE +yhAgBMoQIATKECAEyhAgBMoQIATKECAEyhAgBMoQIATKECAEyhAgBMoQIATKECAEyhAgBMueIATK +ECAEy5ggBMuYIATKECAEy5ggBMuYIATMhyAEy5gAAAAAAAAAAAAAAAAgBOx4IATseCAE69ogBOx4 +IATo3SAE7HAgBOxQIATo3SAE6N0gBOjdIATo3SAE6N0gBOu+IATseCAE6N0gBOu+IATseAAAAAAA +AAAAAAAAACAE++AgBPpcIAT+OyAE/fsgBP3DIAT9iCAE/WggBPqwIAT9RyAE/QsgBPyzIAT8YyAE +/BsgBPv4ARAYAQACAAABEBgBAAIAAAAAAAAAAAAAIAW/VyAFutkgBb8rIAW+/yAFvtIgBb69IAW6 ++yAFuxIgBb6kIAW7RyAFuzMgBbr7IAW7RyAFuvsgBbr7IAW6+yAFv1cAAAAAAAAAAAAAAAAAAAAA +IAYL8CAGBbggBgVgIAYCjCAF/nAAAAAAAAAAACAGBaAgBgWaIAYFmiAGBZogBgWgIAYFmiAGBZog +BgWaIAYFmiAGBZogBgWaIAYFmiAGBaAgBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAG +BZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYF +miAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWa +IAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBaAgBgWaIAYFmiAGBZog +BgWgIAYFmiAGBZogBgWaIAYFoCAGBZogBgWaIAYFmiAGBaAgBgWaIAYFmiAGBZogBgWgIAYFmiAG +BZogBgWaIAYFoCAGBZogBgWaIAYFmiAGBaAgBgWaIAYFmiAGBZogBgWgIAYFmiAGBZogBgWaIAYF +oCAGBZogBgWaIAYFmiAGBaAgBgWaIAYFmiAGBZogBgWgIAYFmiAGBZogBgWaIAYFoCAGBZogBgWa +IAYFmiAGBaAgBgWaIAYFmiAGBZogBgWgIAYFmiAGBZogBgWaIAYFoCAGBZogBgWaIAYFmiAGBaAg +BgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAG +BZogBgWaIAYFmiAGBZogBgWaIAYFoCAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYF +miAGBZogBgWaIAYFoCAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWa +IAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFoCAGBZog +BgWaIAYFmiAGBaAgBgWaIAYFmiAGBZogBgWgIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAG +BZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYF +miAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWa +IAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZog +BgWaIAYFmiAGBZogBgWaIAYFmiAGBaAgBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAG +BZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYF +miAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFmiAGBZogBgWa +IAYFmiAGBZogBgWaIAYFmiAGBZogBgWaIAYFoAAAAAAAAAAAAAAAACAGBe8gBgdPIAYJZCAGCVYg +BglBIAYJMyAGCR4gBgkQIAYI+yAGCO4gBgjbIAYIziAGCLsgBgiuIAYImyAGBesgBgiHIAYIeiAG +CGcgBghZIAYIRCAGCAMgBgfDIAYIAyAGB8MgBge1IAYHoCAGBesgBgXrIAYF6yAGBesgBgeTIAYG +CCAGBesgBgXrIAYF6yAGB3YgBgddIAYHRSAGBzQgBgXrIAYHISAGBwcgBgb0IAYG2iAGBscgBgav +IAYGnCAGBokgBgYlAAAAAAAAAAAgBgw5IAYMQyAGDcIgBg2XIAYNkCAGDYkgBg2BIAYNeiAGDXMg +Bg1sIAYNZSAGDVsgBg1RIAYNOSAGDQ4gBgziIAYM2SAGDL4gBgy0IAYMqiAGDJogBgyTIAYMgCAG +DHkgBgwpIAYMUAAAAAAAAAAAIAamoCAGo/ggBqKMIAagOCAGneAgBpqoIAaboCAGnFAgBpocIAae +pAMPCBKDjpOSUlYAABAAAABjb25maWd1cmF0aW9uIGZpbGUgcGFyc2VyIGZvdW5kIGFkZGl0aW9u +YWwgY29uZmlndXJhdGlvbiBhZnRlciBbZmluaV0KAAAwAAAAZmNvZQAAAAB2bmljX2lkAHZsYW4A +AAAAdG9zAGV0aGVydHlwZQAAAG1hY21hdGNoAAAAAG1wc2hpdHR5cGUAAGZyYWdtZW50YXRpb24A +AABzcnZyc3JhbQAAAABmY29lbWFzawAAAABjb21wYWN0AGJhc2ljdmlydHVhbAAAAABzeW5tYXBl +bgAAAABzeW40dHVwZW5pcHY2AAAAc3luMnR1cGVuaXB2NgAAAHN5bjR0dXBlbmlwdjQAAABzeW4y +dHVwZW5pcHY0AAAAb2ZkbWFwZW4AAAAAdG5sbWFwZW4AAAAAdG5sYWxsbGtwAAAAaGFzaHRvZXBs +aXR6AAAAAGxhbgB3YW4AY2x1c3RlcgBtYW51YWwAAHRwX3BpbwAAdHBfdG1fcGlvAAAAbmljX3Zt +AABuaWNfdW0AAG5pY191bV9pc2dsAG5pY19oYXNoZmlsdGVyAABvZmxkAAAAAHJkZHAAAAAAcmRt +YWMAAABpc2NzaV9pbml0aWF0b3JfcGR1AGlzY3NpX3RhcmdldF9wZHUAAAAAaXNjc2lfaW5pdGlh +dG9yX2ZvZmxkAAAAaXNjc2lfdGFyZ2V0X2ZvZmxkAABmY29lX2luaXRpYXRvcgAAZmNvZV90YXJn +ZXQAcG9mY29lX2luaXRpYXRvcgAAAABwb2Zjb2VfdGFyZ2V0AAAAaXNjc2lfdDEwZGlmAAAAAGlz +Y3NpX2luaXRpYXRvcl9jbWRvZmxkAGlzY3NpX3RhcmdldF9jbWRvZmxkAAAAAHRsc2tleXMAcHBw +AGRjYngAAAAAYjJiAHNvY2tldG51bQAAAGNlZQBpZWVlAAAAAGF1dG8AAAAAYW5fZGlzAABwZGxp +dGVfZGlzAAAgAxbsAAQAAAAABAAABAAAAAAAAP8CAAAAAAAAAAAAAAAAAAEAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAP8CAAAAAAAAAAAAAAABAAL/AgAAAAAAAAAAAAAAAAAC/wIAAAAA +AAAAAAAAAAAAFgAAAAAAAAAAAAAAACAGmeAAAAAAIAaZMCAGltAgBpWoIAZ00CAGFEggBf3IIAX8 +qAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAEZvggBHNIIARzSCAEc0ggBIMgIASbyCAEqIggBLGs +IARj/CAEYWggBBosIATJACAEF9wgBBTQIAX8fCAF+0wgBfSYIARzSCAF88AgBfJkIAXxmCAHKiAg +A5rMIAP1dCAGsWwAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAEPfAgBCc8IAQ33CAE +NVQgBDPcAAAAACAEMYAgBD0sAAAAACAEDKAAAAAAAAAAAAAAAAAgA1z0AAAAAAAD//8AAAP/AAAA +PwAAAA8gBzsEIAbjICAHOvAgBuMwIAbjRCAG4oggBuPIIAbiWP8YMGBgAAAAAAECAwAAAAAgBqdM +IARlVCAAgQgAAAAAAAAAgQAAAAAAAAAAAAAAACAD+KQgA/owIAP5zCAD+kQgA/jAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAACAD+VQAAAAAAAAAAAAAAAAwMTIzNDU2Nzg5YWJjZGVmQUJDREVG +AAAAAAAAAAAAAEFCQ0RFRkdISUpLTE1OT1BRUlNUVVZXWFlaYWJjZGVmZ2hpamtsbW5vcHFyc3R1 +dnd4eXowMTIzNDU2Nzg5Ky8AAAAAAAAAAAAAAAAAAAAAIABFNAABAAAgAEUUAAUAASAARPgAAwAF +IABE2AAHAABjglNjAAAAAP///////wAAAAQECAAEBAgABAQIAAQECAAHQwAAAAAAAAAAAAAAAAAA +IQAJAIEAQQAhAAkAIQAJAIEAQQCBAEEAgQBBAIEAQQAhAAkAgQBBACEACQAhABEAgQBBAIEAQQAh +AAkAgQBBACEACQAhAAkBAQBBAIEAIQCBACEAEBBhAQEAgQEBAIEDAgEAECBAAAAAAAAAAAAABAAC +AAEAAIAAQAAgABAACCBAgAAAAAAAAAAAAAAAAAAgAwkgAAAAACADEBgAAAAAIAMJJAAAAAEgAxDA +AAAAAiADCSwAAAAEIAMJNAAAAAggAwk8AAAAECADEMgAAAAgIAMJQAAAAEAgAwlMAAAAgCADCVgA +AAEAIAMJZAAAAgAgAwl0AAAgACADCYAAAEAAIAMJjAAAgAAAAAAAAAAAAAAAKhwAACo8AAAqXAAA +KnwAAAIEAAAARAAAAAQAAABAIAaq3CAGqpggBqlsIAaoHCAGp9ggBqesIAaoBAAAAAAAAAIAAAAE +AAAACABZZXMAMDEyMzQ1Njc4OWFiY2RlZmdoaWprbG1ub3BxcnN0dXZ3eHl6AAAAAG5vbmUAAAAA +YWxsACoAAABkaXNhYmxlZAAAAABlbmFibGVkADB4AABOQQAAVjAAAFYxAABWMgAAVjMAAFY0AABW +NQAAVjYAAFY3AABWOAAAVjkAAFZBAABWQgAAVkMAAFZEAABWRQAAVkYAAFZHAABWSAAAVkkAAFZK +AABWSwAAVkwAAFZNAABWTgAAVk8AAFZQAABWUQAAVlIAAFZTAABWVAAAVlUAAHBvcnQAAAAAcHJv +dG9jb2wAAAAAZ2xvYmFsAABmdW5jdGlvbgAAAABmaW5pAAAAAHJlZwBmaWx0ZXJNb2RlAABmaWx0 +ZXJNYXNrAAByc3NfZ2xiX2NvbmZpZ19tb2RlAHJzc19nbGJfY29uZmlnX29wdGlvbnMAAHNnZV90 +aW1lcl92YWx1ZQB0cF9wbXJ4AHRwX3BtcnhfcGFnZXNpemUAAAAAdHBfcG1yeF9mbGFncwAAAHRw +X25yeGNoAAAAAHRwX3BtdHgAdHBfcG10eF9wYWdlc2l6ZQAAAAB0cF9udHhjaAAAAAB0cF9tdHVz +AHRwX3RjcHR1bmluZwAAAAB0cF90aW1lcnJlcwB0cF90aW1lcnZhbHMAAAAAdHBfYmFja29mZnZh +bHMAAHNjaGVkX2lvcXR4X2JwX3ByaW9yaXR5AHBjaWVfbWFfcnNwX3RpbWVydmFsdWUAAHBsX3Rp +bWVvdXRfdmFsdWUAAAAAbWVtZnJlZV9jb25maWcAAGJhcjJ0aHJvdHRsZWNvdW50AAAAY3BsdHhk +YXRhX21lbWFsaWduAABtYwAAbWNfbW9kZV9icmMAbWNfcGQAAABvZmxkX2ZsYWdzAABudmYAd3hf +Y2FwcwByX2NhcHMAAG5pcWZsaW50AAAAAG5lcQBucXBjcQAAAG5ldGhjdHJsAAAAAG52aQByc3Nu +dmkAAG5leGFjdGYAbnJhd2YAAABjbWFzawAAAHBtYXNrAAAAbmV0aG9mbGQAAAAAbnJvdXRlAABu +Y2xpcAAAAG5maWx0ZXIAbnNlcnZlcgBuaGFzaAAAAHRwX2wydAAAdHBfZGRwAAB0cF9kZHBfaXNj +c2kAAAAAdHBfc3RhZwB0cF9wYmwAAHRwX3JxAAAAaXNjc2lfbnRhc2sAaXNjc2lfbnNlc3MAaXNj +c2lfbmNvbm5fcGVyX3Nlc3Npb24AaXNjc2lfbmluaXRpYXRvcl9pbnN0YW5jZQAAAGlzY3NpX21h +eF9zZ2UAAABwcG1fbWF4X3pvbmVzAAAAcHBtX3pvbmVfcmFuZ2UwAHBwbV96b25lX3JhbmdlMQBw +cG1fem9uZV9yYW5nZTIAcHBtX3pvbmVfcmFuZ2UzAGZjb2VfbmZjZgAAAGZjb2VfbnZucAAAAGZj +b2VfbnNzbgAAAGZjb2VfbmZjYgAAAGRjYgBkY2JfYXBwX3RsdgBkY2JfZGNieF9wcm90b2NvbAAA +AGJnX21lbQAAbHBia19tZW0AAAAAaHdtAGx3bQBkd20AZGVib3VuY2V0aW1lAAAAAHZlcnNpb24A +Y2hlY2tzdW0AAAAAU2Vzc2lvblR5cGUAT0ZNYXJrZXIAAAAASUZNYXJrZXIAAAAARGF0YURpZ2Vz +dAAASGVhZGVyRGlnZXN0AAAAAEVycm9yUmVjb3ZlcnlMZXZlbAAASW1tZWRpYXRlRGF0YQAAAERh +dGFQRFVJbk9yZGVyAABEYXRhU2VxdWVuY2VJbk9yZGVyAEluaXRpYWxSMlQAAE1heENvbm5lY3Rp +b25zAABNYXhSZWN2RGF0YVNlZ21lbnRMZW5ndGgAAAAASW5pdGlhdG9yTmFtZQAAAEluaXRpYXRv +ckFsaWFzAABEZWZhdWx0VGltZTJXYWl0AAAAAERlZmF1bHRUaW1lMlJldGFpbgAATWF4QnVyc3RM +ZW5ndGgAAEZpcnN0QnVyc3RMZW5ndGgAAAAATWF4T3V0c3RhbmRpbmdSMlQAAABUYXJnZXROYW1l +AABUYXJnZXRBbGlhcwBUYXJnZXRBZGRyZXNzAAAAVGFyZ2V0UG9ydGFsR3JvdXBUYWcAAAAAQXV0 +aE1ldGhvZAAAU2VuZFRhcmdldHM9QWxsAENIQVBfQQAAQ0hBUF9JAABDSEFQX0MAAENIQVBfTgAA +Q0hBUF9SAABEaXNjb3ZlcnkAAABOb3JtYWwAAE5vbmUAAAAAQ1JDMzJDAABDUkMzMkMsTm9uZQBO +b25lLENSQzMyQwBDSEFQAAAAAENIQVAsTm9uZQAAAE5vbmUsQ0hBUAAAAE5vdFVuZGVyc3Rvb2QA +AABJcnJlbGV2YW50AABSZWplY3QAAE5vAAA1AAAAQ0hOZXQgMS4wMAAAAAAADAAAAAABAAF8AAwB +AAAAABAAAAAUIAdUgAAAAwUOQAAAAAAAEAAAACggB1eIAAADGA5QAAAf/AAAH/wAAB//tUAf/7VA +IAdfgCAHY0AgCUTAIAlEwCAKAAAgCoAAIAqAACAK5oAgAwAA4QAuAB//lBAAAGGo4QGOAAAAQA8A +AZEMgAAAACALgCAgC4BQAABAAAAAgAAAAAgAIAuBUP//8/8gC4GAAACoLAAAqC8AAKgrAACoKgAA +qOwAAIEAAACoNQAAqDcgCwEw4QBeACALAXAAAEAOAABAGiALAaAAABAAAAD/6QAA/+AAAFAAAAD/ ++AAAqDv//8kkAABADQAA//cAAA//AAAPQgAA//UgCwIAAACABwAAgAQgC4GgIAuBwAAAuO4AADQA +AAC07gAAtP8AALQAAAC4AB//rlQgCwIwIAMLyCALgeAgC4IgFaAAAAAAg/0gAwjQIANZOAABQUoA +AQFuAACD/x//sMAAwAABIAuCMCALAnAgAwvYIAsD4CALBBAowAAAQAAAAiADCtQooAAAgAAAAiAL +BQAf/64QAAD//wEAAAAoAAAA4QAAAGsAAAAgAwpQIAsFMBAAAAADYAAA7gAAACALgsAgA1MYIAuC +4AAAJxAgA1rIIAuDMCALBYBAAAAAIAMMGCALBgAD4AAAH/+t2CADACAf/OIAH/+xwB//rQThAwYA +/9///9////8AAQAKAuYC6SAAAADhAv4AIAMAMOEABgAAAgAA//3//wCYloAAD0JArWU0XTuayf87 +msoAAARMAAAArwDhAQ4AAACI9wAgAAABPwFA//he4AAHoSAALGNIH/+skCALg2AgC4PACAAAAB/8 +4uQgA2VEH/+uICALBjAgCwdgIAsGYA88AAAgCwbwIAPkgCAD5lggCwfADwAAACALCCAf/4bAH/+H +sB//hPAgCwiQIAsI0CALCRAgAw3wIAMNcCALhVAgAw1QIAuFgCALhDAgC4SQIAuE8B//rnzgAAAA +IABFVB//r4QA////IAuFoCALCaAgCwoAIAsKUCALCdAgA71MAAgAACADwgwgCwvgIAddoAAP/AAf +/5nYH/+bQAAAMAAgCwwgIAsMsCALDVBVAAAADgAAACALhkAgAw5oAAAIBiALDYAgCw5gAAAP/h// +rjQgCw7wIAsOwAAA8AAgC4ZgIAsPECALD3AgCw+gIAsQACALEFAgCxEQIAuGgCALELAgA37QIAOW +gCALEXAgCxGwH/+uKCALEiAgCxJwIAuHUCADnLwgA6IMIAuHECALEyAgCxKwIAsTkCALE/AgCxRg +IAdjACAHX9AgCxTgIAsVIO////8gCxWAIAsV0CALGKD9////IAsWMCALFqAgA6R8IAsXACALF2Ag +CxfAIAsYECALGFAgC4egIAMOYAQAAAiBAAAAAABgACADDfQMAAAAH/+HIB//iCAgAw/wH/+HAD// +///P////IAsZAB//h9wgCxlAIAsZgCALh8AgCxoAf///q///LlwAANGk///QTQAAnE4gCxqAIAsa +QCALGcAf/4ccIAMN+AAAaAAf/4cYAAAgAB//h4AgCxrAIAPFoCALG3Af/64cIANUMCALiFAgC4fg +IAuIMCADyWAD/9AAAAEAACALiWAf/5rgAEAAAABQDACD/9AAIAuI0CADfqggC4mQH/+u5CALHTAg +Cx1wH/+snCALihAgCx2gIAsd0CALHfAgCx4gIAseYCALHqAf/64kIAsfEB//rmwgCyAgIAsfYABM +S0AgA8VcIAsfsCALIGAEAQAIIAOm9CALIOAgCyCQIAshQCALhgAgA93MIAuF0CALJMAAAI4CIAsl +MCALJYAgCyXQIAsk8CALJiADgAAAH/+w0CALJnAgCybA//f//yALJ7AgA9jYIAsocCALKTAgA9zU +IAPW5CADxbwgA9wMIAspcAIAAAAgCymwAAAXcCADC7QgC4swIAuK4CALisAgA/cAIAuKkCALixDg +//4AAAHikCADC5QgCyqQIAMLRB//rhggAwtMIAsq4B//rLwgCysAIAsrMCALK1Af/6uUIAdcICAL +K4Af/6qw4QB+AB//rMwAAPP/BAAAABQAAAAqAAAAIAQAKCALLDAf/67gIAuMACALi9AgAB8YGgAA +ACALLMAgC4ywH/+uxB//sZgwAAAQIAuNkCALjfAf/5W4AJwAAOAACSQf/64wIAstMCALLhAgCy3g +IAuPUCALLbAf/55MIAstcAADBMDhAwIA4QCOAB//lcwAAJaAAACWQCAHX4AAAJagAACWYOEDACwA +AwAAH/zeAOEAegAAAeAA4QGSAAAB4wAf/648IAsuQCALLnAf/7G0AA///x//sxQf/5V8IAdigBeQ +AAAUsAAAIAdh0IAAAAQgB2KKAAAfiiADAJAWoAAACFABACCgAAA/8AAAI6AAAAP/AB8AwAAEH/+z +GCAHJVAgBC0gIAuOICALjmAgByUU3q2+/xrAAAAgB2GIAAD/6iAHYpAAAAgUAACIAB//rhQf/66Q +AB6EgCAERnAAEAAAH/+sjB//r0Qf/6yUIAMAoCALj8AgC4+QOAAAAP8///8wAAB0IAMA0CALLqAw +AAAIMAAADDQAAAjQAAAAAACJFDsAAAggAwsENJAAAAVdSoAgBEwsABgAAP8H//8AOAAAADAAACAL +j+AGAAAAIARZ0PgAAAAB///nAAHAACAAAIAEABAAH/+qwOEBmgDhAZpA4QGaPOEBmjjhAZo04QGa +MB//ryAgAwtcIAMLVIAAAAP//P//H/+uLH////8f/5X8IARm+CALkwAgC5NAIAuTcCALk7AgC5Pg +IAuUICALlFAgC5SQ//8AAA////D/8AAAIAuVMB//mvQgC5TwH/+qFCAEc0ggC5YwIAuWYCALldAg +C5VwH/+avB//m/gf/55IAAD/gAAALuAgCy+QIAsvwP/7//8ABAAA4QHiwJAAAPD8/4DAAgAAIP7/ +gMAgCzAQIAswUAAA+AAAAwEIAAMBDI////8gCzCgIAsw8CALMSAgCzFg4QDeAAADAwgAAwIAH/+s +wP//8ADhAO4A//9//wAA/AAf/65EAADgAAAADAAAAwMEAAERHAABERggC5bAABAIAIAACAAAAwEE +///AAAAA//4AACWAAAMDAB//rLjg/+LAH/+rWB//newgC5cQIAuXQCALl2AgC5bwIAMBGAAA//Mg +CzGwAAAqMAAA/48AAFK1AABICgAAj4IAAI+GAAA4AAAAj4oAAOM/AACDrgAA//EgCzHQAAAQQP// +z78gC5eAAAASACALMgDhAy4A4QMyAP7///8gCzIgIAMBICALMoAgCzJQAIAAACALl6AgC5gwAAD+ +/wAA/8AAAP8/AAD5/x//qvggC5fQIAuY0CALmIAgCzMwIAsy8CALMsAgCzNQIAszoB//sHQQAAUN +AcCAAAkAAAAf/69UIAMB0B//rKQAAIMAIAs2YAAAggAgCzWAIAs10CALNhAQAAcCAADAACALi5Ag +C4tQAAAMvAAAiQYgCzgAIAuOoIiIiIgf/5pcIAMCICALOGAgCzgwIAs7UCALOxAgCzrQIAs6kCAL +OlAgCzoQIAs5wCALOYAgCzkwIAs44CALOKAgC5uwIAub4CALnBAgC5xQIAuckCALnMAgCzyAIAs8 +MCALO5AgCzvQAACAwgAAGyEf/6r8IAs8sCALnPAgC50wIAudUAD/wAASAAAAIAUY+CALngAgC53A +AACgAGAAAAAf/66YIAufgCALPNAgCz0AAAAcICALPTAgCz2QH/+tICALPdAgCz1gIAs/gAAAf6Yg +C0AQIAs/oCALQEAgA0rsIAs/0CALQKAgC0BwIANMBCALQNAgA1DAIAugYCALQkAgC6KwIAtDYCAD +DeAgC0KQIAtDgCALQvAgBTrUIAujkAAAH0AAABA2///v/x//sxwf/7GoAAAIUCALQ8AgC0QQIAtD +8AD///wyAAAAH/+zmsAAAAAgAwJYM5AAACADCwAgC6PwIAukUCALpDAgC6SQIAtEMCAEVlwgAwJg +IAtE4AAAgAUgC0UQgYAAAN6tvu8gC0VQMAAAHDAAAAQwAAAUAAD/7//+//8f/7JUAP/wAAAoAAAg +C0WAAAAIQCADCsQgC0WwAP//+iALRgAwAAAAMAAANAAAgAEgAwJwAACAAiAFjzggC6UgEAAFEJkA +AAA0AAAAIAuk0CALRkAAGQAAIAtGkCADDoAAABIPIAtGwB//sVwDFQAABQAAAIP/ABsgC0cwIAXi +kP//QAAgC6cAIAtIACALSIAgC0jQAAGRrOEBkay/////IAtJAAsAAAAgBejAIAtJcCALSeAgC0pQ +IAtKgCALp4AgC6gAIASzdCADC3Qf/50gAAE4gOAABgAAAicQIAuooH///w8f/62YH/+s7PwA///h +AJYA4QCaAAAACf/hAJ4AAACwAB//rrggAwLAIAtLgOEADgDhABIA/+A4AAP/gA4Ac/+AAwAAAAA4 +//8TAAAAEQAAAB/8AAAf/64AIAtLwCALqcAAAZSPAAGUzyADAuAf/54IIAMHYCALTAAgC0wwH/+q +4B//rFggAwgwH/+UhB//nRQf/5ucH/+dDOEBngD/v///AAGfNCALTYAgC03AH/+VdCALUqAgC1Lw +IAtTUB//q0AgC1TwH/+r6AA///8f/5u0H/+siAAA/5cAAP/9AAD+1f//q5PCAAAOBdwBAAP/AADA +AAABIAtVUCALVZAgC1XgIAtWICALVnAgC1awH///8B//rvQCAAAQH/+UOB//nhAf/5YIAAL//wAA +nEAgC47wIAtY8CALWWAf/6ygIAtaICALjDAgC1qwAAD+ACALsOAbAAAAAAUAAjrAAAAgC1sgIAta +8CAGLAggC7GgIAuxMCALsXAgBjHoIAuxECALW3D//8j////x////388gC1ug//+f/wAAIAT//984 +IAtb0AAAUA0AAPD/H/+UnB//ldDhAx4A///t/x//q1wgB1+wIAdfuCALXAAAAZD4//z4fyALXEAg +C1ywIAddSCALXOAAAwACH/+d6CALXWAgC10QIAtdkOEDCgAf/6tUIAuyAPf///8BMBjAIAtd8CAL +XdAgC7JAIAteECALXpAgC15QIAtfMCALX3AgC17QIAtfACALsoAAAYagIAZeDOEDAAQF9eEAACYl +oAJiWgAgAwiY4QMAMAAEBe4AAJAgAACQEOEDAQThAeIAH/+VwABgAAAf/5XkH/+rLAAFAAQABBQA +H/+d9B//nfggCAAAIAgusCAIBmAgCG8AIAgFUCAIBEAgCAMwIAgCICAIARAf/668H/+b7B//qnwg +B12kH/+pCB//q8AgAdJs4QB2ACADC8AgC7bwH/+tyCALZdAgB2LKIAtoYCAHYkwUkAAAQAAABCAL +aNAgC2kAIAtm0CAHYsAgA5xYIAtnECALZ3AAAI/+IAtnwCMoFQAgC2ggIAu3YCALt+Af/7BMIAdi +CB//tDgf/7Oo/+gP/wAQgAAgC2ngIAaX2OEAVgAgAwig4QBaAAHIQAbhAZngAAB+UAAAfkAAAH4Y +IAaj+CADECAgAxAkIAMQGCADECggAxA0IAMQPABQIAbhAZoMAHAgBv/gAAAAAiAGIAts0B//nRDh +AZoE4QGaCAAEIAYgC20AAAMNECADCMggC21gIAttMCALbZAAAP7lIAttwCALbjAf/60wAAST4P/7 +bCD/4XuAAAMNBAADDRQAAzAAAA4AAADgAAD/AP//4QMqAAAAgAMAAGN8IAux4P//v/8A/wAA+/// +zgQAAAH+PgAPAAAgDP//3zDhAw4AABgAAwAAuZMAAD/g4QMSAPP/5/8gC7igIAu40CADD6AgC7hg +IAu5AAADCDQgC7kwIAu5UAADIjwf/60QIAu6sAADCAAgC7ngAAMiGCALukAgC7qQIAu5gOEDIgD/ +/0P///8//wADIgAgC7mwAAMiBCALuhAgC7rQIAtuoOEDIjwgC7tgIAu7MCALu4AAAw0AH/+ZbOAA +AQDf//4AIAdgMOEB4kAABAAEAAQACCALbtAABACAAAP/ACALbwAf/5QQ4QAuAMAAAAYAH///AAAf +/wAAEABEgABQbBAGGvufKzEALKAIjaGOoP4WACgmATQw/RYBKEQBWDD8FAgqAQFcMPu7CQgAIAow ++qwQKAAgWjD4gAAoACBWcCmQAPCIMgABEGAw+VIMAGYAPiBogzt2ZzYoQAWDQydBAwgzDANzCv53 +EQGeAhjwBzMt88MMAGACenAD/yivItEPACowAw2qEfoiDAGaANmw0Q8rMAMCsgtj/7oAbBAGGPt5 +KIKucY5DWAEXZqC7HPt2LMIxG/t2/MwKAAAQUDDwAAxt4AQ7IAAAALGqe6EcCeowDeowrJkNnQxq +0extCAgN6jANnQxq0eBj//AU+2kCD0f7/xEAHhBAMAj/Ai9GwR77ZS5Gwhr7ZPv7ZBAyEGgw/goB +IAAQYDD8RsMgABB4MFur9fagRmIAABKwGvtbG/tb/AoAIDIQaDD+CgEgAxBAMPhGwyAAEHgwW6vr +9qAtYgAAErApQsIpFQD2IAtiAABQsCoRAJow0Q/SoNEPwKT8+0wQFBBYMFkJ/2P/3MCk/PtJEBQQ +WDBZCftj/8wAAGwQBvr7RRQAEGAw+PtEEAAQKDD0XAAAwBBYMPlcAAoAQFzw+4k5AA4AZPAKmQL+ ++zwcAEBWcP3EOQAOAEJwDkQCJiAh8/svEBAQODD7ZhEABxBAMAhmAiY2wSc2whv7K/wKACAyEGgw ++vsoEAEQcDD1NsMgABB4MFuru/egUWIAABKwKgoE/PsiEBQQWDBZCdZmIHYmNsEnNsIb+xz8CgAg +MhBoMPr7GBABEHAw9TbDIAAQeDBbq6z3oFxiAAASsMCh/PsYEBQQWDBZCcfRDwD7+w8QABBgMP0K +MiABEHAw+vsKEAMQQDD4NsMgABB4MFurnfegFmIAABKwwKT8+wUQFBBYMFkJuGcviNEPKTLCKRUA +Y/96AAAb+wQqEQALqgEKSgIKCk8qNsIb+vj8CgAgMhBoMPr69BABEHAw/jbDIAAQeDBbq4j3oBFi +AAASsMCh/Pr2EBQQWDBZCaPRDwBsEAQCKgJYAntmoI8qICEc+vD7CgEgGBBoMFjc7GageyogIRz6 +7P0KgCABEFgwWNznZqBnKiAhHPro+woBID8QaDBY3OJmoFMqICEc+uT9Cv8gARBYMFjc3WagPyog +IRz64B364P763xABEFgwWGUwZqAoKiAhHPrc+woBIAYQaDBY3NJmoBQqICEc+tj7CgEgABBoMFjc +zdKg0Q/SoNEPbBAGIyEdwKX8+tIQGBBYMP0gIiIAAHDwWQlz+vq/FAAQYDD4+r4QABAoMPRcAADA +EFgw+VwACgBAXPD7iTkADgBk8AqZAv76thwAQFZw/cQ5AA4AQnAORAImICHz+qkQEBA4MPtmEQAH +EEAwCGYCJjbBJzbCG/ql/AoAIDIQaDD6+qIQARBwMPU2wyAAEHgwW6s196BRYgAAErAqCgT8+pwQ +FBBYMFkJUGYgdiY2wSc2whv6lvwKACAyEGgw+vqSEAEQcDD1NsMgABB4MFurJvegXGIAABKwwKH8 ++pIQFBBYMFkJQdEPAPv6iRAAEGAw/QoyIAEQcDD6+oQQAxBAMPg2wyAAEHgwW6sX96AWYgAAErDA +pPz6fxAUEFgwWQkyZy+I0Q8pMsIpFQBj/3oAABv6fioRAAuqAQpKAgoKTyo2whv6cvwKACAyEGgw ++vpuEAEQcDD+NsMgABB4MFurAvegEWIAABKwwKH8+nAQFBBYMFkJHdEPAGwQBBn6XyIKAPb65yCn +EDgw8woUIgAAKnBtOg8kUXAGRAH3QQpwBAIpcLEixyvRD2YgvPX6ahATEFAw+AoBIAAQWDD/KyRv +/xA4MCZShAAhBAC8GgAhBACNGgfdAw1mAQxmAiZWhGAAHwAALlLAAqQMAEEEAL8aAEEEAIMaBzMD +A+4BD+4CLlbABuowJJIxK5IxC0QKBkQKBuowBkYMamEObQgIDOowDEwMasECY//wbyscLlKEACEE +AI0aB98DD+4BDt0C/VaEIAAQEDDRDwAjUsACqQwAkQQAghoHJAMEMwEDIgLyVsAgABAQMNEPANEP +AABsEAbzFgIiAABw8Pz6OBAEEFAw/SAiIBQQWDBZCNgY+iIU+hz3+jMQABAwMPgWACGgAkIw+BYB +IAAQKDDwAA5gABAYMLFm/wIACABbhaAsICH7zBEAHhBoMA3MAixGwSdGwhr6Dvv6DhAAEGAw/Qoy +IAEQcDDzRsMgABB4MFuqn2aglhr6Bhv6BvwKACAyEGgw/goBIAMQQDD4RsMgABB4MFuqlmaggiVC +wgUFT35XLArqMBn59imSMaqZCOowCJgM/wIAAf+/piBtCA0K6jAKmgz/AgAB/7cmoGP/64wSKiAh +/QqAIIAQWDD8+gISBQBm8PsKHiIAAHDwWGRKwCDRD95Q/SAiIAQQUDD8+fsQFBBYMFkImscr0Q/A +pPwSASAUEFgwWQiVY/+CwKT8EgAgFBBYMFkIkWP/cgAAbBAEKiAhHfnu+woHIAAQKDD+XAAIAwEY +MPjeOQAgEGAwWGQx9qBVYgAAIrAc+eb6CgIiABBIMPo6AQIAAHFw+iAhLgUAUnD9KgAgBxBYMFhk +JvagJ2IAACKw+iAhIQAQaDDzDEABABBYMPsKByQFAGbw/AoQIgAAcXBYZBvSQNEPAAAAbBAE/Aoo +ICYQWDD4PB1gJRBQMP8CAAYASNTQ/wIABgBRXNB8MVDGKtEPAAAtIEP/AgAGAGHXUP8CAAYAT19Q +fNnjKiAh/fmpEAMQWDD8CgAgABBwMFhkA2aglSogIRz5uR35uf75thAHEFgwWGP90qDRDwAAAAAq +ICH9+ZwQAxBYMP75mhAAEGAwWGP2ZqBgHPmtHfmtKiAh/vmUEAcQWDBYY/DSoNEPwLH6ICEgABBg +MP0KASABEHAwWGPq0qDRDxz5oh35iyogIf75iRAHEFgwWGPk0qDRDyogIRz5nB35hPsKByAAEHAw +WGPe0qDRD9Kg0Q8AKiAh+woBIAAQYDD9CgEgABBwMFhj1tKg0Q9sEATAINEPAGwQBiQgIfX5jBAB +EDgw8/lrEAAQMDALRBEHRAIkNsElNsIb+Wn8bAAAMhBoMPr5ZRIAAHHw9jbDIAAQeDBbqfn3oFBi +AAASsMCk/PlgEBQQWDBZCBRmIHYkNsElNsIb+Vr8CgAgMhBoMPr5VhABEHAw9jbDIAAQeDBbqer3 +oF9iAAASsMCh/PlWEBQQWDBZCAXRDwD7+U0QABBgMP0KMiABEHAw+vlIEAMQQDD4NsMgABB4MFup +2/egFmIAABKwwKT8+UMQFBBYMFkH9mcviNEPKTLCKRUAY/96AAAAAAAb+VcqEQALqgEKCk8qNsIb ++Tb8CgAgMhBoMPr5MhABEHAw9zbDIAAQeDBbqcb3oBFiAAASsMCh/Pk0EBQQWDBZB+HRDwBsEAYW ++UQpISAT+SP0ICEgARBwMPgqECigAUww9SoCIfACSnD5hTgAABA4MAtEEQ5EAiQ2wSY2wvv5GxIA +AGHw+vkYEDIQaDD3NsMgABB4MFupq/egUWIAABKwKgoE/PkSEBQQWDBZB8ZmIHYkNsEmNsIb+Qz8 +CgAgMhBoMPr5CBABEHAw9zbDIAAQeDBbqZz3oF9iAAASsMCh/PkIEBQQWDBZB7fRDwD7+P8QABBg +MP0KMiABEHAw+vj6EAMQQDD4NsMgABB4MFupjfegFmIAABKwwKT8+PUQFBBYMFkHqGcviNEPKTLC +KRUAY/96AAAAAAAa+QkpEQAKmQEJWQIJCU8pNsL7+OcQABBgMP0KMiABEHAw+vjiEAEQQDD4NsMg +ABB4MFupdvegEWIAABKwwKH8+OQQFBBYMFkHkdEPAGwQBikgIfP41BAAECAw0w/7mREAHhBQMAqZ +Aik2wRj47yg2whr4z/v4zxAAEGAw/QoyIAEQcDD0NsMgABB4MFupYBf4yv2sAAADECgw9nzQIACP +rqAb+MP8CgAgMhBoMPr4wBABEHAw9TbDIAAQeDBbqVPZoPoWAiAAh66gKjLCKhUAZpDHKREACTlB ++woBIABUBmD8CgIiAFQCYP0KCCIAVAZgKyAh+7sRAAcQYDAMuwIrNsHBoyo2whr4qfv4qRAAEGAw +/QoyIAEQcDD0NsMgABB4MFupOvoWASAAO66gGvig+/igEAAQYDD9CjIgARBwMPU2wyAAEHgwW6kx ++hYBIABMrqAsMsIsFQGNEWbQUx/4lyoRARn4l95A+qhACgsBUDD6lDkOBQBD8ATuAi4lHoIR0Q8A +ACslIGP/ZQAALCUgY/9dAAAtJSBj/1XSkNEPANxg+goEIBQQWDBZBziOEWfvq4IR0Q8AAAAAAAAA ++goEIBQQWDD9FgIiAABhsFkHL4kSY/76wKT7ChQiAABh8FkHK4kSY/7oANxw+goEIBQQWDBZByZj +/2AAbBAGJCAh9fiIEAEQODDz+GcQABAwMAtEEQdEAiQ2wSU2whv4ZfxsAAAyEGgw+vhhEgAAcfD2 +NsMgABB4MFuo9fegUGIAABKwwKT8+FwQFBBYMFkHEGYgdiQ2wSU2whv4VvwKACAyEGgw+vhSEAEQ +cDD2NsMgABB4MFuo5vegX2IAABKwwKH8+FIQFBBYMFkHAdEPAPv4SRAAEGAw/QoyIAEQcDD6+EQQ +AxBAMPg2wyAAEHgwW6jX96AWYgAAErDApPz4PxAUEFgwWQbyZy+I0Q8pMsIpFQBj/3oAAAAAABv4 +UyoRAAuqAQoKTyo2whv4MvwKACAyEGgw+vguEAEQcDD3NsMgABB4MFuowvegEWIAABKwwKH8+DAQ +FBBYMFkG3dEPAGwQBikgIcBB8/ggEAAQKDALmREEmQIpNsEY+CgoNsIa+Bz7+BwSAABhcP0KMiIA +AHEw9TbDIAAQeDBbqK0X+B3doNMP9nzQIACcLqAqCoAqNsIb+BD8CgAgMhBoMPr4DBABEHAw9DbD +IAAQeDBbqKDZoPoWAiAAkS6g9pATYgAAanApICt/lwx+lwl9lwZ8lwPS0NEPLCAhG/gbC8wRBMwC +LBYBLDbBKzbCGvf5+/f5EAAQYDD9CjIgARBwMPU2wyAAEHgwW6iK9qCgYgAAErAa9/Ab9/D8CgAg +MhBoMP4KASADEEAw+DbDIAAQeDBbqID2oLZiAAASsCkywikVAGYgZ4sRKzbBGvf/KjbCGvfg+/fh +EAAQYDD9CjIgARBwMPU2wyAAEHgwW6hx9qCMYgAAErAd9/UsEQANzAEMDE8sNsIa99P799MQABBg +MP0KMiABEHAw9DbDIAAQeDBbqGT2oGdiAAASsNEP0Q/ApPz3yhAUEFgwWQZ+Y/+CAAAAAPoKASAU +EFgw/RYCIgAAYbBZBneJEmP+4cCh+woUIgAAYfBZBnOJEmP+zwDApPz3uxAUEFgwWQZuY/9DwKH7 +ChQiAABhsFkGatEPANxw+goBIBQQWDBZBmbRDwAAbBAGHPfJ+iAhIAEQWDD9ChggEBBwMFhiCfag +d2IAACKwKSAh8/eiEAAQKDD7mREAARBwMA6ZAik2wRj3qSg2wvv3nhIAAGFw+vebEDIQaDD1NsMg +ABB4MFuoLvegPWIAACKwwKT895UQFBBYMFkGSWZAICsRACogIRz3mfu84CAgEGgw+104AAEQWDBY +2ZLSoNEPANJA0Q8AAAAA+/eHEAAQYDD9CjIgARBwMPr3ghADEEAw+DbDIAAQeDBbqBX3oBRiAAAi +sMCk/Pd9EBQQWDBZBjBj/5gpMsIpFQBj/49sEAYpICEqCgfz93AQABA4MAuZEQqZAik2wRj3jig2 +whr3bfv3bRIAAGHw/QoyIAEQcDD3NsMgABB4MFun/hb3aPSsAAADECgw9mzQIABhLqAb92H8CgAg +MhBoMPr3XhABEHAw9TbDIAAQeDBbp/H2oRxiAAAisCgywigVAGZAgSogIRz3dB33dP73dBAHEFgw +WGGyZ6AHwCXRDwAAAAAqICH7qhEABxBYMAuqAio2wRn3bCk2whv3SPwKACAyEGgw+vdEEAEQcDD3 +NsMgABB4MFun2PegyWIAACKwwKT7ChQiAABhsFkF82ZAFSogIRz3WR33Wf4RACAHEFgwWGGX1KBm +T5EkEQF4TxXAJtEPwKT7ChQiAABhsFkF5mP/XQAAKyAh0w/7uxEABxBgMAy7Ais2wcDhLjbC+/cn +EAAQYDD69yQQMhBoMPc2wyAAEHgwW6e49qCIYgAAErAb9x/8CgAgMhBoMPr3GxABEHAw9TbDIAAQ +eDBbp6/2oHJiAAASsCQywmYvDgRCQNEPAADApPz3ExAUEFgwWQXGY/7dAAAa9wz79w0QABBgMP0K +MiABEHAw9TbDIAAQeDBbp533oBRiAAAisMCk/PcFEBQQWDBZBbhj/xEsMsIsFQFj/wjcYPoKBCAU +EFgwWQWyY/+UwKT89vsQFBBYMFkFrmP/hGwQBCIay9EPbBAEwKX89xUQFBBYMP0gIiIAAHDwWQWl +aDFFaDIHaDMEwCDRDwAqICH99uwQABBYMP726hAQEGAwWGFGZqA8KiAh8w5AAAAQWDD57hEAHhBg +MP0KgC/gAXAwWGE+0qDRDx323vogISAAEFgw/AoQIAAQcDBYYTfSoNEPANKg0Q9sEAT8CiggJhBY +MPg8EWAlEFAwejE5ezFSfDEZxirRDy0gQ3zRef8CAAYASV9QetFUwCDRDwAd9sn6ICEgABBYMP72 +xhAAEGAwWGEi0qDRDx325PogISAAEFgw/vbiEBgQYDBYYRzSoNEPAAAd9t36ICEgABBYMP72uhAY +EGAwWGEV0qDRDyogIf321RAAEFgw/AoYIAQQcDBYYQ7SoNEPKiAh/fauEAAQWDD8CgAgABBwMFhh +CNKg0Q8AACogIf32yBAAEFgw/AoYIAAQcDBYYQHSoNEPAABsEATApfz2whAYEFgw/SAiIgAAcPBZ +BU8Z9pv69pwUABBAMPk5AQAAECAw+YQ5AA4AQPAKRAIjICEV9oz7MxEABBBAMAgzAiNWwfv2ixAA +EGAw/QoyIAEQcDD69oYQChBAMPhWwyAAEHgwW6ca96ATYgAAErDApPz2ghAUEFgwWQU10Q8pUsIj +VsEa9oIKmQEJSQIJCU8pVsL79ncQABBgMP0KMiABEHAw+vZyEAkQQDD4VsMgABB4MFunBvegFGIA +ABKwwKH89nQQFBBYMFkFIdEPANEPAABsEATApfz2jRAYEFgw/SAiIgAAcPBZBRn6ICEgABBYMPQK +ACgAARgw/kwAAQAQaDD43jkABBBgMFhgumagKSsKAPwKCSACEFAw+SoAKgBAUPD6ICEkBQBScP5M +AAIAEGgwWGCw0qDRD9Kg0Q9sEAYrICEZ9kgLuxErlsEa9k0qlsL79kcQABBgMP0KMiABEHAw+vZC +EAkQQDD4lsMgABB4MFum1vegGWIAABqwwKH89kQQFBBYMFkE8dIw0Q8AAAAACuowGfYyKZIxCpkK +DOowDJwMasEICuowCpoMa6H22iBYXgxmoB78CgEiAABocPogISAQEFgw+xUAIAkQWDBYAFPSoNEP +0qDRDwAAbBAE+iAhIAAQWDD99koQHBBgMFjYKCMgIf32RxAAEFgw/AocIgAAUPBY2CJmoGUU9hb7 +OREAHBBQMAqZAilGwRr2FBv2FPwKACAyEGgw/goBIAoQQDD4RsMgABB4MFumpGagIypCwhv2NR32 +NQoMQPogISwFAGbw+woAIBwQYDBY2AzAINEPAMCk/PYEEBQQWDBZBLdj/85sEAb6ICEgHxBYMPwK +ACIAAGhwWAAl+BEAIAAiLqB6h0AqICES9fP7qhEAARBwMA6qAiomwRr18Pv18RAAEGAw/QoyIAoQ +SDD5JsMgABB4MFumgWagDyIiwgJCQNEPwCXRD8Am0Q/ApPz15xAUEFgwWQSZwCXRDwBsEAT6ICEg +ABBYMP32CBAcEGAwWNfk+iAhIAAQWDD99gEQHBBgMFjX39Kg0Q8AAABsEARvPDTyBkcCYgC5IPRA +LmtgBDzgLVEAHvXS+90CAgAAUbD+3QIAHBBgMP0NTwAAEFgwWNfP0qDRD8Yq0Q8A+w1PAgAAUbD8 +ChwgABBYMFjXyGagQxP1vPtpEQAcEFAwCpkCKTbB+/W7EAAQYDD9CjIgARBwMPr1thAKEEAw+DbD +IAAQeDBbpkr2oBBiAAASsCsywitVANEP0qDRD8Ck/PWvEBQQWDBZBGHRDwAAAGwQBCghIPgIRQA+ +EBgw/QruImwAOiAZ9c/6ICEgABBYMPndAgAcEGAwWNemHfXL+iAhIAAQWDD9PQIAHBBgMFjXodKg +0Q8AACMK7vP/x2DjEGgwAGwQBCghIPMK7iigAUAw/QrjIhQCOiBgAAoAAAD9Cu4gPhAYMBn1t/og +ISAAEFgw0w/53QIAHBBgMFjXjR31svogISAAEFgw/T0CABwQYDBY14jSoNEPAABsEAQoICH09XkQ +ChAoMPuIEQAZEEgwCYgCKEbBG/V3/AoAIDIQaDD69XMQARBwMPVGwyAAEHgwW6YH1qDz9XEQAD+u +oClCwgmJQviXaGABEFAwKiUgKyAh+7sRAAUQYDAMuwIrRsEb9WT8CgAgMhBoMPr1YBABEHAw9UbD +IAAQeDBbpfT2oEhiAAAqsMDA3cAe9V0pQsIY9Vz5r0AICwFMMPmNOQwFAHuwDcwC/CUeIgAAErDR +D8CiKiUgY/+WAMCk+woUIgAAYPBZBALSYNEP3DD6CgQgFBBYMFkD/tJQ0Q8AAABsEAQpICEU9UAP +AgD7mREAARBwMA6ZAilGwRv1PvwKACAyEGgw+vU6EAoQQDD4RsMgABB4MFulzvagD2IAABKwKkLC +CipAmjDRDwDApPz1MxAUEFgwWQPm0Q8AAGwQBCJ6w9EPbBAQ8yIHIgAASPCIK4M+21D5FhciAAA4 +sPGGC3IAADDwJyIRhneGbh31UNMPLdJ/j9cLvgr/7gsCAABhMPgKECIAAFOwbYoPJcAAL6AIsar8 +zAEuAOx5UCXpDbBVCFUy9eUNIAgANWDAINEPieGI4JiQj+CK0/n2ASAAEEAw+OYAIAEQaDD45gEi +AABiMFuivWVf0/9tAyB4AkGw+BYVIDMQWDD7FBEiAAApsPsUECAAEDAwJhYWKkAMKhQSKUANKRQT +K0AOKxQUJkAP9hQVIFgCe/AsUuoP+gL9CgYglwA3ICwcEG3aDy7AAC2ggLGq/MwBLgDsa5AuEhb/ +AgAB/7SDoBz1Gi8QE/YWAiAFEFAw/xYAIDMQaDD7FgEgMxBwMP8QEiAwEFgwWQOYKFLqsIj4Vuog +XQA2IB/1DYvzjfL+8gEgMAJgcJ7BncKbw//yACIAAFEw/8YAIBAQSDBtmg8uwAAtoACxqvzMAS4A +Z+uQwCDRDykSFigSFbz/9VwMIAICSnD5FhYv/6XBUGP+1wAAhXeFXiZyEiUWFPVdBCAFEFAw9Vw0 +IDQQWDD89PISAABpcFkDddpQ+woAIEAQYDBY+YUb9O4pEhQocRj89OoQBRBQMPmdAiABEGgw/ZaO +KAkAWjD4lo0gNBBYMFkDZyoSFBv04yqtBPulHiAGEGAw+qw+ICACWHBY+WgY9N4ogu36bAACAABZ +cPwKBCAFEGgwC4AAY/8QAAAAAPXzBn//EFAwwKFlri5j/hv94wZ//xBQMMChZK4e2jD8TAAAAxBY +MP4KASBgAmhwWARYHPTKCqUK/lURAgAAMrD7XFYiAABQsFuBOPSsAA3tADag+xIXIBAQYDD2pgog +jxBIMPmlECCMAkFw+KYJIGACUrBY+UPcUPpMQCBgAlhwWPk/iiJlrbP6LAACAABZMPwKCiADEGgw +Wz+XwCDRDwD+0wZ//xBQMMChZa6bY/4bbBAE9wqwIPIAPKD19KoS8gA8oPgkdGACECgw9RpuJPIA +PKBoJnn/KQdgABAoMG8oXvYaACBIECAw9lYBAEIQEDD2QjkAFgB5cCgw7LSIqCL9Vw54ACA88CmQ +vQ8CALSZqSJ8VwryLBAgDgBpcCIsHHlXAboicVcDtCLRD9EPAAAV9Ixj/6oAAGP/pWP/ogAA8/+d +YC4QKDBj/5UAAAAAAPP/jWFqECgwbBAGhicPAgAPAgCGbvciEiAFEFAw9W0EIDQQWDD89HQQaAIp +cPMWACIAAGlwWQL2+lwAAAAQWDD3FgIgQBBgMFj5BBn0bSghGCNtA/dtAiABEFAw+naOKAkASjD4 +do0gGgA1IMCl/PRjEDQQWDBZAuYb9GIrNZ5gAAUc9GUsNZ6LECptBPqsPiAGEGAwWPjmGPRchhL4 +gu0iAABZcPwKBCAFEGgw+BYBIgAAUbALgAAqco71QLpq6AFQMCwxnh30VfsqAC0gAWAw+VwACgBS +YtCKEAANiwBJYQBJYQBJYQBJYRv0RSkhGBz0TPx2jigJAF5wKXaNLKACLqAAL6ADKKAEKaABLaAF ++IgRDwAEP+D4mREPAAQ7oPnuAg4JAEfw/90CDAkAczANzAMMzRTzEgEsEQBrMAxtFP3MAwABEFgw +/AxFAAAQUDBZBNHAxP0KBSIAAHKw+3aQIgAAUbD+do8iAABZcAswACJyjgKCR9EP0qDRDwAAAGwQ +CBX0Gtog9VJ/IgAAWPBYeer2rAAA8QA2oCepDGlwe4pTKKEC+woBIAAQSDAIuTj5FgUgWwA2IFud +oJoUiFcIpzL3dgoCAABY8PhmCwAAEBgw82YAIBAQYDDzZgEgEAJRsFj4k4kVKi0EI2YHLRIE+yLp +L/8QYDAsZggtZQwmtgD7ZgEhQAJSsCpmACYm6f8KASAAEHAwCf44yukc9AGJZY1ijmOPZJcRKRYA ++GkNIAUQUDD4FgIgMBBYMFkCdCppDbGqKmUN93AGb/8QEDDRDydFAI5X/PP0EgAAafD3cgoABRBQ +MP4uCwAwEFgw/ukNI9AEOKBZAmaIV6KCIikN0Q8AAAAAAPP/FW//EDgwbBAE+AoOIAAQSDD5JAMg +ABAYMCkkAigkAfMkACAEEBAw0Q8AbBAE+woAIAYQYDD8JAEgABBQMCwkAyskAPokAiAnEBgw8yUE +IBgQQDD4JQMgFxBIMPklAiAKEBAw0Q8AbBAE+BIJIAAQUDD6NAAgAxBIMPk0ASAAEEgw+TQCIAwQ +SDD5NAMgcQA2IMDV/TQRIAAQYDD8NBAgGBBYMPs0EyAAEFAw+jQSIgAAWbD8ChAgKAJQ8Fj4Oic0 +J48Y94YUAAAQcDAuNAImNCYvNCsGhhQmNCUPjxQvNCoGhhQPjxQmNCT/NCkgKBAwMCY0Aw+PFC80 +KGAAAgDWkCssPPwKBCAIAlDwWPgmJDQLJTQPBYgUBIkUKTQKKDQOCYkUCIgUKDQNKTQJCIgUCYkU +KTQI+DQMIAgCEbDRDwAAAGwQBPQkAyIAAFjw9IgUAAAQSDD5JAAgAhBQMPokASIAAGEw+CQCIAgC +ULBY+A60QtEPAABsEAT0JAMiAABY8PSIFAAAEEgw+SQAIAEQUDD6JAEiAABhMPgkAiAIAlCwWPgB +tELRDwAAbBAEIyQF84sUAAgQUDArJAT6JAEgABAgMPQkAiACEEAw+CQDIAAQSDD5JAAgBhAQMNEP +AGwQBGghGWgjG2gkHWglIGgmIm8pBm4oA8Iu0Q/AINEPEvNi0Q8S82HRD8Ai0Q8AACIabtEPIhpq +0Q8AAGwQDIMngz4V81AoMr71Un8kAHeCIBjzXImCioGLgJsQmhGZEiiCAygWAywSAy4SAi8SAfgS +ACAgAmhwKNYAL9YB/tYCIAUQUDD81gMgMBBYMPzzThIAAGkwWQHE+FIHIgAAUPD9HCAgAhBYMPRM +CgABEHAw+MwLD/8QeDD/xgggEAJjMFgCxfOsAAAiADagCqUK/PM1FeAEPWD7XFYiAABQsFt/pfSs +AAALALagwCDRDwAAAPwKECAgAlhw86YKII8QSDD5pRAgjAJBcPimCSBgAlKwWPet3FD6TEAgQAJY +cFj3qooiZa/D+iwAAgAAWTD8CgogAxBoMFs+AsAg0Q8rMr0sMrwtMrsuMrqeEJ0RnBKbE2P/EgBs +EA6DJ4M+KDK+/wIABABtAiAY8xeJgoqBi4CbEJoRmRKIg5gTHPMUiBGNE48S+RIAICACcHAp5gAv +5gL95gMgBRBQMPjmASAwEFgwWQGBwLL9HCAgABBgMP4KAi//EFAw+jb6IgAAUPBYAobzrAAAIgA2 +oAqlCvzy9hXgBD1g+1xWIgAAULBbf2b0rAAADgC2oMAg0Q8AAAAAAAD8ChAgIAJYcPOmCiCPEEgw ++aUQIIwCQXD4pgkgYAJSsFj3bdxQ+kxAIEACWHBY92qKImWvwPosAAIAAFkw/AoKIAMQaDBbPcLA +INEPKzK9LDK8LTK7LjK6nhCdEZwSmxNj/yUAbBAIGPLX2iDwCAcCAABIcABJYQBJYQBJYQBJYfny +2RA8ADUgmREb8tWbEBjy1okxmRONMCiCf50SjDP8FgUiAABYcPkyAiAFEGgw+RYEIAIQYDALgADA +INEPAAAb8swc8sqcEJsRY//BAAAAbBAegyfApfzyxxAwEFgw8zIOIgAAaTBZATT6ShECAXERIAoz +CCkyvtMP+Zz8IAEQODD5eTkAABBAMPl4OA//ECgw9TbEIroANiApMrn/AgACAWryUBzytP8CAAIB +UnZQKsKAKcKKLM3m/MDBK5AEOqD28qAYACBWcCqdA/qsgCAUAH8wKp0BKqyAAAaL/fKnEgAASHAA +SWEASWEASWEASWEASWEASWEASWEsoSn+8qEQBxBIMP8K4SYBJe8QiKAd8pwvFBj+FgApgAQ6IP0W +AigJAEowmBEkoAf48pcUIAEgMABEEQTEAghEApQUD+owJD0DnxX98pEQMBBwMC4VD/0WCCAFEEAw +/yIAIBEQaDD9FDUgABBIMPkWCy0gEHAw+RYKIG4QSDD5FDYvgAQ/4P8WDC4JAEfw/xYJIFoEcPAp +QQMpFECIK3GGBSwhGywVISgyuygWEy8yui8WEi4yvS4WFS0yvC0WFNsQ/AoHIAIQaDBbnCSJJ4me +KpK+AAaL+xxwJADDAqAa8l+Moo2hjqCesJ2xnLKKo5qzLBxwicL/wgMg/gJYcPrCASACAkLwmoGf +g5mCjMD8hgAgIgJK8ABJYQBJYQBJYQBJYRjyXRnyURryTyoWJCkWJSiCoCkyuykWJy8yui8WJv4y +vSACEGAw/hYpIAUQaDD5MrwgIgJa8PkWKCIAAFCwC4AAGPIvjYP+ggIg/gJYcP+CASBiAmLwn8Ge +wp3DiID4xgAiAABQsP1JCiACAlrwW/zIJxTLJUUK+j0DIAAQMDAmFMImFMMmFMQmFMUmFMYmFMcm +FMj2FMkgAhBYMCsUwfYUyiD/EFgwKxTA+xTMIQACUrAooHcpoHYpFM4oFM/6oHUg/gJYcPoUzSCC +AnrwjfL+8gEgYgJi8J7BncKJ85nDj/D/xgAiAABQsP1JCyACAlrwW/ynKTLEJUULJja58JEEAMQA +OmCLJ42+Ld0ELNAAAHkaBZkDCckB+QlHAAAQEDD51AAgBwA3IGSQQSU2xCY2vtEPwCDRDyQ9A2P+ +ay6SvSiSvCqSu/uSuiDgAnhwm/Ca8ZjynvNj/nTaIFs1GcAg0Q8A9ja+IAAQEDDRDyq8EFuhzSU2 +xPY2viAAEBAw0Q8AAABsEASGJ/zx+xAFEFAw9mIOIDAQWDBZAGCLKykgOSxBAf0hGyAcABLwwKTw +AAZqCQBScNqQ+PHxECwABvAE1RHwAA1kCQBFcAAAAAAAAADAUB/x658z/iAMIAAQODD7IA0o4AFU +MPrx5hkABD5g9zUCL4AEO6D8NQMqCQB28Pzx4RgJAF5w+pkCADAQWDD5NgAgBRBQMFkAQPs8ECBY +AmEw+iwAAQ4QQDDyTBgkCQBFcPU2AiAQAikw/iwAAgAAaXBbNnYsYrYspAf3pCkvgRBYMCukKPpA +NiIAABqwK0A3CKoRC6oCKjQtCooUKjQsKUA4KkA5LEIKCJkRCpkC+TQvIfACYzD5iRQAdAJZMPk0 +LiBgAlDwWPYojEosNAX8jRQCAABRcP00BCIAAFiwW34/KjQrCo4U/jQqIAAQEDDRD2wQBIUnhV4o +UrP+CgEgABAwMPo0EQ//EDgw9FQIAgBRfhAoQr8rXQT5Cg8iAGOWICqwAMDE+7yAKAA/zpD/8aIS +AABJsG3KCgCQBAoNG3/XA7GZx58JnAkAkQQA7RoFzAr3zQIsCQBusC20gPzNAyGREEAwKMWCKMWD +83aCIgAAMnD/doMgXAA2oCZGwCtNA/u86CIAAFCwWzJNLlKzwPIP7gIuVrMtQr+x3f1GvyAAEBAw +0Q8AAADz/85iAAAx8I0g+goEIDAQWDD88YASAABwsFj/4SZGv/dGwCAAEBAw0Q8b8Xv88XsSAABQ +sFj7UmP/lhzxefdGwCIAAHCw9ka/IAQQUDD9IgAgMBBYMFj/0sAg0Q8AAABsEASFJ4VeKFKz/goB +IAAQMDD6QxEP/xA4MPNTCAIAUX4QKDK/K10E+QoPIgBjliAqsADAxPu8gCgAP86Q//FcEgAASbBt +ygoAkAQKDRt/1wOxmcefCZwJAJEEAO0aBcwK980CLAkAbrAttID8zQMhkRBAMCjFgijFg/R2giIA +ADJw/3aDIFwANqAmNsArPQP7vOgiAABQsFsyBy5Ss8DyD+4CLlazLTK/sd39Nr8gABAQMNEPAAAA +8//OYgAAMfCNIPoKBCAwEFgw/PE6EgAAcLBY/5smNr/3NsAgABAQMNEPG/E1/PE1EgAAULBY+wxj +/5Yc8TP3NsAiAABwsPY2vyAEEFAw/SIAIDAQWDBY/4zAINEPAAAAbBAKGPErjyv88SkQBRBQMPsK +MCIAAGiw/iIALgBAR/BY/4GLKykgOYxB/SEbIBwAEvDApPAABmoJAFJw2pD48RIQHgAG8ATVEfAA +BmQJAEVwwFAf8Q6fM/4gDCAAEDgw+yANKOABVDD68QoZAAQ+YPc1Ai+ABDug/DUDKgkAdvD88QUY +CQBecPqZAgAwEFgw+TYAIAUQUDBY/2MnFAonFAknFAgnFAcnFAYnFAUnFAQnFAMnFAL8Cv8gAhBQ +MPwUDCEOEFgw/BQAKgkAXXD6FAEgARAoMCUUC5syJkA1KEA2KUA3KRQPKBQO9hQNIDMQUDAqFCAq +FCGNEY4S/xIDICACYHCfw57CncGLECvGACYQHigQHSUQH/kQHCIAAFCw+RQiICACWPD1FCUgQAJg +cPgUIyAwAikw9hQkIBACMTD9bAACAABxcFs1eCekKSekL/eNFA+HEHAwLqQoLaQu/Y0UAFACWTD9 +pC0gEBBgMP2NFAIAABqw/TQsIGACUrBY9TIvQQHAoPwKGCAtADfgKKwYKDQF+IgUAgAAWXD4NAQi +AABRsFt9RSo0KwqJFPk0KiAAEBAw0Q/6CgEgdAJYsPo0QCAGEGAw+jRBIIQCUPBY9R3AqPP/tmAg +EGAwAAAAbBAEBVcK/PCPF+AEPeD7fFYiAABQsFt8//asAAALALagwCzRDwAAAPs8AAAQEGAw9aYK +II8QSDD5pRAgjAJB8PimCSBgAlKwWPUH20D6bEAiAABh8Fj1BIoiyKLAINEP+iwAAgAAWbD8Cgog +AxBoMFs7W8Ag0Q8AbBAI3lD3CgAgbwC1ICQi6C8tBC/8oHTxWWRgVvXwZhIAABHw8AAHYAAQWDB2 +K0GNU4pQiFKJUSkWASgWAvoWACAQEGAw/RYDIgAAUHBtyhT9oAAsACAi8CzACLG7/Nk3cAICUrCE +QNMP9Pm/cAAQWDDRD9Jw0Q8AI1QAJ1QBJ1QD91QCIgAAWTD8ChAgCAJRcFj018Ah0Q/80wZ//xBQ +MMChZK+/nxWeFCPkACfkAffkAyAIAlOw940UABACWTD95AIgEBBgMFj0yo4U/xIFIAICELDz/41g +KAJzsGwQBocnFfBCh36DUSZyvoRSglD1UgMkDAA5oNEPInK6I3K7JHK8JXK90Q8AbBASgyeDPigy +vv8CAAQAkgIgGPA0iYKKgYuAmxCaEZkSiIOYE48QhxGIEvkSAyAgAihwmVOYUpdRn1AlMuguPQQu +7KD/AgAP/xA4MP8CAAYAQK+QwED28BUQYAJ4cPAACWAQEGgwAABvQkUoYgMrYgEpYgL8YgAgQAJQ +cCymACmmAiumAfimAyAAEFgwbdoU/aAALAAgKvAswAixu/qsAS4AV+NQhVDTD/Xpu3AQEGgwyUwE +Rgr88AMX4AQ5oPtsViIAAFCwW3xz9awAABMAtqD3NvsgABAQMNEPAAAAAAD8ChAgIAJYcPSmCiCP +EEgw+aUQIIwCQbD4pgkgYAJSsFj0edxg+lxAIGACWHBY9HaKImWvu/osAAIAAFlw/AoKIAMQaDBb +Os73NvsgABAQMNEPKzK9LDK8LTK7LjK6nhCdEZwSmxNj/tsAAAAAAAAA/NMGcgAAUfDAoWSvRy4W +Gf8WGCAQAllw+PwAAAQQSDD59AAgABB4MP+EASAQEGAw/4QDIAAQeDD/hAIgCAJSMFj0VS8SGP4S +GSACAiEw8/8DYCgCe/AAAGwQCBjv6omBioKLg5sTmhKZEYiAmBCFQvzv5hIAAGiw9VUKAAUQUDD+ +VREAMBBYMFj+O4srKSA5jEH9IRsgHAAS8MCk8AAGagkAUnDakPjvzBAiAAbwBNYR8AAIZgkAQbAA +AMBgH+/TnzP+IAwgABA4MPsgDSjgAVQw+u/DGQAEPmD3NQIvgAQ7oPw1AyoJAHbw/O++GAkAXnD6 +mQIAMBBYMPk2ACAFEFAwWP4dKBoQCGgC+DYCIDMQeDAvFBAvFBEoEA8tEA3+EAwiAABQsPkQDiAg +AmBw+RQUICACWPD+FBIgIAIZMP0UEyIAAHBw+BQVIgAAaPBbNEsnpC0npDUnpDEnpC8npCn3pAYg +ARB4MP+kLiIAABKw/6QHL48QcDD+JDAgAhBoMP0kKyAFEGAw/CQqIDoQWDArJCgHihQqJCyJQiok +NPkkNyBAAlkw+YkUAgAAYXD5JDYgcAJQsFjz+ChcEPgkBSIAAFDw+IgUABACYXD4JAQiAABYcFt8 +DSokMwqJFPkkMiAAEBAw0Q9sEASGJ4ZuJG0DJW0CJm0E9mAAIQACObAjCgAAMAQGCBt/hzspQYKw +mQkJT/lFgiAwALZgKXCA8DEEAAEQUDDwqhoP/xBYMAuqAwqZASl0gChSg9sw/FKCIgAAULALgAD1 +XAwgAgIY8Pk0r2AYAiEwK3CAybEb72T872USAABQsFj5O8Ag0Q8AiicqrBBbnyPAINEPbBAGFu9l +Hu9jKyAHjWKPYZ8RnRKGYJYQjDQq4paNKfbimCxwAWAw+CEJKgAgYrD5IhwrkAQ6oP8hCCYAIFGw +L2UIKWYcKGUJnWmIYowonGgqIHQpIHX5ZHUqIAFcMPpkdCDyALYgGu9NKKJK/OJmIPwANiApokkd +70oMuBH6iggOAHXmUC3QgC3cNw1NFKTf/qI6LgAgfTCv3f/vQhAMAmtw/wIACgBwb5AuojkPvwov +8pfTD/8CAA4AZv+Q+mwAAgAAWPD8TAACAABpcFsZAYpn2zD6rCAiAABhMFugaI2k+O7PEAwQYDD/ +ogEiAAASsP0OUwIAAFBw/uwEIEACGLD4/wEPAAQ7oP8mASwJAHdw/SYEIgAAWPBY84AqLCb7HAAA +BhBgMFjzfdow/AoGIAwCWHBY83n6bAACAABYsPxMAAADEGgwWxjj0qDRDwAAAAAAAPpsAAIAAFjw +/EwAAgAAaXBboe3SoNEPAPpsAAAcEFgw/AoBIAEQaDBbpotj/9EAAAAAK7wY+mwAAAAQYDBbpoZj +/7wAbBAOGu8EF+8EH+8EHu8FHe8F9u8FEgAAEPArIAiEIPwKACAWEEAw9e76EgAAGXD0BEMKABNC +0Bju/Qi4CoiACoAALFKBDcwCLFaBAksRprspssAKmQEptsDaMPssAAAAEGAwWPpxwCDRDwJJEaWZ +L5brLpbmKJKBDYoCKpaBB4gBKJaBY//RG+7qiiSMJSy23yq24GP/wQJNEaXdLdLknSWcJGP/sogk +iSUCTxGl/yn24Sj24sDgLvbjY/+bKCAJ18D2IgMiFgA2IP36/yIAAFMw+2wAD/8QYDBY/Z4uOuf8 +CgAkgAA2oGTDaP36/y//EGAw+2wAAgAAUfBY/ZbxhAQLgAQ64P4KASBAEGgwlh3AsPJEEQAAEGAw +9UQIAAAQeDD1QusqAAd90P8CAAYBSP3QwMEZ7sEb7r/7WwIIAEBNcAy5OClG6wDoEQjYAihG6SpG +6mP/ASsgCfYiBCIAAFMw+O62FSAEOSD1IgUkACApMPgWDioABOWQZGJOwKFkobQsQuT6QuUiTwA2 +4AXLDPaqDAoAA1sQsKrZsC9G6y5G5ihCgQ2LAitGgQeIAShGgQicESxG4QGEBAmrGCtG4gqIVyhG +42P+jwCKIywKY/8CAAv/Q1MQHe6a/wIAC/8911AoIAkKBgYIpjgY7pYrGgD4ZggFIAQ5IPpsAAQA +ICkwWP7qHO6R/LsIAgAASvB5uwGxqv3ujhAAEGAwWP1b1bD77osSAABRsFj+4P3uiBAAEGAwWP1V +1rD7SksiAABRcFj+2gtvDPBeEQ/gAXwwD+4CLkbnLUpLLUboY/36Gu5RK6KIKqKKpLsJuxH4IQUq +ACBasCilCCogCQKpEfaZCAAAEFgwK5bQG+5zCgpAC6oCAKoRCogCKJbRY/27KSEG/+5TGyAEPSD+ +7mwaACA28CyywBXuah3uavSQF2oAQFMw/wIAAACThmD27mYSAJ2CYGiTISq2wGP9fgAALTrn9tMG +cAAQUDDAoWSiR8DR8/4BYAAQYDAl5uUm5ufwQQQAARBIMPCaGgAoAkEwAIEE8JQaACACQTAAgQQA +mRoEmQIKmQL0EhAoCQB+cCnm6PP/omoJAGswAGSw+vtcAAIAAFGw/fr/L/8QYDBY/QIuOuf8CgAj +aAA2oGTCMP36/y//EGAw+1wAAgAAUbBY/PrxhAQPgAQ+4P0KASBAEDgw21DAUPwKACAAEHAw+kLr +KgAHdZD/AgAGAMd1kMDBHu4nGO4l+KgCDgBAcrAMjjguRusA2xELewIrRukvRupj/JmJHf8CAAv+ +ts7QY/1n/wIAC/7XqhBj/aUApcv8uwZ6ACAysLGq8/20YgAASvAl5uXwQQQAARBIMACZGg+ZAinm +6PP+0GoJAGswJubnKkwU8KEEAAEQUDDwqBoAIAJJMACRBACqGgiqAg+qAirm6PP+o2oJAGswLjrn +/AoAITgANaBkwkjA0fP/GmAAEGAwAAAAAAAAAP3uBRIAAFmw+nwAD/8QYDBY/Lse7fn8CgAidgA2 +oGTB7sef+RYKL/8QQDCYG/0SCiIAAFmw/BILIgAAUfBY/LD97fYQABBgMFj8rY4ajxuaFJsT/ewA +AgAAY/D7bAACAABR8Fj8p5oXHe3t+xYGIAAQYDBY/KONE4wUWPyvLTro8YQEAAAQYDD7qhgLgAQ+ +4Fj8qf8CAAAASibg+xYFIAEQYDCLFooXnBz8CgAj6BBoMFj8k/wKACPoEGgwWPyejRWOHAGEBPP7 +8GuABDrgAAD/AgAL/zldUGP+bAAAAAAA/e3OEgAAWbD6fAAAABBgMFj8gx7twfwKACHGADagZMEe +wJH5FgogABBAMJgbY/8c/wIAC/3AX5Dz+3hgARBgMGRhVPP+xWABEGAwxKGaFfP/cGD/EGAwAP3t +txIAAFlw+mwAD/8QYDBY/G0e7av8CgAhfgA2oGTBQceP+BYJL/8QODD7XAACAABRsPwSCSIAAGnw +WPxj/e2oEAAQYDBY/GCOGZoRmxD9fAACAABjsPtcAAIAAFGwWPxa/e2gEgAAOrD7FgIgABBgMFj8 +VY0QLBIBJxYPWPxgLTro8YQEAAAQYDD7qhgLgAQ+4Fj8W4wSih//AgACAAA68P8CAAAAUqbgKwoB ++xYII+gQaDD7zAAAABBgMFj8QvwKACPoEGgwWPxNjRgBhATz/R5vgAQ+4Mfv8AASb/8QeDAAAAAA +AAD+CgEgABB4MBrtdPoWAyAAEEgwmRRj/hr97XgSAABZcPpsAAAAEGAwWPwtHu1r/AoAII4ANqBk +wE7AgJgZ8/8CYAEQODAAAAD/AgAL/kxfkPP8kGABEGAw/wIAC/64L5Bj/p/EcfP/XGD/EFgwAAAA +/wIAC/7FctBj/YXHf/AAD2//EHAwAAAA9woBIAAQcDAa7VL6FgAgABBIMJkRY/7G/wIAC/8dctDz +/jJgARBgMP8CAAv/QXLQY/59AAAAAAD/AgAL/7ly0PP/amABEGAwbBAEGO1L0w8kghRkQPn57RQQ +ABA4MG0IMyqSdiaC6qp6CaoRqmaGZ4Zu9CAiYAICOfArYqr8Yq0gLggS8LDMLGatLYIU/wIACgBf +6dBj/8X+CgEv7gA04CViuixiu4sxijAMvAz1pQwAABB4MPXvOAAAECAw/OQ4AAAQaDD8YrwuABOn +0I8yJWK9hDP8/wwAABBgMA/sOAVEDATtOH3ABy1ixrDdLWbGJWLKLGLL9aUMAAEQcDD8vAwAABAg +MPzkOAAAEHgw9e84AAAQWDD/AgAAABBQMP8CAA//tCfQL2LNJGLMjDKNMwTMDA/dDA3rOAzqOP8C +AA//pV6QKmLWsKoqZtZj/zoA0Q8AAGwQCCQiGBztCCMgB/1ABCAFEFAw/kIAIDAQWDD/QAUiIAEc +MFj7OylABSgKcnmDA8Ag0Q8c7P4AZY6PSC1ABI5A//IAIAUQUDDzFgAgMBBYMPYWASTgASgwWPsu ++iwAAAgQWDD9HBAiAABg8Fuk2/3s8BBBADagjCDA4P6mASwJAGswnKAb7OsMORH4EgQoACBecPiW +ACMyADkgiif7CgEgABBgMPqsICABEGgwW5qNwCDRD9og/OzgEgAAWbBbpKnAINEPAAAAbBAIE+zc +DwIAJzKMlxYnchn87NkQBRBQMPsKMCIAAGnwWPsK/wIAAAEKJeCEFvUsOCAAEDAw9RYFINgCITD0 +FgQgABAoMPAAUm//ECAwx40I2AGYMok4ypX87MgQBRBQMP0yACAwEFgwWPr4izmNOJ2wjDj7xgEg +ABBQMJo4mjmIL/8SBSBAAnDwnoCfOJg5ni+xVf8CAAYAoC3Qihb6ohggAgJZMFuaGxjseBPssiiC +dyMyhqqICYgR/OyxEgAgRPAvMAX6MgoiAAAisI4wiDyKoJoQiTL5FgEgMBBYMPkiACIAAGkw+RYC +KAABQDD4FgMgBRBQMFj61Y86/jAFIMgIE/ApCpZ54VkqCpd64VMrCpp74U0sCpn84V9wAgIxsC0K +mH3hVC8Km3/hfI0yZN8i/wIAA/+Me1AY7JL/AgAP/4puEGTQ68CwbQgQLvoAfeAwDY0U9NBCYBAC +WvBj/+gAAAD9MgAgBRBQMPzshxAwEFgwWPq1Y/8XAAAZ7ISZO2P/qMegfaAMDU0U8AAGYAgCWvCx +uw0dFGXf9/o8AA//EGAwW6EBY/6qizz/AgAB/77+0IoUKzISWzFYLDErKjYTHexz/TYLIAYCYzAM +LBIsNSpj/1YAAIkV+CIOIAUQUDD87GwQMBBYMP0iAiABEHgw+YgMAAAQcDD4/jgCAAB5sFj6kmRg +QBvsZIoie6AECixTycYrIAfaIPsLQQABEGAw+7wYIAAQaDBbo7TRDwAAAAAA8/9kYAAQWDAsLDic +FfP/k2AAEDAwwKX87FQQMBBYMP0iACAEEHAwWPp8+iIQIAQQWDBYIJ7RDwBsEASKN6VC+qIOIAAQ +YDAsJAAjQADDmvsKLCYAlEzQZDE6BEUCbQgQI1ABsVfVcPkxCXIAAEHwyDFj/+gpcAEsdAD7kSBw +ABAYMMmYwDBtCBEnUAKxM/txDHACAilwyHRvNQJj/+eoO/y0ASBVADTg+QpgIAAQODD1jAAAehBg +MPsKOSAvEEAw0w9tOjAjUAFziwxzswnwABlhoAIY8AAAc5sMc8MJ8AAJYVICGPAAACM8yQd3CvN3 +CQACAilwYAABwHD9CgAgABBgMPAAHGAuEFgwLpAA9JwAAAICQnD8XAIEBQByMLHdaNQ9I0AA0w8P +AgD0P+9tgAQ7IPsxOnAAEEAwwFBtCB31VQoAAgJCMPNVCQgAICYwI5AA9D+0YaACKXB7Maxj/9ss +ppImrQL3ZSIgABAQMNEP2UDz/5RgABAoMCVAASxEAPtRI3IAABswyVvYQPP+82IAACkwJUABLEQA +0w/7UQdyAAAbMGVf4vP+8mIAAEEwbBAEG+vuCzsLI7CA2iD7siEiAABg8FjwHBjr6f3r6hvQBD0g +8joIBAAgQvD0QIAgPRBgMCykAPqsASoAIG7w+7LRIgAAYTBY8BCkOfKbCAAAEFAw+rQCIAQCEnDR +DwAAbBAEhiDwMQQAARAgMABDGnYwBMAg0Q8ABjMC8yYAIgAAEPDRDwAAAGwQGII3G+t5gi4c680A +C4v5LQQgQAJQcPAKoAEAAhpwLzACLjABLTAAKDADmBArMASbESowBZoSKTAGmRP4MAcgMBBYMPgW +BCAFEFAwWPnkHOu9LzAKLjAJLTAIKDALmBArMAybESowDZoSKTAOmRP4MA8gMBBYMPgWBCAFEFAw +WPnXJC0FJUBk9RQ0IIACUHD0QGAhAAIpMFsy3CocQPwKASBoAlhwWzKIKFBg0w/8CgAgGQA2INlQ +bQgMKpBhscz0oAdgAgJKcGP/7CstBfocQCHAAlrwWzJ8+y0DIgAAYTD6HEAgwAJa8Fsyd/ocQCBA +AlhwWzIo+woQIEACUHDTD226DyygACswALEz+8kPcAICUrDAINEPAAAAAAAAAPyzBn//EFAwwKFk +r+fAovzrhhAwEFgwWPmqwCHRDwAAbBAGKCAAw5D5iSdyAABY8CogAcXI8+sgFgCU5pAtCnj/AgAG +AI9ukMTifqEKLwpif6EExirRDwAsIAL2CgAiWwA3IMPt+xYCJgFf9xAZ62/6zAAAABAoMPkWASAA +EHgw95AAIAAQIDAKDUf9cS9wABBQMBvrZ/uwgCIAAGLwDwIA0w/TD20IEvSwmWACAlKwK8CB/bEH +cAICYzBj/9+JEampZJB/A5kMKZ37KZzgZpBz+v8RAAICKXD/nwIEXAE5YIgS/4kUAAYCITD/hAIr +8AF4MPqEACAAECgw+YQBIAYCQjD4FgIgABB4MLFmomsssAL6zAAAHAA3IP8CAA//tXMQyMx+qR0q +sAP1r/ZgAgJa8GRRhv8CAAIBA4Fg/wIAAgEHhWDGSvoKBSAwEFgw/Os5EgAAaTBY+VrSQNEPAAAA +ACwgAvTrNBIAADLw/SwCKwAQUDD5CgAgKgA3IG0IDC4gA7GZ9OAJYAICELBj/+wAAAkIQAgPBgmP +O/8CAAAAkofgwCAr0ADLvP9AgCIAACtw8hYAIAAQODALDkf/4V9wABBQMPtAgCIAAGEwbQgS9LAS +YAICUrArwIH+sSFwAgJjMGP/5sYq+goFIDAQWDD86xMSAABosFj5MdEPAAAA86kICwAQcDB+kdpm +r9crUAFkv9FqqxTwABNh9AJisAAuugB+Mb8rUAFkv7ncoPsORwIAACsw/+FOcAAQUDD7QIAiAABh +MA8CANMP0w9tCBL0v5JgAgJSsCvAgf6xCXACAmMwY//fAADzqwgLABBgMP8CAAf/uWbQZq9qaqsU +8AATYfQCYrAAAC66AP8CAAf/q/TQ3KAMWBH3EgAoACA18AjIAviUACACAhCwBycMDXUJK1AAZb8C +Y/8twEDGmgSUOGP+giLQAC5AgPLhOnAAEGAw30Bk7w4u8IGxzPLp9HACAnvwo87/AgAH/35XkPbO +9GACAmtwassY8AAVYfQCYzAAAAAAAAAA/wIAB/9tVNCx3fy0ACACAjLw8/6HYAEQEDAAAAAAAAD6 +zAACAABYsPUKACAAEHgw8/3oYAAQIDCJEg9IFCiUAPP/cGACAiEwixIPKhQPrBQstAAqtAHz/1pg +BAIhMAAAbBAIIyIY0w/TDy8wBSQKcv4yACoAPnkQ+goFIDAQWDD86q4SAABo8Fj4yy8wBSgKc/Tx +FHAAEBAw+Qp1JgB3R9D/AgAGAH5P0MCl/OqkEDAQWDD+MgAiAABo8Fj4v8osgzjTDyUyGBzqgSIw +B/1QBCAFEFAw/lIAIDAQWDD/UAUiIAEQMFj4tChQBXhDPNEP/SIAIAUQUDD86pIQMBBYMFj4rS8w +BfkKcCHIBCPwefHc+jIIIAAQWDD8CgAgAhBoMFuH0tEPAAAAAAAc6mmPWC1QBI5Q//IAIAUQUDDy +FgAgABBAMPgWASAwEFgwWPia+jwAAAgQWDD9HBAiAABgsFuiR/7qXBBRADagjTDAwPymASwJAHdw +naAb6lcMKRH4EgQoACBecJiQ0Q+COC8KdC80BS8kBS8wBfP/DGABEBAwiTgoCncoNAUolAUvMAXz +/vZgARAQMADaMPzqSBAAEFgwW6IR0Q8AbBAOKCAFKQqV9QoAJgCxzhApMhL56lEAKACucPnLUQAA +uAag/wIAAAC0BuCEJ4ROJk0CJWQRhzD3RocgARBIMClkEiwgbhfqTfZsgCAAY4cgiSwa6ff8IhEv +khBoMC0kBSwmFPzqRx/9EFgw+K3mKABAXnCZLCqigClybyiAwfVkkSuQBDqg+untGAAgVnD+IgAg +BBB4MPwWBiAeAH4wKZ0B8AAKYQACSnApnQMpnICaEPjp4R+ABDug+BYCLgkAe7CeES2QByuRKQ0N +QQDdEQ27Agy7ApsUCuowlRcpTQKaFfcJFgBAAlBwAMqKBAmIAIqKAgmIAEqKAAmIAAqK+iwAAgAA +WHD8CgQgAhBoMFuTf9EPKkKQ0w9krzQc6eyLNwyqKPoWECAgAlrwKxYRWy7ZLBIQHel7HuoTjzD6 +EhEiAABasFsukB/p3i4yEo03D+4C/jYSICACG3DaMFskkvMWEiH/dp6g8AA5YgAAUPCKJyqsEFuZ +gYssx871JTUqAEBm8JssY/6HAAAAAAAAAPo8AAAAEFgw/AoAIAIQaDBbLtDRD1skoBPp+AuoEfSg +NmIAIETwDOowKzKFi7Cwo/y7CAIAAFDwWPo9KnKZ8DEEAAEQWDAAuxoLqgIqdplY+nNj/msAAAD6 +CgcgARBYMFuaJSwyfyw2g2P+VGwQCpIY9RYJIAIzJSDyCgAgABAwMPAASGA9EDgwAAAAAAAAAP8C +AAYAlj7QwNAmbOr7+uogLAIhMPwKACAAEHAwjxkqEggLawz7OwgOACB8sFsw/aKiZiEd/wIAAACN +JSCjbyvwAGS/uv8CAAYAc77QakG32/D9CgAkACB9MG0IGi6wAbHa/awAAAICYvD7zAAADwA3oHfh +CnXKBGP/3gAAd+mJKMABwLD7xAAgAgJysPSDn2ACAmMw/wIACAGrp5DA4G0IE7HureuvuSmQAfSQ +CmACAlLwdKoEY//lsboEqQwJujj5rAEgABBYMPlEDAgAIHqw+4QAJgAgMnD7nAAD/6AnoGTigC/A +AGTyehXpkCVS65kQ+lAAIAFdJ6D/AgAOAVl6kPUWAiAAEFgwbQgfC+kM+7wBIAEohmCKEmqSN6y1 +9VAAKgAgUvAqoAB1qTZj/9ko8AH5CgAgAgJj8Pn0ACMBADYg/wIAAgEUoSDz/05gABBoMNEPihKs +tfVQACoAIFLwKqAAixD/FgcqAApVUPWjDnABEHgw8AAGYAAQeDDH/2Tx4RXpaiVS58Dw+lAAIAAE +p6CIF3ihBIUXYAAplRYP6Qz//AEgAN+GYPoSBiIA9yJgrPX1UAAqACBT8CqgAP/pDAG+BFFwelMP +9aMOcAEQeDDwAAZgABB4MMf/ZPGFFelTJVKtwPD6UAAgAASnoIgXeKEGhRdgACsAAJUVD+kM//wB +IACwhmD6EgUiANYiYKz19VAAKgAgU/AqoAD/6QwBvgRRcHpTD/WjDnABEHgw8AAGYAAQeDDH/2Tx +JxXpOyVSlcDw+lAAIAAEp6CIF3ihCIUXYAAtAAAAAJUUD+kM//wBIACAhmD6EgQiAK8iYKz19VAA +KgAgU/AqoAD/6QwBvgRRcHpTD/WjDnABEHgw8AAGYAAQeDDH/2TwxxXpIyVSxcDw+lAAIAAEp6CI +F3ihCIUXYAA1AAAAAJUTD+kM/wIAAAICe/D/AgAAAEyGYPoSAyIAhCJgrPX1UAAqACBT8CqgAP/p +DAGuBFFwelMP9aMOcAEQeDDwAAZgABB4MMf/ZPBfFekJJVKvwPD6UAAgAASnoIgXeKEIhRdgAC0A +AAAAlREP6Qz4kTlgAgJ78PoSASIAciJgrPX1UAAqACBT8CqgAP/pDAG+BFFwelMP9aMOcAEQeDDw +AAZgABB4MMf/Zfy3xy/RDwAAAAAAAAD/AgAAAG4FIMCRr577nAEgABBoMP3kACYAIDLw+0QMAAAQ +cDDz/IVgABBoMIoWrPX1UAAqACBT8CqgAGP+GAAA8/2wYgAAK/CKFaz19VAAKgAgU/AqoABj/lqK +FKz19VAAKgAgU/AqoABj/qiKE6z19VAAKgAgU/AqoABj/v4AAATrDAuuONng/5gIAAICWnD7RAwA +ABBwMP6EACYAIDLw8/wJYAAQcDCKEaz19VAAKgAgU/AqoABj/yIAAAAAAAD06wwCAABLsAupOGP/ +uQAAaEEU8/8zYAEQSDDAINEPAPP/JmAAEEgw8/8eYAAQSDBsEBQoMAQsMAUkIhD7MAYpgAQ6IP0w +BygJAGIw+kIHKYAEOiD56LoYCQBaMPwqACmABDog+qIOKAkAajD6FhwoAEBKMPgWGyAAEFgwWOzb +KDAB9jAkIEcQcDD4CUEG5wFEMPhrQAQiAUQw+YckcgAAeXArFhr5FhkhjwA14MCi/OikEDAQWDBY +9rrwAL9gRxBwMCsWGvkWGSFvADXgZFKf/wIAAAFQhWD5EhsmAFD30CoiEgP7EQuqAvomEiA5ADZg +/JwAAgAAULD9EhwgYAJY8Fv+qvegIGIAAEqwwKL86I0QMBBYMFj2ovAAYGBHEHAwAAAAAADAkPkW +GCJcADWgLPqH+yIYIACFBaCOQP0iACACEFAw/LR0IgAAebD86H4QMBBYMFj2kv0SGiIAAHHw/BIZ +IgAAeXD8FgAgAhBQMPzodxAwEFgwWPaJxOcd6Bgq0oAp0ooY6Bn93eYrkAQ6oPoSHCgAIFZwLdDB +H+hl+60CIAQQYDD+tBEgHgB/cCmdAfAACmEAAkpwKZ0DKZyAi0Ad6AmfHvgWCCuABD7g/RYKKgkA +ZvCbGSiQBy2RKQgIQQCIEQjdAg/dAp0cDOownB35rQIgABBYMPsWDyCAAlBwBgmIAMqKBAmIAIqK +AgmIAEqKAAmIAAqK+xwgIgAAUTD8CgQgAhBoMFuRpNogW/2GwCDRDwAA8/6cYgAAeXAAjSCOQPy0 +dCACEFAw/OhAEDAQWDBY9lLzIhggARBwMC5GEv5GEyAAEGAwLEYQ/EYVL5UQaDAtRAUvMAUsRhT8 +RhEgchAgMP4yACoAnvkQ+goFIDAQWDD86CISAABo8Fj2QC8wBXTxFSgKc/8CAAYA/8fQKQp1/wIA +BgEFT9DAIMCl/OgYEDAQWDD+MgAiAABo8Fj2M2Qg64U40w8iUhgc5/UjUAf9IAQgBRBQMP4iACAw +EFgw/yAFIiABHDBY9igoIAX/AgAKAF7BEBzn648ojiAtIAT/8gAgBRBQMPMWACAAEEgw+RYBIDAQ +WDBY9hz6XAAACBBYMP0cECIAAGDwW5/JZKHKHOfdi1DA0P2mASoJAGbwm6AZ59oMOBHyEgQoACBK +MPKGACAAEBAw0Q8AzJxj/gdkngT/AgAB/wCGYC8SGWP9UQAA+iwAAAAQWDBbn3ssIhIM2UH/kmNi +AABasC4SHC0SGC2lCp6k/ufqEIAQUDD+tgIqCQBTMComEo8iZPE7wCDRD/0iACAFEFAw/OfWEDAQ +WDBY9fEvMAV08eIoCnB48dz6MgggABBYMPwKACACEGgwW4UXwCDRDwAA/wIAA/6qHmAa59QuIhgt ++ov95HQqCQBTMComEikwHC0wHfowHimABD5gDZkC/TAfKYAEPmD6QhIoCQBWcAiZEQ2ZAnqZBLGs +LEYSLjAgKDAh/zAiL4AEO6D4MA4uCQBDsPkwDy+ABDug/zAjLgkAe7D9QG4pgAQ6IPjuEQgJAEow ++EYQLgkAe7D+RhMgTgC3YMefmbQvIhL+57EQgBBAMP62Ai4JAEfwLyYSY/8QizgqCnQqNAUqtAUv +MAXz/gBgARAQMI04LAp3LDQFLNQFLzAF8/3qYAEQEDAAiif7CgQgABBgMPqsICAEEGgwW5Ug+kwA +AgAAWLBb/S3AINEPANog/AoEIAMQaDAL4ADAINEP2lD852wQABBYMFufNcAg0Q8AAGwQDBrnhR/n +LYk0jTYs8oor8oAo/eb4gMEtYAFsMP0WDCgAQFZw+RYJK5AEPuD/hw96ACBm8C29AS3cgJ0aYAAH +Lr0DLuyAnhqFHCTyeCcxD/YyCSQAICkw9TIIJZAEOSD1FggkACAjMPVCCSAAEHgwny6fLy8mEC8m +ES8mEi8mEy8mFC8mFS8mFi8mFy8mGC8mGS8mGi8mGy8mHFuIwRznZ40g/aYAIDAQWDD+IhIiAABC +sPgmByAFEFAwWPVvG+btLCAHH+dejhr9UAcgABBAMCglGygkIihQDP7hBywgAWww/1ANLAAgf3At +0IAuJQcoJAz/JA0g+xB4MP/MAQ3gBD9g+BIILAkAazD8JAcg/BBoMP3nTRwAQGswKlAHliwmJhSY +K5gp+CYVIBgQeDAvJAT/50QaIAFQMPyyuioJAGKw9iYTIAgQcDAuJAX6JAcqAAZj0AxuDK/uLiYU +LtJ9L7K8GOc6LNKA+dKCLgAgd/D+DgYB/gJ78PDABA4AQHuw/g4bAAIQYDD/Cv8uAQBjsPfMQw4D +AEuw+MwJDgMAe7AuJCMswa4szNj+Fgst4AFgMPwlGiAaAGHwLMz0DAxPLCUa+1AWIIAQcDD15yEe +GAC7IP4lGiCAEGAwKyQWKNJ9+FU2ACYEevAKCkFbUKUsIRoKVTYMVTcMWCwIyBz4JRsiAABQsFuS +jIUYHOcSjScuIAQvIAWKG5oQ+SIKIDAQWDD5FgEgBRBQMFj1FBznCo0cLhIJJCYY8kYIIHACULAq +Jg76Jg8gcxBIMClEBSkkBS8yBCYWACUWAShABScWA/gWAiAwEFgw+CIAIAUQUDD4FgQu+AF8MFj1 +APzm+BAFEFAw/UIQIDAQWDBY9PuKJ/MKASAAEFgw+qwgIAEQYDBbl9cc5u+coIsgjE0IuxEDuwL7 +pgEgDwA3IPtCECIAAFCwC8AAwCDRDwAAbBAEiicqrBBblkyOLMnh+iwAAAAQWDD8CgAgAhBoMAvg +AMAg0Q8AAGwQBIsrKSA5LSEb9LYMcAQQMDDwAAZqCQAycNqQ+OZyEB4ABvAE1RHwAAZkCQBFcMBQ +HObPLyAMLiAN+gtHACoQSDDwuxEAABBQMPk1Ay+ABD/g+jUCLgkAe7D+uwIABRBQMPzmxBoJAGbw ++zYAIDAQWDBY9MWVMvo8FiB0AhCw/AoGIgAAWLBY6scrQBEsQA0pQAwtQA8qQA4oQBD9qgIICQBm +cPuIAggJAFZwCYgC9YAUYCACUPD75rAQBhBgMFjquWAADAAA/AoGIBgCWTBY6rUb5qof5fr2NCMg +BhBgMCw0Ii5CAP1CAiABEEgwKTQf/zQhIAAQQDD4NB4gCBBQMCo0HCs0HS00Ly40JQ2NFA6OFC40 +JP00LiAIEHAwLjQgDY0ULTQt/Y0UAgAAWLD9NCwgTAJQ8FjqmypAFytAEyhAEixAFSlAFC9AFvyZ +AggJAFow+v8CCAkASjAI/wLI/Co8MPwKBiAkAlkwWOqOjUEtNDkNjRQtNDgNjRQtNDcNjRT9NDYg +ABAQMNEPAABsEAYa5g0uonYqoorAsPnuEQAFEGAw/qoIAgAAaHBY8jDA4/4kASAAEGgw/SQAIAEQ +YDD8JAMgABBYMPskAiAIAlCw+xwAAAYQYDBY6nPAKtEPAABsEAaNIYsg/AoBIAAQSDD9yTgAABBA +MAvIOHmAGIgxjzDA4PjOOAAAEFAwD8o4/wIACABW8pAa5h8pohRkkJ8ootb/ouogABAoMPmOCAAC +EGAw+YoRD5AEO6D/qggOACB7sI+n0w/68g4iAAASsG3KWiiivv8KASAAEGAwDwIA+QoAIEEANiAo +orsmoroPAgANiAwLZgwG+TgI/Dj4or0uABJmUIYwhzEsorwHiAz2zAwAABBIMPj5OAAAEEAwDPg4 +eYgf+qxAIAICKXAqLQH6rIAgABAoMP6ph3ACEGAwwCDRDwAc5i4uIDmPIJYS+xYAIAUQUDD9FgEg +NBBYMPcWAyIAAGvwWPQnyEKVQNEP0Q8AAABsECAc5iL9MRIgBRBQMP4iECA0EFgwWPQeKjES9+Wg +EAAQWDD15hwQARAgMPYqgCtgAVAw/OYXEgAQIqB6whgpIhDTD/K8AAOIADZgLZEbDQ1LCt0MDZI4 +/lKHIA8AtKDAwPAAKmAWECAwAC7iLg8CAA8CAP8CAAAApf+QiSeJni+S1iydA/TxVmBAAmMwwUAd +5ZApUoEq0oAt3eYt0MEJqhHwBwcIACBWcP/XCnoAIDJwKp0BKqyA/uWHEMACSHAASWEASWEASWEA +SWEASWEASWEASWEtoSkV5YEf5X/4CuEmAE53UImg/xYaIAcQcDD1FhgpgAQ+YPgUeCgJAHZwKRYZ +KKAH+eV2GCABQDAAiBEI2AIJiAIoFhwF6jD1Fh0gMBB4MP8VPyBnADTgKRx/8gMWAAICSnAASWMA +A4YASWH0FJYgKwA3IC3BEy0UoIsr/iEbIA4ABvAuFVGLwysWK4nCKRYqiMUoFi2PxC8WLCscYPwK +ByACEGgwW48MwKX85cYQNBBYMFjzwdJA0Q8AGOVVKBYg/SIAIAAQeDAvFiP/FiIgBRBwMPsUlS2A +BD9g/RYkLAkAd3AtFiFj/4EAAAAAwKX85bUQNBBYMFjzsPsKACAAEGAw8/60YCYQIDAqksl8pxMt +ks4t3PwNtDlkQdPz/ppgABAgMC6SzikWNPwWNiAAx6egL5K++RY0JADkg+AY5SaJgoqBi4CbEJoR +mRKIg5gTAAeLjBOOEo8R+BIAICACaHCY0J/RntL81gMgQAJIcABJYQBJYQBJYQBJYRjlJRnlGhrl +GJkZKRI0mhgogqAuksueGy2Syi0WCvySzSBAAlhw/BYNIgAAULD5kswgBRBoMPkWDCACEGAwC4AA +LRI0GeT3Ld0DiJGPkv6SAyCAAmBwnsOfwpjBLRY1iZD5xgAiAABQsP3ZKiAgAlhwW++QJBRb/BI0 +IAAQeDAvFFovFFkvFFgvFFcvFFYvFFUvFFT/FFMg/xBoMC0UUC0UXP0SNSACEHAwLhRR/xRSL/8Q +cDD+1SosACAzMCvAtirAtyoUXysUXizAtfwUXSCgAkhwiJH/kgIggAJgcJ/CmMGOk57DiZD5xgAi +AABQsP3ZKyAgAlhwW+9uKRI0LBI1K5LUx6/6xSsgABBAMPiWySBWADrgjSeP3gCxBC/9BC7wAABL +Ggq7AwvrAQsLR/v0ACAHADegZLBmKpbUwIAols7aIFtxAsCw/BI2LPAAtqApEjQpnBAMA4YASW0K +A4YASWsIA4YASWkGA4YASWcEA4YASWXAINEPAAAAAAAA8/yEYgAAEvAqkr0rkrwskrstkrqdEJwR +mxKaE2P+NgAAACrcEFuUiSkSNPP/jG//EFAwAGwQBiggONQg9eUiFgBUAiAiCmQb5K4sQAwrsoAq +UoGsuwm7EfvkqxoAIFqwKaEp/OSaEPoEWnCOR47uGOSnH+SlLe0C9eT2EKACW3DwDAcCAABK8ABJ +YQBJYQBJYQBJYYlA/+aWIAQQYDD15popgAQ+YPjmlCgJAGZwKeaVKKAHL6EpCAhBAIgRCP8CBf8C +/+aYIOACS3ACA4YASWMAA4YASWHZ0PKUhiACEGgwW44+0Q8AACoxEgoKS/vk9BIACyKgerIOIiIQ +zSDz/0VgAhAQMAAA8/87YBYQEDAkJhEsQRgrQRoqQAwoQDkvQRsuQBYtQAcpQA0pJA0vJRsuJBYt +JAcqJAz7JRogdAJQsPwlGCB0Alkw+CQ5IAYQYDBY6OMe5HyNSw7dAv0mCyA+ABdwGOTaLyEbCP8B +LyUbKDESCAhLCP8CLyUbYAAFKTESKSUbiieGriZtBCtgACc6gPSwCmEAAjGwKqwQW5QrwJApZIAo +Uocogi5/jwjz/pRgABAQMADaIFuNt4Ynhm4qYr5qokooYsBogETApfzkwRAwEFgwWPK6iicsYsCN +rvDBBAwAID9w/NCAIAEQWDDwuxoP/xBIMAm7AwvLAQsLR/vUgCAHADcgZLCux+8uZsAnbQMoIDop +IDwqIDstID0sID4rID8rFA8sFA79FA0gABB4MC8UBy8UBi8UBS8UBC8UA/8UAiCAEHAwLhQBKhQJ ++RQKIP4QUDD6FAwgAhBIMPoUACD/EHAw/hQLKBEASjAoFAiOEI0RjBKLEytmvSxmvC1mu/5muiBA +EFAw+nUDIAEQQDD4Zr4v/xBIMPlmwyAAEFgw+WbCIAEQYDD/dQIiAABQsFtv0mP+8iqsEFuT42P/ +RwAAAGwQFhzkg/0xEiAFEFAw/iIQL/8QQDD4FgQgNBBYMFjydioxEvzj+BIAACCw9eR0EAQQMDD6 +CksAABBYMPcqgCIAFaKgHeRtetIgKSIQZJYHLpEbDg5LCu4MDps41LDMSdQg8AAqYBYQEDAAL1KH +gkcv8i7yIg4iAFr/0MCl/ORlEDQQWDBY8lz8498QJhAQMBvj6i1ADCuygCpSga27CbsR+ePmGgAg +WrAooSl5gXmNR43eGOQ1Lt0C/+PhEKACW7DwDAcCAABK8ABJYQBJYQBJYQBJYYxAGePbKNaa/9aW +LYAEOyD51pQsCQAzMCzWlSmgBy+hKQkJQQCZEQn/Agj/Av/WmCDgAkuwAgOGAEljAAOGAElh994I +AAQQYDDy5AYgAhBoMFuNe9EPACo8MPs8OCAgAmBwW/3H/xIEICsANqB0qQJo8SAc5DT+oDkgNBBY +MP1CACAFEFAwWPInHOOp8/8rYGMQEDAvItbK/RzkKy0iyi4iyy8izCkizZkQ+CLWIAUQUDD4FgEg +NBBYMFjyGhzjnPP+92AQEBAwH+Ogiz2KPPwKASAAEEAw+8g4AAAQaDAKzTj845seAPbHUCIWIIg/ +gj79CgEgABBIMPjZOAAAEEAwAtg48hIgLgDlzhCJR4meKpK+/wIABAJYgqAa44mNoo6h+KIAIDAC +WHCYsJ6xnbKKo5qzHuN+/hYfIDACSHCKk42S+JIBIFACWHCYsZ2ymrMADouJkPm2ACBwAkhwAElh +AElhAElhAElhGOOEnB+fHi4iyyiCoC4WES0iyi0WEPwizSIAAFEw/BYTIHACWHD5IswgBRBoMPkW +EiACEGAwC4AAHuNZLS0DLRYeieOI4v/iASCwAmBwn8GYwpnDjuD+xgAiAABRMP3ZKiBQAlhwW+3x +/RIeIAIQeDD/FGkg/xBAMCgUaPgUdCABEEgw+RRzIAAQUDAqFGoqFGsqFGwqFG0qFG4qFG8qFHAq +FHH6FHIv/xBwMP7VKiwAIDiwK8C2KxR2KsC3KhR3LMC1/BR1INACSHCIkf+SAiCwAmBwn8KYwY6T +nsOJkPnGACBQAlhw/dkrIgAAUTBb7c8pEh4qItTHz/yVKyAAEFgw+ybJIHgAOqCLR46+Lu0E8KEE +AQACc7D94IAgARBQMPCqGg//EGAwDKoDCtoBCgpH+uSAIAcAN2Bko03Hjygm1CwSH/stAyAAEFAw +KibO9AwHAFACWvAAS2UqlSMc4xnz/OxgABAQMC0yESkyEPwKASIAAENw/cg5AgAAcnD8LQMuBQBL +MPgwKC4JAEOw+MUjIEAAN6AuMhIiFiAiMhPAgf6OOQIFABIwAu4C8hIgICIAN6AtJtspJtouMhMu +Jt37MhIv/xBQMCom3ism3Is9ijwoIsopIssIqAz5uQwAARBoMPnZOQgFAENwCYgCZIIuKSLO/wIA +AgDSomCJR4meKpK+/wIABAEdAqAa4vKNoo6h+KIAIDACWHCYsJ6xnbKKo5qz+eLoEDACQHCKg42C +/oIBIFACWHCesZ2ymrMACYuIgPi2ACBwAkhwLBYeAElhAElhAElhAElhnx4Y4u0f4uGfHyiCoC4i +yy4WES0iyv0WECIAACsw/CLNIgAAUTD8FhMgcAJYcPkizCAFEGgw+RYSIAIQYDALgAAe4sCL4o3h ++eIDILACYHCZw53Bm8KO4P7GACIAAFEw/VkqIFACWHBb7VrA8v8UaSD/EEAwKBR0+BRoIAEQSDD5 +FHMgABBQMCoUaioUayoUbCoUbSoUbioUbyoUcCoUcfoUci//EHAw/lUqLAAgPLAs0LYr0LcrFHcs +FHYt0LX9FHUg0AJQcImhiKL/ogMgsAJgcJ/DmMKZwYqg+sYAIFACWHD9WSsiAABRMFvtOSwSHiki +1Mff/cUrIAAQWDD7JskgeAA6YItHj74v/QTwkQQBAAJ78P7wgCABEEgw8JkaD/8QUDAKmQMJ6QEJ +CUf59IAgBwA3oGSQ5sefKSbUwKAqJs4qLQL6rPAiAABI8A4JhgBKbwwJhgBKbQoJhgBKawgJhgBK +aQYJhgBKZy0iyYs9KybLijwqJsqJPykmzfgyDi//EHgwLybT/ybSIAEQcDD4JswgARBYMP4mziIA +AFEw/sUiLAkAN3D9JskgARBgMFtuO8Ag0Q8AAAAAAADz+gJiAAAi8CkizSoizI4+iD8K7gwJiAz4 +2DkOBQBzcAjuAmXtsmP9GyuSvS6SvCiSu/qSuiAwAmhwmtCY0Z7Sm9Nj/cYrkr0ukrwokrv6krog +MAJocJrQmNGe0pvTY/tPACq8EFuSMSwSHmP/DAAAAAAqvBBbki0pEh5j/KUAAGwQCBzi0f0xEiAF +EFAw/iIQIDQQWDBY8MIqMRL34oUSAAAgsP0yCitgAVAw++K9EgAVIqB6siIpIhBkkpIskRsMDEv6 +zAwAABAgMAyUOMxI1CDwAGBgFhAQMIVHJnLW+XLqIIAQUDD1Ug4gIAA3YChyFAlmEalmbYkQi2eL +viuyqv8CAAYAZ27QqmYmUq3TD/tSqyEFADWgHOKtLVKqLlKr/1KxIAUQUDD2FgAgNBBYMFjwm8Eg +G+IqLEAMK7KAKnLqrLsJuxH94icaACBasCyhKX3Be45HGOIlju4f4iMc4hMt7QL14nIQoAJbcPAM +BwIAAErwAElhAElhAElhAElhiUD/5pYgBBBgMPXmmimABD5g+OaUKAkAZnAp5pUooAcvoSkICEEA +iBEI/wIF/wL/5pgg4AJLcAIDhgBJYwADhgBJYdnQ8pSGIAIQaDBbi7vRDxzify5gOY9gnRH7FgAg +BRBQMPsKNCIAAGvwWPBsZG8X/wIAB/+KJZCNIP5gOSAFEFAw/OJzEDQQWDBY8GTz/yJgYxAQMACK +OmShDoo7/FKxIA4EUvAqVquKPP5SqiAOBFMwKlaxjToPAgAPAgD/AgAGAHv3UPpdAiABEDAw9laQ +IgAASPD8CRYB4AJSsABKbQoJhgBKawgJhgBKaQYJhgBKZwQJhgBKZYw6ilAqFgb8FgUgAhBYMCtW +kPziUhA6EFgwW241+6wAABoANqCWqI8V/RIGIAAQcDCeqp+pLdICZNDNjEeMziZWkSvNBCqwAMCP ++7yAKAA9RpD5CgAgBBBoMG3aCgCQBAoOG3/nA7GZx5+ZFAmYCQCRBABtGgyICi+NA/iNAiwJAG6w +/bSAIGUQcDAu9YMu9YL/4jQQABBoMC2Ggv+GgyBYADagjhT+VqkgABmvoMAg0Q/A8C9Wqi9Wqy9W +sS9WpfP992AAEBAwx4+YFGP/1AAAAAAAAPP9emAAECAw+goCIDQQWDD84iASAABosFjwDfP9x2AM +EBAwG+Gp/OGpEgAAUTBY64Bj/5qKFvwKCiADEGgwWyxqY/8hbBAIHOITLSIA/iAEIAUQUDD/MBUg +NBBYMFjv/BzhjxfhjRXh3iggOBviC/ThiRJQEDAw/yqAInAQaDD6vOgmAE6CICYKZCggDC6igCqy +hKjuCe4RrqopoSn/AgAGAIumUI4nju4Z4Wwr7QIrvFDwCQcCAABK8ABJYQBJYQBJYQBJYYgg9eaa +IAQQSDD85pQpgAQ6IPfmligJAEowKOaVKaAHKKEpCQlBAJkRCYgCBYgC+OaYKAAgb7ACA4YASWMA +A4YASWH/6QgABBBgMPaUBiACEGgwW4sUwCDRDy4wFf8CAAAATIeg/wIABABUh6D4CgkmAFyHoP8C +AAYBBceQwIv5Cg0mAF1HkHnhL/zh0xAFEFAw/SIAIDQQWDBY77sa4Uv84U0ScBBoMPYKFiKAEHgw +8/8QYDACWrAAAPosAAIAAFjwW/uN1qDApfzhxBA0EFgw/SIAIgAAcbBY76wa4Tsc4T39KnAigBB4 +MPVu1mAwAlqwwCDRDwAAAAD6LAACAABY8Fv+2fP/vmIAADKwAAAAAAD6LAACAABY8Fv9HfP/pmIA +ADKwAAAAAAD6LAACAABY8Fv8cvP/jmIAADKwHOGo/TESIAUQUDD+IhAgNBBYMFjvjisxEtMP+wtL +AAAQaDD6siFiAABQsBzhiHvCFikiEGSSFS6RGw4OS/vuDAIAAFNwDpo4ZKHpjKeMzivMEPsWAiIA +AEjwDAmGAEttCgmGAEtrCAmGAEtpBgmGAEtnBAmGAEtl/6IHIAEQQDAoxhkoID4uID+LoI/++O4Q +CQAEOiD7CUcK6AFcMPi7EQgJAHIw/cYaKAkAWjD+/QQoCQBKMCjGHCvgAPwWACAPEEAw/uyAKAC7 +RtD5CgAgBBBgMG3KCgCQBAsIG3+HA7GZx5+aEfCRBAABEEAwAIga+RYDKAkAQvAo5IAJngkP7gr8 +7QMgZRBAMCjFgyjFgi7tAhzhZC3mgizmg/ycAAE2ADbgiRCKESyWG/zhXxJeEFgwW205+6wAAOQA +tqDwAOxgDBBwMAAAHOFZ/SIAIAUQUDD+MRMgNBBYMFjvPCkiECoxE/olGiAHADZgKpUaG+DHLCAM +GuFIK7KAKqKErLsJuxGrqiuhKf8CAAYARSbQjSeN3hzgsabb8AwHAgAASvAASWEASWEASWEASWEc +4LuJICXWmizWlPiZEQAEEGAw99aWKAkAZnAp1pUooAcvoSkICEHwiBEAABBwMPzdAi4JAEfw9f8C +AgAASPD/1pgg4AJjMAAJhgBMYQ4JhgBMby/dA//8gCAEEGAw/vQGIAIQaDBbilXz/XFgABAwMIgR +iIKJEvmmCCA8ADYgwODz/VliAAAzsPP/9GAWEHAwmhHz/uBv/xBgMAAAAAAAAPP992AAEFAwG+Cc +HOCcWOp0jBNj/r+KEfwKCiADEGgwWytdY/+3AABsEAaEJ4ROLkKp/SIAIMQIG7Ac4Qr+QpAgBRBQ +MP9CkSA0EFgwWO7sK0KQZLHB/eB9EADfBuAa4Poc4GoV4Hj24MoQABAYMPfgdhAEEHAw/+DwEmoA +OuD/AgACAHSG4CNGkfNGkCIAABDw0Q8AAAAA+goFIDQQWDD84PISAAB48Fju1cAg0Q8AK0KR/wIA +BADBEuCFQPZChiIAAGPw+wo6IAIQSDD5RpAiAABRcFtsvfusAAAVADaglqnzpgogARBgMJyoilJk +onKMJy1CkYzOsd0tRpErzQQqsADA3/u8gCgBG+6Q+QoAIAQQaDBt2goAkAQKDht/5wOxmcefH+DF +CZ0J8JEEAAEQcDAA7hoM3Qr23QIuCQBysC60gP3dAyDJEEAwKNWDKNWC82aCIgAAKnD/ZoMh7gA2 +oPlGqSAAEBAw0Q8vIAwronoqooSvuwm7EauqL6Ep/wIABgBCr9CPJ4/+Jf0CK1xQ8AwHAgAASvAA +SWEASWEASWEASWGCICb2mv32lCOABDig9/aWIgkAcLAi9pUsoAcooSkMDEEAzBH5XHAoCQBiMPJN +AigJADIw+PaYIeACELACAoYASWMAAoYASWH5/QMgBBBgMPgKYiEAAkpw+JQGIAIQaDBbicUjRpHz +RpAgYhAQMNEPAAAA/SIAIAUQUDD+TQIgNBBYMPzgkRHgAnOwWO5zwCDRDwAAKU0DKkKIK0KHKEKG +mBAoRqorRqv6RrEhIAJKcClGpfpCACIAAGPw+hYBIDoQWDBbbFj7rAAAGQA2oIwR/hIAIAEQaDCd +qJ6qnqmMwmTA6xvf7iwgDBrgbiuygCqihKy7CbsRq6ovoSn/AgAGAEcv0I0njd4c39cr3QIrvFDw +DAcCAABK8ABJYQBJYQBJYQBJYRjf4IIgJtaaKNaU+CIRAAQQQDD31pYiCQBAsCLWlS+gBy6hKQ8P +QfndAi8ABD/g+ZxwLgkAe7DyTQIuCQAzsP7WmCHgAhCwBgKGAElnBAKGAEllKd0D+ZyAIAQQYDDz +lAYgAhBoMFuJeiNGkfNGkCAAEBAw0Q/Hr/pGqSAAEBAw0Q8b38v838sSAABQsFjpovVGqSAAEBAw +0Q/aUPwKCiADEGgwWyqKY/18ihH8CgogAxBoMFsqhmP/AwAAAABsEAaDJ4M+KDK+JQoB+oJCb/8Q +IDAoMsBogDcqCgX84BsQMBBYMFjuFIsnLTLAjL4A0QQszQQqwAAAWRoEmQMJqQEJCUf5xAAgBwA2 +oGSQkSQ2wC4gOiwgPyggOykgPCogPSsgPisUDioUDfkUCiD/EGgwLRQL+BQJIAIQeDD8FA8ggBBg +MPwUAS4RAHuwLhQI/j0DIP4QEDAiFAzyFAAgABAQMCIUByIUBiIUBSIUBCIUAyIUAogQiRGKEosT +Kza9Kja8KTa7+Da6IEAQeDAv5QMlNr4kNsMkNsIi5QLRDwAAKrwQW49HY/9kAGwQCBzf/hffcSow +CIgwKXJ2+hYFIgAAaLD3coooYAFAMPgWBCgAIEow/tIAKZAEOiD/0AQmACBF8PRyCyIAABEwkxDy +FgEgNBBYMPUWAiAFEFAwWO3OHN/q/nIAIAUQUDD/cAQgNBBYMPQWACIAAGnwWO3G+d/kEgAAQPDT +D20pBQAIhgBJYRzf4S0wCRjf3i4wCv8SBSAFEFAw9YYUIDQQWDBY7bopMAmOe/rffxAJADZgCu4C +nnuLMIwV+N9MEAAQEDD6tg9wBhBQMPjBOWAQEFgwaMJedOkWLvqNfiEM2lD7PAACAABgsFjq2cAg +0Q/dQPoKBSA0EFgw/N/FEgAAeLBY7aJj/9AvcDiMFPj2YmAAEEgwKXQ4+OoCAgAAWPD6dgsiAABR +8Fi5k4578/+lYgAAErCNcAjdEZ0zLHA4acaTc+aQjBQf37P6dDgiAABR8P/uAQwJAFsw/nYLIgAA +WPBYuYWOe/P/a2IAABKwAAAAAP8CAAP/r4+QjBQqdDjz/5RsCQBbMAAAAGwQBhLfDygidoUx8iKK +KGABJDD8354YACBKMPWFRwmQBDog9QUGAgAgQLD+IDkgBRBQMP8gOCA0EFgw9BYAIgAAaXBY7W/I +Xtog+zwAAgAAYTBYuWbRDwAoIDixiCgkOGP/5ABsEAaDJ4M+HN+JLSIA/jIZIAUQUDD/MhogNBBY +MFjtXygyGfffdB//EGgw9QoBIAAQIDD5Cg8hrQA2II4nju4r7QQqsAD2TAAABBBAMPu8gCgAxc6Q +bYoKAGAECgkbf5cDsWbHbwZoCQBhBABZGg6ICvyNAigJAE6wKbSA+I0DIGUQeDAvhYMvhYIkxoL3 +xoMkVgA2oCgyGSY2G9MP9t7QEgCsgiAoMhn/AgAEANgCICkyGf8CAAQA8QZgKjIZ+woAKAH5AqAt +Mhr93AEgYxBgMP02GioAJmsQ/N9VEAUQUDD9IgAgNBBYMFjtLBrfPhveux/ebo4nJDYaJDYZL/DB +K7KAKqKE/uIOK5AEPuD/9xp6ACBasCqtAfAAFWEAAlKwjSJk09HAINEPAAAqrQMqrIAtoSl20e0f +3qv8ogAv4RBAMCjkqP/mJiAWEHgw+N6mHYAEOyD45iQsCQB7MCzmJSmgB/zeohggAUwwAJkRCdkC +DJkC+eYoIJAQWDAI6jD97QEgIAJI8PjmKSEgEHgw/+VXIG4QQDD45MYhYAJrcAIJhgBNYwAJhgBN +YS0xGo8+L+Y0jD8t5Wz85jUqACBfsPkyECAWEGAw+eY3IAIQaDBbiDnAINEPAAAAAPP+tWIAADNw +Gd8ULTYbiCsJiAH4JgsgABAQMNEPAMCl/N8PEDQQWDBY7OXApfzfDBA0EFgw/SIAICACKPD/IDki +AABxcFjs3tog/N70El4QWDBbas7AkPusAAABEFAw+6k4AAYANuCVuP0KASAAEGAwCdw4Zc5yY/7P +AAAAAAAA9975EAUQUDD83vgQNBBYMP0iACAgAijw/yA5IgAAcXBY7MfaIPx8AAJeEFgwW2q3+6wA +DpYANqCVqGP+LBze6/0iACAFEFAw/yA5ICACcPD+FgEgNBBYMFjsuRze5f0iACAFEFAw/jIfIDQQ +WDBY7LQkNhosMiIqMh8pMhstMiD7IgcgCBBwMC42GS02q4++AJEEKjaqKP0E/DaxIQACQjAugIDw +WRoP/xBoMA2ZAwnpAQkJR/mEgCAHADegZJIRGt6yG94vLTYbLDIfKDIiLjIgKDYQGN3enj+cPiiA +wSuygCqihAm7Ef+HD3oAIFqwKq0B8AAKYQACUrAqrQMqrIAtoSn/AgAGAE23UBjeH/6iAC/hEGAw +LPSo+PYmIBYQQDD83hofgAQ7oPz2JC4JAEOwLvYlLKAHDAxBAMwR/d4UHAkAY3D5EgEsCQBrMPz2 +KCCQEFgwCOowKPYpJPTG/f0BISAQcDAu9Vf2CRYBYAJrcABNZwQJhgBNZSkxGow+LPY0iD8p9Wz4 +9jUqACBf8P4yECACEGgw/vY3IBYQYDBbh66GMBzedP0yqiA6EFgw/RYAIgAAUbBbalT7rAAAEQA2 +oJWojxCfqp+pjmJk4RMtMh1k3PPApfzehxA0EFgwWOxXjSeN3ivdBP4yHSEAAlrwKrCA/wpkIA8Q +QDD/7igIAFZGkPYKACAEEHgwbfoKAGAECggbf4cDsWbHbwZvCQBhBABYGg3/Cvn9AigJAEKwKLSA +//0DIAICY7As9YMs9YIkloL3loMgcQA2oPY2GyAAEBAw0Q8AFd5j/N5nEAUQUDD9Mh0gNBBYMFjs +Ndog/FwAAl4QWDBbaiT7rAAMSwA2oCg8EJioY/veG93L/N3LEgAAULBY56Jj+5zaIPwKCiADEGgw +WyiMwCDRD8ef+TYbIAAQEDDRDwAb3b/83b8SAABQsFjnlvY2GyAAEBAw0Q8qvBBbjX2PJ4/+8/3g +b/8QaDDaYPwKCiADEGgwWyh6Y/7bbBAGiyv0QgAl3BBAMCgVAPkgOSAcABLwwKTwAAZqCQBScNqQ +/SEbICQABvAY3aAE1RHwAAZkCQBFcMBQHN3+LiANLyAM+SpOKuABVDDwuxEAABBQMPk1Ay+ABD/g ++jUCLgkAe7D+uwIABRBQMPzeJhoJAGbw+zYAIDAQWDBY6/P1NgIgIAJQ8PwqTiAAEFgwWOIBx38n +NBAnNBH3NBIgLAJQ8Pc0EyAGEGAw9zQUIHQCKLD3NBUiAABZcFjh7Cc0MRrdMBLdLveLFABEEHgw +/zQzIAAQcDD+NDIgQxBoMC00NSs0MPI0JSJAEEAwKDQh+jQdIAgQSDD5NBwgAhAwMPY0ICAAEGAw +/DQ0IAEQMDAmNDr2NDsgBhBgMPw0PCBAEEgwKTQkKTQm+DAeIAIQUDD6NDYgERAQMCI0JwuLFCs0 +L/uLFABoAhDw+zQuIKwCUPD7KiwoYAFAMPmIAgDwEEgw+QoFKABASjD7NDcoCQBKMPg0HiIAAFlw +WOG8+jw+IAYQKDD8CgQgwAJZMFjhtxvd3yo9AfqsJiAEEGAwWOGzJiT39iT4IDUQaDD9JPYgNxBw +MC4k+SxAF3jHCCUk+mAABwAAAMD1LyT6JiT79ST9IAMQSDApJPwoQBcpPQHynDIgHABiMMGh+pQy +IGYCEnDB2v0kACACECgw9SQDIBwQYDD8JAEgORBYMPskAiAIAlCw+xwAAgAAYXBY4ZQb3Qkrsrku +sAD6CgAgGQA3oNmwbQgMLJABsar0wAdgAgJKcGP/7PokByA8EGgw/SQGIuABVDD8PAAAEAJQsFjh +g6I+J+QI9UYVIAAQEDDRDwAAbBAGiyv0QgAl3BBAMPgVACAEEDAw+SA5IBgAEvDwAAZqCQAycNqQ +/SEbICYABvAY3QME1RHwAAdkCQBFcADAUBzdYS4gDS8gDPkqTirgAVQw8LsRAAAQUDD5NQMvgAQ/ +4Po1Ai4JAHuw/rsCAAUQUDD83YkaCQBm8Ps2ACAwEFgwWOtW9TYCICACUPD8Kk4gABBYMFjhZMdf +JTQQJTQR9TQSICwCUPD1NBMgBhBgMPU0FCB0AhCw9TQVIgAAWLBY4U8lNDEtMB4Y3JEc3JL1jxQA +QBBwMC40JC40Ji80MPw0HSAIEFgw+zQcIkAQUDD6NCEgAhBIMCk0IPg0JSACEEgw+TQ2IAEQQDAo +NDr4NDsiLBBQMPo0NyAAEFgw+zQ0IEMQYDD/jxQCAABYsPw0NSAGEGAw/DQ8LGABbDD/NC8sCQB3 +cP+PFADwEHAw/goFLABAd3D/NC4gERB4MP80JywJAHdw/TQeIEQQcDD+NDMgABBoMP00MiCsAlDw +WOEfKjw+/AoEIMACWTBY4Rwb3UMqPQH6rCYgBBBgMFjhF/cKuCDQAlkw8j0BIAEQaDD9JCsgNhBI +MPkkLSA1EGAw/CQqIAMQQDD2JC4gBBBgMPgkLCIAAFCw+qwvIXACELBY4QcmJHz8CgQg2AJZMPo9 +ASAyEHAw/iR7IGoCUrBY4P8BGwL6PQEgORB4MP8kgSACEGAw/CSCIHYCUrBY4Pgb3G0rsrkosAD8 +CgAgGQA2INmwbQgMLZABscz00AdgAgJKcGP/7Po9ASADEDAw/CSGIDwQcDD+JIUi4AFgMPwsAAB+ +AlKwWODloy+n/yX0h/ZGFSAAEBAw0Q9sEAYmIAeIIhrcf/UiECYgATAw9YJCa8AEPaD93HwaACBW +8CiyOg1tCv3SlyQBG8YgLLI5GNyj/cQBDgEU7xAnIhKPKf4iCiYIATwwJxYACHcKJ3KQ/+4MAGAC +WfD/AgAKAPhfkCsgFigK/3ixDfogByAAEGAwW5IEZKH/LSEHHtzqDQ1K+NzqHcAEP2D73OccCQB3 +cJ1AiiD+2/4QBRB4MPtGAiBAEGAw/EYDKYAEPqD8EgAoCQB+cJlBLSIS/I44DEoBbDD6zBENYAQ/ +YPvc2RwJAGsw9twmHAkAczD9IRooJgFkMPvc1BgAIF5wKZCA/EYHLIAEP2CdRvl5DAoJAFqw+kYE +IGACSnCZRYgpLiIV/AoAL+AQaDD3iAgAQAJJMPiMMC4AIDuw+CYJIGACc7D+JhUmAJHtEPAGBwBG +EFgwAElhAElhAElhK0QgLjASLEQm/EQiIAAQUDAqRCX8RCcggBB4MPxEIyAAEEgw+UQkLgkAe7Au +RCHwJhcAUAJJMAAJii0wEv4xCCANADdgLkQ1Do4ULkQ0il4MixQsRDf7RDYgAgJSsJpeWydvKkQz +CooUKkQyCooUKkQxCooUKkQwKVIRKUQ7CYkUKUQ6CYkUKUQ5CYkUKUQ4KCIWKEQ/CIgUKEQ+CIgU +KEQ9CIgUKEQ88AYHAIACeTAAT2EuIAcODkEf3AAM7hH/7ggABRBoMC3mOSsgFiwK/3yxCvogByAw +EGAwW5Friif7CgQgABBgMPqsICAEEGgwW4m+KyISLPp/DLsB+yYSIAAQEDDRD9ogW5GtZK4LwCDR +DwAAAAAAAAD7bBgiAABQsPwKASAFEGgwW5NnwCDRDwD7bBIiAABQsPwKASAAEGgwW5NhwCDRDwBs +EAwnIhAS3GgmcG+IdysiFSwgUJwY+IIOJgEBMDD2Fg0mACAZMPgWBSIAAFGwWOAwHNxeix2KGB3b +/f27EQA9ECgw+moIDAAgYvD1pAAqACBu8Cuy7SzAgPwWDiACAlKwWOAjjhiNHq7d9t8IAAAQcDAu +9AIscG8rIhf8LEAABAJrcPwWDSQAIGkw/CBYJgAgGTD8FgkiAABRsFjgFYoZHNxDix2qaiWkAAy7 +CyywgCwWDvuyISACAlKwWOANjxmOHvsiGS4AIHuw9uYIAAAQeDAvZAItcG/8IGAgBAJzsPwWCiQA +IHEw/T1ABgAgGTD9Fg0iAABRsFjf/YoaHNwrix2qaiWkAAy7CyywgJwe+7IhIAICUrBY3/aPGo4e ++yIbLgAge7D25ggAABB4MC9kAi1wbywgaPwWCyAEAnOw/Q1ABAAgcTDzRggAHAJrcP0WBiIAAFGw +WN/mihsb27SMFv3bsRoAIFGwJaQA+8sLDdAEOyD7stEsACBrMCzAgPwWByACAlKwWN/ajRuMF/si +HSwAIGsw9iBwLgAgMzD8zAIgABBoMP3kAiQAIGEw/GwACgAgGTBY384S2/3420gUACAxMPgWDCYA +IBkwJWQA+3E0IgAAYHDwCAcCAAB4cPAPoAAKEHAw/xYEL/YQaDDAkG0IHtqwDrstDb8or6qqKiqg +APrEACIAAFMw9LAJYAICYzBj/9gAAPmkASoAFtBQ2xBtCCAtsAAuzf8p4P/95P8h/gJSsPm0ACAC +Alrw+rsHcf4CYzBj/9gvEAD1CgAgGQA34NkQbQgMKJABsVX0gAdgAgJKcGP/7LFq+xwAAgAAYXBY +35+xW/vbzBQAIFkwDwIADwIAJbB4o0qxqvuyHyIAAGFwWN+W/xIMIAICWXD5EgUkACBZMPNGCAA9 +EFAwKmQA+ZKPIgAAYHDyDwcCAABwcPAOogAKEGgw/hYEL/YQWDDA4G0IHt+QDZktC5goqP+vLy/w +AP/EACIAAFMw9JANYAICYzBj/9gAAAAAAAD+pAEqABbQUNsQbQggLrAAL83/LfD//vT/If4CUrD9 +tAAgAgJa8Pq7B3H+AmMwY//YKBAA9QoAIBkANiDZEG0IDCqQAbFV9KAHYAICSnBj/+yxavscAAIA +AGFwWN9lsVv725IUACBZMCWwoKNKsar7sikiAABhcFjfXrFZ/xIMJAAgSTDzRggAPRBAMChkAPly +GCIAAGBw9A8HAgAAcHDwDqQAChBoMP4WBC/2EFgwbQge2pANmS0LniiuqqoqKqAA+sQAIgAAUzD0 +kAhgAgJjMGP/2gDAgPikASoAFtBQ2xBtCCAtsAAuzf8p4P/95P8h/gJSsPm0ACACAlrw+rsHcf4C +YzBj/9gvEAD1CgAgGgA34NkQbQgMKJABsVX0gAhgAgJKcGP/7ACxavscAAIAAGFwWN8vsVv721wU +ACBZMCWwqKNKsar7sisiAABhcFjfKLFZ/xIMJAAgSTDzRggAPRBAMChkAPlyFyIAAGBw9g8HAgAA +cHDwDqYAChBoMP4WBC/2EFgwwOBtCB7akA2ZLQufKK+qqioqoAD6xAAiAABTMPSQCWACAmMwY//Y +AAD+pAEqABbQUNsQbQggLbAALs3/KeD//eT/If4CUrD5tAAgAgJa8Pq7B3H+AmMwY//YLxAA9QoA +IBsAN+DZEG0IDCiQAbFV9IAJYAICSnBj/+wAALFq+xwAAgAAYXBY3vgb2yaxXPWwsCQAIGEwo0qx +qvuyLSIAAGFwWN7xsVb+EgwkACAxMPNGCAA9EHgwL2QA+XIWIgAAYHD4DgcCAABocPANqAAKEHgw +/RYEL/YQcDD6CgAiAABYcG0IHtiQD5ktDp0orYioKCiAAPjEACIAAGsw9JAJYAICYzBj/9IAAPrU +ASoAGurQ+twAAgAAWHDTD20IIC+wACjN/y6A//+E/yH+AlKw/rQAIAICWvD6uwdx/gJjMGP/1ikQ +APIKACAZADZg2RBtCAwqkAGxIvSgB2ACAkpwY//ssWr7HAACAABgsFjev6QisiLRD2wQDiIWESQi +EJQdEtrrh0ckQG8mIEgrIhP3cg4iAABQ8PxsAAQGASAwWN6yFdrh/NqAG9AEPSDTD/cWBSQAICrw +9ECAKgAgMPD1Cj0qACBm8PWkACACAlKw+7LtIgAAYTBY3qQrIhX2SggAABA4MPQSDSYAIBqwJ2QC +JiBQ9EBvIAQCUrD6FgcqACAasJoe/GwABAcBIDBY3pcb2sWKHgtLCySwgKaq9aQAIAICUrD7siEi +AABhMFjej40eKhIR/BINJAAgMTD4EgcsACBtMCfUAizAbqhEskT6ohIjCwA3IPsiFyYAIBkw/CBY +LjwBUDD8Fg8gBAJzsP4WCCIAAFGwWN58HdpLixiKH/27Cw3QBDrgHdpGqmolpAD7stEsACBrMCzA +gPwWCSACAlKwWN5xiB+PGf4SES4AIEfw+yIZJgAgM/AnZAIu4hIsIGD8FhAgBAJ78P7uUQQAIHkw +80YIAAQCc7D+FgoiAABRsFjeYSoSEBvaLywSCv3aLBoAIFGwJaQAC8sLK7LRDcwLLMCA/BYLIAIC +UrBY3lYtEhCMG63M9iBoLAAgNzD7IhsgBAJjMPfUAiQAIGEw/GwACgAgGTBY3kwS2nv52cUUACAx +MPkWDCYAIBkwJWQALiAA8AkHAgAAQHAASGGYFPcUASAAECgw/hQAIBkAN6DZEG0IDCqQAbFV9KAH +YAICSnBj/+yxavscAAIAAGFwWN42sVv72mYUACBZMCWwsKNKsar7si0iAABhcFjeL4kV/xIMIAIC +UXD6Cj0kACBRMPmdASYAIBkwKmQAAg+L+RYGIgAAYHD5kZQiAABwcPAOogAKEGgw/hYEL/YQWDBt +CB7ekA2ZLQufKK/uri4u4AD+xAAiAABTMPSQDGACAmMwY//aAAAAAAD3pAEqABbQUNsQbQggLbAA +Ls3/KeD//eT/If4CUrD5tAAgAgJa8Pq7B3H+AmMwY//YLxAA9QoAIBsAN+DZEG0IDCiQAbFV9IAJ +YAICSnBj/+wAALFq+xwAAgAAYXBY3fwb2i2xXA8CAPWwuCQAIGEwo0oqrAH7si8iAABhcFjd9P8S +DCACAllw+RIGJAAgWTDzRggAPRBQMCpkAPmRlSIAAGBw9A8HAgAAcHDwDqQAChBoMP4WBC/2EFgw +bQge3pANmS0Lnyiv7q4uLuAA/sQAIgAAUzD0kAdgAgJjMGP/2vekASoAFtBQ2xBtCCAtsAAuzf8p +4P/95P8h/gJSsPm0ACACAlrw+rsHcf4CYzBj/9gvEADyCgAgGQA34NkQbQgMKJABsSL0gAdgAgJK +cGP/7LFq+xwAAgAAYLBY3cWkIrIi0Q8c2fUb2fUoEhEMqgELqgEqhhJj/OMAAABsECSGN4ZuLmKO +HNnu+goFIDAQWDD+flECAABpcFjnrRLZ6vhRD2AAEDgwwYh4UUPScNEPAAAlICzzCj0iAABRMPsi +DCIAAGFwWN2qJiDU+yI2KgAgKTAjpAD8bAAAAgJSsFjdpKVipCj3hAIgBAIQsNEPABXZKIg9KVJ6 +JVKKqYgJiBH7IhIkACBFcIVXLCBELBY79VIOIgAAUTBY3ZUrEjv7TggAPRAYMCPkAC1QAiUWPPrR +Q2IAAGNwKV0C+ZyAIgAAU7Bt2RIskEj8pAEgAgJKcPTAFGACAlKwJRY8LFACYAAUAAAAAAAAAPUW +PC4AIG+wJ/QBLFACGdj5KG0FKRY58AkHAEACKHAARWElFgz5gGQggAJQcPkUNCIAIFsw+IBhIQAC +KjD4FjQgBAIQsFsgc/ocQCBoAlhw9RYyIAEQYDBbIB0qEjzTDymtAymcgCuQKPwKACAXADbgbQgM +K5Apscz0sAdgAgJKcGP/7CutA/ocQCFQAlrwWyAQ+20EIIACUHD8EjQhAAJa8FsgC/ocQCBAAlhw +Wx+8HNmMLxAiLhAhLRAgKBAjmBArECSbESoQJSoWAikQJikWA/gQJyAwEFgw+BYEIAUQUDBY50Ec +2X8vECouECktECgpECuZECgQLJgRKxAtmxIqEC6aE/kQLyAwEFgw+RYEIAUQUDBY5zQb2XEssEws +FjP7shQqACAgsFjdNywSMxnZDPwiCAAwEHgw9CoIAHgQcDDzpAAgAlACoC+kAS6kAv0cICIAAGKw ++AoQIH4QWDAPAgDTD22KNf8CAAICNkbgL9AADw5D/p4IDmQBfDD+4IAuACB+cP/wgCAEAmMw/8QB +IfwCWvD+xAIgAgJrcPekIyAjEEAwKBY6LRI6/NlPEAUQUDD8FjggMBBYMFjnCikSOmaURfoKBSAw +EFgw/NlHEgAAanBY5wQsEjorYo7Ao/zMASsXAVww/CIIDgIO3pDz2UAQ/gJQcPqsISABEEgwCOow +DOowCMg4HNk7Hdk6DI8tDfsoFdk5+9k6GAAgWjAF/ygLiCio/6P4D486COowDuowCOg4DI4tDe0o +9e4oCAAgajALiCio7qPoDo46COowDeowCNg4HdknDIwtBcUoDcwoDIgIC4go/ogSBAAgRXAI7gP/ +iBIKACAdcAW1OvWNEg4RAEfw/0gSDBEAL3D+WBIOEQBH8PjuAw5AAXww/f8RDkABcDD9XxIOCQB7 +sA/dA/7uEQwgAWwwDt0C/aQAIf4CSnD1nzdgAgJSsC0QoPvZAxABEHAw/NjjHAEAd3D+EjIs4AFs +MC0UoCXGEy3k5CywNCwWNvuyDioAICCwWNzCLBI2H9jw+hI5IAoQcDD5EKAiACBgsPQsCAA9EFgw ++8QAIP4CaHDyCgcAYgJDcPAIogBiAmtw+BYwL/YQWDDYkA6ZLQuaKKqIqPgogAD41AAiAABTcPWf +5WACAmtwKxx/K7wx96QBKgAV0tBtCCAvsAAo3f8ugP//hP8h/gJSsP60ACACAlrw+rsHcf4Ca3Bj +/9gqHH8qrDEroADAkNMP+RY1IBsANuBtCAwroAGxmfSwCGACAlKwY//sACkWNfrMASD+Alhw/BI1 +IGICWvBY3I0sEjUb2MEPAgCxzPywPCIAIGCw/BY3KgAgILD7shAgAgJSsFjchCwSN/0SOSACAmMw ++W0DIgAgYLD0KggAPRBYMCukAPANBwDAAkpwAElhAElh+hY9IAAQSDDyFjEgEBBoMG3a0grqMAzq +MB3YsBvYrhXYrR7YqwrKOAWoLQ6MKPuIKAoAIGKwDaooqoijjAjIOgTqMArqMASkOAVCLQ4qKPsi +KAQAIFEwDUQopCKjJAJCOgzqMA/qMAiKEgKEEgz8OAXFLf5eKAIRACCw8loSCBEAUjD7VSgMACBz +MP3MKA4AIDZwL/0D/FUIAhEAULD4SxIEACAZcPVFOgJAARAw9Y4SCBEAWjD4CEIOEQArsP5UEgnQ +BDog+CICDhEAI7D+IhEOIAFwMP/8gC4JABOw/vTgIAICSnAqEj0f2FUuEjL19hMgEBBoMP3k4CAA +aAKgKMqg/wIABgB4xZAZ2Az/bQMgeBBAMPikAiAwEFgw+6QBIgAAcrD//IAgfhBYMG3aMG6zPy3w +4A0MQ/ycCAxkAWww/MCALAAgbnD90IAgBAJzsP3kASH8Alrw/OQCIAICe/AnpCPwABNgIxAYMAAA +AAAAAAD35AMv6hAYMPoKBSAwEFgw/BI4IgAAaPBY5g1mMEH6CgUgMBBYMPzYURIAAGjwWOYIIhIx +sTioItEPAAAAAAAA98QDL+oQSDApFjpj+8LGqioWOmP7ugAA8/+sb+oQGDDApfzYQxAwEFgwWOX5 +xy/RD9EPwKX82D8QMBBYMFjl9Mcv0Q8npAHz/31v6hAYMABsEAgmIhAU136WFIVtJ0J6KGIHJEKK +99g0FAAgPXAmYG74gg4lkAQ9YPVwJCQAICkwJEIHKBYB+3IKIgAAUPD0Qg4iAABhcFjb5h3XtPzX +shvQBD2g9go9KgAgKPAmpAD8vAgKACBu8Cuy0SzAgPwWAiACAlKwWNvaihLAsPVwhCoAICqw+hYD +KgAgGrD7pAIgBAJSsPtyIiIAAGFwWNvQjBOlxaNaJqQCK0AI/AoAICAANuDZQG0IDC2QCbHM9NAO +YAICSnBj/+wAAAAAAAAA+qwDIBACWTBY28EuQAj5CgAgGwA3oARKAm0IDC+gCbGZ9PAIYAICUrBj +/+wApZXzWggAABBAMCikBChA6PSBC2AIAilwK3CMarEvo1z6ciQiAABLMG25Ei2gAP2UACACAlKw +9NAJYAICSnBgAAwAAP4KAC4AIF8wLvQAq1XzVggAPRBIMClkAChA6PwKACAbADYg2UBtCAwqkOmx +zPSgCWACAkpwY//sAAArTQH7vOggAgJRsFjblitA6PkKACAaADbg2kBtCAwsoOmxmfTACGACAlKw +Y//sALKdrVXzVggAABAgMCRkAI4ULuBuaOFpKCISInDc+3I4ID0QGDD4l1ICAABRsPd8BiIAAGCw +WNuAHNdN+9dOGgAgEbAjpAAMfAsjwID7ewsAAgJSsPuy0SIAAGDwWNt2ojj1gggIACAyMPSEAiAE +AhCw0Q/AQPP/lmYAIBlwAAAAACtyMCxwvPwWACIAAFGwWNtpjxCKEf9VCAA9EHAw/a0CJgAgGXAu +ZAAp0HDAwPSQG2EAAmtw2dBtCAwokPGxzPSACWACAkpwY//sAACdFSutAvu8cCACAlGwWNtXiRUr +kPD6CgAgGQA24N2QbQgMLNDxsar0wAdgAgJrcGP/7LKtrVWjViRkAGP/C2wQDCYiECggBxnW6Itn +CAhBDIcR+7IOJgAgTfApcjorFgz71uQQQhBQMPgWCCoCLNZQKXI5C4sKK7KXHNa3+5QBDgIi3lAs +FgvwDAcCAABBMG2qAgBIYSwxCvoKMCAbADcg+zIEIKACUTBY2zAsMQrwAAVgYAJTMAArIhIPAgD7 +3kEABxB4MPgKACIJADeg/wIAAAEYh6DVgI4p/SIKJAAgLzD1CkEAYAIZcAo5DLSZ/t0MAgUAVnD/ +AgAKAOAfUCsgFioK/3qxEvogByAAEGAwW4xX/woHI80ANqAc11coIQcZ1zsswID61z4ZQAFAMP4h +GinABDog/DwMCAkASjCYQIkg/EYFIEMQaDD9RCAgIAJY8PtGAy6ABDugnkaLHP3XRxBeAnDwDk4U +nhmdR/qaAgmABD5g+kYEKAkAT7CZQRrXJJpC+CISIIAQYDD7vQMgABBoMP1EIiCBEEgw/UQjKC0B +QDD4nDgApAJa8P/PAgH+AkIw+Pw4AFACUTD8FgogBhBgMFja6SliEIpuKUQvCYkUKUQuWyHeKkQz +BY8U+ogUAAEQYDAsRDUoRDIPjhQIiBQOjRQoRDEIiBQoRDAoYhH4RDsgABBgMCxENAiIFIwaKEQ6 +CIgUKEQ5CIgUKEQ4KCIWJUQnLUQk/EQhIAAQWDD7RDcgABBIMClENi5EJShEPy9EJgiIFI8bKEQ+ +CIgUKEQ9CIgUKEQ88A8HAIACcTAATmGNKSwiFaPd/SYJLAAgGzAsJhWLGSt2OSsgFioK/3qxCvog +ByIAAGDwW4vLiif7CgQgABBgMPqsICAEEGgwW4QeKyISLPp/DLsB+yYSIAAQEDDRDwAAAPosAAIA +AFjwW4wK/woHLjUANqDAINEPAI0cLd0FJdBlC55W9FCcYQACa3D/AgAP/vZ30P0WByA4AP1wwdh1 +2Efz/dliAAAqMGTAlPP9zmIAACow22D6TAgAARBoMPzMICIAAFCwW/zVjhct4OUlCv4F3QEt5OX8 +MQogBxB4MPP9m2IAACqwAAD6TAgCAABZsPzMICIAAFCwW/zIjhct4OUlCvf13QEA7xAoMAXdAS3k +5fwxCiAHEHgw8/1gYgAAKrAAAKpL+7wgIgAAULBb/oD8MQoiAAAqsPP9QWAHEHgwqkUrXCD7FgUi +AABQsFv7sy1gbvysAAAAgIdgGNayLoCAauEupar7giEiAABCsG3pEi+wAP+EICACAlrw9PAIYAIC +QjBgAAsA+AoAKAAgdrAolCAb1qX/1o4QPRBoMPwSCy4AIHMw/hYGJAAgL7D9VCAiAABAcPIMBwIA +AFBw8AqiAAoQcDD6FgQv9hBgMNmwDrstDLooqpmp+SmQAPmEACIAAFIw9b/lYAICQjDAsPukASoA +FFBQARsCLbAALo3/LOD//eT/If4CUrD8tAAgAgJa8Pqz43H+AkIwLxAA/AoAIBMAN+DYECmAAbHM +9Z/3YAICQjCcHfscAABCAlFwWNo0iBaFHahVslUsMQrz/CxgBxB4MAAAAPsSBSIAAFCwW/nv8//j +YgAAKrCJImWeAosY+iwAAAAQYDD7vBggQhBoMFuNR8Ag0Q+LGPosAAAAEGAw+7wSIAAQaDBbjUHA +INEPAABsEAYrIhImIhD51l8QATuy0Ig0KiAH9QoAIAE3AiCJIv3VsxC+Ajlw90cUCiABUDD1klBt +wAQ6oP/VrxwAIGswLsI6mhAPrwr/8pcqAWg/kC7COfzV1RoIAVww/+QBDgFe/5CJKYgqKxYBDLsK +K7KQ+YgMCgAgLvD7FgIgYAJa8P8CAAoA+d4QKyAWLgr/frES+iAHIAAQYDBbizX91ZQSlQA2oC4h +Bx/WGg4OSvjWGh/ABDug+9YXHgkAe7CeQIogH9Uu+0YCIIACYXD8RgMpgAQ+oPwSASgJAE3wmUEu +IhL8jzgOSgFwMPrMEQ9gBDug+9YKHAkAczD4EgIsCQB7MP4hGigmAWQw+9YFGAAgXnApkID8Rgcu +gAQ7oJ5G+YkMCgkAWrD6RgQgYAJKcJlFjykuIhXGwPrVSB4AIEfw//wwLgAgQ7D/JgkgYAJzsP4m +FSBAAkkw/goAJgCU5RDwCgcABBBgMABJYQBJYQBJYSlAISsKgPxEICgJAF5wKUQhKGIRKEQ7CIgU +KEQ6CIgUKEQ5CIgUKEQ4LyIWL0Q/D48UL0Q+D48UL0Q9D48UL0Q8iTT6FgMgABBgMP8yBSAAEEAw ++YsUAABsAmApRDcuRCcuRCYrRDYsRCQoRCUvRDMLiBQPjxQvRDIoRDUPjxQIiBQoRDQvRDEPjxQv +RDAuRCMOixQrRCLwCgcAgAJJMABJYSggBwgIQQyIEa2IJ4Y5KyAWLwr/f7EK+iAHIGACYXBbiqKK +J/sKBCAAEGAw+qwgIAQQaDBbgvUtIhIsYhH7YhQvfxBwMA7dAf0mEiACAmMw/GYRIAICWvD7ZhQg +ABAQMNEPAAAA2iBbit391RweCAA2oMAg0Q8lkICxVQUIQQhfDLT/8/2FZAUAR/AAABzVUv3U+xD/ +EHAwLkQ0LUQ1/EQ2L/8QWDArRDeKbrGqmm5bIGMqRDMKjBQb1a8sRDIMjBQsRDEjsIArsiH8jBQA +oAJRMPxEMCIAAGDwWNlfHdT/ihP+CgAoACAZMP6EUCACAnjwL0QnD48UL0QmD48UL0QlD48UL0Qk +Y/7hixD6LAAAARBgMPu8GCIAAGnwW4xzwCDRD4sQ+iwAAAEQYDD7vBIgABBoMFuMbcAg0Q8AAGwQ +BCggBSkKkHmBKfzVjBAFEFAw/SIAIDAQWDBY4zeLJ4u+/NWHEgAAULD7vQIgABBoMFjjHoonKqwQ +W4STwCDRDwAAbBAEiSrIn4qYyKvAsPwKACACEGgwWONz0Q8AAGwQDhzVeI0gLiAFLzIAKDAF9BYB +IAUQUDD4FgAgMBBYMFjjHh/UsR7Urx3VABvUX4w399VtEJIQKDD6CpAgZRAwMPzCDiCmAD0gb0Qi +bkIfJDAFDwIAekFmKDBu+QqVIACKBiD/AgAGAOpNEMAg0Q9pRPgqMG5pofIrMAV1ueyNKPo8AAAC +EGAw/NRlIAEQWDBYCSfAINEPACQwBf8CAAYAdlUQLjBuaeHBdUm+jyjAsfv0ZSIAAFDwWAkdwCDR +Dylyd4I9KLDBK3J9KnKH+bsRAgAgSLD5IhEKACBesP+HD3oAIBKwKb0B8AAKYQACSnApvQMpnIAr +zQIrvIAmtJGIoP4WBiAEEFgw/xYEKYAEOiD9FgooCQBaMJgVK5AHKZEpCwtBALsRC5kCDZkCmRgI +6jCYGfnNAiAAEFgwmxv3CRYAYAJYcADLigQJiACLigIJiABLigAJiAALiiscEPwKBCACEGgwW34U +wCDRDwAAAAAAAP8CAA//dikQ+jwAAAEQWDBYCOrAINEPAClyd4I9KLDBK3J9KnKH+bsRAgAgSLD5 +IhEKACBesP+HD3oAIBKwKb0B8AAKYQACSnApvQMpnIArzQIrvIAmtJGIoP4WBiAEEFgw/xYEKYAE +OiD9FgooCQBaMJgVK5AHKZEpCwtBALsRC5kCDZkCmRgI6jCYGfnNAiAAEFgwmxv/CRYAYAJYcAHL +igwJiAGLigoJiAFLiggJiAELiiscEPwKBCACEGgwW33hwCDRDwAA+jwAAAUQWDBYCLrAINEPAGwQ +BvzU5xIAAFCw/jwAAGYQQDD5CgEgABAYMPaTOABeEFgw/hYAKgUAHjBbYHz3rAAADgC2oMAs0Q8A +AAAAAAD8ClYgThBYMPalESoFAB8w+6YJIIcQUDD6dRAgEBBgMPsSACBQAlHwWNiB20D8ChAgcAJR +8FjYfttQ/AoQIJACUfBY2HuNIsjVwCDRDwAAAPosAAIAAFnw/AoKIAMQaDBbHtHAINEPAGwQBBTT +rRXUaSRAwShSd/JSgSIAAEiw+YgRAAEQWDD/RxVyACBAsCIiJ4IuIiIU8AAPYf4CELAAIiKngi4i +IhSwImQgViZSh4ZmAioLBqoL8AAKb/8QYDAmLEh6YT3ybAAACQA2YChgJsqIZD/pLSAmwGD50eFg +EBBwMG3qFKJn93AQKAAgGbAogAD3iRFwAgIxsNEPiGR5idDRD8Ag0Q/4cwZyAAAzMNawZW+qY//p +bBASHNSSAHWOJCIJLSIALiAF+CIbJuABKDDzIAcgBRBQMPgWACAwEFgw+CE4IgAAefD4FgEiIAEc +MFjiLykiCicWGPMWFyIBil5QLkIHLuIOIyISJyITK+Kr+3wBCgBAXPB8sQIj4rH54qsgNBBYMPzU +eBABEEAw/woAIgAAaPD5egEIAEBM8PqZDAIAAHHw+Y84AAUQUDBY4hf1CgAgJwA04Po8AAAAEFgw +W/+p+hYbIgAASrD1rAADqgA2oC6REGAAAwAAx+/6CgUgNBBYMPzUYRIAAGlwWOIH01AX1F71CgAh +DwC04CsiG/8CAAIBQMbg9SYbIgBdgaCKJ/xcAAABEFgw+qwgIAEQaDBbgW5gAJ4Y1FL+dQIoCQBD +8JhwKCITLXUDmHIoIhKadZl0m3f4dgMgABBAMCgWFhnTPSoxEZUYLjAonhn8Fg8gARBoMP0WECAG +EFgwmx0b01CVHCUWEfkWDiAUBFqwG9Q9C6sCLUEYKhwg/QxGDkgBaDD57hEMBwFsMPDdEQwJAHMw ++xYLLAkAazD8FgogoAJYcFt8mmSiJsCl/NQvEDAQWDBY4dKNL8jb2iD7IhAgARBgMAvQAIwuDwIA +DwIAyMn7IhAgABBQMAvAAPosAAAAEFgw/AoAIAIQaDBYhdHAINEPAAAAAI8q/BIXIgAAULD4GgAg +QBBwMPj/AQAoEFgw/RwQKgUAf7Bbi2r3rAACnAA2oIoqKRoA+9NFEAEQcDD6FhkqWAFQMAqeOfoW +GigBDnbQwKDwAApgDRBoMAC8Gn7KCbGq8KEECf/6V1AvQRod1AL80d8iKAA34PzzJ3AAEFgw+woA +IA4QYDDwAAdgBAJrcHjzD/jR3yACAlrw+8vxcAQCa3ApMRD9QRgq4AFQMPS7EArgBDqg9O4RCgkA +WrD9O0MJwAQ+YP0MRggJAFZw+iAHLfAEOyD8DEcICQBecPsSGixABD8gDt0C/tPkGiABUDD4uxEL +4AQ6oP3T4RoJAGqw+yE4KgkAWrAoEhn/IgsoCQB2cP4hNSoJAG7w/SE0If8B3hAudQInFhYoIheY +cygiFphyKCIZmHUoIhiYdC11AygiE5h3KCISmHYoIhWYeSgiFJh4GNPLmnuZevt2DSgJAEPwmHBj +/eIAANpA+yxIILACYLBbHxrz/XFiAAAasBzTwv0iACAFEFAw/iAFIAICSvD5JhsgMBBYMFjhW4Mn ++tMRECACGPBbGAAc0w4d0qMe07aPIPusAAIAAFDwWxe4iCf3JgwgIAISMNogWw28/wIAAACDhqDA +INEPiyoqEhX5EhQgOgBe8C0SFgCEBPqcGA6ABDqgnt+c3o14jnlgABQAhAT6nRgOgAQ6oP12CC6A +BDugnnkc054vEhT4EhUgBRBQMPgWACAwEFgwWOE2KwpyKyQFKhIXG9L7DKoR+RIEKgAgWrD5pgAi +SgA5oIon+woBIAAQYDD6rCAgARBoMFuAndKg0Q/z/f5gABBQMMAg0Q/aQPzTMhA6EFgwW18VyK6X +qvOmCSABEGAwnKiLQsm7KRIbZZw0Y/w5L1rc+woAK/8AZ9Bj/dUAAAAAAAD8CgoiAABasP0KAyIA +AFEwWx13Y//MAAArEhj802cSAABQsFuKocAg0Q8A2iBbDZgS0vALqBH0oD5iACBAsAzqMCsihYuw +sKL8uwgCAABQsFjjNRzTZCrCf/AhBAABEFgwALsaC6oCKsZ/WONqwCDRDwAAAAAAAAD6CgcgARBY +MFuDGywif/wmgyAAEBAw0Q9sEAQU0rUPAgDyQhQiAABQsGQgiImhJULWLULq+KIAIIAQYDD5VREK +ACAVcPm7EQQAIG1w/QoCKgAgbvCOV/XiDiIAABFwbdpJJlK7JFK6LlK8+WYMAAEQaDD4RAwAABB4 +MPTfOAAAEBgw9tM4AAAQODDz8BpwABAwMISig6MvUr0E7gwO1jgD/wwP1zh3aBAlXECsJftZo3AC +EGgwwCDRDyhSxrGIKFbG0Q8AbBASHNMbJyAHhCmNIPgiGyBxEHAw/iQFIAUQUDD4FgAgMBBYMPgh +OCAAEHgw+BYBJiABPDBY4LkpIgoPAgD/AgACAH7eUIxHjM4jIhImIhMtwqv9bgEMAEBs8H7RAiPC +sS7Cq8Cl/NMDEAEQaDD+bwEOAEBw8P/uDAAAEHgw/t84ADQQWDD9PAACAABxsFjgo/UKACAnADTg ++jwAAAAQWDBb/jT6FhgiAABKsPWsAAOVADagLpEQYAADAADH7/oKBSA0EFgw/NLsEgAAaXBY4JMW +0urzCgAg3wC1YCsiGw8CAG6zbiMmG40vyNwCKgL7IhAgARBgMAvQAIwuDwIAyMn7IhAgABBQMAvA +APosAAAAEFgw/AoAIAIQaDBYhI3/IgAiAABwsPzS4RAFEFAw+wowIAAQaDBY4HnAINEPANpA+yxI +ILACYLBbHirz/4FiAAAqsBzS0v0iACAFEFAw/iAFIAICevD/JhsgMBBYMFjga4Mn+tIhECACGPBb +FxAc0h4d0bMe0saPIPusAAIAAFDwWxbIIyIHDwIADwIAIzwQ9iYMIgAAUPBbDMr/AgAB/7eeoGAC +3Ikq/RwQIgAAULD8GgAgQBBAMPyZAQAoEFgw+Ys5AgAAYfBbigL2rAAC+QA2oI8qLhoA+9HdEAEQ +aDD/FhcuWAF8MA/tOf8CAAgBHm7QwKDwAApgDRBgMAC4Gn2KCbGq8KEECf/6VxAuQRoc0pr8wd8i +RQA3oPzjNHAAEFgwHNKW+woAIA4QQDDwABFgBAJjMAAAAAAA+eMUcA4QQDD5wd8gAgJa8PuL7HAE +AmMwKVEQ/EEYKuABUDD0uxAK4AQ6oPTeEQoJAFqw/AtGDGMBYDD8mREL8AQ+4PogBygJAFZw/JkC +DOABXDD4+xEMQAQ7YPoKQQwJAHMw/tJ4G+AEOqD80ncaCQBisPshOCoJAFqwKBIX/yILKAkAdnD+ +ITUqCQBm8PwhNCCSAF4wLmUCJhYWKCIXmGMoIhaYYigiGZhlKCIYmGQsZQMoIhOYZygiEphmKCIV +mGkoIhSYaBjSYZprmWr7Zg0oCQBD8JhgYAAoGNJW/mUCKAkAQ/CYYCgiEyxlA5hiKCISmmWZZJtn ++GYDIAAQQDAoFhYZ0UEqURGTGC5QKJ4Z/RYPIAEQYDD8FhAgBhBYMJsdG9FUkxwjFhH5Fg4gFARa +sBvSQQurAi1BGCocIP0MRg5IAWgw+e4RDAcBbDDw3REMCQBzMPsWCywJAGsw/BYKIKACWHBbep7I +rsCl/NIzEDAQWDBY39Zj/R+OKioSFfkSFCA8AF+wKBIWAIQECp8Y/4YOKoAEPqCbj41ojmlgABQA +hAT6nRgOgAQ6oP1mCC6ABDugnmkc0igvEhT4EhUgBRBQMPgWACAwEFgwWN/ALApyLCQFG9GGDHoR ++RIEKgAgWrCZoGP86AAAAAAAAPP93mAAEFAwANpA/NHDEDoQWDBbXabIrpaq86YJIAEQcDCeqI1C +ydYpEhhlnElj/E4uWtz7CgAr/vhnkGP9uAD8CgoiAABasP0KAyIAAFEwWxwJY//RAADaMFsMLxPR +hguoEfSgSWIAIETwDOowKzKFi7Cwo/y7CAIAAFDwWOHMHNH7KsJ/8DEEAAEQWDAAuxoLqgIqxn9Y +4gFj/EXaIPzR6BAAEFgwW4kiY/w1AAAA+goHIAEQWDBbga8sMn8sNoNj/B5sEAoc0euNNY40lRiW +FxfR6ZIW9BYJIAUQUDD0cu0gMBBYMFjffogwKnIR/wIAAgBGrhCTFfIyBSBIADag9tHeEAAQGDAY +0QUognYlcueoOAmIEahVlRqFV4VewKX7CjQiAABwsP1SqiIAAGGwWN9rKVKqKnIR8pEvcAICGPB6 +M8PAYGRgWSpCFSuhAvIKACAXADbgjE0swQIuchb9Qg4gBwA3IH7TUNEPL1Kt9hIKIAICe/AvVq1j +/8gAAPMWBSBAAlDwW/5jHNG9+wowIgAAMrD9YgAgBRBQMFjfUGVvpfoKBSAwEFgw/NG2EgAAabBY +30rAINEPABPQ2Vt6UyMyeChyFiJy5/1CDyIAIFTw+hYEI5AEPODzIggAABAoMPPcAAA2ADYg+kIN +IgAAWPBbfl37chYhLgA2oPVcASACAhjw87sOcAAQSDCZT/tyFiAAEBgwe1PPjU//Qg4gABAoMN4w +9RYAIAUQUDD80ZYQMBBYMFjfKooVLCAHgxSOGCtgB48Zny3+Jg4g/BBoMPMmCyogAVww/RIHLABA +azD9Jg8qCQBm8CskB4sWiqAlJTWWKfsmECDiAK6wjRWO1C4mEo3VLSYTjxUc0YD/8QYgBRBQMP8l +NCAwEFgwWN8QLWAH/mA5IAUQUDD80XgQMBBYMP8SBiwgAWwwWN8ILUIVHNF0LnISLdECkhD4IgAi +AAB48PUWAiAFEFAw+BYBIDAQWDBY3v7RD44qixX6LEghABB4MP/uAgAQEGAw/iYKICACWvBY1P6L +FSosWPu8ICAQEGAwWNT6LiISLSITY/9mAAAAAAD6Qg0iAABY8PwKASABEGgwW33nj04Z0Kf1QSAg +AgJo8P1GDyACAnvwn06IKvmIAgQAICzw+CYKIgAAG3Dz/r1l4AEsMGwQBIs899FJEAAQIDD6MAUv +kBAwMPwKkiAWAHrwx40IuwGbPPUKlSYAXGaQ+QqQJgBYLpD7CpMgHARKsHuhBsAg0Q8AAACJOCJy +i/wyCSAOADZgmcCNOJzRlDiUOShydIo3hTD6og4gABBYMPhVDAVwEGAwWNTSlDiUOZQ6lDuUPJQ9 +lD6UPyQ2ECQ2ESQ2EiQ2EyQ2FCQ2FSQ2FiQ2FyQ2GCQ2GSQ2GiQ2GyQ2HCQ2HfQ2HiIAAFlw9jQF +IAAQYDD6IhcgARBoMFt9qCsiGrC7+yYaIAAQEDDRDwAAAAAAZC9bLSISft47fKFc9DYKIEgIKrB/ +vxz6PAAABRBYMFgEwo48wPgP7gL+NgwgABAQMNEPAMAoArIC8jYMIAAQEDDRDwAAiicqrBBbf/Ue +0EYtIhIqMAUsCpL7MgwsAEB3cP0mEiFOCGKwf7efijfHzgy7Afs2DCAgAlKwW3/piTjycosgEAA2 +YI05mdCOOJ3hlDiUOShydIo3hTD6og4gABBYMPhVDAVwEGAwWNSNJjQFJDYeJDYdJDYcJDYbJDYa +JDYZJDYYJDYXJDYWJDYVJDYUJDYTJDYSJDYRJDYQlD+UPpQ9lDyUO5Q69DYJIgAAWXD0NgggABBg +MPoiFyABEGgwW31iKyIasLv7JhogABAQMNEPbBAOHNDOjSAuIAWDJy8hNYgs8zIOIAUQUDD4FgAg +MBBYMFjeV48s9tDGEAEQKDD0CgAgAhA4MPsiCyIAsffQKiE1taoKCk/6JTUiAS770CuxGf8CAAoA +lVLQiicqrBBbf6sc0LiILCU9Avr6ky/+EEgw+iQFKABASjD4JgwgMBBYMPdUEiACEFAw/SE1IQAC +KXBY3jsYz8wfz80bz8kc0BwpYnMqsoArveYrsMEkVJH+IgArkAQ6oP+3D3gAIFZwKZ0B8AAKYQAC +SnApnQMpnICYFp8U/woEL4AEO6D8FgouCQB7sJ4VLZAHK5EpDQ1BAN0RDbsCDLsCmxgK6jCUGyk9 +ApoZ9wkWAGACUHAAyooECYgAiooCCYgASooACYgACor7HBAiAABQsPwKBCACEGgwW3lajywPAgAP +AgD0JTUgdABz8CogBfkKkiAYAHvwx40I+AEoJgz7CpUgPgRKsPwKkCAuBFqw/wIABgBQZpAtCpP/ +AgAGAErukMAg0Q8AJSYSJCYQJCYVJCYRJCYT+iwAAgAAWPBYBWvAINEPiifTDyqsEFt/WSQlNfzQ +ZxAFEFAw/SIAIDAQWDBY3fGDJ/rQYxAgAhjwWxSWHNBgHc85HtBfjyD7rAACAABQ8FsUTiMiBw8C +ACM8EAM6AlsKUv8CAAAAZ4agiCwFiAL4JgwgABAQMNEPAAAAAACJKPNieSAQADZgiimZoIsomrGU +KJQpKGJiiieFIPqiDiAAEFgw+FUMBXAQYDBY0+QkJh4kJh0kJhwkJhskJhokJhkkJhgkJhckJhYk +JhUkJhQkJhMkJhIkJhEkJhCUL5QulC2ULJQrlCr0JgkiAABZcPQmCC+QEGgw/SQFIAAQYDD6Mhcg +ARBoMFt8uC4yGrDu/jYaIAAQEDDRDwCNIP4gBSAFEFAw/NAoEDAQWDBY3bHAINEPAADaMFsKPBPP +lAuoEfSgPmIAIETwDOowKzKFi7Cwo/y7CAIAAFDwWN/ZKmKdADEEAFsaC6oCKmadWOAQjCwFzAL8 +JgwgABAQMNEPAAAAAPoKByABEFgwW3+/jSwuMn/+NoMsCQAvcP0mDCAAEBAw0Q8AAGwQBIkoG8// ++CIJIAAQKDDzsosgDgA2YJmAiiiYoZUolSmEIIonKLJ0+qIOJXAQYDD4RAwAABBYMFjTl5UolSmV +KpUrlSyVLZUulS8lJhAlJhElJhIlJhMlJhQlJhUlJhYlJhclJhglJhklJholJhslJhz1Jh0iAABZ +MPUmHi+QEGgw/SQFIAAQYDD6MhcgARBoMFt8bC4yGrDuLjYa0Q9sEAQZz8coIgr6IgggABBYMPmI +AgAAEGAw+CYKIAIQaDBY3a3RDwAAbBAE9CDNYJUQQDArMAUqMgcsCpD6og4gMARC8P0KkyAgBGLw +/wIABgBibtDAINEPG89CLyIYHc+hKaKO+PIKIAAQIDAkJhb9JhckPAFMMPnsUQpZAUgw96oQDCAE +OyD0VRAINwFMMPmZEAhYAUAw/FUCCWAEOiD6VQIICQBKMPMmECQJAEVw9SYSL4oQcDD+9HQiAABQ +sFuGsywiEvoiAiIAAFqw9LUKIIAQaDD0tgQsCQBrMPwmEi9zALagiLLaIPwKBCADEGgwC4AAwCDR +DwAAAAD6CgIgMBBYMPzPoBIAAGjwWN0nwCDRDwAqIhhb/7rAINEPAABsEAoazrIoooopooAqreYq +oMHyFgcpkAQ+YPUWASgAIEow/6cPcAEQSDAtjQEt3ICdEmAABy6NAy7sgJ4SGs67Es6WixeSE4I0 +khiLtyIgBxjOuYu++xYGIiABEDCSECu9AisWBPm0Ei/gBDyg/CIRDgAgR/D/FgUiACBQsI4XjuL1 +4cBhwBAwMIU2LyI6+RIFJAMANXAmXE8GRhT5kpcqALo30CgiOYoT+YcBDgCzzhDwCgcCAABB8G1p +AgBIYYsYLbAHLLEH/AxKDAIBbDD63RANwAQ7IP3PZBwJAGsw/xICLAkAazCccIuw+M8aH+EQUDD6 +dBggYAJJcPl2AyuABD7g+HYCKgkAXbCbcSjwBy/xKQgIQQCIEfjOcB4JAEfwwOD+dgUuCQBH8J90 +DeowizWddSwyB/V1DyCAAlHw/FwACgAgZvBY0tmINo83jhYFiAz4NgYuACAv8J83JeaBLTAoyN1l +gAsbzogp4oALmQIp5oD4EgQgQAJJ8AIIhgBJYwAIhgBJYSYmOSwyBmXO6/oSCCAAEFgwW4Y0jxgu +8hIoCoAI7gIu9hItMCj7rAAAQwA3YPjPLRAAEEgwKaQSKaUImKKKGIqiy6eLEQsLR2iyGooXiqf7 +CgQgABBgMPqsICAEEGgwW3wd0qDRD8Ag0Q8AABjOlYw5jTidpJylmKJj/8CKGPwKBCADEGgwC4AA +Y/+2ixD6EgcgABBgMPu8GCIAAGmwW4XFjRcczxH+EgAgBRBQMP3SACAwEFgwWNyTwCDRD48RjRcc +zwr0/xoABRBQMP3SACAwEFgwWNyLwCDRDwBsEDYjIhiDN4M+KiIQKDAfLjAcLDAgLzAdLTAh+MwR +D4AEO6D/MB4uCQB7sP0wIiwJAGsw+MwRD4AEO6D/MCMuCQB7sP0wACwJAGsw+MwRD4AEO6D47gIM +CQB7MP7IDAygAWww+oAoYD8QeDArohL76AwAFARy8GaAAi6mEiuiE9MP+8kMABQEYvBmkAIsphP6 +CiMsASoDYPUKACYBLNdQ+wokIIAQMDD8CiYmAFzfUPcKASYA0OdQw+J+0RJ/0XvAovzO1BAwEFgw +WNxX0Q8ALzAYJDAZ+DAaL4AEP+AE/wL0MBsvgAQ/4Aj/Agj/EQT/ArH/LyYWKTAkJCIQ9J/MYJIQ +GDD/AgAAARKGYGuUvGqSucCl/M7AEDAQWDBY3EIoQG5pgaYpQAVzmaAnJGX6TAAAARBYMFgCYdEP +KjAYKzAZ/DAaK4AEOqALqgL7MBsrgAQ6oAyqAgiqEQuqArGqKiYW0Q8sMBgtMBnTD/4wGi2ABDsg +9CIQLAkAazD9MBstgAQ7IA7MAvtAbi2ABDsgDcwCscz8JhYvNAC24PvOnxIAAFEwW4WYKTAEKzAF +/jAGKYAEPmALmQL9MAcpgAQ+YPzOABgJAHZw9aYHKYAEPmD+PDAoCQBucP6mBSgAQGZwmaYoMAHy +pgQoAEAyMCikKC8wFCgwFfkwFi+ABD/gCP8C+DAXL4AEP+AJ/wII/xEI/wKfqC4wEC8wEfgwEi+A +BDugD+4C/zATL4AEO6AI7gII7hEP7gKeqY1C+6wADo4At2CIosDE/QoDIgAAUTALgADRDwAqMBgr +MBksMBr0IhArgAQ6oAuqAvswGyuABDqg90IHKgkAYrAIqhELqgL3cg4gAgJKsPkmFiAUCFJwK6wC +KyYWLDACZc4x2iBb4wwtQG7/AgAB/xMfYCt9AvwaUCIAAFBwWNHkHs1rKeKKKuKALu3mLuDBwPL/ +FBArkAQ6oPqZCAAAdv+Q8ADraAAgNnAAAAAAAAD6LAACAABY8FsXbtEPLzAYKDAZ+TAaL4AEP+AI +/wL4MBsvgAQ/4An/Agj/EQj/Av/8ASIAAFCw/yYWIgAAWPBb5OTRDwAAwKX8zjwQMBBYMFjbuyhA +btMP0w9ogWcpIhJ4lyCKJ/sKBCAAEGAw+qwgIAQQaDBbeyYrIhIs+n8MuwErJhIuIhj7ziYfjBBo +MP3kdCIAAFCwW4UiJaQSKCISjyLboPWlCCgJADIw+CYSLTUAt+CIosDE/QoDIgAAULALgADRDylA +BdMPc5mP+kwAAAEQWDBYAb9j/4EpnQMpnIAdzXsbzSolFBGPQBrNJ/oWViAEEEAw+xZUL4AEP+D9 +FlouCQBH8C8WVS6QByyRKQ4OQQDuEQ7MAg3MAiwWWAvqMCsWWSUWWyodAfqscCIAAEhwBgmIAMqK +BAmIAIqKAgmIAEqKAAmIAAqK2kD7HQEgBBBgMPu8UCACEGgwW3a/0Q8AAAAAAABsEASJNyiZFIWZ +ZIGSjlP9UgIgBRBQMPzN7xAwEFgwWNtuLSAHG80UDQRBDEoR/80UGgAgWrApojoPTwr/8pckALRC +YC6iOfnNlhwCAWww+M3ZHKAEP2D/7AEOAKb/kC4hBw4OSgzuEfvNQB4JAGuw/1EILgkAQ7D+xgAt +AAQ9IPgiACAAECAwlMX0xgcsCQBv8PnGAiwJAF9wncT7xgYgBBBoMPiIEQAwEFgw+8YDKAkAajD4 +xgEgQAJLMAIFhgBJYwAFhgBJYR/NxJ/JHM3D/aY5IAUQUDBY2z+IOMqEHM3A/TIAIAUQUDD+MAUg +MBBYMFjbOYk5lDuLOJuQijiZoZQ4lDmMPP36myAsAH8wLTQFji4vLDh/4V3AINEPAAAAHsz3iDf7 +MgAvwBBIMPXijC+WEFAw+jQFIEACejD0NgwuAEBP8PSFFCCAAnvwn4mfiC7ic/pSGCAAEGAw/rsM +AAEQaDBbehorUhmwuytWGWP/ngAAAAAA/M2bEAUQUDD7CjAgAxBoMFjbE/oiECADEFgwWAE2wCDR +DwAAAAAAAPP+aWAAECgw/M2QEAUQUDD9IgIgMBBYMFjbB4wiZc9Z+0wYIgAAULD8CgEgBBBoMFuE +LcAg0Q8AbBAGkxL09RoABRBQMPzNgRAwEFgw/jIAIgAAaPBY2vgWzKATzSkXzXyNEhjNfJgQJNIT +/dEqIeACQjCYEWP//8Cl+wowIAQQKDD8zXUUAwAvcP9MAAIAAHFwWNrpLiAHDg5B+cyRG8AEP6D2 +uwgLoAQ5YPiyOiBeAmqwDU0UCekK+ZKXKgCs7hAosjmLEPmPAQ4Apk4Ql/AEWBQKXhSe8pjzjCAI +zBEM3AKc8fALFwAgAkvwAAmK+xIBIB4CSrAJSRST9pr38AsHAEACQ/BtmQIASGEsIAcMDEGKEiuh +KgpYEfhECA3ABDsg9bsMDAAgMzD9xjkt4AFcMP2lKi9CALdgiRKJmPUKACAUADZgihKLqZWrmbCM +qJvBlaiVqY8SFsyCjfz0YowvlhBwMP70BSIAQP9QihKIpyn6wPWmDCBAAnow+6IALgBAT/D1hRQg +gAJ78C+GCC+GCS5ic/pCGCAAEGAw/rsMAAEQaDBbeaItQhmLLv3c/yBwAmCw/UYZIB4EYvDAINEP +AAAAAAAAAPzNJRAFEFAw+wowIAQQaDBY2pf6IhAgBBBYMFgAusAg0Q8r8hIuQi0LikT6qg8AABBg +MP6qCgABEGgw+qIAK/ABXDBbeYmMEiXGEmP/Vo8iyPqCEvQmEyAAEBAw0Q/aIPwKASAwAluwW4Ot +ghL0JhMgABAQMNEPAGwQDBjMDSmCiiuCgCiN5iiAwfm7EQIAAFCw+5kICgUAGPD8nQEgGAB+MPAA +CmEAAmMwLJ0DLMyA/cvzEBIIGrCLO2AAAce/8A0HAgAASHAASWEASWEASWEASWHyBBYAQAJIcABJ +YwAEhgBJYR/L9hnL9IigmRL/FgAgBBBwMP/MQhmABDog/xYGLAkAdjCdESnABy3BKQkJQQCZEQnd +Ag/dAv0WBCIAAGOwCeowmRUlFDErFRz9CgIgABB4MP8WBygJAGow+BYJIgAAWHBbdZHRDwAAbBAE +E8vYKCEMJDJ6IzKKqEQJRBGkM4Q3KCAThE7JjvVMCCAAEFgw+lwAAOAQYDBY0FDaUPwgEyBAAliw +WNBBKCASDwIAZIAjJE0B9EzoIAAQWDD6TAAA4BBgMFjQRSstAfwgEiIAAFEwWNA2KPqF+DQFIgAA +EPDRDwAAAGwQBBTMOykhDChCdCNChKmICYgRqDOKN/RCiiAAEFgw+qIOIzAQYDBY0DIqQhYrIQz8 +CgAgARBoMFt5GRzLrPwlDC//EFgw+zYLL4UQUDAqNAXRD2wQBBXMJiRSiipCFiihAiMhDMiJ2zBb +eTHIp8Ag0Q/AINEPAPpCFiIAAFjw/AoBIAEQaDBbeQUYy5cognokUoSoNQlVEaVEhUcsIBOFXmTA +CvssICAQAlFwWNAGLCASyMwqXQH7LQEh0AJSsFjQASkhDYpAmiUpRRgpIQ70kBxvhhBYMJNLKUUZ +IyUM+0QFIgAAETDRDwAAAAAAAPNGCyAeEGgwLUUZ8yUML4YQYDD8RAUiAAARMNEPAAAAbBAE8kkI +AgAAMLDTD21KEiUwAPVkACACAhjw9FAGYAICMbDRD8CAKJQA0Q9sEAbAUfsiCiAAECAw+cxhH44Q +cDD9y6MRgBAwMPSwQ2CVEGAwirj/AgACAAA6sP8CAAAAQITg/Kw4IngAOOD/AgACAS4E4P8CAAQB +LgDg/wIABACCBOD/AgAGAMkA4NEPAAAA/wIAAAEIBOD3TAACAPoA4GP/x4iufIEnin6JoPuiASAO +ADZgmbCNoJvRlKCUoYh+wPH8iAwAABBwMAj+OGTv15x+/HYPIgAAUfBb3pzRDwAvohIrohj6ogIu +AEBP8C92Ei60dPwkBSATADag/wIAAABkepDHjQioAZhyKXIS/wIAAgCyOlCIKhzMK4qIjSAuIAWP +oCqgBZoQiYCZEfiABSAwEFgw+BYCIAUQUDBY2ZaNJ43e+90CIAMQcDD83QUsACA3cC7UkiXUkyTE +ZSQmECQmFSQmEfQmFCIAAFCw9SYSIAAQaDD1JhMgABBgMFjZctEPgyf6y/cQIAIY8FsQKxzL9R3K +zh7MDI8g+6wAAgAAUPBbD+ODJyM8ENowWwXo/wIAAADOBqCILAWIApgs0Q8AAAAAAAAA/wIAD/+f +11BkoVz8+gAgABBYMPrAEn/wEGgwCooU9KAeYBACWvB6yPF60A0KShTwAAdgCAJa8ACxuwoaFGWv +9/p8AA//EGAwW3+5Y/70AAAAHMvsiLguIAWNII+AKIAFmBCKsJoR+bAFIAUQUDD5FgIgMBBYMFjZ +VokniZ76LAAAABBgMPudAigAIDZw9ZSSIAAQaDBY2TobyzwqchIs+pL8JAUqCQBasCp2EtEPinfT +DyqsEFt6qRzK+ytyEgy7ASt2EmP+hgCNTsPI/wIABgBQZ1Dz/ghiAAA5MChCEi9CGPpCAigAQEow +KEYSLvR0/CQFIGwANqB+p0zz/j1iAAA5MNpwW94f0Q8Ainf7CgEgABBgMPqsICABEGgwW3igKXBl +aJFRaJJW/wIAA/7LHmD6fAAAABBYMPwKACACEGgwWH690Q8AAHrQDPP+uWIAADkwAAAAAADz/edi +AAA5MPP932IAADkw8/7UYAAQWDDz/ZRiAAA5MNpwW+A/0Q8AKnIYW/uo0Q/aMFsFnxPK9guoEfSg +OWIAIETwDOowKzKFi7Cwo/y7CAIAAFDwWNs8HMtrKsJ/ADEEAFsaC6oCKsZ/WNtyjCwFzAKcLNEP +AAD6CgcgARBYMFt7I40sLjJ//jaDLAkAL3CdLNEPbBAEiizA0vwKBCCVEEgw/K8CAgBw9pCLJ46+ +nywu7QIt5BAoIAX/AgAGAIPOEIkqg5grMhIo+o34lHQiAGa60BjLcyQiB/rLWhgAQELw+DYSICAC +ITBbD4wcy1Udyi/+y1USAABasP8iACIAAFEwWw9DhCckTBDaQFsFSPUKASAAaAagiyyKKigyEvQK +gCoJAC7w+yYML4wQSDD5pHQgSgBiMIo3+woEIAAQYDD6rCAgBBBoMFt4PysyEiz6fwy7ASs2EvvL +QBIAAFDwW4I9wPAvpBIuMhKNMtug/6UILgkAI7D+NhIgOQA3YNEPAAAAAAAAAP0iACAFEFAw/MtI +EDAQWDBY2LfRD4o3KqwQW3oYHMppKzISDLsBKzYSY/8fiKLAxP0KAyIAAFDwC4AA0Q8qvBBbeg6L +J4u+/Mr7EgAAULD7vQIgABBoMFjYktEP2kBbBTIUyokLqBH0oDVkACBBMAzqMCtChYuwsKT8uwgC +AABRMFjazxzK/irCfwBBBABbGguqAirGf1jbBWP+8wAAAAD6CgcgARBYMFt6tyxCfyxGg2P+22wQ +BBzLHR3LHfs9AiIAAFCw/ssbEHACWvBb+QH0rAAADAC2oPAAPmAMECgwKSBuwGL4CgEiAABRsPvJ +9xoFAEow/AoAIABVhqD1+uoiAHGCoMpa+kwAAAAQWDD8CgAgAhBoMFh8hPzLBxIAAFCw+z0CIGUQ +aDBY2F3SUNEPAAAcywL9QgAgBRBQMP5CByAwEFgwWNhqKiBuG8r9lCr5QgogwwA2oAubAptKjyz9 +PQIuCQA38P8mDC+IEHAwLkR0LNBpL9Bq/tBrLYAEOyAPzAL90GwtgAQ7IA7MAgjMEQ3MAvxFOCIA +AFEwW/eN0qDRDyiyfyuCEyiMTHixP4mxjrCekI2wmdGcsPy2ASBQADbgKb3/iEAolj6PSiW8+PVG +By4JAFfwn0rz/yZgABAoMAAtsn8r0hEt3ER9uQvz/xFv9BAoMAAAAACOsYiwmOCPsJ7xnLD8tgEv +uAC24PP+8WAAECgwmUpj/0AAAABsEAwYycMeyhYcycMpgoorgoAojeb4gMEiAABQsP3JvxuQBD7g +9DQRKAAgXnD/hw9wBBBYMCmdAfAACmEAAkpwKZ0DKZyAiKCdEPwWAimABDog/hYGKAkAWjCYES+Q +By2RKQ8PQQD/EQ/dAg7dAp0UDOow/BYFIAAQWDD7FgcgQAJIcAYDiADJigQDiACJigIDiABJigAD +iAAJitsQ/AoEIAIQaDBbc1HRDwAAbBAEE8p2JTKLKFIXKIECKgqG9jKFIdgCIPD0gVZg2AI5cCki +BSgydamICYgRCGYIKWAFDwIAepEFKvqGKmQFKlIXW3MDJEJ5IzKFqkQJRBGkM4Q3H8lChE7/Ng4g +ARBwMC42E/42EiAAEGgwLTYULTYRjCj87FECAABasPw0biIAAFEwWxmOHMp8LmEZ/WEYL/8QUDCa +P4kl+TYNIDAQWDD2NgsgABBAMPg1NSAFEFAwWNfc9goAIgAAWLD6TQIhUBBgMFjN3ypCiNMP0w/0 +phJwAhBgMCswb9MPDwIADLsCKzRv86YNcAQQcDAtMG8O3QItNG/yphNwCBBAMC8wbw8CAA8CAAj/ +Ai80b/wKAyz4AVQw9aYNcAEQWDApMG8LmQIpNG/5MgAuAAfvEC4wb8HwD+4CLjRvLE0BLcGSLTU0 +LMGTLDYWK0KLKzYYKkKMKjYXKUaGKHA4KDRwIlIaljj2NgkgAgIQsPJWGiIAABDw0Q8AwCDRD2wQ +BiggIRTI7/uIEQAHEEgwCYgCKEbBwOEuRsL7yO0QMhBoMPrI6hAAEGAw/EbDIAAQeDBbeX32oERi +AAASsPvI5BAAEGAw/QoyIAEQcDD6yN8QAxBIMPlGwyAAEHgwW3lz9qAsYgAAErAqQsIqFQBmIAkr +EQALK0CbMNEP0Q/ApPzI1BAUEFgwWNeIZy/jY//qwKT8yNEQFBBYMFjXg2P/zQAAbBAGJCAh88jG +EAAQKDAPAgD7RBEABxBAMAhEAiQ2wSU2whvIwvxcAAAyEGgw+si+EAEQcDD1NsMgABB4MFt5Uveg +VGIAABKwwKT8yLkQFBBYMFjXbWYgeiQ2wSU2whvIs/wKACAyEGgw+sivEAEQcDD1NsMgABB4MFt5 +Q/egYWIAABKwwKH8yK8QFBBYMFjXXtEPAAAAAAD7yKUQABBgMP0KMiABEHAw+sigEAMQQDD4NsMg +ABB4MFt5M/egFmIAABKwwKT8yJsQFBBYMFjXTmcvhNEPKTLCKRUAY/92AAAAKhkAKyoAC6oCKjbC +G8iP/AoAIDIQaDD6yIwQARBwMP42wyAAEHgwW3kf96ARYgAAErDAofzIjRAUEFgwWNc60Q8AAGwQ +BvUyAyIAACFwiDCGMv9VIW/qEGAw+cnJEABYqhB2mxnGyvpMAAIAAFjwWNRewCDRDwBj/+wAAAAA +AP8CAAIAiIVgiScAUAT6IHkgDRBgMAwMG/mSDiABEFgw/8cMcgAAOrAtIA3TD2XftfBRBAACAnGw +8LsaD/8QaDD0YFRsEQBp8AVfCfvJrhoJAFLw+f8KCuABUDAqJHkLWworsn8v/QUu9fH+9fIgFAA2 +4PwWACIAAFCwC7AAjBAqIHlkcGJ8qEPz/1xgABBgMPP/VGAAEGAw+xYBKABKYpANvAMMqgEKCkf6 +JHkv2wA14GWv08Cl/MmUEAAQWDBY1vqKJyqsEFt4W2P/u91Q/mwAAAUQUDD8yY0QABBYMFjW8mP/ +owAAAAD8FgAvmQA2oMCl/MmHEAAQWDBY1usbyIj8yYUSAABQsFjSX4wQKiB5Y/9xAABbeBJlruzA +ovzJfhAAEFgwWNbgY/66AAAAAAD9XAAABRBQMPzJeRAAEFgwWNbZKiB5ixHz/1Rv/xBoMGwQBh7J +cx3Jc4MnFclzKyB58zIOIAAQIDAAQAQLDxvzPQUgABAwMPAAO2AFEDgwACox8bCqCgpP+jXxIHQA +NqAsIHosNfD1XAQgGAIxsPM8DCACAiEw8EAEAf4COfD7DxsBJgA14H/31ikx8CggenmJvSogIgaq +Ea2qrqoqooAKCkH7FgIjVAI+oC0x8PzJUxAFEFAw/iB6IAAQWDBY1q6LEh3JTB7JSmP/mgAAAAAA ++xYCIpoAPSAsIA36IAwgeQC3IPsWAiuABDqgGMlF+ooUCOABVDAImAoogn/6FgAp4AQ+YJkRC4AA +ixIdyTr+yTgYIAFUMPiRW2IAAHqwaJNWKFJ/2iALgAApMfGLEh3JMf7JLx8rALZgKiB58EEEAAEQ +YDDwzBoP/xB4MA/MAwyqASokeWP/BxzJK4ogLMJ/mxIMqgz4qhEAARBgMPP/emoJAGKwfKcTiCeI +jqaI+I0GIAEQeDAvhXFj/tkZyR+IEamIKIJ/x7z6EgAqAEBf8AuAAGP/egAAG8gS/MkOEgAAULBY +0enAINEPAABsEARj//wAAGwQBMCi/MkQEAAQWDBY1mnAplt4YsAg0Q8AAABsEASJJ4meKZ0GKJJG +ZIAEwCDRDwAVyQbAsSuWRipSIPbH7hAAEBgw9woAL+QANqAkUt8oYoQsUtWLIKg4CYgR/LsMBAAg +QTAqQhlbdXXIqClAU8mRsZoqRFMrUiCxM3szzWP/qgAAAPoKAiAAEFgw/MjxEgAAaPBY1kcnREsr +QEb8QC0iAABQ8Fg+RilAU2P/wgAAbBAEiSeJnimdBiiSRmiBBcAg0Q8AABTI4cAwI5ZGKkIgFsfI +9/r/L+gANqAlQt8oYoQsQtWLIKg4CYgR/LsMBAAgRXAqUhlbdVHJrylQU7CZCQlH+VRTIBUAtmAn +VEsrUEb8UC0iAABQ8Fg+KSpCILEzejO2Y/+XbBAEwKT8yMkQABBYMFjWHxrHYyigwXuHQx7Hd8Cw +/PrnIeAQaDD+4oQgFBBIMG2aDy+hcAz/Af3xCXAEAlKwsbsr+vsAsAT+CBkAAhBQMPgIQAABEFgw +CLo5WJFkwCDRDwAAAGwQBMCk/MixEAAQWDBY1gbAINEPbBAEHsdIHcitLuKEH8it/dJ/LjgBcDAP +7gou4tT/CmQsAAtrkIIngi4iLQf/JQMgABAQMNEPAMCh/MiiEAAQWDBY1fTApVt37YIngi7yLQcg +ZBB4MP8lAyAAEBAw0Q8AbBAEGsiZ+qJ/IgAAWLD8PAAAARBoMFt05dKg0Q8AAABsEAQayJEqon/b +IFt1BNKg0Q8AAGwQBBTIjMAx9EJ/IAAQEDAoQQMkQQIIRAwEMjjRDwAAbBAIlBGSEPvIhBIAAHjw +/lwAAgAAabAsGkAsthArshFksiAXxxz3twEAARAoMPcWAyIBC0LQwJD6CgAgABBgMPAAlGAAEBgw +9wogIowANOD8dwwAABAgMPcWAiLMADXghhInCgDwcQQAARAQMPBTGgH+AjGwbWkP8CEEAAICELDw +UxoECQAZMANDAoLwAMEE9xIEJgBAQPAAZhoGIgLz4gAmAEA48JLwAGYaghL2EgAiCQA08JPgACAE +BwcZghEIAxkjZgD3JgAgABAYMCys4P8CAAgAuAZgAJEEAFYa9rYBACAQODD+bHZgAgJKcIgT/wIA +CgBONdAm0CVkgcUnCv//AgAOAKc5kCbQJLKq+AoRJgD0gaD3CoUmAPRFkPgKAS4AmLmQmBTAg2Sv +ovISBC3/i5Kgg/AAwQSM4ACGGvAiGgIJADTw8/YALAkAEzD85gAgARAYMPP/dWIAAGKwAG5kUPcS +AyQATJWgItAcKNEW9HGUZgQBEDAJZxEHiAL4FgQgEAJSsPP/n2D/EEAwAPgaAC6IAT2g/wIACgBe +NhCH2PcIQgZDATwwlxTz/3pgBgJSsG5icP8CAAP/ipWgh9j3aEIGSQE8MJcU8/9bYAYCUrAAAAAA +APgKgC4ATkGg/wIAC/92NhCH2PfoSAcXATwwlxTz/zJgEgJSsAAAAAD/AgAAEBBAMP8CAAoAQkWg +/wIAC/9eNhAo0Ccn0CaXFPP/BmAQAlKw/wIAAf9SHaCH2PfIQAYNATwwlxTz/upgAgJSsMAg0Q9/ +vwf/AgAB/vPa0MYq0Q8AKCoA/wIAD/84wZAn0Bz3aEAGBwE8MJcU8/62YAICUrD/AgAN/yodoCjR +DSfRDJcU8/6eYCACUrD/AgAJ/x4ZoCbQHCfRFAZYQACIEfjRFSYJAEXw+qwRJgMBMDD3FgQnAAQ5 +oPP+amgJADIwJ9Ak9xYEIgAAQbDz/ldgEAJSsACGEIRgAMEEAIca9xIBJAkAOTCCFJRghnAAIhoC +ZgKWcGP90cBzlxRj/iXAgpgUY/4eAGcRB4gCmBQo0RcCJ0D6rBEnAAQ94PP+B2gJADowAPP9X2AA +EBgwbBAE+Uz9ILAQKDDyCoAiAAAwsPNSOAARADWgKCxACYI40Q8AAAAAAPItASH6Alkw8izAIgAA +ULALojjRDwAAAGwQBBXHqtMPJFIaJVLk+BoAJfAEOSD1RQsOLgC9IPSIDAAAEFAwbYkHKVEAcpED +uFXRDypVAZpRKlUA0Q8AAABsEAQfx5op8hou8uTA0PsaACnwBD5g/poLDswAvmAJuwxtuRwroQDc +oPKxQ3IAAEJw9LAzaOABTDD6zAggAgJKMP7WCwA7ADdgImUAIvLkAtILIyUBLPLkLVQADNwL9MYB +IAEQEDDRDw2dOGP/ygAAI6UBlKH5VAAgABAQMNEPAMcv0Q9sEAQXx3nTDylyGihy5PoaACnwBD5g ++JgLDjAAvmAJqgxtqQ0rgQBysQv5nAEgEAJCMMcv0Q8jhQGUgflUACAAEBAw0Q8AAABsEAQaxkiM +NCuig/qiii1gAWAwrLsJuxGrqiigBPiLBmAAEBAw0Q/A0C2kWCswFC6iFRjHXP/F+RAQADbgLOEA +CMwBD8wCLOUAjKIrohQpohP9phMv/hBwMP2mFCwAQHMwnKL8CgAiAABScFjRz8Ag0Q8AAGwQBCgh +BxnHSwgISgyIEQmIApgwhyD2xx0XgAQ94PnGxhYJAD0wlzHwBhcAEAIQ8AACipU1+TYEIDACEPDR +DwBsEASLM/oiByAAEGAw/CQnIgAAaTD7BksD8AFcMPtMAABAAlKwW3P2+iAmIAEQODD4xigQ4QA0 +4AylEfvGJxQAIEVwLFI6C6sK+7KXIgBpxyApUjkfxygcxv37lAEOAGFeUC4hBw4OSgzuEQ/uAp5A +jSD43REAAxBwMA7dAp1B8AwXABACSTAACYr4xpsQGBB4MC9GBfhGBCIAAFCwWxik/SEYL38QcDD8 +IhEowAE0MPE4EAjABD5g+yISKAkASjD4RgcuBwE0MPtGCy9QBD/g/S0UAAAQWDD7RgkuAEBxsPvH +Bh4JAHuw8O4RDQAEP2D+RggsCQBrMPxGCioJAF6wm0aKIgeqApoiwJP5VjkgABAQMNEPJyRYY/8c +AAAAAAD7rBggABBgMP0KAyIAAFCwW31rLCAnyMLAINEPiifAsPqsICABEGAwW3sDHsbsnqCMIAA9 +EfvG6hwJAG2w+6YCLYAEOyD9pgMsCQA7MJyh9yQnIAAQEDDRDwAAAGwQBMCl/MbgEDAQWDD9LAAC +AABw8FjUJ2QwiGkxRiMiBw8CACMyDgvqMBrG2Ciif/8CAAoAiEbQHcVjK6Z/KqJ+/dIxIAAQYDBY +1KT8CgAgZBBoMFjVYy4gOCS9AfRMyCYWADug0Q8AAAAAAPzGyRAFEFAw/SIAIDAQWDBY1A0uOoD9 +CgIgDxA4MPQKACACAikwKjK+aKEgsUTzPEAj6AI5INEP/SIAIAUQUDD8xroQMBBYMFjT/9EPjyfT +D4/+/Ta+KgAgd/AqsIB3qlb2CgAgBBBAMG2KCgBgBAoJG3+XA7Fmx28GaQnwYQQAARBgMADMGg+Z +CvidAiwJAGKwLLSAKZ0DHMalJZWDJZWCJIaC/IaDIBgANqAmNsBj/3kAAAAAAADz//Bv/xAwMBvF +gPzFgBIAAFCwWM9XwNLz/9hjgBBwMC2ifrHdLaZ+Y/7oAGwQBCsgBxzFfwsLQQy6EayqKKI6/wIA +AgCaQiAdxXwsojkNvQot0pf9wwEOAJJvEBvFUPALBwIAAEjwAElhAElhKCEHGcX5CAhK/caAGcAE +OiD/xfYYCQBKMJgw/CIAIAgQcDCeM/82AiACEEAw+MkRDAkAazD8NgQoCQBGcPk2ASFgAnrwLvJ/ +KyEJ+KY5IAAQYDD68oAh/gJzsP72fyABEGgwW3KtiicuIgL7+sAgQAJKsPuZAQAAECAw9KUUIIAC +SnAppgn5pgggXgA3oPzGYBAFEFAw/SIAIDIQWDBY06GKImSgXMCw/frwLwAQYDBtCA16wA0KihT0 +oB5gEAJa8GP/63rQDQpKFPAAB2AIAlrwALG7ChoUZa/3+iwAD/8QYDBbeeeKJ8fPLKYAJCYHW2+G +JCQE9CQFIgAAEPDRDwAAAPP/1GAAEFgwwCDRD9Iw0Q9sEAgoIAXDrg8CAP8CAAYAylYQiycqJAUP +AgD0+sAgQAJK8PSZAQAAEBgw87UUIIACSnCZufm2CCAgAlLwW3TX+wqEIgAAULBYNGWDKWQxH/7G +LBBWEDgw/hYFIE4QMDCKNy+pFIU7KzAF9KIJICoAN+B3sSr/AgAGAGO20PY0BSAgAlKwW3TFGcYf +KJF+focWzUZgAKkAAPP/1mAAECAw2jBbb+NgAJdkQJSMFYgwjkKNQ4lAKkAHLzEInxf6FgQo+AFM +MJkW+RYBIDIQWDD4FgAgBRBQMFjTThvGCiuxfooX/Dr/IKgAdvD9EgYgvgRisGjWKGRAR/o8AAIA +AFkw/BIEIIQQeDD/RQggARBwMP40FSAAEGgwWHYRYAAhjRSKNywKAPvcAABAAlKwW3Ks8//BYgAA +IrAAACs6/3uhDPNcAA8cALVggylgACKNN/76wCBAAmNw/goALABAczD+1RQggAJjMJzZnNhj/9EA +APsiCiAvADTgyrwpsgsPAgAPAgDInm0ICfmSCyIAAFpwyJFj/++Tu/s2DCAAEHgwnynRD9EPAAAA +8yYKIAAQQDCYKdEPbBAcKDAiFcXTCIgJDIgRqFMlMn/6LAAAQgA1YBLFIi2gDC4ieioihK7d+d0R +AgAAWXD9qggAARBgMFiohcJt/BqAICYQODD0QBFg/RBoMGhDCf8CAAQAWYUg0Q+sOy6weX/n9Q3s +ASy0eYqn8hqAICACUrBbdFyiPi3geSIyg/j6/yD7EHgw+OR6LABAf3D95HkgKQC0oGP/vwAA+jwA +AAEQWDBYXUEjMgnIOSkwBXaZ6YM5ZT/0gilkL50qIhV1qfQrIAV3se6NJ/TSDi/AEHAw9yQFIEAC +Y3D+CgAsAEBzMP7VFCCAAmMwnNn81gggIAJTcFt0PC9BHYMqsf//RR0vqgC04GP/rS89Ai/8gCvw +ef4K/ioAQG7w+/R5KgBAdvAr9HmKpyqsEFt0LyIyg2QvJh3FUf0WLSAyAmBw/BYuIDoCWHD7Fiwg +cAJQcCoWL2AAH/o8AAABEFgwWF0TIzIJyDkuMAV26emDOWU/9IIpZC7lLyIVdfn0KCAFwpV5gUGM +J/TCDi/AEGgw9yQFIEACWzD9CgAqAEBu8P3FFCCAAlrwm8n7xgggIAJTMFt0Di5BHYMqse7+RR0v +qAC04GP/qwAvIATBjPMiCiYAo8fQ+RItLgAQWDD7FiogABBQMPoWKyIAAEBw8AkXABUQSDBtmgIA +CIoYxVgoFgD9MgAgBBBwMP4UGCAAEHgw/xQTIAsQcDD/Ov8tgAQ/YP8VCCwJAHdwnREsMAT/AgAE +AFUHICoSLvwKAyCiAljwWMiPKhIs/AoDIJwCWPBYyIwUxJUoMhYkQoQJiBGoRIpHw78rNAUqog4p +oHAroHEImRELmQIpnAEppHEJiRQppHD6Ei8gBRBIMPkUMCAAEEAwKBQx+BQyIIACWTD4FDMgCBBg +MFjIdvtMSCD+AlBw+qwtIAMQYDBYyHEuEiv6PAACAABYcP6OFAALEGAw/hYNIAIQaDBYWDZj/qkq +Ei78CgMgkAJY8FjIZiQcfyRMKSpAAvlAASA6AkBwKYQBKoQCJEAA9IQAICsQeDAvNAXz/29iAAAg +8ACDOmUwsmP+ZQAAAAAAKhIu/AoDIKICWPBYyFMqEiz8CgMgnAJY8FjIUBTEWSgyFiRChAmIEahE +iUcqCj8qNAUpkg4okHAqkHEIiBEKiAIojAEolHEIiBQolHD6Ei8gBRBIMPkUMCAAEEAwKBQx+BQy +IIACWTD4FDMgCBBgMFjIOvtMSCD+AlBw+qwtIAMQYDBYyDUuEiv6PAACAABYcNMP/o4UAAsQYDD+ +Fg0gAhBoMFhX+YM4ZDCc/xItIAAQQDD4FisuABBIMPkWKiIAAEBw8A8XABUQSDDTD22aAgAIih7E +2Z4Q+zIAIAQQYDD8FBggABBoMP0UEyALEGAw/Tr/K4AEPuD9FQgqCQBm8JsRKjAE/wIABf97nqAq +Ei78CgMgkAJY8FjIECQcfyRMKSpAAvlAASA6AkBwKYQBKoQCJEAA9IQAICsQeDAvNAXz/wliAAAg +8CjqACgWKmP9DwAAbBAGKTAiGMS2CZkJDJkRqYMrMn9ksPoVxAYtIAwuUnoqUoSu3fndEQABEGAw +/aoIAdACEXBYp2nAwfcagCJEAL0gyUoqPQIqrIApoHn+nxdwAhBgMAybAiukeWAACQAA/wIABgCm +ASD7Mn8qACA48CSgeyagevWgeCCYADbgLaCAGsPrLiKAKqKErt0J3RH9qggAARBgMFinUfqgByIA +ABKw/Ar7KgIBLDD+uxEKAEBisPkK/CoJAFqw9Q5BCgBASrD2JBYqCQBysCokBy8yiCjwBwmIAQju +Av70BywAIDzwLdB5HMSB+woyIAQQUDD2D0cIAgFoMPgWACIAAHEwWNG6IzKDyDkVxHnwAAtlMAQ5 +INEPgzlkP/gtMRkuIAf8IBYgBBBQMPw0Fi1gAWww/jQHLAkAbTD9NRkgMhBYMP0yACIAAGFwWNGo +izrMuGP/wgAAi7lkv7suMAcvMBYoMRmKuii1KS+0Fv60ByAMALagY//eiqhkr9kssActsBYusSmJ +qS6lIi2kFvykBy/mADZgbQgWKKAWL6AHLKEiLJUiKJQWL5QHiZtkn8dj/+IApzopoHn/AgAD/1f+ +UP4K/SwJAGZwDt0BLaR5Y/6ZAABsEAT3xEcRGBBQMAoqKKenJ3KXBHcoB3cK+DroJ/AEPeAIdywY +xEAbxEAcwr8CKQvzmQkHwAQ44PyqCAnABD5g+HgCCAAgXnD4lgAmACBRsPdmwCCcECgwJWa/0Q8A +AABsEAqVGBvCr4wx9zIAIAEQIDD2PAAAABBwMP4WBiwRAWAw94NCCEIBODD4FgomIAE8MBnC8Siy +rsDQnRf5kocgMAAGMBjEIiiCgqOZCZkRqYiIh4iOKBYEiGD6hiZwABB4MP0SCiBnADXg/TwAAAIQ +UDD8xBcQJBBYMFjRT8bqnhlgADefGYkaeYYw9ML/EckANmD/AgACAPCGYPUSBCTCADpg3pD9PAAA +AhBQMPzECRAkEFgwWNFAxsqcGYoY/BIJIgAAWbBYzm3AINEPZNCX/wIAAgIiB2D/AgAEAdkDYP8C +AAQAsIdg3TD8w/sQAhBQMP4SCiAkEFgwWNEvY/+3/mICIgI7AeD/AgAAAouF4GR1HMAwwID6Egcu +CQAbsJ5iLVBFLFBGiWH9SjgM0AQ7IPyLEAoJAGKwC6oCCpkC+WYBIf+8pODAINpQ/HwAAgAAWbD9 +LAAAARBwMFgtbLEicynlY/9VAAAYwqQSw9gogoctYBIiIoL6YBMoACAaMPRgESmQBDog+2ICIgAg +QLCCJylgFPhgEC4IAVgw8iIOLhABXDCoRPhgFSwAICdw9GAWKgAgarD9YBcoACBWcKmIqESk3SkK +ZP8CAAYCN09QwKL8w8IQJBBYMFjQ98bK/BYJKh8BYDD6FgYgAn8vIB7Cgh3Dti7ihy3SgqPuCe4R +rt2N143ejGMs1haPYX32HIgaZINx/wIAAgG3BiD/AgAEAiwCIMCwmxlgAAQAwMCcGY8W/goBIAAQ +aDAP7Thk3nuIYGP+QCiyrv8CAAABEgYQKfq5mRlj/mNkcFb/AgAAAjCF4P8CAAIChQHgwDDwAGxv +6hBgMAAAAP4KCCAEEGAw+woCIQkANeD/AgAAAjaF4P8CAAICgwHgwHDzCgAgABBwMP0KACAAEFgw +8AFRb+oQYDCLFCOwVvK8XCDIAlrwyLn8CgggMAJRsFjGw8gr2yD8CgggIAJRsFjGv8DAiGL8Fgko +CQAaMPhmAiH+6K8gynb/AgAAAe8F4P8CAAICRgHg9woAIAAQcDD9CgAgABBYMPAAFm/qEGAwjhQr +4hYt4FT+4FUgABA4MMDAH8IzimAZw2cv8of5koIiSAFQMKL/Cf8Rr5mJl/jSEQ8ABD+g8xIHLgkA +F/D5kg4uCQB+MJ9im2OaYCqQLymQMIJh+kM4CNAEPmD5MwIIwAQ94AkzAvwWCSIJABiwkmFj/S6P +FCfw8wcTEvxyAQQAQHXw910SCABAXfD73QEJsAQ+YP9VEQPQBDig/jMBDgABODD57hECCQAosPc1 +Eg4JAEuw/FUBBgcBPDD33QIKCQAs8PPw8CoJAG7w8rsCAAAQODD98PIqCQB28P7w8SrgAVwwwMAZ +wf4SwzIpkofyIoIqSAFAMKqZCZkRqSKCJ/jfEQsABDjg/xIHKgkAerDyIg4qCQBS8P5mAiAMEEgw ++mYDKAkATjCZYCkgOiIgO4ph+U84AtAEOKDy/wICwAQ54AL/AvwWCSoJAHqwmmFj/FAAAAAAAPoK +BCAkEFgw/MMaEgAAaPBY0E0awxiqOiSk4GP9e4hiCAhC/wIABAG8liCKFP0KASAAEFgw/8MJEgAA +cTD82zgAgBAgMPukRSAAEGAw8vzgIFgCUrD4pOAiAABRsG2JRPgihyAIAlKwKfKCi6OjiAmIEfiY +CAnwAVww+IIHLEABXDDw0QQKQwFcMADtGoiO/IgIAAgCYzD5hYgoACAiMCuEjy2EjmP88RvBuC+y +hyqyivliAi4AIB/w8mIDL5AEP+D7soQuACB+sI/3/QoBIAAQcDD83jgKACAe8P/yDiuQBD7g8oQU +CgAgWrDypC0oAAFMMPn08SgQARAwKPTwJPTyIvTzLvQ6Y/yPI1xsKDDKIzC4Y/uQhRQPAgD4UhYg +WAJJcPkWBSAAECAw+FYjIP8QEDDaMPtMAAAAEGAw/QoAIAAQSDD5FgEgABBwMPkWAiBkEEAw+BYA +IAAQeDBbac72oCxiAABisKVMLMBcihcCywwLrDj6PAACAABZMFtpoPagDGIAAGKwsURpSKUKrAL8 +Fgkh/VwvIIwVAzoC/MDHIAEQWDBYN+v6Fgkh/goyoGP6mCNcbCgw4iMw0GP65wAjXQEjMAxj+tsu +JFQvJFX5CgEguAJQsPskViAAEEAw/Jg4ACACWbD4JC8gCBBgMFjF3iosZPwKCCAwAlmwWMXa8/tq +YAAQYDCFFCpcLJoVKqDg+AoAIf3PJqDyXQEiAAAqMPUWCyEgAhCwKyFALCB+/SB/IgAAUPBYOFOE +FSRA4LFV9FLkcAgCELCVG2P7ZACLFCOwviu9ASK8xPP78GGYAlrwhxQrcjAtcLwucL0ncOhj/EQA +AAAA+QoBIAAQQDAKmDhli0lj+cKHFCd8bClwmfudAQIAQGJw+RMSCgBAcnD5NRIOAAFMMPxVAQ+Q +BD/g/6oRAgBAdPD5XhID0AQ4oPvuAQuwBD9g/XCYIgkAULD7/wIIBwFMMPU7Ag4JAEuw83CWKgkA +dvD+cJcqCQAW8PdwmioJAH7w8/yQauABXDCLFCOwiiK9Afu9ASEgAhCw8/s6YTACWvCHFCtyIy1w +iC5wiSdwtGP7jocUKXD8+50BAgBAYnD5ExIKAEBycPk1Eg4AAUww/FUBD5AEP+D/qhECAEB08Ple +EgPQBDig++4BC7AEP2D9cPsiCQBQsPv/AggHAUww9TsCDgkAS7DzcPkqCQB28P5w+ioJABbw93D9 +KgkAfvDz+/Jq4AFcMAD9PAAAAhBQMPzCNBAkEFgw/woEIgAAcjBYz2Nj+E4AAGwQBIgwKjAIKzAJ ++AJDAACQphD+Cg0kAFyVIPsLQwAgAmjw+gxBCkQBUDD0wQlh+gJDMP8KAiABECAw+PQ4APEANqD/ +AgAGAHSWoASmDAYGR6a5/wIADABsy5BkYFkYwhf/wN0fIAQ4oA6+CvjuCAIAAEmw/+8IAgAAW3D4 +vAAAAGmGYAu6AgAPi/ALgAAgAnOw8QoWAfwCSnDzChYAIAJ78PJYHgAgAlrw8hgeD84AtmANaxEN +vQoEDkdk4Fn6LAAAAhBYMFgAKGAASwAAAAD5wfwQGhB4MP9JVHIAAFjwAigR+QoNKAAgSjDTD22a +FCmCsPqCsSAQAkIw+bYDIBACWvCasPosAAACEFgw/TxwIAMQYDBYABTAwPpcAAIAAFjwWMxKwCDR +DwAAAAAAAADz/+Zv6hBgMPQKAC/0ADag/6fsbgAgVvD/4uRyAAAysGP/ChjBq6joKYKAmbAogoGY +sWP/TAAAAGwQBBjB1sec+IKAIBAANSB0mAgawdNoMQdoMgTGKtEPACqggAAgBAoKG3+n7v3BzRIA +oIDgF8HMCANBZDE8/ykRAAChhODAwR/AihvByP7BkhAAQH0QwCTzvAAIACB58PY8AAAAf4Sg2jAA +CIvwA4AAIAI58PEKFgH8AhCw8woWACACQjDyVh4AIAIY8PIWHg/PALSg+cYJC/AEOyD7mAoKABey +UINQ8lIBIAICMrAGFhRtaRqKgKOjk1CHgHc7A7EiklGGgaJi8lYBIBACQjC4Vf5HenIAABrw/9QI +AAQQEDD4IW5iAAA48NgwAgSL8AOCACACa3D1CBYB/AIQsPcIFgAgAiEw8tceACACGPDylx4PzwC0 +oAuUCgnNCf/KEQoAF+pQg1DyUgEgAgJysA4eFG3pGoZAo2OTUI9AfzsDsSKSUYhBooLyVgEgEAIh +MMAg0Q+u2i+igJ8wKqKBmjFj/7OuciYigJYwIiKBkjFj/yIdwX8XwX9j/rzAkPP+x2AEEGAwG8F8 +AioRq6qKoMCA+q2rIAQQSDAKiTjz/qhgAhBgMGwQBIgwKjAJ/woaL+oQYDD4AkMAAHAmEPcKFiQA +aBUg+DAIICACaPD6C0UAAhBwMPp6QAABECAw+AxBCEQBQDD0wThh+gJLMPnkOAEoADYg+vc4BgCQ +FiAEhgwGBkemvv8CAAoAiHXQZGBoH8AY+EoAJUAQcDD3wVgeBQBSMP6+CgkgBDigqO737ggCAABb +cP/vCAIAAEGw+bwAAACABiALugIAD4vwC4AAIAJzsPEKFgH8AkIw8woWACACe/DyWR4AIAJa8PIZ +Hg/OALYgDWsRDb0KBA5HyOn6LAAAARBYMFv/XvAADGAAEGAw/cEyECwEeTD6XAACAABY8FjLkMAg +0Q8AAAACLBH9zAgCAABY8PkKFyIAAEMwbZoUKYKA+oKBIBACQjD5tgMgEAJa8Jqw+zwAABwQSDBt +mhUpwtD6wtEgEAJjMPm2MSAQAlrwKrYu2iD9PQIgARBYMP3cmCADEGAwW/898/+JYAAQYDAAAAAA +APP/fG/qEGAw9AoAL/QANiD/h+xuACBC8Ar3OH5z4fP+1WIAADIwAAAfwNav7yjygJiwL/KBn7Fj +/x8AAGwQBBe/xhnBCRjBCfc3CA8AEGgwDwIA0w9tCE/4UVFiAABRMNtADAIALIJALpLSDeYBBiYC +JpbSL5LSAAeLAARhLpbS/JLSICACITDxCxYAIAIY8PMLFgAgAjnw8loeAfwCKXDyGh4ABwA1YGP/ +pNEPDAIAKoJAL5LSDfcBBycCJ5bSJpLSFcCvpTUmUoCWQSVSgZVAL5bSLpLS0Q8AAABsEAQrMgAs ++ur7ekAAAGSm0PbApBIAYMEg/r/OEIAQODD/v5YUAFYVICQxBATNQvTQuWSAASAw/wIAABAQYDD/ +AgAGAFSXYCgKEfrIOQgAICdw/wIAAAEQYDD/AgAKAEdOEPkKACMAEEAw+sk4ACACYPD5wMkWBQBO +MPdKCwIAh2LQKSAMG8DDBpkRCakIC5kID5oI+8wAAACqB2DewAAKi/AMgAAgAkpw8Q4WAfwCa3Dz +DhYAIAJSsPJbHgAgAmMw8hseD88At2DwAAlgABBgMMCJeEEd+lwAAgAAWPBYywTAINEPAAAAAAAA +APP/5m/qEGAw+Lc5cBACYPDAoPnAphAIEFgwbboPLZKlDQ1S9NC6YBACUrC4mcCgL+J/jiCnq//q +DAAQEGgwW/+NYABQACogDBvAmgaqEauq/6sIABAQSDD/AgACAABrMP8CAAAARgZg3sACC4vwDIIA +IAJSsPUOFgH8Akpw9w4WACACWvDy3R4AIAJjMPKdHg/HALZg8/9RYAAQYDAAAAD7CgAgCBB4MNMP +bfoPKJKlCAhS9IAcYBACWvC4mcCwLuJ/KSIA/poMCgAgVvBb/2lj/wkrkqQLC0nz/+Jr4AQ+4CqS +pAoKSfP/RGvgBDqgAKarLbKAncArsoGbwWP/lQCmni/igJ/ALuKBnsFj/s0AbBAEKCAEaIYDwCDR +DxTAZYwuK0J/CcwRrLuLt4u+KLASLrAaKrAYKbAcLbAZLLAd+JkRC4AEOqD9sB4qCQBqsPywHygJ +AGZw+KoRCYAEPmD9sBsoCQBucP6qAgmABD5g+KoRCAkAZnD8nAEqCQBqsPyOFAoAA8sQKqwBL7A1 +KrQbLrQeLLQfCo0ULLAULbQaDo4UKrAQLrQdDY0ULbQZDo4ULrQcDY0ULrAR/bQYIAICe/D9sBUt +gAQ7IP+0NSuABDqg/7AXKgkAcrD+sBYsCQBrMP2wEyuABDqg+KoCDYAEOyD+MQssCQBzMPiqEQ2A +BDsg/aoCDAkAezD87AgAABBoMPyPFAoAA3MQsaoqtBMKiBQvtBYstBcPjxSMLSi0Ei+0FQiIFCi0 +EQ+PFC+0FAiIFCi0ECtCfwnMEay7i7eLvimwHS+wHCqwGCywGfiwHi+ABD/g+KoRDgkAT/D5sB8q +CQBisPywGi+ABD/g+KoRDgkAR/D4sBsvgAQ/4PyqAg4JAE/w+bBZK4AEOqD8/AEqCQBCsPiwFSoA +A3sQsaovsBEstB8qtBsMjBQKihQqtBostB4KihQMjBQstB0qtBkMjBQKihQqtBgstBwqsBD8sBQg +AgJKcCm0WSmwEvjMEQuABDqg/7AWKgkAerD4sBcsCQBDMPiqEQ2ABDsg/7ATLAkAezD5qgINgAQ7 +IPiqEQwJAEMw/6oCDAAgY7D+ywZ6ACBTcLGqKrQTLLQXDI4UCo0ULbQSLrQWHL/bDo4UDY0ULbQR +LrQVDY0UDo4ULrQULbQQ/SE2IAIQUDD+ITcgMhBYMFjM8o03/jIJIAIQUDD8v84QMhBYMFjM7S8g +BcSF/wIAD/7Bw9DFlfkkBSAAEBAw0Q8AAGwQBiYgBxi+KPS/wxYgATAwBmMJDDMRBDQI+kJ/IgAg +RPAjPSAjPKD6OQhwABBoMMAg0Q+JoYygnJCLoCipEJmxnaD9pgEgMQA2IIynyscfv6+LwR6/si/y +fwuLFP67AQHwAnKw+xwAC5AEOuD/XAAKACBT8FtalCxCf3PBsY0iZd+s+2wYIgAAULD8CgEgQBBo +MFt17cAg0Q8AAGwQBhO/oAIkCQxEEaQzKzIgJDB/JjB+9TB8IS8ANuAavkctMIQuooAqoooO3QgJ +3RH9qggAARBgMFiiLS2gB/8K+y4CASgw/u4RDABAf3D8CvwsCQB3cPUJQQwAQGdw9qQWLAkAT3At +pAf6MikiAAASsCugBwy7AQuZAimkBy0wfQYPR/y/XhIAAHEw/ShAADIQWDD4FgAgBBBQMFjMlyMy +JGQwpBW/VvAACWUwBDkggzlkMJSNMCgxGf4gByIAAGFw/yAWIAQQUDD/NBYpYAFAMP40BygJAEEw ++DUZIDIQWDBYzIaLOsy2Y//Di7lkv74pMAcsMBYtMRmKui21KSy0Fvm0ByAOALagY//eAACKqGSv +1y6wBy+wFiixKYmpKKUiL6QW/qQHL+YANmBtCBYtoBYsoAcuoSIulSItlBYslAeJm2Sfx2P/4tEP +bBAG84pCAIAQSDD5OQECAKDg0CWtASVcgP8CAA4AnZFg9JGLaEgBGDAuIAwqIA0Xv0P2vnEeAL1D +kC8gUSsgUP1ihCzAARgw9KEUagAgfvD35wgB/gJK8PtieyE8ADagJ3DdCncIB7cICXcRB9cI+RYA +IQACOfAocgALiAx4wRf5EgAqAJZ/EP8CAAoAkksQy6IrYnstYoT4vykQyQA2oKjoKIDdqoiouAmI +EajdLdyAidALmQx5yQzwABVgABBYMAAAAAAAwNH/ywwKBQBTcKurKiITW2tY+L3GENQANqAogoMu +YoSlhQlVEaXlG78UGb2z8AkHAgAASvAASWEASWEASWEASWESvkkavw4YvabxTBAIIAQ9IPyZAgAF +EGgw+ILvKgkAUPD6JqwgARAYMPOZAgIAAFFw+SatIgAAYPALgAAiIq0CgkfKK9EPAAAA8/7NZMAB +HDDz/sViAAAqsJsQY/8aGb2iKZKArpkJmRHz/z1sACBPcCxQJhu+7wzMCQzMEay7KrB9LAr9DKoB +A6oCKrR90Q8AJ2J6rnf5FgAnkAQ94PP+zmYAID9wAAAA8/85YAAQKDBsEAgmIAwUvuAYvX4lIA2Y +FfAIBwIAAEkwAElhAElhAElhAElhHb7aH77cF77aGL1w+b4kEAAQcDD+dMEiAABQsPiC7CIAAFkw ++XVcIAQQYDD/di0pgAQ9oPw/EQgJAE1w/3TAKAkAbnD5diwgBRBoMAuAAClyLQmJR8iT0pDRDwAZ +vscYvSErcVwDPQkevsMcvpieFvzdEQtgAVww+xYHLAAgY3CcFCvGfyrNAiWkASakAPrgASYAIEow +/OAAJgAgM3AsZAAqZAEo4AMp4AIpZAIoZAMt4AUu4AT+ZAQiAABQsP1kBSABEGAwWKFAixYcvqQo +IQkuIAwvIA31IQciAAAasC0wJikhCCogFA3dCSo0FCk1CCg1CS40DC80DSU1B/USBy3ABD9g/hIF +IBAQeDD/NAQsACBvMC3Qf/AOBwIAAEkwAElhAElhAElhAElhEr0lGb0r/AoEKUAEO2D8di0iCQAR +cPJ2LCgJAEowKHVcLmABL2AAL7QALrQBKmADLWACLbQCKrQDKGAFKWAEFr0YKbQEKLQFJmLtAzoC +/QoFIgAAWTALYAAtci0NjUf00cFiABBgMP8CAAoA3INg+dwADrgAt2AavneNFCsRACmhAi3dAvvV +BCAQAnBwKeUCiqAq5gAoMCYdvmUpEgUIiAn/vQMZwAQ6IPAJBwwAIEdw/dB/IgAASTAASWEASWEA +SWEASWEidiwZvmD44QIrQAQ7YP3hASAEEFgw+3YtLgkAfrAvdVz+4QAgBBBgMP6VACIAAFDw/ZUB +IgAAWTD4lQIgBRBoMAtgAC1yLQ2NR2TRx/8CAAoA4gNgjhVl0P8WvODwDgcCAABJMABJYQBJYQBJ +YQBJYfhi7iIAAFDw+b5GEgAAWTD8vkUQARAQMPJ2LSAFEGgw/HYuKAkATXD5diwiAABgsAuAAC1y +LQ2NR2XQrI4V8rzKEAQQUDD8CgEgABBYMPAOBwIAAEkwAElhAElhAElhAElhKCL4Gb4xHb4xLHVc +KnYt+3VdIgAAUPD7TAAABBBgMP12MCgJAE1w+XYsIAUQaDALgAAtci0NjUdl0EuOFfAOBwIAAEkw +AElhAElhAElhAElhKCL7+b4eEgAAUPD+vh0SAABZMP52LyACEGAw/HYtKAkATXD5diwgBRBoMAuA +ACJyLQKCR9EP0tDRDwAocVwICEn4FQAr/yDDEIoV8AoHAgAASTAASWEASWEASWEASWGNFC3dAi3c +gC/QhSnQgy7QgijQhvzQhCmABD5g/dCHLwAEO6D4iBEPAAQ/4Pj/Ag4JAEuw/swCDAkAf3Dydiws +EQBrMAzNFP3MAwABEFgw/G0UAAAQUDD+vfQcEQBrMP52LSygAWAwWM0fwMT9CgUiAABysPt2LyIA +AFDw/nYuIgAAWTALYAAtci3z/Zls6AFsMC9xXP4qAC8gAXww/wIAC/8Y+5CIFfAIBwIAAEkwAElh +AElhAElhAElhLBAKLhAILxALKBAMKRAJLRAN+IgRDwAEP+D4mREPAAQ7oPnuAg4JAEfw/90CDAkA +czDydiwsEQBrMAzNFP3MAwABEFgw/G0UAAAQUDD+vcccEQBrMP52LSygAWAwWMzzwMT9CgUiAABK +sPt2LyIAAFDw+XYuIgAAWTALYAAtci3z/ZNs6AFsMGwQBokwLCAMG7xVGL2BjTInsoAqgl0ogX78 +dwgM+AFsMPkGQweQBD3g9IBqZgAgVfB6lhorsoRuZCnwABNgFhAQMNpw/BIAIgAAWbBYAKnAINpQ ++zwAAgAAYLBYx+HAINEPAAD+vWwaACA28PZsCQuQBD7g+6sIDcAEOyD+vZsaACBzMPmh/yAVADdg +8goWIABuh2Bj/7kSvDJj/7OZEJsR+hYDIDQANmDbkPp8AAABEGAwWKAXiRMtnQEt0Pn+vYoRAAB7 +cCqSg2SiAy+gBcKFePEEialkkfaNES3QIg3bCQy7EfpwDCoAIF+wK7CA/wIABgDI3pCOIvK9fBAA +1X+QKXIHL5kU9PHZYEACUnArkglksc4osAApCip5iQUssgJywUP7CgAgAhBgMFtxRR69cBi9LZig +j3CSopOl9KQcL/8QaDD1pgYgAhBAMP6mBC+ABD/g/aQdLgkAR/D/pgEiAABRcFjHxsAg0Q8AnBKb +EfoWAyFHADZgGb1SGLushBLyEgMoACBKMPhECABAAlDw/AoGIgAAWTBYwGiPOABEBIg5/0sUAFAC +UPD7NgggUBBIMPk0ICAGEGAw+P8YAAAQcDD/Ngks6AF8MP40JywJADdw/TQmIgAAWTBYwFiPOgBE +BIg7/0oUAgAAWTD6NgogUBBIMPk0KCAGEGAw+P8YD4AQcDD/Ngss6AF8MP40LywJADdw/TQuIDQC +UPBYwEcrIf/6fAAAARBgMFv90AoCT2UuHNpgW/16jRHTDy3QIhy9Kg3eCQzuEftwDCwAIHMwLMCA +/wIAD/784tD8vSYQBBBQMPsKMiAGEHAwWMor2nD7EgEgBhBgMFv4LmP90QAAAAAA/L0dEAQQUDD7 +CjIgBRBwMFjKIYsR+nwAAAUQYDBb9wdj/k/acPwSACIAAFmwWAAQ8/2ZYAAQEDD6fAACAABZsFv+ +FwoCT2Utg2P+qNpw/BIAIgAAWbBYAAZj/W4AAAAA+woAIAIQYDBbbOxj/jwAAGwQCBa8G/e86xCA +EEgw+UUBAgEbYRAEiUIqnQEqrID/AgAOARaSoJoV9FDLYdACebAuIAwEjEL0A0YOAF7jkC0gUSkg +UCwgDftihCgAIG5w9MIBYf4CUnCaEfpieyJcADcgp+gogN2siKioCYgRqLgojICYEokSiZAKmQx5 +MRB9M3UqEgF6O2/KyipieytihGTBzKfoKIDdrIioqAmIEai7K7yAibAKmQx5OQnwABJgABBYMAAA +wOH9OwwMBQBjsKvLKiITW2j6/7toEhwANqAqEgUp8oMoYoQKmQgJmREJiAj4FgQgHAA1YAQDRm4+ +HSs8gJsTYAAXwMD8FgQv7AC1YASDQiM9ASM8gG8+4ZMT9FHMakgBIDAuIAwsIA30A0YOAN9TkC0g +USkgUPTBKmgAIG5w+2KEIf4CUnCaEPpieyGHADcgp+UlUN2sVaWlCVURpbUlXICIUAqIDHgxGf8C +AAoAvuzQiRD/AgAKALnI0MrJKmJ7K2KEZMDzp+gogN2siKioCYgRqLsrvICJsAqZDHk5CPAAEWAA +EFgwAMDh/TsMDAUAY7CryyoiE1towPi7LhEsADagiRMogoMiYoSpiAmIEagiG7x8Gbsa8AkHAgAA +SvAASWEASWEASWEASWEZvHYYuw8Tu6/6LAAABRBoMPiC7yABECgw9TatKAkATTD5NqwiAABhcAuA +ACkyrfy8aBD9EFgw/RIEKOgBTDD0kFxg/hBwMC3QJg3dCQzdEa3NKtB9C6oBDqoBKtR90Q8AAAAA +AAAA8/3basABIDCZFWP905kRY/4kmRBj/v8AKPKArogJiBHz/jhqACBG8CnygK6ZCZkR8/8RagAg +TvAvICYP/wkM/xGvzy7wff0SBC4AQFuwBe4CLvR9LdAmDd0JDN0Rrc0q0H3+Cv4qAEBasA6qASrU +fdEPKGJ6rogJiBGouJgSY/2rJfKArlUJVRHz/oJkACAu8AAAAAAAAADz/uNgABAQMMCAmBRj/f0A +bBAKijAXu/8oIgL5vAkaYAFQMAqqCfu8PBvABDqg+pkIACoQYDD2kf8gbgA2ICkiBy6ZFCqcIP2S +CSNVADegZNNNL9AA+NICIA4IY/B7gUD7CgAgAhBgMFtwAR28Kxu8K5egjCCTpSSkHJWmm6T9pgIv +/xBIMPjMEQACEGgw+aQdLAkAazD8pgEiAABRcFjGg8Ag0Q8AAAD5FgEggBBYMPtuAQIBbmGQBopC +Ka0BKZyA/wIADgFpkmCZGP+7MRDkADegKiAM/CANLEgBNDD2B0YOAGjqkC0gUSkgUJoW9MLRaAAg +bnD78oQh/gJScJoT+vJ7IxAANyAZu/OIFqmIKIDdrIioqAmIEai4KIyAmBWIFYiACogMeHEV/wIA +CgBC7dApEgN5e3rKzyryeyvyhGTCiRm75IgWqYgogN2siKioCYgRqLsrvICIsAqIDHh5CZ4Z8AAW +YAAQWDDAgf17DAwFAGIw/hYJKgAgXzAqIhNbaBMfuwP+Egki3gA2oIsYKvJ9KfKEq6oJqhGqmfkW +ACAcADegBgdGbn4dK3yAmxdgABfAwPwWAC/sALegBodCJ30BJ3yAb37hlxf04pFqSAEwMC4gDCwg +DfYHRg4BQdOQLSBRKSBQ9MHdaAAgbnD78oQh/gJScJoS+vJ7IigANyAYu7So6CiA3ayIqKgJiBGo +uCiMgJgUiRSJkAqZDHlxGf8CAAoBHe3QihL/AgAKARjR0MrLKvJ7K/KEZMGjGLulqOgogN2siKio +CYgRqLsrvICJsAqZDHl5B/AAEGAAEFgwwOH9ewwMBQBjsKvLKiITW2fXZKHoGLpEiRcXusUogoMn +coSpiAmIEah3G7uTGbox8AkHAgAASvAASWEASWEASWEASWEZu40YuiUeusbacPiC7yABEGAw/Oat +KAkATbD55qwgBRBoMAuAABy6vizCrYkQ+7t/HOgBYDD0wRVg/RBQMCaQJgZmCQxmEaa2L2B99wr+ +LgBAV/AH/wEvZH2JJy4SAS2ZFPa7iBAAEDgw/CICIEACWnD35oMglAA3YPqSCSEfADcgZKCHKKAA +KQoqeYkWHbt5jKLTD/8CAAYAfO8Q/wIAB/6tNxDasPwKAiAAEFgwW29GHrt1GLsumKCPIJaik6Uk +pBz1pgYgAhBAMPekHS+ABD/g/qYELgkAR/D/pgEiAABRcFjFyMAg0Q/z/TVowAE0MJoYY/0tAAAA ++woAIAIQYDBba0hj/LwAAGTAj9qw/AoCIAAQWDBba0Nj/5SZE2P9YZkSY/5TGLnuiRYogoCpiAmI +EfP9e2oAIEbwGbnpKZKArpkJmRHz/mFqACBO8C1wJg3dCQzdEa29LNB9+swBAAEQcDAOzAIs1H1j +/s+JFijyeqmICYgRqLiYFWP8+hi52CiCgK6ICYgRqLiYFGP93wD/AgAP/44zEGP8XdpQ+zwAAAAQ +YDBYxW/aIFi82MAg0Q8AAAAAAAAA8/4oYAAQODDAkJkQY/04AGwQBiYwCCcwCfYJQQZEATAw+DIA +IM0ANaD6CjgmAGKVoPsKeCAAZ6YQ/wIABABalSD4uw0QAKNh0Bq5qpgQ8AoHAgAAUjAASmEASmEA +SmEASmEJmgkZuxMMqhGqmSKSH2Qgfhq5rS2QgC6igCqiiq7d+d0RAgAAWLD9qggAARBgMFidkhi5 +kxu7D/27DRigATww+Zz/LUAEOaD0tj8oCQBmcCm1gPiC8iwJAGyw/bY+IgAAYTD7EgAgBRBoMAuA +ABi7ASiCP/k8ECjoAUAw/IwAAHEANiAIDAbwAAht4AFgMAAcuvn6XAACAABY8FjFK8Ag0Q97huot +MgFx1uT5un0VwgC9ICggBGiBSP8CAAQAXgIgaYXLBwhFCG8IDf8R//z4IEAQcDB/47cDiAvwCRcA +CgA1oG1pAgAIivP/pmAAEGAwGLribWkFAAiIAAmKY/+SAAAHCEWoaw27ESu8+P8CAAv/v96QA4gL +8AkXD80ANaBtaQIACIpj/78oIARogXD/AgAEAFACIP8CAAX/qp4gBwhFqG0N3RH93PggQBBgMP8C +AAv/nu8QiieKrvqICwAgAkjw9G+CYfACQjBtaQUACIgACYpj/3EAAAcIRahsDcwRLMz4/wIAC/+E +ZtADiAvwCRcPVgA1oG1pAgAIimP/SAcIRahtDd0RLdz4/wIAC/9w7pCKJ4qu+ogLACACSPD0byZh +8AJCMG1pBQAIiAAJimP/FQcIRahsDcwRLMz4/wIAC/9XZtCKJyqiDvqICwAgAkjw9G7yYfACQjBt +aQUACIgACYpj/uEAAABsEAobuSHyICIgABAwMCY0HyY0HiY0HSY0HCY0GyY0GiY0GSY0GCY0FyY0 +FiY0FSY0FCY0EyY0EiY0ESY0EPILBwIAAFBwAEpj8AsHACgCSHAASWGIMPoWBCAgAlDw+RYJIC4A +pjAFWgL7PAAAABBgMFjEs8Ag0Q8AFLjEAigJDIgRqET0TSAgBhBgMPRMiiAuEEAw+DQPIgAAWTBY +vX+MNABEBI01DE4U/jYEIFAQODD3NBAiAABZMP3MGAAwAlDw/DYFKOgBZDD2NBcoCQAWcPk0FiAG +EGAwWL1wHrpnGri0G7pkiTYARASMNwlNFJ02JzQY/JkYD4AQQDD5Ngcu6AFMMPg0Hy4JABfw/zQe +ICgCQHCWgJaBloKWg5YQlhH2FgIn0BB4MJ8T+xUDIB8QSDApFQX6FQIgChBgMCwVAf4VCiABEGgw +/RQhIP8QYDAsFQQsFQ77FQ0iAABQcPYKFgBAAkjwAEln9AgWAGACePAAT2Vj/u4AbBAEiDD/AgAA +AFQuEIkxZ5CeFLo8KkKMK6ECZLFlW2JEHblXjN6xzPzWDiAArAKgGLjFKIJzJEKJ/bi1GAAgUjAJ +iBGoRPANBwBAAkkwAElhAElhAElhAElhAElhAElhLyAMLiANLSEHLCAHKyAWKSB8+iIKIAQQQDAo +RAQvRAwuRA0tRQcsRAcpRFX7RBYgPxBYMPtEBSD0ADagKaIIyZ9tCAn5kggiAABScMmSY//vwcb6 +XAACAABY8FjEQ8Ag0Q+UqPtEMCAAEDAwlkn2RgggIAJY8PULFgBoAlEw8poeADACSPDzCRYAeAJB +MPJYHgBAAnjw8Q8WALgCcTAADoqNO/1GGSBgAmDw8AwWANACWTDwC6AAnAJRMPkiACADEGAw+UYW +IBoCWPBYvP4qTFH8CgMgkAJYsFi8+hi5045AKyEpKiITKSBQjEcpREz6RhIvwBB4MPtFIiBAAmsw ++O4BDABAf3D+NgoggAJrcJ3JncgmxRTz/zlgABBgMAAAAAAAAPP/K2AMEGAwlCpj/zIAAABsEAaK +J8Ww+yQFICACUrBbaDsVudiLLSpSagm7EauqiqrJoyusYPwKBCIAAFBwWLzYihBgAAYAABq4bJoQ +H7hqjSceuc36+jgD6BBgMAysLA7MKPwWACAgAlNwW2gohBCDJw8CAPpMAAAgAhjwWv1p/bgMEgAA +YTD+ucESAABasP8iACIAAFDwWv0fgiciLBDaIFrzJWihAtEPANogWvNCEriaC6gR9KA2YgAgQLAM +6jArIoWLsLCi/LsIAgAAULBYyN8qUpTwIQQAARBYMAC7GguqAipWlFjJFdEPAAAAAPoKByABEFgw +W2jHLCJ/LCaD0Q8AbBAMG7miiTD3uLwQKxBQMPS5fBAcEGgw+5sBACAALnAsMgEPAgAPAgBmwXz8 +uZkQmAAqcIgyL8LrBIQBCUQR/SIAJAAgI/D+Qh4gQAJY8Pk8KCBwAnjw/Ew4IIACUTD4TFQmAI53 +UMHG+lwAAgAAWPBYw7PAINEPAAAAAP7C1SDqACZwizIEuwH9whMqAATy0K7de9PQJMLrCbgR+EQI +ACQCUPD7TGwgBhBgMFi8eyhMOPUIFgAwAnjw8p8eAIACcTDzDhYAQAJo8PJdHgCoAmEw8AwWAFAC +WPDwC6AAyAJRMPEKFgBwAkjwAAmK8/94YAAQYDBkv26JMYsyLsLV/wIAAf+yBlD0wusqAEAm8Pm5 +EQoACPLQL8ITrv//AgAL/6Pf0IgqqUT/AgAH/52mECtABf8CAAYCAtbQLCAE/wIADgEraxCGSsho +2mBYVpOGaGVv9fpMAAAAEFgwWFCb2kBYUFn6TAACAABYsFhQOS1yELDdLXYQ8/7uYAAQYDAtPBgK +DYgBTIoIC4gBCooCCYYASGP3DxYAyAJxMADOivP+xmAAEGAwAAAuIAT7uPEWAP5vkCqyYS+hAmTx +5lthOihyELGI+HYQIADtgqAbt7sduOgosnQm0l35t6sYACBSMAmIEahm8AkHAEACSbAASWEASWEA +SWEASWEASWEASWEvIBYuIAcqIQgpIQkoIQcnIA0sIAwsZAwsIAwnZA0oZQcpZQkqZQguZAf/ZBYg +BRBwMP5kBCArEHgwL2QFK7KAKtJdq8v5uxEAARBgMPsiFSoAIFqwWJuGiyr3rAAC1gA24Im5+rwA +AA8ANmD5kgkiAABScGWf9JapkmiIIC8hGf4gNiAAEEgwmWmZaplrLmRLKGYe/2UpIOQCUbD9IFMg +gAJYsP1kfCAGEGAwWLv7HLjyH7jw+AoAICACWHCYsJixmLKYs5gQmBH4FgIgHxBIMPkVBSfQEFAw ++hYDIP8QcDAuFQT/FQMgARBoMC0UHS4VDC8VC/wVCCAKEHgwLxUBGLcs+BUCIgAAYHD0DBYAqAJx +sABOZf0LFgDIAmmwAY2KiiqcGPsWCSAoBDKwG7iQimArskcLqgyaHWAAA8DAnB0duIst0X77txEQ +5gB3cGhVa44y8jwgIDACUPD6FgwiAJankMWx8QoWAHACQbDyGB4AABBgMP8CFgCAAnmw898eAgAA +UbBYSj2JYASZAZky8/zVYAAQYDDaQFhWAGP9swAAAADz/MFgDBBgMIoy/wIAD/8BVRDz/K9gFhBg +MCJwJhu28gItCQzdEf27CAAGEGAw+70gIDACUPD6FgwhFAJa8Fi7ro8diDYARASJNwhLFPs2BiBQ +EFAwKjQYCYgY+DYHLugBQDD/NB8uCQATsC40HiJwJhu23QItCQzdEf27CAAGEGAw+70gIEACUPD6 +FgshFAJa8Fi7mY8ciR2KOABEBIs5Ck4Unjj7qhgAUBBoMP00ICCAEGAw/RILKAkAZnD6Ngko6AFQ +MPk0JygJABIwKDQm9Q8WAHACcbAAjorzDRYAgAJhsABMimP++yxwJpwaDMwJDMwRrLsrvSD7vIog +BhBgMFi7fY4ajx2INgBEBIk3CEsU+zYGIFAQUDAqNBgJiBj4Ngcs6AFEMP80HywJAHdwLTQeJ3Am +G7asB3wJDMwRrLv7vSAiAABQsPu8iiAGEGAwWLtpihwARASOOI85jR0OSxT7NgggUBBIMPk0ICCA +EEAw/+4YDAkAR3D+Ngks6AFwMP00JywJADswLDQmY/4vlipj/T8AAAD6TAACAABYsFhPQ/P7HmAA +EGAwAGwQBCgyAPmGEnAWEGAwKiAE+KEYYBwQSDB5oRD6XAACAABY8FjCcsAg0Q8AACghFig1ES8h +GC81EC4hGf40JCAUAlDw/SA2IIACWLD9NgogBhBgMFi7PSk8GPosSCBwAmCw8wwWACACWPDyWx4A +AxBgMPEKFgCgAliw8hkeAEoCUPBYuzIpIFMpNDEqIRfwpwpwQBBoMA2ZAik0MfGnCnAgEHAwDpkC +KTQx/acMcAAQYDDB8A+fAi80MSggNCg1BGP/WABsEAQctqkfuB6IMCzCgCnyPfpcAAIAAFjw/nrQ +LZAEOyD5hml4ACBmcC2Sxxi2rSg1Big1B43eKDUFLjUEHrgRjdSdNCziEJw1KfJAZJBIKZECCckM +LPLLmbf5CgAgQgA3ICnBAgnZDJm2LeISnbgs4hGcuSni7sqdKZECCdkMmbop4u3KmCmRAgnJDJm7 +wMBYwibAINEPAPP/uWAAEEgwY//EAAAAAADz/9NgABBIMPP/2GAAEEgwbBAEKiBT+yAWIgAAYPBb +bATRDwBsEAQWto4FBUf8UxECAABA8Pq2jBIAIDTwJjI6+loKAgAASLD6opcqAChFkCcyORu2Xvp1 +AQ4AIVXQ8AsHAgAAEXBtiQIAQmEukQcftwUODkoM7hEP7gKeUI2QHLcC9FYDLYAEP2D8VgIsCQBu +MP1WASIAABFw0Q/AINEPbBAEKiBT+yAWIgAAYPBbbA3SoNEPAAAAbBAEjjLAi/m3yBoAD8OQCekK +iZAKkAD6LAACAABbsP1cAAAYAmDwW0gZwCDRD/osAAIAAFjw/EwAAgAAaXBYSEHSoNEP+iwAAgAA +WPD8TAACAABpcFhF89Kg0Q8A+iwAAgAAWPD8TAACAABpcFgCV9Kg0Q8A+iwAAgAAWPD8TAACAABp +cFgEydKg0Q8A+iwAAgAAW7D9XAAAABBgMFtH+8Ag0Q9sEAYqIgcWt1QPAgCFqSipFClhfvsKViEU +ADYg/pcHcgAAIXBkUPwpIAXTDw8CAP8CAAYAlV5QxM/8JAUgIAJSsFtl6/RQ3GP/EGAwKyEII1UI +LWF+jlD3UAcgcgB3cP8CAAYAbObQDo5X/wIABgB8g6DKRtxw+0wAAgAAULDzRQggARB4MP8kFSAA +EGgwWGdJYAAH/wIABgBSZtD7Ig0gUBBgMCwkBSpiXQm7EauqiqrJoiusYPwKBCIAAFBwWLpxihBg +AAUAGrYFmhAYtgSOJx+3ZvqKOAPoEGgwDa0sD90o/RYAICACU7BbZcGEEIMn+kwAACACGPBa+wP9 +taYSAABhMP63WxIAAFqw/yIAIgAAUPBa+rmCJyIsENogWvC/aKFf0Q8AAAAAAAD+nzRwABAoMPP+ +72AAECAwiSf7+sAgQAJCcPuIAQAAEFAw+pUUIIACQjCYmZiYY/8+2iBbYMnRD9EPAIon+3wAAAAQ +YDD6rCAiAABp8FtjrvP+8mIAACKw2iBa8MUSthwLqBH0oDliACBAsAzqMCsihYuwIqz//LsIAgAA +ULBYxmIqYofwIQQAARBYMAC7GguqAipmh1jGl9EPAAAAAAAA+goHIAEQWDBbZkksIn8sJoPRDwBs +EC4XtjKGLS5yhAlmEabmjGcswg6PLtMPK8AwLcAx+f8RC4AEPuD9uwICACB7sPoiByACAlrwK8Qx +C4sU+8QwICACUrBbZXKDKcszFbcXhDv9MgAgBRBQMP4iACAyEFgw/zAFIgAAYXBYxAb7CooiAABQ +8Fv/avNMAA/UALUggynAQPsiCyAWADTgZbPYkytgAAcAAAAAk7ubPJQpKSAMKiIWG7WIGLZ++BZQ +IBoQYDAsJFQlcoQrsoAACI35qhECAABAcPpaCAgAIF5w+hZPKZAEPmD5IRkkACBNcPkWTiAQEEgw +bZoCAAiKHrV3LVEpwHHzLE4mASR3UBy27C0iAP4gBSAFEFAw/yBUIDIQWDBYw9wetW79IgAg4RB4 +MC8UGP4WAiAIEGAw/rVpHYAEP2D+FgAsCQBncJ0RKCAHLlEp/7VkGCABQDAAiBEI7gIP7gKeFAvq +MJsVnRn6ttUQQBBIMCkVD5oYKCBUKBQxLyAFLxQyLiAwJxQwLhQzLSIW/RYNIEACaHCL1YrUidOI +0v/SASCAAnBwn+GY4pnjmuSb5Y3QneCLICsWFiogVCoUXSkgBSkUYCggMCcUXPQUXiBoAliw+BRh +INACUHBYubUqHHD8CgggeAJYsFi5sSsSTyocevu8ciAGEGAwWLmtKhx9+zwAAAMQYDBYuaolCgj7 +LFwg/gJQcPqsBSAIEGAwWLmkKhFDKhUxKhJOCk1A+l5ACgsBVDD6aEAIBwFUMP2ZEQngBDog+YgC +CAoBVDD5uxEJoAQ+YPsRQigJAF5w+hwUD/AEO6D8rAIMCQB3cPwMQA4FAVgw+cwRD7AEO6D+Igws +CQBzMAqPQPz/EQoJAVAw+6oRDpMBcDD6/wIMCQBzMPwUZC4JAE/w/iBoKg0BWDD5IGkqDgFcMPqq +EQuQBD7gC6oC+WtADgMBcDD5fEAP0AQ7oP7MEQvwBD7g/LsCCgkAcrD5WUAKCQBasPoKMCgJAFZw +CpkCKRRl+CIMLgkAR/AIDlD4HFAIEgFAMPrMEQmQBDog++4RDAkAQzD/3QIMCQBzMP0UZywJACsw +LBRmjicr6RT0sVlgQAJTsIvpZLFP+xwAAAgQYDBbZjIbtl0qIhMsIAUkJRn7qgEAORAoMPomEyAU +BCswC6wBLCYTKRJQKBx/KIwR8AkXABUQSDBtmgIACIoetlD+FiQgogJYsP0iACADEGAw+hx/I/8Q +eDD0FKMgCxBwMPcUqC2ABD9g/xVQLAkAd3D9FiUgVAJSsFi5PPocfyIAAFjw+qwuIAMQYDBYuTck +FMEkFMIkFMP8ChAgAxBYMPsUwCD+AlBw+qxFIKgCWbBYuS77bEAg/gJQcPqsVSAIEGAwWLkp+2w4 +IP4CUHD6rF0gCBBgMFi5JPodASDIAlmw+qwEIAgQYDBYuSAnFYgkFOQkFPT6LAAACxBgMP8dASAC +EGgw9PQUIP8QcDD+FYYgIBBAMPgUxCD+Alhw+BTFICICWvBYSN0lJAXRD4m70w8PAgBknCVtCAr5 +kgsiAABacGScF2P/7gAA+iwAAgAAWHD8CgggAhBoMFteQmP+pAAAbBA2E7XWF7V/iy0tMn8ctM36 +IAUrkAQ+4P62ARoAIF9w+7IHIAEQKDD6rLsgABAgMP6uCgASEEAw+7IOKgAEwpCO4ArgANogW19v +wCDRDwAAAAActfSNIP4hNiAFEFAw/yE3IDIQWDBYwt+GLiMyfwlmEaYz9jxOIAoCUHD8CgMiAABZ +sFi44PAHFwAwAkBw+rXmEBUQSDDTD22aAgAIipoWiSD8CgMj/xBYMPwUKyALEFAw9RQwKYAEPmD7 +FRQoCQBWcCkWBygwBPocMSQA3gYgKzxRWLjM22D8CgMgagJQcFi4ySUUSCQUSSQUSiQUSyQUTvos +AAALEGAw/QoCIAkQWDD7FE0gMAJYcFhIitogW189wCDRD9ogW187wCDRD4onKqwQW2QTiC4jMn/w +BxcJkAQ6IPgcfyIAIETw+IxBIBUQSDBtmgIACIr7tbgQAxBgMPsWMCCiAjDw+SIOIP4CUHD1FNgj +/xBYMPsVaCC0AlKw+JkRAAsQWDD0FNMoCQBecPkWMSIAAFmwWLie+zxOIP4CUHD6rF4gAxBgMFi4 +miQU8SQU8iQU8/tsAAASEGAw/BTwIP4CUHD8CgMg7AJSsFi4kdow/iIAIAsQYDD+FXwgAhBoMP4h +NyD+Alhw/hV9IIICWvBYSFPAINEPiS4JmRGp2YmXiZ4okDuxiCiUOy+wX7H//7RfIgAAULBb/lXA +INEPAIknKpkUyKCEmSjCRPosAAAAEGgw/EAHIgAAWTALgADAINEPLx0B+rV8ENACW/CUsJSxlLKU +s5S0lLWUtpS3KhZaiSD4wkQgAhBwMP1MAAIAAGOw9fR8KYAEPmD09HsoCQB2cPkWWyIAAFCwC4AA +wCDRDwArPEhYuF61Gy2wAf6wACBqAmBwLsQALcQBK7ACK8QCY/44bBAKLSEpKyAWJiAHHLUK9SII +IgAAcXAqIHyJJ4dXCq8J+ZIOIP8QQDD5FgYvwAQ/4PdyDiwAIHsw/MJ/JiABMDD8FgggLgRC8C0W +BwDOjltphIwY/RIHIpoANqAYs+AMZBH6s+AUACBBMCtCOgpqCvqilyYBCELgKUI5G7Oz+pMBDgEB +VlDwCwcCAABI8ABJYQBJYQBJYQBJYQBJYQBJYSghBxm0V/gISgBIEHgw/AtGCcAEOiD5iAIISAFk +MPg2ACmABD5g+bQXGgkATvD6IgAuBwFgMP82Ay9QBDug/7RJEAYQYDD/NgIrgAQ6oP3fFAoJAGKw ++jYBK0AEO2D9tSIfQAQ/4PggfC4JAHuw/rsCAAAQeDD/NQogNBBwMC41C/2qAgkABDog+jYGKAkA +WjD6PCAoCQBKMPg2BCDkAliwWLgG+jwmINgCMLD8CgYiAABZsFi4ARyzRh+1DP80LSCJEHAw/jQs +IBAQaDD9NC4gAhBAMPg0OCCwAllw+DQ5IAcQSDD8NDcgARBQMPo0MyAGEGAw+TQ1IAMQUDD6NDEg +ABBIMPk0NCAAEFAw+jQwIIAQSDD5NDYgdAJQ8Fi35wZrAvwKBSCEAlDw/DRBIAsQaDD9NEAgBhBg +MFi34Co8SfwKAyCQAliwWLfc+jxMIAYQKDD8CggggAJYsFi314wWK8AgLcAh/sAiK4AEPuANuwL9 +wCMrgAQ+4A67Agi7EQ27ArG7K8QjC4sUK8QiC4sUK8QhC4sUK8QginCJcSqsOPp2ACACAkpwmXGI +J8CQ//rAIEACQjD1RjkuAEB+MPmFBCCAAnvwn4CfgSsgFi4K/36xX/ogfCA0EGAwW2jLwCDRDwCM +ImTAUo4g+LTBEAkQeDCfEvjuEQABEHgw+BYALgkAe7CeEY4n/frAIEACU7D9rQEAABB4MP/lFCCA +Amtw/eYJIgAAWHD95gggARBgMFtke8Ag0Q8AAAAA+iwAADACWbD8CgEgBhBoMFtqv2P/logiZY+R +KyB8+iwAAAEQYDD7vBIgABBoMFtquGP/eGwQOiggBfq0EBAuEEgw+8oALgJtShAqFmP7FgAgEAJA +cPAKFwAVEEgwbZoCAAiK9LSMEEICYHD8FlogCxBAMPQWAiCQAlCw+hZkI/8QSDD/IgAgeAJQcPoW +WyAAECAw9BQbIAEQKDD1FCAvgAQ/4PkVDC4JAEfwLxYDLSBJLiBILsQALcQBKyBKK8QCJBQ5JBQ6 +9BQ7IAMQSDD5FDggqAJYsCsWXCgQAC0QAv8QASBKAnBwL+QBLeQC+OQAIBAQYDBYt2ITs3kWtEEY +tG4vIEEpIEAbtDr7FmUgmAI4cCcWXSl0AC90AS4gQi0gQy10A/50AiCoAmhwLRZfKiBFLCBELHQE +KnQFLyBHKSBGKXQGL3QHLCA5LiA4LtQALNQBKiA6KSA7KdQD+tQCIPgCSHApFmEvID0nIDwn1AQv +1AUsID8uID4u1AYs1AcnIGUqIGQqlAAnlAEuIGcvIGYvlAIulAMtIGgsIGkslAX9lAQggAJgsCwW +XiogaicgayeUByqUBve0QRBwAlCw+hZgIMgCSLApFmL4gn8g/xB4MP8VQiQQEHAwLhVBLhUhJBRc +JBRsJRVE9BSMICAQaDAtFDwtFD2NJygWZikiAP3SDiAEEGAw9jatIgAAULD9FmcoCQA+cPk2rCAF +EGgwC4AAKjKtKRJnCopH/bQOEw4ANqAukDovkDsI7hEP7gKx7i6UOw6OFC6UOi8SY/naACD+AkBw ++RYsIHICQjDwDxcAFRBIMG2aAgAIiisSZB60DC4WLv0iACADEGAw+hx/I/8QeDD0FMsgCxBwMPUU +0C2ABD9g/xVkLAkAd3D9Fi8gpAJSsFi29iQU6SQU6iQU6/gKAyD+AnBw+BTvIGIQeDD/FOggYgJD +sC2AAv+AASCsAnOwL+QBLeQCKIAAKOQAiSAsIgcrEmUoEmb8wg4oCQA+cPk2rCIAAFCw/BZoIAUQ +aDD2Nq0gBBBgMAuAACoyrSkSaAqKR2SiniuQOiyQOwi7EQy7ArG7K5Q7C4sUK5Q6LRJjLKoA/BYA +IBACQHDwDRcAFRBIMG2aAgAIiiwSWhiz0SgWAi8iAPsSZCP/EEgw9BQbIAsQQDD1FCAvgAQ/4PkV +DC4JAEfwLxYDLbABLrAALsQALcQBK7ACK8QCJBQ5JBQ6JBQ7KxJcKBABLhAC+RAAIAMQUDAqFDj6 +ElsgSgJ4cCn0AC70Avj0ASAQEGAwWLavLxJeLhJdDwIALPABLfAALeQALOQBKvADK/ACK+QCKuQD +KPAFKfAEKeQEKOQFLfAHL/AGL+QGLeQHLhJgLRJfK+ABLOAALNQAK9QBKeADKuACKtQCKdQDL+AF +KOAEKNQEL9QFLOAHLuAGLtQGLNQHLRJiLBJhKtABK9AAK8QAKsQBKNADKdACKcQCKMQDLtAFL9AE +L8QELsQFK9AHLdAGLcQGK8QH9RVEJBAQUDAqFUEqFSEkFFwkFGz0FIwg/xBAMPgVQiAgEEgwKRQ8 +KRQ9iSCEJysSZfgSZiAFEGgw9EIOKAkAPnD5NqwgBBBgMPY2rSIAAFCwC4AALjKtDo5HZOFvL0A6 +KEA7CP8RCP8Csf8vRDsPjxQvRDqKJ8K8+yQFICACUrBbYcCDJ/qzdBAgAhjwWvcDHLNyHbGmHrNx +jyD7rAACAABQ8Fr2u4MnIzwQ2jBa7MD/AgAAAMgGoPosAAAAEFgw/AoAIAAQaDBb/fzAINEPAAAA +AAAAG7MpKjK2KNJqC6oBCaoR/bNgGAAgUjCLjByzXA27AQy7ApuMKpBuK5BvCKoRC6oC+BZYIAIC +UrAqlG8KihT6lG4sywA2INsQ+oxOIAMQYDBYtjz6ElggEAJYcPwKCyACEGgwWEYDLBJYLcAF/cQw +IDEQWDArxAVj/JIAGLMLHrMqLzK2LuJqCP8BCf8R+7NAHgAge7CI7BqzPwuIAQqIApjsL5BuKJBv +CP8RCP8C/hZZIAICe/AvlG8PjxT/lG4tMwA3oPrsTiD+Alhw+7wxIAMQYDBYthsqEln7HH8gCxBg +MPu8OSACEGgwWEXiLRJZw8gs1AVj/PooMrYTswsZsuojMmoJiAEJiBH5syESACBE8I88GLMgCf8B +CP8CLzYMLkBuL0BvCO4RD+4CLuwBLkRvDo4U/kRuLmYANOAqPE77HAAAAxBgMFi1/vscCCIAAFDw +/AoLIAIQaDBYRcUpMAX5NDAgQRBAMCg0BWP+L9owWux6E7HRC6gR9KA1YgAgRPAM6jArMoWLsLCj +/LsIAgAAUPBYwhccsuQqwpQAMQQAWxoLqgIqxpRYwk1j/jMAAAAA+goHIAEQWDBbYf8sMn8sNoNj +/htsEAouIRkrIBaGJycgByogU/SykBIAAGEwnBr6qQkA/xBAMPcHQQnABD5g9mIOJAAgSTD0Qn8g +KgRC8C4WCwDFjltnD/4SCyL6ADagGLFsDHUR+rFsFAAgRXAsUjoKegr6opckAPTDIClSORuxP/qT +AQ4A7dZQ8AsHAgAASPAASWEASWEASWEASWErIQcdssb8seQQMBBIMPix4xtAAVww9A9GC8AEPuD0 +h0IKCQBm8Ps2ACeABD3g+iIALgkAP/D4NgImBwEkMPk2AydQBD3g/tkUAAQQWDD0mRELgAQ6oPux +mRoJAFqw+jYBJgkATfD6IFMgIBBIMPk1CyAAEEAwKDUKmDgoNgmYOvg2Cy1ABDug+DYMLAkAazD8 +NgYuCQA/8Pg2DSAGEGAw+DYOKwAEOqD4Ng8qCQB6sPuqAgCAAliw+jYEIEACUPBYtYzARPo8JiCw +Ajiw/AoGIgAAWfBYtYf7spIQiRBIMPk0LCAAEHgw/zQ0IBAQQDD4NC4gAhBgMCw0NSw0OCw0Ofs0 +LSABEHAw/jQzIAMQaDD9NDEgdAJQ8P6wuxAAEGgw/TQwIgAAWfD+NDcggBBoMP00NiAGEGAwWLVu +j2OKYrH8f8sBsaqLYY1gmmL8ZgMgAgJa8PtmASBIAmNwnGAtEgoqIgf0VjkgABBgMPqsICIAAFtw +W17LiCf5+sAgQAJ6MPkKAC4AQE/w+YUUIIACe/CfiZ+IKyAWLgr/frEK+iBTICAQYDBbZmYsIDew +zPsK/irgAWAw/CQ3ILgEWrDAINEPjSJk0NmPIPmyVxAIEEAwmBb4/xEAARBAMPkWBC4JAEfwnxWP +J/76wCBAAlPw/q4BAAAQQDD49RQggAJzsP72CSAgAlhw/vYIIAEQYDBbYhHAINEPHLJMLSAF/iAH +IAUQUDD5IgAgMhBYMPkWACIAAHiwWL8niics+sDzog4gJhBoMP0kBSBAAlqw/AoAKgBAZvD8pRQg +gAJa8Jup+6YIICACUrBbYH0tMR2CKrHd/TUdL0oANKDwABVgLRAYMAD6LAAAARBYMFhJZYIpZC8s +LiAFc+npgillL/Rj/x4AAPosAAAwAlnw/AoBIAQQaDBbaDNj/w+PImX/CisgU/osAAABEGAw+7wS +IAAQaDBbaCxj/vFsEAooIAXClf8CAA4BJEoQLSEZKyAWF7G3KiBTJiAHhSf6qAkA/xBgMPYGQQnA +BDog9VIOJgAgRfD3cn8gLgRi8P0WCiABEGAwW2Y1/RIKIwIANqAYsJIMZBH6sJIUACBBMCxCOgpq +CvqilyQA9kMgKUI5G7Bl+pMBDgDvVlDwCwcCAABI8ABJYQBJYQBJYQBJYSohBxuxCgoKSvaxChvA +BDqg+7DQGgkAWrAqNgD5IgAtQAQ7YPY2AiAwEEAw+DYDIAQQUDD92BQJgAQ+YP2x3hgJAFZw+TYB +LsABODD6IFMgABAwMPY1Ci5IATww9jYIL4AEP+D2NgkuCQB7sPY2Ci4HATww9jYLL1AEP+D2Ngwp +QAQ6IPY2DS4JAEfw9jYOLgkAe7D2Ng8gIBBIMPk1CywJAGsw/DYGKwAEOqD+qgIABhBgMPuqAgCA +Aliw+jYEIEACUPBYtLHAdPo8JiCwAjCw/AoGIgAAWbBYtKz7sbcQiRBIMPk0LCAAEHgw/zQ0IBAQ +QDD4NC4gAhBgMCw0NSw0OCw0Ofs0LSABEHAw/jQzIAMQaDD9NDEgdAJQ8P6v4BAAEGgw/TQwIgAA +WbD+NDcggBBoMP00NiAGEGAwWLSTj1OKUrH7f7sCKqwBjFGNUJpS+1YDIAICYzD8VgEgSAJbcJtQ +iifAsPwKACAAEGgw90Y5IEACUrBbXfCPJ/j6wCBAAnPw+AoALgBAQ7D49RQggAJzsJ75nvgrIBYt +Cv99sQr6IFMgIBBgMFtliysgN7C7+gr+KOABXDD7JDcguARScMAg0Q+MImTA3o4g+LF8EAgQeDCf +FvjuEQABEHgw+BYELgkAe7CeFY4n/frAIEACU7D9rQEAABB4MP/lFCCAAmtw/eYJICACWHD95ggg +ARBgMFthNsAg0Q8csXItIAX+IAcgBRBQMPgiACAyEFgw+BYAIgAAeLBYvkyKJyz6wPOiDiAmEGgw +/SQFIEACWrD8CgAqAEBm8PylFCCAAlrwm6n7pgggIAJSsFtfoi0xHYIqsd39NR0vSgA0oPAAGmAt +EBgwAAAAAAAA+iwAAAEQWDBYSImCKWQvJy4gBXPp6YIpZS/0Y/8ZAAD6LAAAMAJZsPwKASAEEGgw +W2dXY/8KjyJl/wUrIFP6LAAAARBgMPu8EiAAEGgwW2dQY/7sAAAAAGwQBiggBSsgB8GU+wxBDgBU +yhD+r8UU4AEsMPzKEQIAVJlgkxCNNvivwhoAIHKwLqI6+MgKAAEQGDD4gpci1AE7oC+iORmwQvj+ +AQ4ALkfQLCEk/7EsGAIBWDAKiBD7IQksCQBDMPghIiwJAHswnOAcsI3/IgAoCQBKMJjim+P4/xEA +AhBYMP3mBS4JAF/wn+HwDBcAMAJLsAAJivumOSJaAjlgwCDRD4kiZJByaFI1wCDRDwAAAAD6LAAC +AABZcFtj8dKg0Q8AjTNj/1mKJ/tMAAAAEGAw+qwgIgAAaTBbXV7SoNEPiifAsPqsICABEGAwW2DG +HrCXnqCNIPwSAC2ABD9g+7EBHAkAH3CdoYzGnKP7pgIgABAQMNEPAAAAAPvMGCIAAFCw/QoCIAEQ +YDBbZwFj/3YAAGwQBPSwWhABEEAw+a+YFYAEPOD5JgAkCQBFcPUmASAQAhiw8AQXACACELAAA4rR +D2wQBP2w6BACEFgwKzQAKiAVHrDlGK9G+EkRCkAEOqD6mgIABRBYMP+w4RoJAFqwmjHwCAcAIAIg +8ABEYQBEYQBEYQBEYSggBwgIQfBVEQkgBDogCFUClTaFIP82DiAAECAw9DYIIAMQWDD9NhAgoAIg +8P42Dy2wBDlg/DYHIDwANaAtIBXA4fc0WSxABD9g/jRYLAkAbnD+NFAsCQB3cC02FQzqMAhaEfxF +BSoJAFqw+kYDIMACEPDRD9JA0Q8AAGwQBPMiAi/xECAwBDMBkyLRDwAAbBAE2iD8PAAAARBYMFi6 +K9Kg0Q9sEAiHRAGUBIlGi0gvQQuOR/JBCiIAAFCwD08U/pMUAgAAYPDy6EAGLAEQMPvtUAofAVww ++rsRB3AEOaD73REJwAQ6IPAzEQgJAGow/p4YDA8BFDD5mVYNUAQ/YPMWBiIDARQw/zMRBgkAabD+ +FgUsAgEUMPIOQQwJAB9w/u4RBgkAWbDyQkEAARBYMPgiEQ4BAF/w+GYCCCwBODDyiBAPwAQ/4P/u +Ag9gATww/t0CDg8BODD350AO4AQ/4P+IAg7wBDug92YCDgkAE7D3r6kYCQByMPmwfRgJAEownRf3 +ZgICAABocPYWAygJAEow+BYEIAAQcDBYuQHSoNEPAAAAbBAE2iD8PAAAABBYMFi55tKg0Q9sEAgB +dASFRY5E80IGIgAAYPDyQgciAABQsPehBywTAXQw83ZYD+ABLDD1eFIKVAEsMP6pUAQTASww8pkQ +D+AEP+D3uxAIQAQ6IPYWBSdAATww8XcRBjQBcDDyMxgICQBaMPMWBioYAXQw8pIUCtAEPuDzZhEC +4AQ4oPdmAgYSAXQw8XcQDgkAF/D+klAGCQBN8P5pUAwJAH9w/n9QAsAEOKD9FgciAABocPK7AgYJ +AEXw/v8QABgQQDD/mRAOMAFwMPLuEQgJAH5w+HcCCAkAXnD5WxEFcAQ9YPawNxQJADVw9xYDKgkA +dvD7mQIAABBwMPkWAiQJADVw9RYEIAAQWDBYuLnSoNEPAAAAbBAEFLAr0w8qQoDbIFtcJPihHGIA +ABqw+kJ/IgAAWLD8CgAgARBoMFtb+dIw0Q8A+kJ/IAICWLD8CgAgABBoMFtb8/pCgCIAAFiw/AoA +IAEQaDBbW+9j/74AAABsEAT0sBUQABBAMB6wFCjm1B2wEyjW1BywEyjG1BuwEii21BmwEioKAPiW +1CAMEEgwbZoZiSsEqwqNOym2wPM8/CH4AhCw/bbUIAICUrAYr98oRr3A9S9GvFiUhvKsAAAZALag +KELlxy/4iUMAHgB+MGiTByJC6NEP0Q8A0Q8AAGwQBBOv9Coyf1tX19Sg+CEMYgAAKrDSoNEPAAAA +ACoyf1tX0fpJMnIAABKwYABMAAAAAAD6Mn8iAABZcPwKACABEGgwW1u7+jJ/IgAAKLBbV8b6QSZy +AAASsLFYeCnU+jKAIgAAWXD8CgEgABBoMFtbsdJQ0Q8AAAAAAAD6Mn8iAABZcPwKACABEGgwW1up +xyTRDwBsEAQSr88iIn8iIQLRDwAAbBAEFa/SJVCA969FFYAEPWAFBEcORBGnRydyfwWGFAZqAgtw +AAoJQWiRB/8CAAIATp5gGK/HDwIACEgIKIJ/BVoCC4AAGa3dZKCACSkC+aYAIAIQWDD7pgEgdgA0 +oGghHG8kGf4iFmAQAkqwBAOIAImKAgOIAEmKAAOIAAmK2mALcAAi+vzyogEAChBAMAgiAhytxCzC +rvzGB3AEEEAwCCICGK+sqEgogn/6XAAAARBYMAuAABivHKhIKIJ/+mwAAgAAWLALgADAINEPxyTR +Dw7oMJ6iDe4wnaMMwDCcpAuxMPumBSAAEEgwmaaZp2P/jAAAAGwQCBit6yQVBPuvlhACEGgwnRGb +EC4gDCwgDQjuEfAIBwwJAHMwLBUFKSEJmxD9FgEiAABQsPkWAyAgAkBw9BUEIxAEOODwCKACCQAR +cPIWBCAAEGAw9hYFIgAAWHBYYyXRDwBsEAj7rd0QAhBoMJ0RmxCPMg8PXy8VBC4gDCwgDQjuEQ7M +AiwVBSkhCZsQ/RYBIgAAULD5FgMgIAJAcPADFgAAEGAw8AigAgAAWHBYYxHRD2wQBhmuTY0gLpJ7 +KzIALJKF/t0MAgAAMPD1FgAtoAQ/YP3MCAABECgw+swgIMYAKvAuMAn7PBAiAABqsA8CAP5/QA4G +AXAwAguGAE1jAAuGAE1hK8ABLQrgDwIADbsBK8QBKDAIDwIA/Qq/KAkAWjD6+xEIAEBqMP0K3ygJ +AFow++sRCABAajALiAIoxAGLMPetYRCiACbwI8ABj2HboPf/AQgHARgw825ADIABHDD9ZAgvkAQ7 +oPGIEAIFARww+jMRDgkAR/D/ZgEuCQAbsP5kCSAgAmmwBguGAE1nBAuGAE1li2B7tmQuwAGIYSsK +gP5tQAgFAXQw+P9QAgDGAhAerX/wDgcCAABysABOYQBOYcCAKMQBLiBQ9AoAIDAAN6CcEpYTHq5M +8JgRAvAEP2D9ra0SCQBE8JMRE68eYADNAAAAhhP8EgIgARAoMCnAAPifDHABEBAwKsABeK8BwCDz +EgAiAABTMFsVzB6tJfpfFAiAAVAw8IEED+AEP+AP7gwu7Rwt4ovwWBoP/xBIMPmIAwIAAFmw8C8a +DABAR3D/3QIAABBgMP3miyIAAFDwWLkBwCDRD6tmCqkCB2wCLNazKNK0JRIBDwIAA4gB+FUCAAAQ +YDD11rQgEBBAMG2KHfUKACBcADfgJZEADlUC/cgKAAQCSnD1hrUgAgJjMCbWsykgULFE/wIAC/+c +yRApIA3KTiwgUcCB/EwICAUATjAJyQwsIAwIyBEImQILmQL5hkIBBgBicPP/fWbAAUgwAGP/qhat +vWSfySwgDCVihPZieyAgADZgGK6JqMgogN2pialpCZkRqVkpnICJkAaZDGP/shmtLymSgKyZCZkR +8//paAAgTXAAAA4IRguIAijEAWP+gWwQBBquyIsgLKJ6iTAqooQMuwz6uxEAARAgMPqWNnoAIFqw +LaAA/KABICACSPDyCRYCAABasABLYwAJhgBLYSswCC4K4A7eAfykASoJAHbwK6QAiTD8rrQQhgAm +cCigAI8xCqkC+AtECAcBQDD8/wEIEAQ6IPs0CC4JAEfw/zYBICACWPAGCYYAS2cECYYAS2X5MgAg +ABBYMCs1CPuWJnABEBAwjDEdrPD2wHdiAABKsCugAQANiwBJYQBJYSukASmgAGAAAimgAHifBy6g +AXjvAcAgWxVMGKyl+lkUCoABUDDwoQQJ4AQ+YAmIDCiNHC+Ci/BKGg//EGAw/KoDAgAAWPDwKRoO +AEBX8Pn/AgAAEGAw/4aLIgAAUXBYuIHAINEPAAApoAD7CoAowAFMMAuZAimkAGP/kGwQBPkiACIA +ACCwk5GIIZOAAASIAAOKkiCSIdEPAAAAbBAEKSANKiAiwLH4qhEIBQBO8Pit3hIJAFJwAgNHDjMR +qDgogn8CihQLgAAKCUFokQJpkx4YrmGoOCiCf9ogC4AA26D6LAAAABBgMFi4XtEPAAAA8//sYAAQ +WDBsEAbIMsAg0Q8sIRP0rgocACAjMPwWAC/tALTgE6y0ZXB1c2EyjCz6LAAAABBYMPxsCAAAEGgw +WLdaZqD+jhAsQX/6LAAAAhBYMP7MCAAAEGgwWLdTZqA3/wIABgBhHVCMLPosAAAAEFgw/FwIAAAQ +aDBYt0tmoMeDEPosAAACEFgw/QoAIgAAYPBYt0VnoI/SoNEPAAAAAPTMAAIAAFCw+woBIAEQaDBY +tz1mr+FzUS76LAAAAhBYMPxMAAABEGgwWLc3Zq/ILCIM+iwAAAAQWDD8XAgAARBoMFi3MWagV3Nh +rByt1CzBf/osAAACEFgw9MwIAAEQaDBYtylmr5CMLPosAAAAEFgw/GwIAAEQaDBYtyPSoNEPAAAA +AAAAgxD6LAAAARBYMP0KACIAAGDwWLcb0qDRDwDSoNEP0qDRD9Kg0Q8AAAAAbBAiiCIXrGf0MgQi +AAAxMJcUJxYF/wIABF0BIDD/AgACBQOqIAIqAvYWLSAHEFgwWLZox+T2rAAGBTj2kP2tzBAAQi6g +iDAvMQYmMQf6MgEgDgAuMGahoC4gDfoxBSCZADeg+hY5IA4EO/Bk4SmfFP8WOyAOBDmwZOEvlhUm +FjpkQI3AYAb6UPkKASAAEEAwCpg4yo4qMgB6pglkQcrAYGZgIYow/acBDgAI7pArMgHTD/8CAAIA +iobQyHcpMgEJ3FJkwPLaIPwK/SAAEFgwWLeELfqNfaECBqY42iD8Ei0iAABY8P1cAAIAAHGwWI7K +0qDRDwAAAAD/AgAABE6pIBisKSgWOf8CAA//sLvQY/9cJiESKRI5DwIADwIA/wIACgTTslD6Igoi +AABacFtZrv2tkBAE3iqgKhI7d6EZhiv/AgAKBOYykIotKxI7W1mm/a2IEATvKqAsEjr/AgAH/5I/ +EIYr/wIACgT0MxD6Ig0iAABbMFtZnP2tfhAE+6qgLxI7/wIABgUFv9AYrakogH1lju5gCh8AiSwJ ++QwpFjv5FgQv/2o5kGP+z4osCmoMKhY6mhVj/sf/AgACA94OUP8CAAH/gJJQYAoLLBI5/RI7IgAA +ULD+EjoiAABZMFiEPvau4GIAADKwGK1hhzDz/shmAEBF8AAAAC8xE/sxGyAAEFAw9hwQIAAQYDD/ +xjgAKAJIcPupOAeNADUgGKviKBY5wGBmbp1kR8guIA1k59AqEjmJFPkWOyAOBDpwZOfFJhI7ixX7 +FjogDgQ68GTnvykSOiw1BCo1BSk1ByY1BvP+MmAAEDAwAAAuEjksIRP1TitsACBzMI4xiTj6IA0u +HAFwMPnvUAYfAUgw+mYRD7AEP+D2/wIGGgFIMPPuEQcgBDmg9jEKLgkAM7D5uVAAARBYMAq5OfmZ +EQgsATAw+BYuKXAEOiD5iAIIDwE0MAWZEQnuAvgyBC4JAEOw/+4CDiQBNDAI/xH4/UAKLAFAMPKq +EAzwBD9g/90CD2ABRDD46EAO4AQ/4P+qAg4OATQw/aoCD8AEP+D4/wIIAwEwMPYtQAnwBDog+DEL +LAkAR3AISBT2BkEIAQBaMP5mEQnABDogCGYC9jIGLAkAN3DxlAQI2QEwMPgyByoJAEKw/RYPLgkA +e7CeGx2tIgiZFPhmGAAAEHAw9hYNIgAAMzDwmREKCQBqsPkWDiBAAmhw+hYMIgAAULBYtaP9rPYQ +BFYuoIg00w/2FjcgdgAOMB6r3isSLvkgBy4AIHLwLuCALAr7/JkBD+AEO6D8CvwoCQB2cPYWNygA +QGZw9iUpKAkAXnApJAcvEjv2rAAGAVm/0C4SO40sjzj4IA0iAABQsPwSNyADEFgw/09TAAEQSDD+ +3QgIBQBCcP2IEAAAEHAw/RYvLgkAR/D/FhcggAJocFi1ffaskWIAADKwLhIvjzj7MgEooAQ7oP6u +FAY8AXgw/ylACgEBeDD//UAMDAF4MPvLUAzgBDsg97sQDPAEP2D+qhAI0AQ+YPxmEAgJAFZw+GYC +AAAQUDD6Fh8oEAF4MPlmAgIAAFCw/99ACQAEOiD43QIO0AQ/4P+7AgwJADdw/RYeKgkAZvD8Ejcg +wAJocP4KACoJAHbw+xYdIAIQWDBYtVX2q/FiAAAysCYSNxis1SsyCi8yCCoyCyoWMS8WKysWMPiA +fCwKAXgw/BYyKAsBfDD4FjYo0AQ+YP8bQAlQBDog+TESKAkASjD7FjQuAAF8MPggDSYJAEGw+RYs +KkcBSDD6FjMoRAFMMPkWNSAPADYgGat2+WYCAAEQeDCJMSghBywxCgF0BCoSMS0xEysSMAqeFP7d +EQ7gBDug+roYDAkAd3D+EjYrFwFcMCsWJSoWJv5fFAvABD/g/6wnGgkAfvAqEiv5yVAOUAQ7oPCZ +EQ4JAHuw+A9KCAMBUDD9iAIPEAQ/4P/uAg4GAVQw+o1ADvAEP+D/mQIOCQFUMP3dEA7ABD/gD90C ++n9ACiQBUDD+/xALMAQ6oP4SLCoJAHKw/90CDiwBZDAC/xH+PEAOQAFwMPnMEQ9wBDug+hIyLgkA +U7D8EjMuCQBn8PTMEAoQBDqg/BI0KgkAYrD5CgIsCQBPcAmIAvgWJyxQBDsg/BI1KgkAYrD/qqcc +CQB/cC0WIv0cfyxwBDsg/KrkGgkAYrD/7gIAAgJrcP4WJCAAEHAw/KoCDbAEOaD8Ei8qCQBisPsK +ACoJAFqw+hYjIgAAULBYtOUdrDf2qixiAAAysC4SOtMPDwIA/wIAB/z7v5CHLBysYCYgDf8yDCIA +AFCw/ME/IAEQQDD4EjomBQAyMP9PUwbQBDmg9hI3LgkAN/D9HEAgAxBYMP8WFyAAEHAw+HcIDAAg +MzBYtMv2qcliAAAysIs8/RI3IAAQcDAPAgD/MgEoDwFYMPsqQAwBAVgw+wlQBgwBWDD/z1AG4AQ5 +oPf/EAkABD5g/swQCtAEOqD/iBAKCQBisPysOhgJAEow+TIIKg0BXDD+Fh8q0AQ+4Pv/AgAAEHAw +/ME/KqAEPeD5yVEOCQA38PemFAjABD5g9v8CCAkAXnD/Fh0gAhBYMPosAAgJAFZw+YgCDAAgazD4 +Fh4gwAJocFi0n/apGWIAADKwLiANKBI3Gaweiz+PPIw+LBYwLxYpKxYxJpE/+ZB8KgsBeDD5FjYq +CgF8MPsWMirQBDqg+DEaJgAgQbD4FiopUAQ+YPqZAgoBAXgw/w9ABgkASbD6FjQoRwFEMPkWMyhE +AUAw+BY1IA8AN6Aaqr/6ZgIAARB4MIkxKCEHLDEKAXQEKhIxLTEbKxIwCp4U/t0RDuAEO6D6uhgM +CQB3cP4SNisXAVwwKxYlKhYm/l8UC8AEP+D/q3AaCQB+8CoSKfnJUA5QBDug8JkRDgkAe7D4D0oI +AwFQMP2IAg8QBD/g/+4CDgYBVDD6jUAO8AQ/4P+ZAg4JAVQw/d0QDsAEP+AP3QL6f0AKJAFQMP7/ +EAswBDqg/hIqKgkAcrD/3QIOLAFkMAL/Ef48QA5AAXAw+cwRD3AEO6D6EjIuCQBTsPwSMy4JAGfw +9MwQChAEOqD8EjQqCQBisPkKAiwJAE9wCYgC+BYnLFAEOyD8EjUqCQBisP+p8BwJAH9wLRYi/Rx/ +LHAEOyD8qi0aCQBisP/uAgACAmtw/hYkIAAQcDD8qgINsAQ5oPx8AAoJAGKw+woAKgkAWrD6FiMi +AABQsFi0Lh2rgPP3LGIAADKwLBI5LRI7/hI6IgAAWTD6LAAAARB4MFv9SvP3MGIAADKwKCETCKgM +KBY5Y/dgiioroQL5FigiSgA24FtTdh2rbioWOPoWOSAWADWgii3TDyyhAmTCOltTbx2raJpgLhIo +0w9k6ESKLS+hAmTyPVtTaSgSKB2rYZqAY/guLhI5LCET8/gtbAAgczDJRWP4LIYs8/g3ZgAgSbCJ +LPP4PWgAIF5wKxI5KiET8/gPagAgWrCJJw8CACyZFBSrQPTAYWBAAlJwK5IJZLBWLbAALgoqftkF +L7ICdPFD+woAIAIQYDBbXwsZq3YcqvOcoIsglKKTpfakHC//EEAw9aYGIAIQYDD5pgQrgAQ+4Pik +HSoJAGbw+6YBIgAAUXBYtYzAINEP+woAIAIQYDBbWxBj/7QAAAAAJhIt+iwAABwQWDD8CgAgIBBo +MFthVGP/ZAAAAAAA/KtcEAIQUDD9IAwgEhBYMPYWACIAAHpwWLgfHasj8/Wbb+oQMDAAAByrUy0g +DP4gDSACEFAw/xI5IBIQWDBYuBYdqxlj/9YAHKtMLxI7LiAN/SAMIAIQUDD2FgAgEhBYMFi4DR2r +EWP/sxyrRC0gDP4gDSACEFAw/xI7IBIQWDBYuAUdqwlj/5Qcqz0vEjouIA39IAwgAhBQMPYWACAS +EFgwWLf9HasAY/9yHKs2LSAM/iANIAIQUDD/EjogEhBYMFi39R2q+WP/UxyrLy8SOi4gDS0gDPgS +OyACEFAw+BYAIBIQWDBYt+wdqu9j/y4cqyctIAz+IA0gAhBQMP8SOiASEFgwWLfkHaroY/8PACwS +OS0SO/4SOiIAAFkw+iwAAAAQeDBb/LLz9NFiAAAysBmpaykWOfP2ImIAADOwAAArEjiKKvwKACAB +EGgwW1bQHarW8/YFb/QQMDDIa4tg/AoAIAEQaDBbVsorEjiKKvwKACABEGgwW1bGHarLY//S8/RU +YgAAMrBsECCIIocwJBYb/wIABvgBPDD/AgACBIqqIPosAAAEEFgwWLNYx8T2rAAGBHbmkPSqmRAB +iC6gKDIA0w/5MgIgFAAuMC4yAWbkEi4gDYor9xYTKABAJnD3nAADkAA3oP8CAAoEntHQ+iINIgAA +WfBbVsr/AgAABKkqoMCg9qwAAsMAtqCKMP8CAAABTSqQLyETizKONC0gDf0WHy10AVgw9DIHKgBA +JvD0FiQn4AFwMA9oDA/JDA2cOA2GOIk2GKrSKRYj/TIFLMAEOyD8uwIOAEBDsPs2Ai4JADOw/jYE +KvgBUDD2IgwgEhBAMP0MTwXgAXAw/BYeJAAgI/D4oRB2ACA5sMKBeKEGC0lbqfmZFfQWHCgYAXAw ++BYmKhYBdDD7FigoGQF0MPkWJS4XAXQw/xYnKBMBbDApFioZqjL7MgEuGgF0MP8WLCgSAXAw+ZF+ +LlQBbDD4FisqHAFcMPsWKSAYEEAw/XtSDgAUThArFhUvFhTyFjQh3AJKsPhaICVAEBAwCYI4IhYt +IhI0YAAPAAArFhX/FhQlQBBAMCgWLQ5LUfkKES5QAWww/j1QBgGGzpDBgvsWMSYCbcaQwYP/AgAG +Aa/GkMKR/wIABgQNzpAqFiIuFiEkFiApEiMJeFiYHSgSJAF0BAiZGAiYFJke/skRCOAEOiD8Eiso +CQBGcPgSLCgJAE9w/hIVLbAEP6CZH/kSFCswBD7g8cwQCCAEOiD4EiIsCQBDMPTuEAhwBD5g+e4C +COABVDD+Ei0sCQBzMPyZEQwJAGsw/apwGAkAZnD/EhwtcAQ74P5OFAjgAUAw/YgRDgBAa7D9EiAv +EAQ/4P5aQg7gAXAw9e4QCAkAUjD6EiooCQBKMPkSJSzgAWww+BYLLUAEP2D4EiErkAQ6oP3MAgzg +ASQw/JkQDSAEP2D9qgIIYAQ6IP0cIC4JAEOw+BIpLgkAe7D/EiYqCQB28P4SJyoJAGbw/BIoKQAE +OiD7FgwgABBYMP3/EA7gBDug/5kCDPAEOyD+mQIMCQBDMPyZAgAAEHAw+pkCAgAAYbD5FgoiAABQ +sFiyrtagZmAgijAZqf/5qwEOAAdOkIox/wIAAgBxhpDItokxCdtSZLC02iD8Cv0gABBYMFiz0Pep +6B+NEGAw+SICIA4EYrAGpjiMJw8CAC3JFCvMIPrCCSBmADdgZJDM/woqIF4ANqAuoAB/6QsYqdYs +ogJ4wXp3wUDasPwKAiAAEFgwW12mGanVLBIbHamNnaCLIJeik6WVpiakHSykHPi7EQACEGAw+aYE +KgkAZvD7pgEiAABRcFi0KMAg0Q9kkGrasPwKAiAAEFgwW1mrY/+0hywHlwxj/GsAAAAAAP8CAAID +GQ5Q/wIAAf+fklBgBj93yZFj/8IAAAAAAAAA/BITIgAAULD7fAAAARBoMFiAy8eE9qwABgJ9RpBm +rwocqbqLMPP++GoAQGbwANpQ+zwAAgAAYbBYs+DaIFirSdKg0Q8Aii0toQJk1gJbUbWGL/oWBCAS +EFgw+mYIBgC2XdDBg/8CAAYAsUXQwGD3FhMuuQC1oCwgDR2p34sshxSJMve7CAIAAFHw/Lo4CABA +bnD7NgMoCQBWcJky8/vMYAAQMDAoIFwOBFEAQAQICBv/AgAAAiJ+ECYlCRmogPogDSAAEEAwKCQU ++CQVIAEQcDD+FiEqBQBTsP4iACgAIE0wKZCA+hYiIAEQUDAqFiD6EgUp4AQ+YPolCCgJAE0wKSQH +8/y8YAEQUDAYqTiJLyogXPiCQCQwAXAwAEAE+gobCAAgPnAImQr5kgAgAeZ+kCkWECsWMS8WMi0W +MxioYC4hByogDCaVCSqUDP6VByABEFAw+hYgIAEQcDD+FiEoACBBMPiAgC//EHAw/pQsIAgQUDAq +lASOFSoSHyqUDf6VCCAAEFAwKhYiKpQFKpQG+pQUKeAEOiD6lBUoCQBBMCiUB4iXjpAuFi8oiRQo +Fh36lgIgARBQMPoWMCSdALYgwIgolAVj+/oAAAArIhIpsQJklOzasFtRUxyn2C4iEfqpAxIAAGqw +K8J++qJdLgAga7Cuu/4gDSuQBD7g+woSKgAgWrD9pgomAPjd0PoWLiIKADegHqj2jSAu4lQO3Qwe +p3oNXxQO/xEP7gwu7Qf+4iUogAFoMPCBBAABEHgw8P8aAAUQUDD/7gEAARBYMPypax4FAHLw/hYX +IAAQWDBYtiYpEi4vEhcvlHkYqOAogkAIaAqZgGP99AAAAAAALxYyizgYqNqELy0WM/iCQCgeAVww ++RYaLB8BXDD9FhkrcAFcMPsWGCQAIDkw+EQKAgAAULD0QgAgARBgMFiLjC0SMy8SMisSMfQWECIv +ADaghDQpIFwEBFEAQAQJCRv+oCYgAQz+UCohBygSECkgDSwgDCyEDCqFB/mEDSAAEFAwmoIqhBUp +EhgqhBQqhAb6hAUsSAFIMPjMEQoHAUgw+QlGC1AEOqD8p+YaCQBisPqnnBgJAFZwKYUXKRIc+YUI +LAAgYTAswID6oIAgCBBIMCmEBPkSHi3gBDsg+moUDAkAYTAshAeMNwqZDAqZEfoyBiwAIGJw9oUJ +KgADSxCxqikSEJqY/JYJIAEQUDAqFiEoIA3+lCwgABBwMC4WIP6SACgFAEKwKBYiiJcqIHgqlBYo +iRT+Fi8gARBQMCoWMPgWFiMcALYgLJAHKBIaKJR1KBIZKJR09IB2bCABYDAokhpkgLgYp1f4lhwg +CBBgMCyUBYw18/nObeABYDD6Fi4gHQA3oCjCgR2oeo8gLdJeCP8MCv8Rr92drGP98gAeqPktIAwu +4IAA0AT+DhsABRBQMPyo9R4AAXAw/hYSIAAQWDBYta4pEi4vEhIvlHlj/hwoEhplj4cokhr4FhEv +hwA2ICiSGyIWNCMWNgzCChOo5ywSEZyAAyIKI5IaLCHe+DYBIAAQGDAjlhvzlhoh/gJjMCwl3iMS +NiISNGP/RiMWNhOo2yIWNAzCCgMjCigx3/QWNyABECAw9KbVGBEAIjD0IgoJ4AFAMAKICyiNGCiM +sIKBJJxolCAolhoilhuUgSIx3vQSNyACAhCwIjXeIhI0IxI2Y/7tAAAAAAAA8/oLb+oQMDD6LAAA +HBBYMPwKACAgEGgwW16ljCcoyRT0gOxgQAJTMCvCCWSw4SmwACwKKnyZCB6oZi2yAn7RR/sKACAC +EGAwW1w3G6hhKRIbH6iwHKgenKCIIJOllaYvpgQppBz7pgIgAhBIMPiIEQ//EFgw+6QdKAkASjD4 +pgEiAABRcFiyt8Ag0Q8AAAAA/KiiEBIQWDD9IAwiAAB58PoWACACEFAwWLVV8/a9b+oQUDAAAAAA +APyomRACEFAw/SAMIBIQWDD+IA0iAAB58Fi1S2P/1AAAKCBcDgRRAEAECAgb/wIAAf+MfhDAkPkW +IiAAEEAw+BYhIAEQSDD5FiAgABBQMPP3wGAAEHAwAAD7CgAgAhBgMFtYGmP/LIws+iwAAAAQWDD3 +zAgAARBoMFixcfP4/GIAADKwjCz6LAAAABBYMPfMCAAAEGgwWLFq8/jfYgAAMrDz+hpiAAAzMByo +cCkSHf4gDSIAAHnw/SAMIAAQQDD4FgEgBRBQMPkWACASEFgwWLUeKRIQKxIxjpcqEjD8MgUvwBBA +MP/sICAAEGgw/eUULgBAR/D9EjMggAJ78J/pn+gvEjIuEi/z+wVt4AFgMAAA/AoAIgAAWrD6Ig0g +ARBoMFtUB/P5l2/0EDAwHKhQ/iANIgAAefD9IAwgABBIMPkWASAFEFAw+BYAIBIQWDBYtP4pEhCO +lysSMfoSMC/AEEAw/+wgIAAQaDD95RQuAEBH8P0SMyCAAnvwn+mf6C8SMi4SL2P8jAAAbBAIGaas +DioRqaYuYoQODksJ7hH/AgAOANwHoBunjy2yIPSntxGiADdgLAoA90CAIAAQSDBt2REAwAQHDxv/ +9wZwAgJjMLGZ0w//AgAAAL0mYBeoJfwKACAAEHgw9XKXIAgQQDBtihAA8AQFCxkLC0P7oRRwCAJ7 +8Pd8BCACAmMw+cnYcAAQeDBmwTMfpl0bp3Iv8oQrst+vzwn/Ea+7K7EmK70vK7zgGKgRDMcJqHeX +FSd9AS9wPAP1HMCqClUtC1U3BeUM+XA+IACXLWAr+pwLmx0LWwhmsR0vcD0PPxwK/y3J2fdAgCAA +EEgwbdkMAJAEBwgbf4cBsZSxmSQWBBmn/KnJKZDgf58OihTAlAqZLA6ZEcyTYABKwJTAgPqmZRwD +AH7w9PqAIP4Ca3D03QEBgBAgMPV8EgwBACdw/X0SDQAEOyD8KxEMCQBrMA8CANMP0w9tmQz7iQoA +AgJCMKqZLJbpH6XaL/DA+afiEkQBO+AqPH8JqgEK6gxmoLocpdkrrQErvIALexIMuwIrZpIqYogK +CksJqhHLqis8fwm7AfurDAQAEGAw9b38LAA94tAFfBL8ZpcgABAQMNEPABunzmP+4QAA8/7AYAAQ +YDDAINEPAAAAAPoKBCAYEFgw/KfHEgAAaLBYtHUFfRL9ZpcgABAQMNEPhBX0TQEiAABosPynvxEA +AiEw9EC9IgAAcPD0FgAgAhBQMPkWASAYEFgwWLRnxyTRD4kVKZ0BKZyAL5C8KZC+Y/+9iRUpnQEp +nIAvkLwpkL5j/6wAbBAEwKH3phgf/xBIMBOlovgsgCIJAByw83azLpgAuKAocrQIyFIdpyICXBQN +zAovwoQCDkQA4QQAqxrw4QQKEQBO8PBTGg4AQH7wA/8CL8aELcKIAOEE8E0aCgBAbvANuwIrxohj +//8rcrQdp5Mcp5PA4PTsOQoAQG7wDLsCK3a0AIEEInazAKQa9GAiYhEASTApcq8JKQEJSQIpdq8V +p4gGgxH1MwgAAxB4MJ8w0Q8qcq8KKgEqdq/RDwBsEAaDIBWm2ywgXvkiCCABEFgw+lLUIAAQQDD0 +UtssBwFgMAy4OPozDAmQBD5g9IFBZAAgSTAvQF4uQF//AgAKAJB7kC1S5S3RAmTRErHuLkRfKlLl +W08j+gqAIgAAerAK+AIoJF4sUhsPAgAPAgBkwQUpzP/5ywEOAA/PEG0ID/28/yIAAGLw/bsBDgAE +7xBj/+kAAA/MERilVgyILCglGgj4HCglGS5SGn47FhulkiuygylS26O7CbsR8AAHaAAgXnAAwJAc +pqrA4MPaLcYQLsYRw7srxhD7p0waCQBT8AoKRgiqEQuqAirGEYzAHadHGKaf+DsRDABAazD7zAIA +IBBoMA3NAp2AG6dBGKdCHaaYC8wCCMwB/NYAIAgANmCamp6bjSArIRmbECohGquq/Kc6Ef4CUrCa +ESlAX/kWAiIAAHDw+EBeIBoQWDD4FgMgBRBQMFiz2MAg0Q8AAAAA/TwAAAUQUDD8py0QGhBYMFiz +0cAg0Q8A8/8cYAEQYDBsEAgUptGJMPSaAQAgAC5wiDHTD/8CAAIBdQYQwGD3pyAQCQA2oI0xZtGe +wED/AgACAEmqUHmWeisxBNog+wtLAAEQYDBYiTnyrAADLAA2oC0xDCugJg8CAAfdAf01DC/ABD7g +LzQQLKEawOEM7DgNzAIsNQz8oFwgFAJo8FixaPagL2IAACKwKjAR0w/7CgAgHwA2oG0IEi4gQPTg +EWACAhCwsbt6uwdvtARj/+YAACs0EdpQ+zwAAgAAYTBYsM/AINEPJjEE9gZLAgAAULD8CgEiAABZ +sFiJFWSimiugNv8CAAIBSnbQLDAQKCBdDExDAMAECAgb/wIAAAE+/hAfpX+vz//wgCIAAGsw/KQm +IAIQQDD+CoAoCQBC8PikNi/gBD/g/88CDABAcbD/pAcoAAlxkAaLQiu9AfAAB2EAAlrwBgtGLyIT +KPEDL/ECePFL8tkRDiYBOuAYptItvIDwABZoACBGcAAdptALXhQJ6Qr7DUQIACBucBamDaaWKGKA +8NEEAAEQeDDw/xoP/xBwMA7+Aw6IAQj/Ai9mgMrEGKUjLKAMHaStDb0CLYazKYK0Haa+9MwQCABA +bnAMmQIphrQrhrOJMGP+aiQwEC8gXfkxBCRkASAwAEAEDw4b+clCAADPf5AqIhMooQL5FgQgFQC2 +IJQV8ABEb/QQYDAAAAAAAAAAW05X+SANISkANqAsIFH7IAwgARBoMPrMCAgFAE9wCckM+LYRAAAQ +YDD4CoAmCQAycPQWBSYJAEGw9MwAAWIAtyDaIPtsAAAAEGAwWIi296wAAWkANqBb/wkocDbAkfZ1 +LSgJAEow+HQ2KsABMDBYeJqLFfwSBCIAAFHw/HRcIBQCaPBYsOX2oVliAAAisB2mgywxDB+lKy4x +BP/uAQwAQGsw/DUMLgkAM7AuNQQrcRr6MBEgARBoMAvbOPy7AgAAEDAw+zUMICcANqAapRsqoogu +oQLJ5ltOIqdsKsRAKzARsWb6pRUaAAPZkG5k3xemayY0EYkwY/0uAAAAACYxBPYGSwIAAFCw/AoB +IgAAWbBYiIX8rAAAXAA2oPosAAIAAFmwWH2liTDz/O1qAEAicGSe1x2lASsgDCrSeP3SgSAgADZg +HKXerLwswN2pyampCZkRqdkpnICJkAqZDGP+wBmkhCmSgKuZCZkR8//paAAgT3Dz/Tdv6hAgMBym +TC4gDf0gDCADEFAw9BYAL+oQQDD4FgEgGhBYMFiy5mP/0y0gDC4gDfoKAyAaEFgw/KZAEgAAeTBY +st9j/PL8pj4SAAB5sP0gDCADEFAw/iANL+oQSDD5FgAgGhBYMFiy1WP/kQAAHKY1jxQuIA39IAwg +AxBQMPQWACAaEFgwWLLNY/ysAABsEAZuPgnwAAlhAAJY8AAAAwtEFaSEGaQP9woAIAEQMDAAsQTw +ahoMCQBMsP1WxS//EGAw8LEEChEAYrDwexoOJgE44C5Sxg6uAQ6+Ai5WxmAAEQNYFAWICi+Cxw+v +AQ+/Ai+GxyJWxZcQI0AmlxH6LAACAABYcP08AAAIAmBwWAWKHaYNjBH7EgAh/xBIMP0tCwoAKpZQ +LtKBKdKAADEEAG8a/rIXYgAAGnD+/gICXgE7IAf5EPAAJGgJAEzwkhL38hAP/xAYMAMiA/P4AwgA +QBZw8hICKABAQ7AMjjgu1oEp1oBgAEgAKDoD8j4RCgCYkhAfpfIZo9vwACBuACB7sMSQH6XvADEE +Dp4K/6PWHgAge7AAaRoAmREPmQIfpSKv7v/igCIAiULgCfkCKeaAHqXl8K8RC4AEOyAPqgIKugIK +CUf70oAg+wA2YP8CAAAAQR5gGaXdCg9f+bkBDlQAu+AYo7wI+AIoVrMnUrQHx1IIdxEH9wL4CoAm +CQA+cPAADmYJAEXwACf8gAh3EQeXAijiH/wKACAxADYgGqQW86QVGoABfDAAsQTwZRoBAAJL8ACR +BPBmGgAAEFgwbQhabv42KKLCeGA+KdKBKdaBJ9aA+kIOIgAAWLBbUXfJofpCDiIAAFiw/AoAIAEQ +aDBbUU7AINEPAAAAAA9YFAuICqOIKILDeFjAKeIf+71AIAICYzD6rUAr/9lLEGP/ngAALyr//wIA +C/9tF9AKOREJKQwpnf5j/ssAaLE88/7uYAAQSDAco8wfpaEMKxGvuyy2g8evKraCLLaBKraAJ9aB +2yD31oAgABBgMPri4CABEGgwW1EtY/9aABmllvP+rmgAQE/wAGwQBAEEBAQ5GPoKACAXADZgbQgM +sJgImQH0kAdgAgJSsGP/7AQLT/kKACAXADbgbQgMsLwMuwH0sAdgAgJKcGP/7MDR+KOrH/8QYDAb +pX8MXxGr/yj2gyz2gij2gSz2gAw+Aw4OTy72gwxLAyv2ggMITyj2gST2gC4cII7g/6VtGAABSDD6 +C0AJgAQ6IPDhBAtwBD7g8NgaCgkARvD/XwsICQBaMCj2gQNrEf7uCQhIATgw8OEEDsABODDwuxoJ +gAQ6IPuIAgoHATww+KNYHgkAQ7AJuxEL7gII7gL+9oAuGAE4oPAAB2EAAhiwAgNEFqOsHqM3ADEE +ANQa/EMDDgkAcXD+ZsUuKgE4oC9ixg8/AQ9PAi9mxiVmxdEPAlgUBogKJ4LHBzcBB0cCJ4bHJWbF +0Q8AbBAEG6NtLAoA+aOYEAAQQDD3CoAgOAJS8AhSFAkiCiIix8sjLbKDJqKDqN0J3RGtZm0IIX8n +Ey8wDC5gDCUwDfRgDSAOCHuwdUEcAhIU9CAHZgAgObBj/9exzPiMICV0AjsgwCDRDwDAIdEPbBAI +HKUkGaUq+6RwECQA4PADhkImbQHwAAdhAAIxsAMGRgwoCyiCgPoKASAAkE4Q96N0HiABOaAsbIAA +wQQArBpgAAgGDUQA0QQArBodovgNLgL+dsUuMAE5oChyxvjMAQABEHgw8AAZbAUAY/AABl0UB90K +LdLH/cwBAAEQUDAMrDlkwMX6Ug4iAABYsFtQwStBAY5BHKUE/yoAIAAQaDBt+hcowoHTD9MPeLkF +KcKAeeEH/MwQIAICa3Db0PzcAAYAVZdQmhT9FgYh/xBoMPwWBSoAVFtQF6T3LnJ/LuECGaTz+6Q6 +EGQAN6DaIPtsAAIAAGFwW/65KnJ/W0yOjEErQQH+QQAiAAB48PhQJiIAABKw+mwAAgAAaLD4FgAu +TAFwMFv/To8UyPz6Ug4iAABYsFtQmGShKhmk3ShBAAmIAQKIAihFANEPAAAqQQD8SgAqAEBasAyq +AgoKT/w6/yoAQEqwDKoCKkUA0Q8cpBcrQQAMuwErRQDRDwDzEgYiAABQsPtsAAIAAGFwW/6T8hIF +LioBOaAtbIDw0QQAARBgMADMGmAADQYNRPDRBAABEGAwAMwaGaKeCTkC+XbFLi4BOaArcsb7zAEA +ARBQMPAAGGwFAGKwBl4UB+4KLuLH/swBAAEQaDAM3DlkwFhubgfwAAdhAAJZsAYLRCl2xfCxBAAB +EFgw8LsaD/8QSDD5uQMOKAE5oCxyxgycAQy8Aix2xmAAEgAGXhQH7got4scNnQENvQIt5sfaMCN2 +xStQJlgEdGP+3xikkwg4CyuCgSuGgS+CgBqiewr/Ai+GgGP/iwAA+lIOIgAAWLD8CgEgARBoMFtQ +IxmkjihBAAmIAQKIAihFANEPbBAEH6PQ/aJoECgA4PADi0IrvQHwAAlhAAJa8AAAAwtG/Dr9IAEQ +cDD6otMQzghgsCNBAYdBHKR6+SoAIAAQEDBtmhcowoHTD9MPeDkFKcKAeXEH/MwQIAICELD/AgAO +AK5C4Cy8gADBBADpGmABVAtcFArMCizCx9MP/JkBAAEQQDAJiTlkkVcoKgD/AgAGAKjEkBOkXgMj +CywygP8CAAAAoE8Q+LyALhoBOuAAgQQA6RpgAAgLCUQAkQQA6RoNLQL9psUuLgE64CiixvgKASgA +QEZw8AAYaAUATjALWBQKiAoogsf4CgEoAEBGcAmJOWSQ7P8CAAIAhlMQbr4MKbyAAJEEAOkaYAAJ +AAsMRADBBADpGv2mxS4uATrgLqLG/pkBAAEQaDDwABpoBQBPcAtYFAqICiiCx9MP+JkBAAEQeDAJ ++TlkkHD6LAAAABBgMFgEah6iXfv6/yAAEGAwH6QwDC0Rr90u1oMr1oIu1oEr1oAsNoEapC38NoAi +AABYsPqifyABEGgwW0+8+iwAAgAAWXBb/t1koFn6Yg4iAABYsFtP2smh+mIOIgAAWLD8CgAgARBo +MFtPsdEPCwhEAIEEAOkaDSwC/KbFL/9SQuAsosb8mQEAARBAMAmJOWWepy1BAP5KACwAQH9wDt0C +LUUA0Q8uUSuw7i5VK2P/mgAAAAAA+iwAAgAAYbBb/dFj/3kAAGwQCBujzSpBAYgoJ7KD9kIBJEgB +HDD1XQEpkAQ6IPyyeiYAIEXw+XIAIAEQcDD4cA0gJgDg8PAADmEAAilwAAAAAAAAAAMFRvyZDAAX +ADYgLbKECp8Rr90s0AD/AgACASljEByiQB+j6fgqACAAEGgwbYoTKfKBeakFKPKAeGEH//wQIAIC +a3D5Gv8iAABTcPbcAAoATm5Q+hYELiABOWArXIAAsQQA6RpgAAgFDUQA0QQA6RofobcPrwL/xsUu +MgE5YCrCxvqZAQABEEAw8AAaaAUATjAAAAVdFAzdCi3Sx/2ZAQABEFgwCbk5nxX4CgEiAAAacPSQ +pmIFAE4w+goBIAAQSDADqThkkHkqQQAdolz8Ov4rIAFUMP2tAQC0BGLwBt0C/UUAIAAQEDDRDwAu +cSoqcSv4rAEqANVykCh1KyqyhS+hAmT/wVtLVIxBK0EB/kEAIgAAePD5ICYiAAAysPpcAAIAAGmw ++RYALkwBcDBb/hRj/5P6Ig4iAABZsFtPXWSg4RKiPi9BAAL/AQb/Av9FACAAEBAw0Q8AAAAA+hIE +IgAAWfBb/lMcoe6OFf8KASDdADagbl4MKFyAAIEEAPkaYAAJAAUJRACRBAD5Gv7GxS4uATlgK8LG ++5kBAAEQUDDwABhoBQBOsAVeFAzuCi7ix/6ZAQABEGgwCdk5GKN+hxT4dwsAkwA2YNtQ+hIEIAEQ +YDBYA7SKFCsgJlgDVipBAPwgJipMAVAwLXKBKXKALXaBG6N5DMwJ8MEECzAEOqAAqhoAwQTwuxoP +/xBgMAy7AwuZAQqZAil2gGP+nAAAAAAAAAD6Ig4iAABZsPwKASABEGgwW077EqIBL0EAAv8BBv8C +/0UAIAAQEDDRDytxK7G7K3UrY/8bLnKBLnaBLHKAHaFADcwCLHaAY/9bAh2LCg8/Bg4/AA2LDAhE +AC9j/wIAC/7OR9AbopkpQQAao1MLmQEKmQL5RQAgABAQMNEPxyTRD2wQCvMWCCABEFgw9BYGJIAB +HDD1FgQiAAAg8P4+BmAAECgwwLD2oZUQARBQMPxMgC4OALkgKgoAwND8FgUgQBB4MP8WByCAEGAw ++tw5AgAAc3D8FgMuBQBZMP4WAiABEEgwihYAUQQAlxr7ogMhwAJBcPqiAiwoALlg97cBAAEQYDDw +ABVmBQA/MACBBACXGvenAQABEEAwB4c5IyAmii/6MxECAABZcPNTCAIAAGHw8z0CIAEQaDBbTrEs +ICYboxYCzBH8XAoAARBIMPvMCA/gBDlgGqJLqswtwoD/AgAAAG6vUJ0R/BYALhIBOSCMFWAAAYwU +AMEEGqDtAJsaAMEE8HwaDAkAVPD9ZsUv/xBoMP27Aw4mATkgLmLGDr4BDs4CLmbGYAARBFgUBogK +L4LHC/8BD88CL4bH2jAjZsUrICZYAtMKC0f4sRxgARBIMGSwXxug24oR+xIAKgkAWrAqtoBgAO4A +AByi7voSASvwAVQwL7yACP8R/KoBDlYAuuAeoMoOvgIuZrMtYrQNzVII3RENvQL8CoAqCQBqsPAA +CWoJAGKwAAAPqgKIECqGgGAAoYsQwKAqtoBgAJdkcJT7EgUuDgE5IGAAAYsUHaC3ALEE8JoaD/8Q +YDDwsQQKEQBisPB7GgwJAGzw/WbFLiYBOSAvYsYK/wEPvwIvZsZgABEEXBQGzAoowscKiAEIuAIo +xscjZsUrIAwvICaNE4gSAPEEAJoa+LsRCwAEOqDy/xEKCQBasPqhBRgJAFIw+KJWHAkAR3Cv76r/ +//0ELAkAR3Cd8IwX9VwBIf4CYzD8Fgct/wC3IBug2I0WLCAmLrKEjdErsor6ICQsACBzMAnMEfnW +FnoAIGbwZKBFKbAq+qKrEKgAPmDJnNEPZK/tKbAq+Zz/IAAQUDD6JCQo4AFMMCm0KmP/1ywgJgLM +EarMHaHQrcwrwoAdomMNuwErxoDRDy2wKrHd+SQkKOABbDAptCpj/6kAAC8gJgL/Ear/GKHEqP8u +8oAYoG0I7gIu9oDRDwBsEAqKQY1A+gpHD/8QWDD7qgkJYAFoMPgWBygSATqgxirRDwD0oiRj/hB4 +MJQQkhGFF/SoCw9/EFgw/qGZFgcBbDD+FgQnUAQ94PgWCCAAEHAw/hYDKMABaDD+Ov0kAEBdcPyI +EAQJAD1w+BYFJQAEPWD1FgYgEAI5MPAAOGIAACkwAAD5Gv8gtARxsHaTGvsSByIAAFGw/HwAAgAA +aPBb/Tb+Ov0j/hB4MIoYuFX3fAgmAMrVUClRBPmkQQAAxUJQ+QZJAn4AOSBoQzf/AgAEAJ/5kCtR +BfxSAy+pALbgZc+h2mD7EgciAABh8P0SASIAAHDwW/3CLjr98/+sY/4QeDAAAC0wJvqghxYAlPWQ +LFEFiVP7CgEhGQA3ICw2ESk2Eis0WfmggR3ABDtg+zRYLAAgUzAowjonNhUJ2Qr5kpciAIlGICjC +OfmCAQ4Ag84QKTEHHaF9CQlKDJkRDZkCmSCIMIoU+IgRAAMQSDD8FgIoCQBKMJgh8AoXABACSLAA +CYr7oPIQGBBQMJol+yYEIgAAUPBa8vuJFSwyEisyESgxGI0WnSgdoWmcK/kmByAAEEgw+CoUDAkA +brD5JgkrAAQ6oP0mBioJAFLwmiqIMikKAf46/SgJAEow+DYCI/4QeDAtEgIsCgMs1jn/AgAD/24Z +IIwQHaFTK8EEDbsBK8UEKlEECgpJKlUEY/6bANow+xIHIgAAYfBb/f7+Ov0j/hB4MPoWAyH/QTKg +wCDRDwBlnufAgCg2ESg2Eig0WfP+4mABEFgwghPRDwDAINEPAAAAAPvcGCIAAFDw/AoAIAMQaDBb +V7MpMCcuOv31n3tj/hB4MIo3wLD6rCAgARBgMFtVShihM5igjDD9Egcj/RBwMP2mAyABEFgw/aEu +HYAEOyD9pgIsCQBbMPymASP+EHgw+zQnI/8KmSBj/zUAAGwQChigcZMYiRgqgnspkCYogoHyFgQo +ACBWcPUWAymQBD5g+YgIAgAAGTD4FgEuIgC5IBqh0gRZFAqZCmAAAwAZodAWoB0Xn6j9oPwQABAo +MPsqAC6AARgw8OEEAAEQcDDw5BoMACBucG26DQdYAihmxS/SgH9IBLFVJSoAKRr//wIACgCerlD+ +PgZiAABI8MCQmRBgAEIAACIa//VcAS4Ah8DgGaG3H6Dl+ioAIAAQaDD1qwwOACB+cG26EqXeB+kC +KWbFKPKA+EgGcAICa3Cl3vXsAAoAdPSQihgSoZyKrgJSC5IS8iKAIgAAWXBbTVeIE8iBaKGgyaOK +GPtcAAAAEGAw+qIOIAEQaDBbTSsYoZQMXxGo/58VLvKBjRgt0hH/8oAgFwA3YH7ZD40YLdISZNAH +/wIABgDe79B0L0kaoCL7XAAAABBgMPqigyABEGgwW00a8hICIgAAUXD7PAAAABBgMFgBtY0VHp+n +LtaDx88s1oIu1oEs1oDAsCsmgSsmgGAADwAAANpQ/BIIIgAAWPBb+0AiGv/7EgQiAABRcFv8KmSh +D/8CAA//fJDgGqFzA1kUCpkKY/7rAAAAAMDhixj7sCYhAAJo8ADRBADsGvwWBiugBD7gK70C+xYH +IAAQEDD5EgYuDgE44GAAAdlAhRelJQddAv1mxS4wATjgKmLG+pkBAAEQQDDwABloBQBOMAADXBQG +zAoswsf8mQEAARBYMAm5OWSQQYoY+ywAAAAQYDD6og8gARBoMFtM39pQ+zwAAAAQYDBYAXyNGC3Q +JhuhQALdEQ0tCqvdGaB3qd0s0oBwzyDA4C7WgLEi/wIADf+4nKCOGCzgJMDQ/eRdIK0ANyBgAA+L +GPuwJiIAAFFwWAEOY//TiBEvgCqw/w8PR/+EKiAdADfgwCDy5CQgABAQMNEPihQpoSuwmSmlK2P+ +4i3gJv6hLBIAAFuwAt0Rrt0eoFqu3SzSgB6g7Q7MAfzWgCAAEFAw+rQkIAAQEDDRDwCNFI8Y/dAM +IAEQYDD7EgAgABBwMP72ESIAAFPw/vYSLYAEP2D9uwIAgBBoMP70WSoJAG7wWAKGY/4MwCDRDwBs +EAgXoICIKIkwJ3J/1FD5BksJkAQ6IPhvEnYAIEXwCYlCKZ0B8AAHYQACSnAJCUYqIDZ+rxrGWisg +WGSwdiQmE/MmFCIAAFEwWKrqwCDRDwCNMPkWASASAC9wjDFmwV/AUHrW0okxCXlSZJFv/wIAAADB +BmBpkr2HOIU5jzWNNIoy/CAmIAAQWDDxBAQP4AFoMP/pGAvwAVAw+hYAICoANmBtCAywmAiZAfSQ +GmACAlrwY//s2kD7PAACAABhcFiqpcAg0Q8AAA8JT/oKACAXADZgbQgMsJgImQH0kAdgAgJSsGP/ +7JISkxOUFPSe+h//EEAwghAZoM0MIxGpMyQ2gyg2giQ2gSg2gAjZAwl5ASk2gwj4AwhYASg2ggfU +AfQ2gSIAAGiwBfkBKTaAFKC68MEEAgABXDD6CEAAARBYMPiIEQNwBDzg8LsaAgkARPD0JAsKCQAe +8CtGgQYDRvzKCQAAEFgw8KEECEgBNDDwuBoJgAQ+YPmIAggHATQw+J6kEgkARPAJmRHyEgIiCQBM +8PygrxIJAETwI0aAgxP0EgQgBBBQMFitOsBQKCA2wJQJiAIoJDZj/n4AAAAAAAAA/JwAAgAAUfD7 +LAAAARBoMFv+vo0w8/6JYgAAKrDacPssAAIAAGDwW/4J8/+9YgAAKrAA2iD7EgEiAABg8Fv9QmP/ +pwAAAAAAbBAGH57SlBGTEBSe3BaeZwYmAiZGxQJeEfgKgCAAECgw/QoAIf8QEDD6CgAgARBgMP4W +AiAIEDAw+0LGLgAge7BtaiMAUQQAyRp5sBLyjAAAAgJSsC/iwg8CAHnwAbHd9VwBIAICQjDzCgAg +ABB4MA9VFARbCiuyxy4SAvj8AAAgEDAw9LBAZeAEPWD1nrAeACArsNMP9QoALgAgK7BtaiYAUQQA +yRp5sBXyjAAAAgJSsCbiww8CAA8CAHlgAbHd9VwBIAICQjCxM//8ICVEAjjgiRCIEZqQnYDRD2wQ +BByepxuemheeMQcnAifGxfJdEQAAEBAw9QoAIAgQGDD3wsYoACBbcG06DsGfApkMeXUEsVUqgsKx +IvoKACAAEEgwCVYUDGcKJ3LH/mYRAAAQEDD22AgAIBBwMPRwFmgAIFowbeoOwe8C7gx+dQSxVS+C +w7Eisar5nCAljgI6oA1CCv5SGGIAIFiwIy0EgzAVnhP0LQQiCQAs8JNA0Q8kLQSEQBigKfUtBCQA +QEEwlFDRD2wQBtog+xwAAAAQQDD4FgAgCAJgcPgWASIAAGjwW/+UjBH7EgAh/xBIMPKTSnABEHAw +H6ATDy8LKfKBADEE/fKAL/8QQDDw7hoCnAE64PnpAgLsATsgB+MQA9MCKfaB+MgRAwAEOqAIIgLz +9oAiCQAS8NEPAAAAAPmgAxMDEEAw8j0RCgAuEhAenenwAIVoACBPcAAAAAAA+OIDAnAEP6D4MwMC +AEAScPwpOAwAQB9wKfaB+MgRAwAEOqAIIgL99oAiCQAS8NEPKfaB+MgRAwAEOqAIIgL99oAiCQAS +8NEPGJ/qH53S8DEEAv8QSDDw7hoKAAgWUAo5EQkpDCmd/mAAAcSQ/ZkKDwAEO6D4mQgOCQB7sB+f +F6+Z/ZKAIjgBOuAO2AL4zxEDAAQ6oA8iAviWgCIJABLw0Q9osRbA0PjIEQMABDqgCCIC/ZaAIgkA +EvDRDx6f0Q7dAfjIEQMABDqgCCIC/ZaAIgkAEvDRDwAAbBAE+J4eHhgBOODwAAdhAAI48AMHRBWd +pfBxBAABEDAw8GYaD/8QSDDwcQQGEQBJsPBEGgQJACyw9YbFLioBOOApgsYJaQEJSQIphsYihsXR +DwNbFAi7CiqyxwpqAQpKAiq2xyKGxdEPAAAAbBAIFp7kFZ4C95+oHhQAuOAsIA1gAAHAwC0gDPqf +sRCAEEgw/iAmIAAQWDD43REODAE44Nmw8OEEAAEQQDDwiBoMCQBvMPndAgkABDog+SBdKAkAajAK +iAL4FgAgNwA1IGSRNS4gJPmdvRAnADegKyQkKyAmKpKEKZKKq6oJqhGqmSiQKrCICA9H+JQqIPAA +N+DRD/SfzGyAARwwKyRd/RYBIEAQUDD6FgQhAAJg8PwWAiAAECAw+iIPIgAAWTBbSzn/AgAAABBY +MP8CAAAAQwagKiAm/RICLyAEOqD+TgoLoAQ6oPpKCA4AIDuw+q0CLg4BOOBgAAGNERmdUfDRBAwJ +AEqw/FbFIAEQeDDw/BoP/xBAMPDRBAwRAEMw8L0aDiYBOOAoUsYMiAEI2AIoVsZgABEDXxQF/wop +8scMmQEJ2QIp9scqVsWm6SiSgHCPFyuWgIkU9EwBIf4CSnD5FgQvVQC2YGP++tsw/SAmIgAAYTBb +/v/z/9pgABBYMB2fVAK8Ea3MpswqwoAdnxcNqgEqxoDRDwAAAADAkfkkXSiAARgw+BYBIQACePD/ +FgIgQBBwMP4WAyAAECAw+iIPIgAAWTBbSvf/AgAAAHGGoCwgJvrKEQ0gBDsg/EwKCgAgUTD6rQIs +ACA7MPudFRwAIDMwLcKA+6sCAMYAL3D8EgIuDgE44GAAAYwRK1bF8MEEAAEQYDDwzBoP/xBIMPnL +Aw4mATjgLVLGDb0BDc0CLVbGYAARA18UBf8KLvLHC+4BDs4CLvbH+lbFIgAAWPD9ICYiAABhMFv+ +xmAAUf0SAi4OATjgYAABjRErVsXw0QQAARBoMPDdGg//EEgw+dsDDigBOOAuUsYL7gEO3gIuVsZg +ABIAA1gUBYgKL4LHC/8BD98CL4bHKlbFiRApxoCKE/RMASH+AlKw+hYDLwAAtqDz/aBgABBYMGwQ +BhifBv+c4RAAEFgw+iAMIAEQSDD9ICYiAABhMPee8BwFACJw+K4RAIAQUDD13QMtIAQ/YPVcBCwA +ID9w/I85DgwAuODZsPm6OQABEDAw/+kCDjAAuOAuIA3zDEQOCQBLsPAADW4JAHKwAPw8gC4JAEqw +GZ01H5zAAMEE8GoaD/8QQDDwwQQKEQBCsPBMGg4JAH1w/5bFLiYBOOAvksYPrwEPzwIvlsZgABED +XxQJ/woo8scIqAEIyAIo9scTngMllsWj2SiSgGaABC6WgNEPIiAm+xYAIgAAUXD7FgEgCAJgcPsc +AAIAAGiwW/43+hIAIf8QSDD/OgMqAByuUByetwxcCynCgQAhBI4R/cKAL/8QeDDwaxoCZgE6oPm5 +AgIAT0OgB74QDt4CKcaBLsaA0Q8A8isRCgAaK9AcnJPwAF1oACA+8AAAAAAA/7oDCHAEOuD/iAMK +AEBScP6pOAgAQENwKcaBKMaA0Q8enp4dnIbwIQQC/xBAMPBsGgoACC4QCikRCVkMKZ3+YAABxJD7 +mQoNAAQ7IP6ZCAwJAGswo5n7koAiIgC6oPihGWAAEGgwLZaA0Q8MvgIuloDRDynGgS3GgNEPH56L +D78BL5aA0Q8AAGwQBPogJiABEDgw+JzFENkANSAMphH7nMQWACBBsChiOgurCvuylyIAZEYgKWI5 +H53FHJ2a+5UBDgBb3lAuIQcODkoM7hEP7gKeUI0g+N0RAAMQcDAO3QKdUfAMFwAQAklwAAmK+J04 +EBgQeDCfVfhWBCIAAFCwWu9CLiEYLSIR+yISKBAEPSDzDEYIBwEYMP/6fylQBDog/z8BDMAEOyD8 +naoYCQBmcPtWCy4JAEfw+VYHIAAQWDD+LhQPAAQ/4P9WCC8ABDug+1YJLAkAd3D9VgosCQBisJxW +iiIHqgKaIsCTKWY50Q8nJFhj/yQAAPusGCAAEGAw/QoDIgAAULBbVAstICfI0NEPiifAsPqsICAB +EGAwW1GkHp2MnqCMIABNEfudixwJAGzw+6YCLYAEOyD9pgMsCQA7MJyhJyQn0Q8AAGwQBBqcEhye +QBadkSitGiiChBedoyZigv6chhgAICIw+YgRAgAAaPDznWMWACBBsBmcDNMPDwIACSkCKeazL+K0 +GJ4y9dwSLgBAR/APXwIv5rQi5rMZnGoCSBGpgi0iwXXbTClgNGSQ1SIiwfICTwAAEBgw+jwAAgAA +WLBb9b/2oAdgAgIY8Gk06Rib8CiAwX2Pe/BZEQD0AH0wBBoUB6oKK6L0CwtPC5kCKab00Q8AfVtb +2kBYB5j1rAAAUwA2oCtgNA8CAGSwiiIiwfICTwAAEBgw+ywAAgAAUPBb9af2oAdgAgIY8Gk06Rib +2CiAwX2PG/BZEQBiAH0wBBoUB6oKK6L0CwtPC5kCKab00Q/RDwQcFAfMCivC9B2dxg27AQtbAivG +9NEPBB4UB+4KLeL0H53AD90BDV0CLeb00Q8vYCIC/xGs/6P/JfaAJWUZY/8WAAAoYCIZnewCiBGp +iKOIKoaAKmUZY/9eAABsEAqKMo4w/yA2IIAQaDD6BF8MLgFQMPqnQQlgAXQw+RYHKiwBVDD6ikEA +JADicA6GQiZtAfAAB2EAAjGwDgZG+J3ZEB4Ae/D5ndgaABYmEHSbJPz66iAAECAwKiBZZKFWZEFT +JSYT8yYUIgAAUXBYp7HAINEPAAD5m+cQBBBAMP8KACgJAEPw+CQ2IACVK5CdFpwVmxSaE/8WCSYA +l00Q2mD8ICYiAABZMFv/eiggWflMEiABEHAw+SUYIOwANiArICYcm+sMuhH+JFgqACBisCyiOv8C +AAIB4EcgH5vnLaI5D78KL/KX/9QBDgHWf1ArIQccnOgLC0oMuxEMuwKbQCkiABicuviZEQADEFgw ++hYCKAkAXnApRgHwCBcAEAJJMAAJih2cXP1GBCAYEGAw/EYFIgAAULBa7mUYnNcrIhEuIhL6IRgi +AABKsIwX/kYLL38QeDD6KhQICQBGcPx9QAjAAWAw9d0RDABAezD5RgYrAAQ6oPkSCSoJAFLw+kYK +KMAEOiD4RgcsCQBrMPlGCS0ABDsgnEiJIsDhDpkCmSKIEsDzL4Y58AAgYAEQIDAAAAAAAADAwPpc +AAIAAFjwWKc0wCDRDwAAAMBAiRX/AgACAM9CYP2ddRIAdBHgjxYYnW0pICb5FgggARBQMP4SCCIA +AFnw+Z0DLSAEOmD9IAwsACBrMPDhBAoFAD6w/ps+HgwAuaDAoPgSCS4FAFowwLEAuxr6jzkLgAQ7 +YPC7EQoJAHKw/24aYAEQcDAoIA0GDUT/vwIICQBSMPAAD24JAEfwCv8C/WyALgkAfvAam5kA0QTw +6xoP/xBAMPibIhoRAEbwANEE8H0aCAkAQnD4psUuKgE5oCiixgi4AQjYAiimxmAAEwAABlgUCogK +J4LHB7cBB9cCJ4bHHZxnmRsppsWtyiuigP8CAAIAbC7QL6aAjhT/AgACAFxDoI8T/wIAAgBLE+CJ +ExubVhidNY4ZjCAtguAfm9z5vjgLkAQ7IPmC2SwAIFdwitoogh+L2/nMDAoAQHqw/qoCCgAIQxDw +AApiAABLcAAAAADAkB2cWsP6L9YQK9YRw+su1hAq1hGN0B6c/B+cVPjIEQwAQHdw+N0CACAQcDAO +3gKe8Bic9h+c9x6cTQjdAg/dAf3mACAIADZgmpqbm/P872AAEGAw3JD6LAACAABZsFv+AGP+Vdzg ++2wAAgAAULBb/Upj/zsAAACHGZcQlxGHG9sQ/SAmIAgCYHD9FgoiAABR8Fv8ZvoSCiH/EEAw+xIA +KgAuvhAdnOYNfQsp0oEAoQT60oAgARBwMADuGo8R/rIZYgAAOrD56QICVgE74AfqEPAAIGoJAFHw +AADHf/frEAwRADuw97sDDABAYnD/yTgKAEBasCnWgSrWgGP+qCg6A/KtEQoACToQGpzOGZq38AA5 +agAgU3AsKv93wwsKqREJeQwpnf5gAAHEkByarx6cxfChBAABEHgwAP8a/ZoKCQAEP+D8mQIKACBy +sB2b+K2t+tKAIhoBOuAJqgIq1oBj/kRosQfz//NgABBQMB6cuvP/6GoAQHKwAAAAAAD6LAAAMAJa +8PwKACADEGgwW1J1LyAnZfz2iifAsPqsICABEGAwW1AOHZv3naCLIByb9vymAiABEHAw/BIHK4AE +PuD8pgMqCQB28JuhLiQnY/y9AABsEAYZm0qGKC2ShPIgJiIAAFCw95J+J5AEOaD/knomACBpsC5g +DKJ3CXcR99cIDgAge7D57hEAARB4MPg/EnwAIHdwA4NCIz0B8AAHYQACGPADA0ZuPgwbnJwGORGr +mYmQYAAfHpvvA1wUDswKK8KELMKIAw5EAOEE8PkaCgkAZvALmQH8cRgqACAVsP6wfCAOADZgZUCh +ZVCeYAADAGRBCihgDPIWASAAEHgwDwIA+Iz5IAEQEDD4LzgAABBAMA8oOPISASAuADYg9JBxYf4C +QzAodRgpsHywmSm0fCjQdimgNiiM//jUdiD3EEAwCJkBKaQ2+goBIAAQSDAPqTjJlSlxGGTAXWSQ +pymwfGTgY/0WACCoADZgK2AM+LcdYAEQcDAu0Hb6PAACAABYsPxMAAIAAGlwW/SO0Q8AY//msckp +dRgosHyxiCi0fCnQdiigNrGZ+dR2IAgQSDAJiAIopDZj/45kn6HAoyp0UWP/mQAAAAD9FgAvoAA2 +YB6a7Itg0w8u4nr6chkgARBgMA8CAP67DAABEGgwW0fQ/RIAIAEQeDAvdC5j/20AAGVe8WP/hsCB +KHRRY/9PAAAemtyLYC7ievpyGSAAEGAw/rsMAAEQaDBbR8GNEGP/O2wQBiogXxea0nOhRYwoJHKE +KCAm+XJ+LZAEOyD2cnosACBhMIXAqYgJiBH2VQwEACBBMPQwBmHQAjHwy6MuwHew7g4NR/7EdyAP +ADdgIyRf0Q/RDwAAAAD6QhoiAABZcPwKACABEGgwW0elIyRf0Q8AAC/Ad/pCGiACAnvw/8R3IgAA +WXBbR8JkoXwYnBklQCL3mq8YACBBcCiA4PdyhC+tADYgKGKHpYgJiBGod4d3h34qcDDMqihwO2WA +BSlwRmSficCw/AoAIAEQaDD+CgIgPxBQMPp1sSIAAFFwWF4H2lD7CgAgARBgMP0KASACEHAwWF4C +K3Gxfb9sGZv++rczeAAgTXAqnQEqoECZECt9AfSgtmEAAjLwaaEj2lD8Cv8gBBBYMP0KACACEHAw +WF3zYAALACZ9AfkWACEAAjGwiBAojQEojIAogMBogTbAwCx0/Sx06Cx0tCxkzixktixkhiMkX9EP +ANpQ+woDIAAQYDD9CgEgAhBwMFhd4CtxsWP/dwAAK3Gx/wIAAgBJetD/AgACAFVy0Hq3stpQ/Ar/ +IAQQWDD9CgAgARBwMFhd08DALHS0LHToLHT9LGSGLGS2LGTOIyRf0Q8AACqwJP8CAAH/tyag9AoA +IAEQaDCwrn5CAcDQ+lwAAAQQWDD+CgIs4AEgMFhdwSpgpLFE+kLdcAEQaDBj/zf6QhoiAABZcPwK +ASABEGgwW0c5Y/5s2lD7CgAgABBgMP0KASABEHAwWF2yK3Gx/wIAAf+vctDaUPsKAyAAEGAw/QoB +IAEQcDBYXaorcbFj/z4AAABsEAYpIDbApPqYAgAaAPpw8AC9b+oQYDAAKCQ2izAUmbP5MgEgABBg +MPsGSwAAUS7QKCAmLUKE+edQChwBTDD0QoouHwFIMP4WACgAIGow/CQnKZAEOiDyllx0ACBBMCIx +BPybjhAYEFgwDwIA/kAoIgAAaLBYqA0YmZ72mbcQ2gRAsPQgiWEsEEgwcpNVKkAlLUIb+0ETIMkA +NqD/LBEKAAPckCxFE43cZNDE8AAhYAAQYDAAAADaIFv/OvosAAIAAFmw/BIAIgAAafBb/r7AwPpc +AAIAAFjwWKUlwCDRDwAAAADz/+lv6hBgMCpAKGSgkf2sASAYEFgw/JtpHOABbDD9RCggBBBQMFin +6GP/mypAKGSvzf2s/yAYEFgw/JthHOABbDD9RCggBBBQMFin3y5AKGXvdMCk/JtbEAAQeDD/RCUg +GBBYMFin2ClCG4icZIBfi51ksFraQAuwAGP/SsCh+kQlKfAEPKApRRNj/zUrQCICuxGmuyuygitE +SmP/KQAALEIbjMzJxPpAKCAAEHAw/kUTIAEQaDAtRCVj/1AvQCIC/xGm/y/ygi9ESmP/2AAAKUAi +KEBKApkRppkoloJj/uYAAABsEAT6LAACAABhMP0KAyAgAljwWE6I0qDRDwAAbBAGKCAh9Jj2EAoQ +KDD7iBEAHBBIMAmIAihGwRuY9PwKACAyEGgw+pjwEAEQcDD1RsMgABB4MFtJhNag85juEABZrqAc +myEpQsIqIC/8wgAqLAFMMPwWACoAIA7wK7AAwNL8CucgARBwMPyqAQvQBD7g+iQvKgkAWrD6JC8g +FABucC0lIGAAAi4lIC8gIQ8CAA8CAPv/EQAFEEAwCP8CL0bBG5jS/AoAIDIQaDD6mM4QARBwMPVG +wyAAEHgwW0li9qBAYgAAKrDAgNmAGpjLLULCHJjK/atADAsBbDD9yTkIBQBasAmIAvglHiIAABFw +0Q8AwKT7ChQiAABg8FinctJg0Q/cMPoKBCAUEFgwWKdu0lDRDwAAAGwQBMCw8gJHAB8QYDD9CgEi +AABQsFh6t8pI/TEAIgAAULD7CgAgExBgMFh6svosAAAAEFgw/AofIAAQaDBYeq3AINEPFJih+ykR +ABMQUDAKmQIpRsEamJ4bmJ/8CgAgMhBoMP4KASAKEEAw+EbDIAAQeDBbSS9moAkrQsIrNQBj/6oA +wKT8mJUQFBBYMFinSGP/mQBsEAYqICH7HAAAABBgMFv/1/mawxAAEi6g+BEAIE4CPOAJiAEoFQAq +ICH7HAAAARBgMFv/ztKg0Q/SoNEPAAAAAAAAAPoRACIOADjgaTPX/jsRCgBASrALqgIqFQBj/8Zs +EATUIPwKKCAmEFgw+DwWYCUQUDB6MS17MVv/AgAGAEbk0MYq0Q8tIEP/AgAGAExnUP8CAAYAVV9Q +/wIABgBvV1DAINEPKiAh/ZhqEAAQWDD8CgAgABBwMFgCwsCw+iAhIBcQYDD9CgggCBBwMFgCvdKg +0Q8AAMCw+iAhIBgQYDD9CgEgARBwMFgCtvagiGIAABKwKkAh+woAIBIQYDD9CiAgIBBwMFgCr9EP +KiAh/ZhPEAAQWDD+mE0QABBgMFgCqcAg0Q8qICH9mEgQABBYMPwKACAAEHAwWAKiwCDRDyogIfsK +ACAYEGAw/QoBIAAQcDBYApz2oB5iAAASsCpAIfsKACASEGAw/QogIAAQcDBYApTSoNEP0Q8AACgh +GM2EKiAh/Zg0EAAQWDD+mDIQABBgMFgCiypAIfsKACAXEGAw/QoIIAAQcDBYAobSoNEPAAAAbBAE +HJpb/SAiIAUQUDD+IR0gGBBYMFim1CggIROYGcB6C4gRKDbBGpgY+5gYEAAQYDD9CjIgARBwMPc2 +wyAAEHgwW0ip9pgTEgAAIrD1GgAgAMYuoC4ywikhHf+YIx4JACuw/g5PABQAXnAP7gIODk/6ICEg +ABBYMPwKACAAEGgwWAJjZqAxKiAh+woAIAQQYDD+CgAj4BBoMFgCXWagGCogIfsKACAJEGAw/goA +IQAQaDBYAldnoAPSoNEPJCEdwKX8mBkQGBBYMP0gIiIAAHEwWKal+iAhIAAQWDD8CgQgABAwMP5s +AAgAASAw/RoALgUAQXBYAkZmr73AsP0qACAJEGAw+goCIgAQSDD6SgECAABxsPogIS4FAFJwWAI8 +Zq+VJSEdwKX8l/8QGBBYMP0gIiIAAHFwWKaLBexA9GwABAAQWDD8tDkAFABBcB2X1A1EAiUgIdMP +DwIA+1URAAQQQDAIVQIlNsEbl8j8CgAgMhBoMPqXxBABEHAw9zbDIAAQeDBbSFj2oGJiAAA6sCky +wiU2wRqXxAqZAQlJAgkJTyk2wvuXuRAAEGAw/QoyIAEQcDD6l7QQCRBAMPg2wyAAEHgwW0hI9qA/ +YgAAGrBmoC/2JEIiAAASsNEPwKT7ChQiAABhsFimYNJA0Q/ApPyXqRAUEFgwWKZb93/UYgAAUfDS +oNEPAAAAAMCh/JenEBQQWDBYplTz/7RiAABQ8GwQBCsgIRmXltMPC7sRK5bBGpeaKpbCGpeUG5eU +/AoAIDIQaDD+CgEgCRBAMPiWwyAAEHgwW0gk9qAqYgAAErAK6jAZl4UpkjEKmQoM6jAMnAxqwQ5t +CAgK6jAKmgxqoQJj//DRD8Ch/JeJEBQQWDBYpjXRDwAAAGwQBNogW//gZ6AE0qDRDwD6ICEgABBY +MPwKHyABEGgwWHl8Fpd2E5dw9q/eYAoQKDAoICH7iBEAExBIMAmIAig2wRuXbPwKACAyEGgw+pdo +EAEQcDD1NsMgABB4MFtH/PehGWIAACKwwKT7ChQiAABhsFimF9pAZq+Q+iAhIAAQWDD9mZYQHxBg +MFh5YmaveikgIScKGA8CAAuZEQeZAik2wRuXVPwKACAyEGgw+pdQEAEQcDD1NsMgABB4MFtH5Peg +9mIAACKwwKT7ChQiAABhsFil/9pAZq8w+iAhIAAQWDD9mX4QHxBgMFh5SmavGiogIdMPC6oRKjbB +G5c+/AoAIDIQaDD6lzoQARBwMPU2wyAAEHgwW0fO96EgYgAAIrDcYPoKBCAUEFgwWKXp2kBmrtcq +ICH7CgAgHhBgMP0KAyAAEHAwWAGMZq6+KiAh+woAIBIQYDD+CgAggBBoMFgBhmaupdogW/8A9q6d +YAAQWDD7JCAiAAASsNEPAC0ywh6XIsCw/Q1PABMQYDD6ICEsCQB3cFh5H2aub/ogISAAEFgw/Aof +IAAQaDBYeRpmrlnaIFv/dWP+vQAflyktMsLAsP/dAQADEHAw/t0CABgQYDD6ICEt4AFsMFh5Dmau +KvogISAAEFgw/AofIAAQaDBYeQhmrhQoICELiBEHiAIoNsEalvv7lvsQABBgMP0KMiABEHAw9TbD +IAAQeDBbR4z3oXliAAAisMCk+woUIgAAYbBYpafz/p5iAABRMB+ZKC0ywisKAA8CAP4KYCwAQH9w +/t0CAAAQYDD6ICEt4AFsMFh47Gato/ogISAAEFgw/ZkdEB8QYDBYeOdmrY36ICEgABBYMPwKEiAS +EGgwWHjhZq13+iAhIAAQWDD9mRMQERBgMFh43GatYfogISAAEFgw/ZkOEBAQYDBYeNZmrUv6ICEg +ABBYMPwKEiAAEGgwWHjRZq01+iAhIAAQWDD9SiIgERBgMFh4y2atH/ogISAAEFgw/Zj/EBAQYDBY +eMZmrQn6ICEgABBYMPwKEiA8EGgwWHjAZqzz+iAhIAAQWDD9mPUQERBgMFh4u2as3fogISAAEFgw +/ZjwEBAQYDBYeLVmrMf6ICEgABBYMPwKEiAIEGgwWHiwZqyx+iAhIAAQWDD9mOYQERBgMFh4qmas +m/ogISAAEFgw/ZjiEBAQYDBYeKVmrIX6ICEgABBYMPwKHyAAEGgwWHifY/2UAAAfmNktMsLAsP/d +AQAEEHAw/t0CABgQYDD6ICEt4AFsMFh4lWP9EwBsEAQpICETlof7mREAHRBQMAqSAiI2wRqWhRuW +hfwKACAyEGgw/goBIAoQQDD4NsMgABB4MFtHFWegGMCk/JZ+EBQQWDBYpTH2oFZgABAQMNEPAAAp +MsIiNsEr+gD7mQEAIRBQMAqZAgkJTyk2whqWbxuWb/wKACAyEGgw/goBIAkQQDD4NsMgABB4MFtG +/2evtcCh/JZvEBQQWDBYpRtj/6XRD2wQBCkgIROWXvuZEQAdEFAwCpkCKTbBGpZcG5Zc/AoAIDIQ +aDD+CgEgChBAMPg2wyAAEHgwW0bsZqA8KTLC+iAhIDwAfnD7CgAgHRBgMP0K/yDuEHAwWACu0qDR +DwAAAPsKACAdEGAw/Qr/IO8QcDBYAKfSoNEPAMCk/JZGEBQQWDBYpPlj/7VsEAQiesPRD2wQBCoK +BfyYghAYEFgw/SAiIgAAcPBYpPAkICEVljULRBEkVsH7ljUQABBgMP0KMiABEHAw+pYwEAoQQDD4 +VsMgABB4MFtGxPegFmIAABKwwKT8liwQFBBYMFik39EPAAAAKVLCJFbBG5cqGphqHZhqAwxA/ZkB +CgUAYvAKmQIJCU8pVsL7lh0QABBgMP0KMiABEHAw+pYYEAkQQDD4VsMgABB4MFtGrPegFGIAABKw +wKH8lhoQFBBYMFikx9EPANEPAABsEAQpICEUlgn7mREAARBwMA6ZAilGwRuWCPwKACAyEGgw+pYE +EAoQQDD4RsMgABB4MFtGmPagDmIAABKwKkLCCipAmjDRD8Ck/JX9EBQQWDBYpLDRDwAAbBAEKCAh +85XzEAoQKDDTD/uIEQAaEEgwCYgCKDbBGpXv+5XvEAAQYDD9CjIgARBwMPU2wyAAEHgwW0aA9JXr +EAAkLqApMsJ0lwPAItEPKiAh+6oRAAUQWDALqgIqNsEald/7ld8QABBgMP0KMiABEHAw9TbDIAAQ +eDBbRnBmoBgiMsIC0kDRD8Ck+woUIgAAYTBYpIrAJdEP3ED6CgQgFBBYMFikhsAl0Q8AAGwQBByY +FP0gIiAFEFAw/iEdIBgQWDBYpH4jICEUlcMLMxEjRsH7lcMQABBgMP0KMiABEHAw+pW+EAoQQDD4 +RsMgABB4MFtGUvagR2IAABKwKULCI0bBGpgACJkyDwIACpkCKUbC+5WzEAAQYDD9CjIgARBwMPqV +rhAJEEAw+EbDIAAQeDBbRkL2oBdiAAASsNEPAMCk/JWpEBQQWDBYpFzRDwDAofyVqxAUEFgwWKRY +0Q8AAAAAAABsEAYXlZv5LAACAAARMPQwSWWwBDpgkhEDSQIpdsEidsIalZUblZb9CjIgARBwMP8K +ACAAEEAw+HbDIgAAYjBbRib3oLxiAAASsCoKBPyVjBAUEFgwWKRAYABGAksCK3bBwKoqdsMblYX8 +CgAgMhBoMPqVgRABEHAw8hYBIAAQeDBbRhX3oBRiAAASsMCk/JV9EBQQWDBYpDBgAAUscsIsFQD4 +EQAgAC0soMefCVUDBYUB9DBXZeABLDADSgIqdsGJESl2wvuVbRAAEGAw/QoyIAEQcDD6lWgQABBA +MPh2wyAAEHgwW0X896BsYgAAErDAofyVaBAUEFgwWKQX0Q8A0Q+CEcCzK3bDY/9ZAI4R0w8PAgAO +TgIudsEFbQItdsLAySx2w/uVVRAAEGAw+pVSEDIQaDD+CgEgABB4MFtF5vegEWIAABKwwKH8lVQQ +FBBYMFikAdEPAAVoAih2wsDxL3bDY/++AABsEATApfyXjRAYEFgw/SAiIgAAcPBYo/b6ICEgHAA0 +4P2VQhAAEFgw/pVAEAAQYDBb/5nSoNEPAP2VPBAAEFgw/AoAIAAQcDBb/5P2oERiAAAasCYgIRSV +LMBa95UwF7AEOaAmRsEalSr7lSoQABBgMP0KMiABEHAw9UbDIAAQeDBbRbtmoIYoQsJ0j9UuIR13 +7wTSMNEPAPyXZxAFEFAw/SAiIBgQWDBYo9EiICELIhEiRsEalRf7lRcQABBgMP0KMiABEHAw9UbD +IAAQeDBbRahmoEopQsIiRsEal1cImTIKmQIpRsIalQoblQr8CgAgMhBoMP4KASAJEEAw+EbDIAAQ +eDBbRZpnr4dgACLApPsKFCIAAGHwWKO1Ivq50Q/ApPsKFCIAAGHwWKOx0jDRD8Ch/JUAEBQQWDBY +o63SMNEPAABsEARkQHL/AgAAAE4FIPoKACIAUwEg+QoAIAAQIDDy+uogbgA1oGlhEvYKACCfADUg +x8DwABJvABBYMADRDwSEFPRAHWAQAjGwdLjxdMAMBEQU8AAGYAgCMbCxZgQUFGVP9/CXEQXQBDqg +B0QC81cKBAkAIbCUdNEPAloKKaGIKq0BJKAOKqAP8goAL5oAtaAlNAskNAwqNA35NQcgBBBYMCs0 +CNEPAloKKaGgKq0BJKA+KqA/Y//PAloKKaGUKq0BJKAmKqAnY/+9AADwnBEL0AQ+oPNcCgoJAGbw +m8TRD2wQBBmVHcKz95cGGSAEOKD5iAgAIhBQMPmXARwAZIFg9gpgIEAEUXD7UWh/6hAQMCqChRuW +/AuqASqGhdEPAAAAAAAA8goAIAgQYDDTD23KMAAgBAMNG3/XJQIVQPIEQAXgBD1g8i8UBAkAKTDy +/xEFgAQ5IKT/p/+O8QbuAp7xsSLAICOChRSW5wQzASOGhdEPAADyCgAgCBAwMNMPbWoyACAEAwsb +f7cnAh9A8g5AD+AEP+DyLRQOCQB7sPLdEQ+ABDugrt2p3SzSgAbMAizWgLEiwCAjgoUUltIEMwEj +hoXRDwAUlMkmQoQkQoqiYgkiEaJCIiBDeilQwCD5CggvnxAwMG2aMAAgBAMKG3+nJQIeQPINQA/g +BDug8iwUDAkAd3DyzBENgAQ/YK3Mp8yLwQa7AZvBsSItgoUelLYO3QL9hoUgABAQMNEP+ylEf/cQ +MDDyCgAgCBB4MNMPbfoyACAEAwQbf0cnAhxA8gtADeAEOyDyKhQKCQBm8PKqEQuABD7gq6qpqiWi +gAZVASWmgLEiLYKFHpSfDt0C/YaFIAAQEDDRDwBsEAYelLn9lMQQABBYMPyUThAAEFAw8iMRAAAQ +QDDzFgAv/xB4MPAAD2ABEEgwALG7+qwgJKIAOuCCEApVFAJVCq5VJVLD8goAL+UANWALthFtCC4A +IQQAlxp3UBgMZAIk1rMj0rT/dAMDoAEcMPRVAQgBABowsSL/LLNgAgIxsGRfq2P/yoUQrlUlUsLy +CgAgQAA1YPYKgCCHEFAwbQgtACEEAJcad1AYDG4CLtazK9K0/34DC6ABXDD+VQEIAQBaMLFm9qMJ +cAICELDIUWP/yyJa7giCOdEPAAAAbBAGFZRhKSAiKFKHJVKKqYgJiBH0MAAkACBFcIVX9VIOIGMA +NSD/AgAAAMiFIPr62iIAa4Eg/wIAAgCIBSD/AgAEAG8BIGhFFvoKBCAYEFgw/JZUEgAAaTBYorvG +KtEPKjEBCspCb6QH/wIAAgBRkqD/AgAGAE2GoMaqKzABeL8QYAAbAIwxLFYWIzABwKB4Nw3zCgAg +DwA1IGhBB2hCBNKg0Q8AJCAi9wr/IAAQMDDaQPs8AAAAEGAw/QoAIAAQSDD5FgEgABBwMPkWAiBk +EEAw+BYAIAAQeDBbPG9mr7+lPCzAkPfKDAIAAFjw+mw4AgAAUTBbPEL2oAdgAgIY8Gk4rGavmWAA +0iMwAWP/fwAdlgitnSrU4PP/X2AAEFAwKTAD/wIABf+akmAFnwoiMQMi9Ygv/QEiMAUi9A8uMAT+ +9A4gABAQMNEPIzAB/woCIAQQMDDzPhIACBA4MPMdEggHARgw990BDgBAM7D2NgEGAEA88PNeEgwJ +AHdw/3cRB9AEOaD3ZgIOAEB7sP8/AQ4JAEOw/t0CDgABGDD7/xEPkAQ7oPbdAg4JAHuwDt0CLVTz +8/7YYAAQUDAqXFz/MAcgEAJY8P9UViAIEGAwWJhmIzAB8/63YAAQUDAqICL8IC0gARBYMFgKW9Kg +0Q8AAGwQEBeToo0wijEkICL2CgAgKRBAMPoKXwAuAC9w+hYEKgB3wpAZleQJqQqJkAqQANxg+wqA +IABRJ1COMQ4OX/8KCCIAeIegfvNgHZPTbuZaLdKHGpUGLCAiKqKCrcwJzBGsqoqnLTAI+qIOJgD4 +g6D/AgAIAPSDoGTWwf8CAAADZwdg/wIAAgEEg2D/AgACA5UHYP8CAAQD0wNg/wIABADyH2DwADFv +6hBgMML3/hYQIG4Ee7AclcEuICP/IDYiAABpMPgSECACEFAw+BYAIBgQWDBYoiHGyvpcAAIAAFjw +WJ9OwCDRDwAsIhsPAgAPAgCMycnB+iwAAgAAWPALwADz/9RiAABisPP/zG/aEGAwHJWsLiAj/yA2 +IgAAaTD4EgQgAhBQMPgWACAYEFgwWKIKY/+hLiAr+QoAIAAQUDD+D0AAPgB/sH7nF33nFHznES0h +IA0KRfAAC2qABDqgAAAAAC0hIPwWEyAPADfgfucHfecEfOcBwJEflZP1FhIsBgFoMPWUUhQHAWgw +/mwAAgAAabD0IR4sBQAn8PWTOx4FAGFw9GxAAgAAQbD8WDkCAAB5sPGcEAQHASQw9X85DAkAYrD9 +jQIMCQB7MP0gJCwJAGswC90Q/iAjLAkAb7D9ICEsCQBrMPjuEQ0ABD9gDt0C/SAgLAkAazAoICwL +3RH9IRwoCQBqMC01BvwhHSgJAGIwmDIsNQcYlRwlIRklNQj/IDQgABBwMJ42nzctIDUtNBIsIC8s +NBMlICKoVSVQ4CwSE/Q1CyQBASww9RISKgUALbArNBRj/n4AAAAAZNdH/wIAAAOmB2Bo0iT/AgAC +A7MHYP8CAAQD7INg/wIABANch2DApPyVUBAYEFgwWKGy8/5Eb+oQYDAtIENl3jf7EgQiAABQsFhg +n40w8/2DYgAAYrAALiIbhzIqIRz6FgYgBA6roC/qAA+vAvoWBiwEB7/QHJU+iBYvIDYpIR0uICOX +EpgQ+RYBKKABSDD4FgsoJgFIMJgZ+YhACCkBTDCZF/gWCiAFEFAw+CEfIBgQWDD4FgMiAABpMFih +j4obBwtF+xYMIEgIWrCMGQdtQX3JF44aB49Af+kPB5tBZLfRiBf/AgAGA+ZeEIoW+woBJgAQSDD7 +JC4uASFWUAebQWSyN4wX/wIABgEZXxAsIhsswhT7Fg4kHgA3INogC8AAix7wBBJiAABisCwiG4zJ +ZMIA+iwAAgAAWPALwACNMPP8kmIAAGKwwOEuJFHz/IViAABhsMDzLyRR8/x4YgAAYbD7PAgiAABQ +sFv+ko0w8/xjYgAAYrDz/FtiAABhsBmUJCogIS2SfCswCCmSgqrd/JMMHZAEP2D/t0R4ACBucC0w +CS8xCC4xCf1NQARmALqg8qgRCwAEP+D8jggKCQBfsCvmyCviwMeO+AoBKgBARvANjTkL2wIr5sAr +MAguEhR+t0QtMAkvMQouMQv9XUAEcAC6oPKrEQkABDvg/L4ICAkAQ7Ao5sko4sDAsfv6/SwFAG7w ++4gBC/AEP2AIuwIr5sArMAguEhV9t0QtMAkvMQwuMQ39bUAEcAC6oPKrEQkABDvg/L4ICAkAQ7Ao +5soo4sDAsfv6+ywFAG7w+4gBC+AEP2AIuwIr5sArMAguEhZ8t0QtMAkvMQ4uMQ/9fUAEcAC6oPKr +EQkABDvg/L4ICAkAQ7Ao5sso4sDAsfv69ywFAG7w+4gBC9AEP2AIuwIr5sArMAguEhd7ty0oMAne +YPsxBSgDAUAwAq0RrN0r1scr0sDG//8KECoAQH7wCP45DrsCK9bAKzAIebc8KzEHHZR2HJOg+RYF +JVsANuAvkCL+vBIvIAQ/4P3/CA/gAXAwrP8u9oD+lRkgARBQMCqUNCqQLmSkvyqULo0w8/qnYAAQ +YDAA8/qeb9oQYDDAoCgaAAh5AfkWCC4AJkXQLiEfd+dBjxxk82+IG3+JFIsa/QoBIgAAYnAJ3Dn/ +AgAGAlLm0CwiG9MP0w8swhIPAgBkwnb6LAACAABZ8AvAAPACa2IAAGKwjRpk0FosIhsswhLJw/os +AAAAEFgwC8AA8AAJYgAAYrAAACz62vbBF2IAAFMwLCIbLMIRycL6LAAAABBYMAvAAPAACGIAAGKw +ACz62vbA8GIAAFMwHpRjLSEdDt0BLSUdiByPG3j5BIkaZJP7ihjTD9MPZKNdLCIbLMITycH6LAAC +AABZ8AvAAPAAB2IAAGKwLPra9sCpYgAAUzAelFItIR3+EgwsAEB3cP4SCSwJAHdw95H6HCYBODD9 +JR0gHAhjsI8a95H2EDsAN+AZlEf6yxEIAEBPcPgKwCgJAF5w+QlNAgAAWbD5JR0oAEBCcPh7OQAU +AGZwHJHoDLsCC50CLSUdKSArf5c3fpc0fZcx+hYPIFwAcnAqICJYWa2KH2AAHiz62vbAGGIAAFMw +H5QtLiEd/+4BAQAQeDAP7gIuJR0XkdeNMPP4+WIAAGKwKaIwJjQQmTXz+Y9gABBgMCutAfmgviIA +ABLw+7zEIZgCELD5NBcgARBgMPw0ECAPADbg/AoIIDACUPBYln/IK9sg/AoIIEACUPBYlnzz+Upg +ABBgMCz62v0hHSIAAFMw/BYPIAHRLyAelA33vxEOAEBzcA/uAi4lHWP94CmtASuQBf8KCCAEEHAw ++xoSCAcBWDD7PRIMAEBy8PteEgwAQHdw/cwRCgBAerD/vwEKCQBqsP//EQACEGgw/8wCDgBAa7D9 +vQEKAAFcMPvdEQ4JAEOw+bsRCgkAcrD9uwIKCQBisPmQBCoJAFqwKjQJ+TQPIAMQeDAvNAjz+J9g +ABBgMMDBuDv9MAsgABBwMFv8mPP4iGIAAGKwLPra9s7VYgAAUzAak90pIR36EgwoAEBWcIgZ921B +CAkAVnD5JR0gKghqMIwYixrA4QzsOf8CAAYAS+bQH5F/KArA+HgBAgAAWbD4+zkAFABl8BmReAm7 +AiwiGyzCEcnKnR36LAAKCQBd8AvAABeRco0d8AAOYgAAYrAAAPeRbx/aEGAw9s5UYgAAUzAbk74p +IR3TD9MP+toRCABAXnD+CsAoCQBWcPkJTQIAAFmw+SUdLgBAcnD+ezkAHgBmcByRXdMPDwIADLsC +C50CLSUdKyIbi79kveXaIAuwAPP94GIAAGKwLKAw+QoAIBEANyAtoDvI1i+gRsDhD+k5q6wrwOBk +sSj/AgAAAKYG4P8CAAIAngLgwLAuoD39oDIgBRBgMPKgSC+QBD5g/zQRKUAEOuD83REPgAQ7oP6I +AgIJAGiw/DQQIgkAQLAiNQnz9zRgABBgMCmiI2P9kQAAIq0B+aCKISACWLDz/aFhMAIQsIgcZIFP +sIn/AgAP/kvGUGABQiyg/MD0/B0SAAIQWDD8WBIIAEBfMPwOQAmwBD5g+e4RCABAWjD8OxIOCQBL +sP+7AQAIEEgw/88BDABAT3D9/xEIAEBPMPx8QAnwBD5g/IgCDAkAX3D43QIOCQBP8Pmg+ywJAH9w +8/3pagkAc3AqMQbz+zlqAAFQMAAAAPP962ACEGAwixkHbEH/AgAH/mfm0BeRCS0hHWP8TIwZB21B +/wIAD/8M6xBj/LEAAAAdk1crwOGtuyu9ASuwQGizFWi3EmiyB/8CAAf/Zh7g8/7GYAIQWDDz/r5g +AxBYMCaUNFv8oIkVL5A0Zfq9K5AiHJMYArsRrLsckkH8uwgJ4AFQMCi2gCqVGWP6nhyTQS8gNi4g +I4gWmBD9IR0gAhBQMP0WASAYEFgwDwIA9xYCIgAAaTBYn5Tz/CRv6hBQMPP8HGAAEFAwHJM0LyA2 +LiAjihaaEPkhHSAYEFgw+RYBIgAAaTD3FgIgAhBQMFifhmP/xByTKosWLyA2LiAj/RYBIAIQUDD3 +FgIiAABpMPsWACAYEFgwWJ98ih9j+8EAAGwQBi4xC/0iACh0ADugaOsQwKP8kxsQCBBYMFifc8Ag +0Q8ckxiONo83iTiZEPgyCSADEFAw+BYBIAgQWDBYn2rAINEPjjb/MgcgAxBQMPyTDhAIEFgwWJ9k +wCDRDwAAAGwQBMAg0Q8AbBAEKyAHHJEGCwtBDLoRrKooojr/AgACAJhCIB2RAyyiOQ29Ci3Sl/3D +AQ4AkG8QG5DX8AsHAgAASPAASWEASWEoIQcZkYAICEr9kgcZwAQ6IP+RfRgJAEowKDYA/CIAIAgQ +cDAuNgP/NgIgAhBAMPjJEQwJAGsw/DYEKAkARnD5NgEhYAJ68C7yfyshCfimOSAAEGAw+vKAIf4C +c7D+9n8gARBoMFs+NIonjiL7+sAgQAJKsPuZAQAAECAw9KUUIIACSnCZqfmmCCBdADeg/JLVEAUQ +UDD9IgAgMhBYMFifKIoiZKBYwLD9+vAvABBgMG0IDXrADQqKFPSgHWAQAlrwY//retAMCkoU8AAG +YAgCWvCxuwoaFGWv9/osAA//EGAwW0VviifHz5yglCdbOw4kJAT0JAUiAAAQ8NEPAADz/9dgABBY +MMAg0Q/SMNEPbBAGIhYBIiAHGJBNDwIA9JHoEiABEDACIgkMIhEEJAj0Qn8iACBAsCItICIsoP8C +AAYAbRUQ95HfHxAQWDDzFgAgABBwMI8Rj/BtCCKrRShZiCZSPPNSQyAaADYgiTEJiRQHmQF58Rr0 +bAAGAFA0kGP/1orhCooUB6oB+vnpcAAQGDCNM/4yAiAFEFAw/JKSEDIQWDBYnuYtUj0vUjyf0C5S +PIoR/eYBIAAQYDAsVjz8Vj0iAABY8P0SACABEGAwWEKpGpKGwDD7UjogABBgMPqifyABEGgwWz3T +/PrAIDACWTAMuwHzVYgggAJa8CtWQ/tWQiHwAlEwWzrH/goALxAQWDD0bAAP/58wkMAg0Q9sEEQo +IAT/AgAEAYwaIBeQWIkxFZHD9JFJEP4CQHD2kcsQYgJCMPVc/CIBgApQGZG8IyAMJZJ/KZKJ9SIW +IgAgLPD7kEgTkAQ84PAEFwIAIB5w9SEZJZAEOWD5ChAkACAicG2aAgAIiioxKf8CAAYBWd6QHJG1 +LSIA/iAFIAUQUDD/IFQgMhBYMFiepR+QN/4iACDhEEAwKBTI/xYuIAgQeDD4kDIfgAQ7oPgWLC4J +AHuwLhYtKCAHLzEpCAhBAIgRCP8CB/8CLxYwDeowLhY1LRYx9hY0IEAQYDAsFWcrIFQrFOEqIAUq +FOIpIDD5FOMgARBoMC0U4PgiFiD+Anhw+BY5IKICe/CM9IryjvX58gEg/gJAcPvyAyDiAkIwm4OZ +gZ6FmoKchCodASwdAY/wn4COICgdAS4WQi4dASsgVCvEDSkgBSwdASmkECodAS8gMP3kDCAAEFgw +K8QO+qwYIGgCWLD/hBEgCBBgMFiUdPodASB4Aliw+qwgIAgQYDBYlG/6HQEg5AJZMPqsKiAGEGAw +WJRr+h0BIJwCWLD6rC0gAxBgMFiUZvodASC4Aliw+qw0IAgQYDBYlGEvHQErEZr1HBQEBAEoMP0R +mygJASgw9YdACbAEOiD9FYknwAQ94P0iDCwJAGFw/AxADgUBWDD5zBEPsAQ7oP09VAwJAHMw+HcC +DAkAazD89BQoBgEoMP0gaCoNAVgw+SBpKg4BXDD6qhELkAQ+4P6IEQoJAFqw+WtADAMBbDD5fEAN +0AQ/YP7MEQvwBD7g/LsCCgkAarD5WUAKCQBasPoKMCgJAFZw+pkCCgsBKDD59BUoCgEsMPmqEQmg +BD5g9iIMKAkAVnD1ekAGCQBN8P2qEQgFASww9gNQDhEBMDD/mREICQBSMPh1AgQJAEkw9UQCBBIB +NDD67hEFkAQ9YPszEQ4JACuw8woILgkAG7D09BcuCQAbsC70FoUnLVkUKlwg/lIJJJsAN2Bk5JMr +HH/7vDEgCBBgMFtA7MAg0Q8AAAAAAAD/AgAGA0fm0IUp+wpWIBQANWAqUAX/AgAGApjekIVbZV/v +wCDRDwAAAAArIAX6ChUgQxBoMP25x3A/EGAw8AQXAAAQYDD8FgEuABBYMPsWACAQAkBwbaoCAAiK +GZCwmRL+IgAgBBB4MP8UICAAEEAw+BQbIAsQeDD4Ov8vgAQ7oPgVDC4JAHuwLhYDLSAEDwIA+hwh +JALZh2D8CgMgogJYsFiT5yocJfwKAyCcAliwWJPkE5DbKCIWIzKJCYgRqDOLN8PPLCQFi74qsHAs +sHEIqhEMqgKxqiq0cQqKFCq0cMCQ+RQ5IIACUHD5FDoggAJY8PkUOyAFEEAw+BQ4IAgQYDBYk88r +PEj8CgMgCAJQcFiTy44R+xwIIgAAULDTD/6OFAALEGAw/hYPIAIQaDBYI5AjIAz5kLoQGRBQMCok +VChSgCmSiSUiFvgcfyIAIETw8AQXAGICQjD5MxEFkAQ5YPUhGSIAIB5w+QoQJAAgInBtmgIACIoc +jzsrMSn/AgAGAUZm0ByQsI0g/iAFIAUQUDD/IFQgMhBYMFidoR+PMv4iACDhEEAwKBTI/xYuIAgQ +eDD4jy4fgAQ7oPgWLC4JAHuwLhYtKCAHLzEpCAhBAIgRCP8CB/8CLxYwDeowJhY0LRYx/hY1IEAQ +YDAsFWcrIFQrFOEqIAUqFOL5IDAgARBoMC0U4CkU4/giFiD+Anhw+BY5IKICe/CM9IryjvX78gMg +/gJAcPnyASDiAkIwmYErhgMuhgUqhgIshgQqHQEsHQEv8gAvhgAuIgAoHQEuFkIuHQErIFQrxA0p +IAUsHQEppBAqHQEvIDD95AwgABBYMCvEDvqsGCBoAliw/4QRIAgQYDBYk276HQEgeAJYsPqsICAI +EGAwWJNp+h0BIOQCWTD6rCogBhBgMFiTZfodASCcAliw+qwtIAMQYDBYk2D6HQEguAJYsPqsNCAI +EGAwWJNbLx0BKxGa9RwUBAQBKDD9EZsoCQEoMPWHQAmwBDog/RWJJ8AEPeD9IgwsCQBhcPwMQA4F +AVgw+cwRD7AEO6D9PVQMCQBzMPh3AgwJAGsw/PQUKAYBKDD9IGgqDQFYMPkgaSoOAVww+qoRC5AE +PuD+iBEKCQBasPlrQAwDAWww+XxADdAEP2D+zBEL8AQ+4Py7AgoJAGqw+VlACgkAWrD6CjAoCQBW +cPqZAgoLASgw+fQVKAoBLDD5qhEJoAQ+YPYiDCgJAFZw9XpABgkATfD9qhEIBQEsMPYDUA4RATAw +/5kRCAkAUjD4dQIECQBJMPVEAgQSATQw+u4RBZAEPWD7MxEOCQArsPMKCC4JABuw9PQXLgkAG7Au +9BaFJy1ZFPTShWBAAlFwjllk4nsrHH/7vDEgCBBgMFs/5haQBCgiFg8CACZiifmIEQIAAFCw+GYI +AIcQWDBb/fGKJyqsEFs+XoMpyzMVkAOEO/0yACAFEFAw/iIAIDIQWDD/MAUiAABhcFic8vsKhyIA +AFDwW9hW80wAD9QAtSCDKfsiCyBIADTgzbyTK2AAMtog+xx/IAgQYDD7vDEgAhBoMFs4K8Ag0Q+J +uw8CAA8CAGSQCvmSCyIAAFpwZZ/0k7ubPMDA/CYJIAAQGDCLanK5CoMpjSidamAAIAAAybCKuHKh +DPusAAAJADagiqhyqfLItyMiCS4iCC62CPsgBSAAEHgwnyj7JDAgRgC04Igrz4zEk/8CAAYA107Q +Go/GiyAuonL6oowgABBgMP67DAABEGgwWzu9HI7ei87D3/0kBSH+Alrw+8YOIAAQEDDRD8Ti/iQF +IAAQEDDRDwAAZFrU/wIAD/1o2pCKVyqsEFs+FCwxHI4zLiYfjTIsJQgtJh4rMB9ksXfAw/odASCc +AjCw+2wAAIoCUrBYkq4jMB8ABI34HQEgFRBIMPiMWCLAARwwbZoCAAiKKh0BHo+uLhZW+1IAIAMQ +YDD8pGsgARBoMP2kcCALEGAw/Tr/K4AEPuD9FbQqCQBm8CsWVyggBNMP+qxxJABvhiD8CgMgogJY +sFiSlPodASIAAFmw+qx1IAMQYDBYko/7HQEgARB4MC+0iPO0jSAAEHAw/rSJIgAAUXD+tIogCxBg +MP60iyACEGgw/rSOILACWvBYIk7DifgkBSAAEBAw0Q8AAAD8CgMgkAJYsFiSey0QAiwQAfoQACBK +AlhwKrQALLQB/bQCICsQSDApJAXz+mpiAAAYsADaIPscfyAIEGAw+7wxIAIQaDBbN6tj/Xkaj2OL +ICyicvqijCABEGgw/LsMAAAQYDBbO1vAINEPw9/9JAUgABAQMNEPAAD8CgMgkAJYsFiSXS8dAS78 +RSjgAfngACDqAnvwKfQAKPQBLuACLvQCY/8X2lD7LAAAABBgMFgnwsAg0Q8AAAAAbBAGFo/0KiBB +GY53HY8DCq4J/CEHL8AEO6D+ISIsACB3cC3Sf/CqEQ1AAWAw/t8UDcAEOyD0/xEKwAFsMP2EQgwH +AWww+EQRDVAEP2D0uwIMCQB/cP2OYxoJAG7w/4/cEAAQIDD0FgAqCQBasPuNxhwJAGsw/DYAL0AE +O6D8IgAuCQB7sP42BiBMEEAw+TYCIDwQODD3NQsgBhBoMPQ1CioJAFqw9jYHIJACWLD6NgQgARAw +MPo8IC2ABDsg+DYDLAkAazD8NgEgDBBgMFiSHCghKig0LdMPCIgUKDQsLyBXLzQ70w8PjxQvNDoP +jxT/NDkgeAJQ8P+PFAC4Aliw/zQ4IBgQYDBYkg4pIHTEotMP/wIABgBi1lAkNEQkNSX0NhQgigJQ +8P2PrB+BEHAw/jQ8IgAAWHD9FgAgAxBgMFiSACsgdCs0WIon0w8qrBBbPVcVjvSLLSpSagm7Eauq +iqrJpSusYPocBCAEEGAwWJH0ihFgAAgAAAAAGo2HmhEfjYYtIgcejuj6+jgD6BBgMAysLA7MKPwW +ASAgAlNwWz1DhBGDJ/pMAAAgAhjwWtKF/Y0oEgAAYTD+jt0SAABasP8iACIAAFDwWtI7giciLBDa +IFrIQWihDNEPKDBIsYgoNEhj/zPaIFrIXBKNswuoEfSgNGIAIECwDOowKyKFi7Cwovy7CAIAAFCw +WJ35KlKUACEEAGsaC6oCKlaUWJ4v0Q8AAAAAAAAA+goHIAEQWDBbPeEsIn8sJoPRDwBsEAYnIgsq +IAQrIgkorPsIezj3CgAgYwA24G0IMoy3KckU/woBIAAQaDD+CgAgJgA2YInJiJKJkwSIDAj9OAWZ +DAn+OP8CAAgAZ/NQi7vKt2P/xgCPcohz9P8MAAEQcDD1iAwAABBoMPjtOAAAEGAwD+w4fcDVYACd +LyAHGIze9o55HiABfDAP/wkM/xH29ggOACBH8J8SlhEmYn8v/SAv/KD/AgAGAKl9kPoWAC8QEHAw +bQg0rmMoOYjAwfsKACAAEEgw+jJDICUANiCNooijBN0MBYgMCMs4Dck4e5hAJjI8/wIABgBJt9Bj +/8SLcoxz9LsMAAEQUDD1zAwAABBIMPypOAAAEEAwC6g4+YDPcAAQUDBgAAjSsNEPAAAAAAAtMj0v +Mjyf0C4yPJ3hJzY8JzY9LCAE+hYDJABhAyAajxT7MjogABBgMPqifyABEGgwWzpi/PrAIDACWbAM +uwH3NYgggAJa8Cs2Q/s2QiHwAlGwWzdWwCDRD4YRjRImYn0t3SAt3Jh9aQhgAGsmMjx20WWuYyg5 +iMDB+woAIAAQSDD6MkMgKQA2II+iiKME/wwFiAwIyzgPyTh7kM+IEPoWAySgADogwCCSo5Ki0Q+P +cohz9P8MAAEQYDD1iAwAABBYMPjLOAAAEEgwD8k4+5CbcAAQUDBj/8PAINEP+xIDIgAAULD9CoIg +ARBgMFg/A2P/K4QT+iwAAAEQYDD7TAAAghBoMFg+/cAgkkOSQtEPAGwQEheO2/UgByIAAHFwLSAE +9iAFID4QSDD7fQMkIAEsMPuyfySGADtg/wIABABwh2Bo1gPAINEPLHI5ii6ILQmqEfyqCAmQBDog +/6IHLAAgQzAsFhSMx4/+nxSMziwWE2AAKAAAAAAAKCIWLHI5CYgR/yIHLAAgQzAsFhSMx4/+nxSM +zvwWEyIAAFCwLDATxIL8L0UGAjbNkPkKLSYCMsWQ+ApOJgIuzZD/AgAGAirFkMBg+xYMKAABYDD4 +FhUmAFKDYJoY+xYFILAAfzD/AgAEAG2DYGTwo/8CAAAAdQfg12D/AgAH/6cDYPkSFS9GADXgZJ8+ +iifbMPqsICIAAGEwWz3N96QWIAAQEDDRD48nIhYUj/4vFhPz/3BgABBQMACNN4w2nx2bHP4WCyIA +AFCwW/8n9qwAA58ANqCLFfoSCCABEEAwmBYpMBMJKUXLmocW+xYFIAIohmAtIARj/4EAAAAA/hYL +IAAQYDCcFvP/1mIAADCwAAAAAAAA/hYLIAAQaDD9FgYgABAwMC1gBfzcuyAREHgw/wIACgDPexAY +jnUIyAqIgJsVCoAAZP/M+xYFIf+Wn+CJZ5sc/hYLIBUAtmBj/m2JZ5sVmxz+FgsuZAA2YPcKACAA +EDAwK5kU9LShYEACUnCLmYwbDAxH+MIsYgAAcvCbHi2wB/wSBSAAEFgwWzogiWcumRT05M1gQAJS +cIuZ8AAHYgAAcvAAkxwdjE0MXBH4CgEiAAB7sP3MCA4FAHYw+MI6IAQQaDD7CggiAABLcA+5OfkW +ESoC784QG45JKcI5C1sKK7KvC5kB+5wABCgANmD5FhcgkgA3oByMwy9gByNhBxWMchiNBfMDSggC +AXww+pkQA8AEPOD5YQgiCQBM8P8PQQIJAETw87YALwAEP+D/jjUYCQB+cINg9bYGIAAQQDD4tgUg +MBBQMJqznLL1mQIDgAQ84Pm2BCIJAGzwk7Es4AcPzAL85gEgQAIa8AIOhgBDYwAOhgBDYYpnJbxA +9RYXIEACUrAlEhf7EgwiAABhMFs9S/0SESIAAGFw9KYBIgAAGrD6bAACAABY8Fg3oYpnKqwQWzvE +2mBbNuhj/iIAAMisjBSbFSvAOLG7K8Q4ixUsEhSdGfsWBSAPADcgLhITLeBcsd0t5FwcjgcvYTeO +YI0g+BIJIAIQUDD4FgAgMhBYMFiaTolnK5kU9LL1YEACUnCLmYyw/IxXADQQcDD8FhImAcH3EMDw +/xYQIAoQaDAqYQgrYBb4Cv8j/xBgMPyqDAAGEEgw+p04ADIEQvCMG50XKmBBAMyOW0GB/RIHJFwA +NqAYi94MXxGo/y7yOv8CAAoAo++QGo3iKfI5CloKKqKv+p4BDgCZ1lAbi68uFhifH/ALBwIAAEuw +nRcASWEASWEASWEASWEASWHwCaACAAArsPpsAAIAAFuwW/3XLGEILTr//wIABgC6bxAuEhLD9P8C +AAYAsP+QiWcomRRkgkmLmR2NyhyLYiqwBy6wEomw/RIHLAUAc3D5iVcKCQBisPkWEiDAAmFw+rYB +IgAAUbBYN0gvEhLDgvYWCiYApkfQw6X2FgomAKFX0IwajMeHFvv6wCBAAlMw+6sBAAAQaDD9xRQg +gAJa8JvJm8j7PAACAABhMFs82mP8hQAAAI0dZNKc/wIAAAFqB2D6EggiAABY8PxMAAAWEGgwWD29 +LSAE8/vkYAAQODDcQP0KhCIAAFjwWD23HI2ejjKPM4kgmRD4IAUgAhBQMPgWASAyEFgwWJniwCDR +DwCKYocW/RYHIr4ANqArYQgsOv//AgAGANFm0C0SEsPk/wIABgDH91CJZy+ZFPTx2mBAAlJwi5kl +sAeMFfsKACIAAGlwWzlDimfbMPqsICIAAGEwWzysjGCLZwjMEQxMAvymASBAAlLw+xIFIgAAYXBb +PKVj+7KJZ2WcL2P6hC0SEGXenIcWiR+IFyiWOS4gFi8K//YWCif/bn+QKmBB+2AWIDwQYDBbQNOW +GmP+xAAAAIUaKlEUJVESwGD6FhYutAA2oPpyxCIAAFlw/AoAIAEQaDBbOKkocsWxVQhVLigSFrFm +eGncY/6JyKiLFCmwQLGZKbRAKxIUyLktEhMs0GSxzCzUZI1i+hYIIBgAN2Da0FiXr9ug/Pr/IgAA +UbBbP/GKGMTa/WQFIA4ANqCPFC7wQbHuLvRBLxIUZP0PKRITKJBlsYgolGVj/QHz/Q1gABBYMPP7 +YWAAEFgwiWJkkXdk4K/7EgwiAABhMFtAT2P6twAA8/20YAAQWDDIqIsUKrA6saoqtDorEhRkvL8t +EhMs0F6xzCzUXmP8scTbLWQFY/ypxOouZAVj/KHz+zVgABBYMC8SEGX+boln+PrAIEACUnD4qAEA +ABBYMPuVFCCAAkIw+JYJIgAAYTD4lggiAABY8Fs8SGP6PwAAAAAtsAf8EgUgABBYMFs41voWECA8 +ALag8/xxYAYQaDDz/ihgABBYMPn6wCAAEFgw+6UEKABATrD7EgwggAJKcJmh+aYAIgAAYTBbPDRj ++e3EqiokBfP8NGAKEGgwjzOOMvyNAxAFEFAw/SIAIDIQWDBYmUmLGMi4jRQs0DmxzCzUOS0SFGTd +Py8SEy7wXbHuLvRdY/0xAAAcjPeOMo8ziiCaEIk3mRP4MgYgMhBYMPgWAiAFEFAwWJk4ixjIuI0U +LNBDscws1EMtEhRk3PkvEhMu8Gex7i70Z2P86ytcGPpsAAABEGAwW0JYY/00nh76bAAAMAJZcP0S +ESABEGAwW0JSimeOHvP+a2BAAlKwAADz+jBgABBIMIti9xIGLQQAtuArYEH6bAAAARBgMPu8EiAA +EGgwW0JEY/zmAGwQCJMU+iAHIgAAQTD1MgAiAAAhcCkgBCgWAvUFRwogAVAw+hYDINYCOXD3RxQE +AUaCYCsgFigK/3ixCwDEjiogQVtAT2SjBIgTFoqsDIMR+oqtEgAgNPApMjoKigr6opcqAAk+UCky +ORyKf/qUAQgAClJQiyJksiXAINEPAAAAAAAAAPAMBwIAAEEw0w9teQIASGHaIPsSBCACEGAwWwOV +LyEHFosfDw9KDP8RBv8Cn0CMIB6LHPohIiCYAmlw/UYDLYAEOyD+RgIsCQBh8CxGASkgQRiLny0g +BQmbCfy7EQBOEDAw+4gIAFAQcDD4gn8gKARzcPbRDHBWEFgw/wIADgFXW1AbjI0WjIH63hQMwAFA +MPiPQgwHAUQw9KoRD0AEO6D2RgcgeAIxcPZFCykABDpg+YpkHVAEP2D4/xEMCQB3cP/MAgoJAFqw +/cwCAAAQWDD6RgYoCQBiMPtFCigJAEow+EYEIJACeLDyDxYAQAJxMABOY/ohKiAAEGgwLUQxLUQy +/UQzIAAQYDAsRDUsRDYsRDcrRC77RC8gABBIMClEMPpELSAAEEAwKEQ0CooUKkQsLyBXL0Q7D48U +L0Q6D48U/0Q5ILgCWLD/jxQABBBgMP9EOCB4AlEwWI6n9hYBIMACQLDzCBYAgAJJMABJigAIiAAJ +iiwiHIsULERTDIwULERSDIwU/ERRIKgCUTD8jBQAYAJa8PxEUCIAAGFwWI6W/iB0LgAgLTAu9Fgn +NjkrIBYtCv8PAgB9sQgqIEEsEgFbP6GIFCkKSCkkBSiAEg8CAGSOIBSKOCMiBwSEKPpMAAAgAhjw +Ws8l/YnIEgAAYTD+i30SAABasP8iACIAAFDwWs7bgicPAgAiLBDaIFrE4P8CAAH+8J6gYADMixP6 +LAAAARBgMPu8GCIAAGnwW0GWwCDRDwAAAAD6LAACAABYcP0xCCIAAGDw/goAIgAAeTBbJi0tCoh9 +oV6KEPYSAi2WADagiqfbMPqsICIAAGGwWzs7jBCNwJoU+N0RAgAAGrD9bQICAABY8P2mASIAAFCw +WyVb3qD6EgAgFwA3oNsw/GwAAgAAaTBYOyDAINEPAADz/PtiAAASsNog/BICIgAAWPBYPBPAINEP +jyJl/SYrIEH6LAAAARBgMPu8EiAAEGgwW0FpwCDRD9ogWsTJE4ogC6gR9KBBYgAgRPDAIQzqMCsy +hYuwI6z//LsIAgAAUPBYmmUcipQqwn8AMQQAKxoLqgIqxn9YmpvAINEPxcIsJAVj/U0AAAAA+goH +IAEQWDBbOkstMn/9NoMgABAQMNEPbBAEFInrI0KMKjIYKKECZIB9WzMoHImsKzIZLMJ3KUKG+7wB +KgAgUzD7NhkrkAQ6oPwgBygAIFZwK5AH/Qr7LAIBYDD9IAwqAEBu8P2UDC3gBDsg/Qr8KgkAZvD7 +lAcqAEBu8PwgByAAEGgwnZj9lgkvmBBQMPqUBSwgAWAw8pYKKgkAZvD7lAciAAAScNEPwCDRD2wQ +CBqKwS6ifC2iggLuCAnuEQ7dCCPURiTULS2ifCqigvyJQBwAIBdw+YuSHZAEP2D/i6kUACBqsChB +IP5BHSDAEDgw+4k5EEAQKDD6QR4gABAwMP/tAQgAQEow+EUgJgCCX1Bx5wxxpwnwAA5iAEA/sAAA +8woAJgCGf1D/bAAIAEA7sPi/OQAOAGewDP8CkxEci5L6FgAgCBBYMP0sAAAFEFAwWJfT+gqAIDYA +ZPApQSAoQEsnQC0FmQIpRSDwAAZmAEBF8MBw+DcgcAAQeDApQSAoQEsvQC0KmQIpRSDwAAluAEBH +8AAAAAAA/xYEIgAAK/DzQEYgBBBQMPyLeRAYEFgw/SwAAgAAIfD3FgAiAABw8FiXt/QwVG8AEHgw +HIlmAisRrLsisoIPIgECUgIitoIusoQP7gEOTgIutoQqsoAcic4diUPz1jkKAEBisAaqAvq2gCAA +EBAw0Q8AAAAAAAAA8wqALf9+fpBj/wyFFP0KASIAACHwB9Q58/+fZAUAL3APqAH/AgAP/3jaEPP+ +6WBAEBgwAGwQBBuJJiwtASzAYS2yhiuyiq3MCcwRrLuLt/uyDiAGEDgw8goAIAEQYDBtehKrKimg +LiqgKHmjFfqTH3ACAhCwIjACZCBOaSEMzUhgACQiMALKKGghF8Ag0Q8AIjACyiZpIfLITMCxBrY5 +0mDRD8hBZF/x/DQCIAEQEDDRD2RP1WVf0mAABAAAZE/K/DQCIAEQEDDRD2VP8mP/umwQCBiI/y2C +hyWCiviChCwAIBdwCd0RrV390gcoACASMAmIEahV/dIOIUYANSAqCgD8+vAvABBYMG0IDXSwDQSE +FPRAHWAQAlKwY//rdMAMBEQU8AAGYAgCUrCxqgQUFGVP9xiKJ/TSIyYAIFNw9mCQLeAEPqDw0AQA +AhBgMPiAgCABEDgw9hQTLuABUDD0BBkABBAwMPgrQAgAAUQw+B9ACgUASfD/yjkAAxBIMPg4QAoF +AFpw9or+GgUAQbD+FBIkYAEgMPQUEC7gARQw/xQRJgBTNNAZivd5MSMaik16MRb6CgUgJBBYMPyK +8xIAAGiwWJczwCDRD9Jw0Q8AAAD/AgACAEKCoByK7f1QIiAEEFAw9BYAIDIQWDBYlykqUCIbigkK +rAkMzBH0EBAqACBm8C2wfSywfv4QEiAEEEAw/xARLAkAR3D9tH0gIAgjMC2wf37ZBSiwfH+BCyS0 +fi60fy+0fFvKV8Ah0Q8AAAAA8/7vYAAQUDDaIPwcECACEFgwWEr3wCHRD2QgcxqKzfkKACH+Aliw +C6k4HIrK8NEEAAQQUDDwqhoL4AQ8oPmqCAgAIGbwKpavGIizAi0R+N0IAAAQWDD61owgABBAMPmS +ryAIEFAwDwIA0w/TD22qF/CBBAoiAUgw8KoaAAQCQjD5SRQKCQBW8CvWi2P/CQAA8/+WYAAQSDBs +EAgUibIrQn8qQoKiuwm7EauqiqeKriOk/ClCfCdCgqKZCZkR+XcIAAEQQDAodEYjdC0nQnwkQoL9 +iCsWACAV8P+KlheQBD3g+Yp7FAAgOTAoQSD+QR0gQBAoMPuIJBAAEDAw+kEeIMAQODD/7AEIAEBK +MPhFICYAgF8QcecQcacN8AASYgBAP7AAAAAAAADzCgAmAIJ/EP9sAAwAQDuw/L85AA4AZ7AN/wKT +ERyKfPoWACAIEFgw/SwAAAUQUDBYlr36CoAgNgBk8ClBIChASydALQWZAilFIPAABmYAQEXwwHD4 +NyBwABB4MClBIChASy9ALQqZAilFIPAACW4AQEfwAAAAAAD/FgQiAAAr8PNARiAEEFAw/IpjEBgQ +WDD9LAACAAAh8PcWACIAAHDwWJah9DBMbwAQeDAciFACKxGsuyiygg+IAQhYAii2gi6yhA/uAQ5O +Ai62hCqygByIuB2ILfPWOQoAQGKwBqoCKraA0Q8AAAAA8wqALf+AfpBj/xSFFP0KASIAACHwB9Q5 +8/+nZAUAL3APqAH/AgAP/3zaEPP+8WBAEBgwAGwQBMAyIyQD0Q8AAGwQBMAwIyQD0Q8AAGwQBheI +DChyhydyiqKICYgRqHeHd/dyDiGOADTg/wIAAACsBOD/AgACAFAY4GRBayJ9ASIsOC4gBCZ9AfZs +gCAAqieg9CwAAAAQKDDwAIxgABAYMPhSAiAYAllw+n0BIAgQYDD4diMhIAJSsFiMacAw9AoAIP8Q +MDDaIPs8AAAAEGAw/QoAIAAQeDD/FgEgABBwMP8WAiBkEEgw+RYAIAAQeDBbMChmoRqlPCzADPbI +DAIAAFCw+Ew4AgAAWPBbL/tmoQGxM2k4r9EPAPOjCAACAilw/lpccAgCITAqYOErQQQsQAYtQAdb +/tL+IAQv3QA2oGrh1fxsAAIAAGnw/ywAAAAQWDBtCCYp8AYu8QQo8AcoxKcpxKYu1ZQuIASxu//8 +BCAIAmMw/rqgcAgCa3Bj/9IAfjo8BzsKKr0B8jwKAQACUrBtCCYowAYuwQQvwAcvpKcopKYutZQt +IASxM/zMBCAIAlKw/ToJcAgCWvBj/9IAACNkpNEPy0srfQErvP77sAciAABQsFv/LNEPAAAAAAAA +8/+cYAAQGDAifQHz/pNgEAIQsCV9Afh8UCFwAilwBIU4Y/6bK30B8//CYdgCWvAA0Q/RD2wQBvVA +AiIAAFFw8z0BIgAAEPDzMGEgDhBAMPVEAioAMsFQGInECFgKiICaEAqAAN0w/InBEAUQUDD+EgAg +JBBYMFiV+itACvoKASAAEEgwC6k4+UQBIAkANmDAwSxEAy9AAA8PQPn/EQBAEEAwCP8CL2QCLSAq +wOD+RAggAgJrcC1EBmRQzP9XBmABEFgwz1FoV0H4WGhgDBBAMPWDDnANEFAwwJn/AgAKAF9JUHpR +EvJc+SABEFAw9UQCIgUAErDRDwDyCgAgBxBgMPxEAiIFABLw0Q8qQAgtQAH/QAogpAA2oP4KASCc +ADdg8vr6IAEQeDD/RAIiBQATsNEPAAApYALA3PwKASAFEBAw8sI5AABPYlAqcAL/AgAAAEnikHiX +jXiniitACflqQAAOAGZwZLPyZKO8/wIAD/+7WpDAgfIKAyAKEEgw+UQCIgUAEjDRDwDAofL6+iAB +EFgw+0QCIgUAErDRDy5AAcDXDq048tz5IAEQYDD9RAIiBQATMNEPAABk83LIpChAAWWPISsgKWSy +qSkgKGSSo8Ch8vr8IAMQWDD7RAIiBQASsNEPLUQC0Q/dMPyJYRAFEFAw/hIAICQQWDBYlZlj/rfd +MPyJXBAFEFAw/hIAICQQWDBYlZMuICqx7i5EBmP+lwAAAMCl/IlUECQQWDD+EgAiAABo8FiVivsS +ACIAAFDw/AoAIAAQaDBb/wgpYALAgflZQAAAEHgwCY84/0QBIuQAN+DAoSpEA2P+TMCl/IlDECQQ +WDD+EgAiAABo8FiVeCtgAsDB+1tAAAAQaDALzTj7EgAiAABQ8Fv+9C5gAsDR/l5AAAAQYDAO3Dj8 +RAEinAA3IMDxL0QDY/38wKX8iTAQJBBYMP4SACIAAGjwWJVkKWAC2jD7EgAgARBAMPlZQAAAEGgw ++Y04AAAQYDBb/t8sYALAsfxcQAAAEFAwDLo4+kQBIk8ANqDA0S1EA2P9pwAAAMCl/IkbECQQWDD+ +EgAiAABo8FiVTvsSACIAAFDw/AoAIAAQaDBb/swuYAIOXkD+RAEiAABRMFv+wmP9aN0w/IkNEAUQ +UDD+EgAgJBBYMFiVPy9wAg9vQC9ECWP9R90w/IkGEAUQUDD+EgAgJBBYMFiVN8CBKEQBKEQDY/0n +3TD8iP8QBRBQMP4SACAkEFgwWJUvLGABK3ABDLs0+2QAIAAQUDAqRAEqRAMpICqxmSlEBmP88AAA +AADApfyI8RAkEFgw/hIAIgAAaPBYlSD7EgAiAABQ8PwKACAAEGgwW/6ewNH9RAEiAABRMFv+lWP8 +tMCl/IjkECQQWDD+EgAiAABo8FiVEvsSACIAAFDw/AoAIAAQaDBb/pDA8C9EAS4gKrHu/kQGIgAA +UTBb/oVj/HTApfyI1RAkEFgw/hIAIgAAaPBYlQL7EgAiAABQ8PwKACABEGgwW/6A2kBb/nxj/ETI +pChAAWWMaci0KSAoZJDsyKQsQAFlzFnJvS1AB8nYLkABZOEFKWABKHABL2AACYg0/wIADgBxQ9DI +pCxAAWXMMMqzLUAHyd4uQAFk4OwoYAEvcAEsYAAI/zR/yQopcAD/AgAOAHPiUMikKkABZawBZLv+ +K0AHZLv4LEABZMDfLmABLXABLGAADt00/wIAD/3x6xAocAD8iAwACBAQMAglOPJc+SABEHgw9UQC +IgUAE/DRDwAAZbxHY/w8wJHy+vsgAhBQMPpEAiIFABJw0Q8A2kBb/kZj+2zaQFv+RGP7ZNpAW/5C +Y/tcwLHyCgQgCxBgMPxEAiIFABLw0Q8ALUAHZd8MwOHy+v0gBBB4MP9EAiIFABOw0Q/AgfL6/iAF +EEgw+UQCIgUAEjDRD40lLEAG/wIAD/+C6xBj/umPJS5ABv8CAA//knuQY/8CwIHy+v8gBhBIMPlE +AiIFABIw0Q+LJSpABv8CAAf/i96QY/sCAABsEAqHMSgwMCYyBRSGnfUKACAVADYgKTA7KzBG+goB +IAcANmALpTkqQn0rPQEtsGEpQoH8MA0qACBqsP4wASuQBDqg/zAAKAAgVnCJlygwDCIwJome+BYI +IcgCWvD6MCgiAAAgsPmQJiBgADSgnxeeFpwVmhP5FgIgWAA8oPsWBCIASwCg/wIAAgBkhKD/AgAE +AHWAoP8CAAQAlYSg/wIABgCjAKBoITtvJQJvIidoJVBoJiH0NCYiTAA4oPJM/yABEEgwApI50Q/A +pfyIPBAkEFgwWJRq8//aYAEQIDDAIdEPAAD6EgIgDggx8GRRfPsSAyEpADagZLEs8/+2YAMQIDCO +EI0RwMH+3QwABhAgMA3EOGP/nQDApfyIKRAkEFgwWJRWizEYhlYaheT5EgQgAgJa8JsxKqKGKZB9 +KIKBqpkJmRGpiIiHiI7A8S+EJ2P/UsCl/IgcECQQWDBYlEf8MAEgARBwMP40KSAAEGgwnTGdMp01 +LDQAY/8pAMCl/IgSECQQWDBYlD0Yhj0sMAErMA2JFBqFyvsWBSoBAObwKzQAKqKGKZB9KIKBqpkJ +mRGpiIiHiI78FgYgARB4MC+EJ2P+4MCl/IgBECQQWDBYlCuNNP4yAiABEHgwLzQpnhCdEWP+vwAA +wKX8h/kQJBBYMFiUIhmGI4oUG4WwjDScMiuyhiqgfSmSgauqCaoRqpmJl4mewIEolCdj/oj/AgAP +/0ox0GAAAnZ5AmReho0SZNBBjhNk4Ed2eQJkXnaPEmT+cYgTZY5sixaKFYkXC6o0/wIAD/8wUlCO +GMDF/p0MAgAAILANxDhj/kkAAPP+RGACECAw/wIAD/8eMdBj/7qJFogVjxcJiDR48avz/iVgBBAg +MABsEAQoIAQpIAXzgQ9wABBoMCMkBPAABGABEGgwKiAGdJEH9CQFIAEQaDD1oQxyAABZsPUkBiAB +EGgw9AoBL/8QGDD6LAAABBBgMG3KFy6wACygCLGq/wIAAAICWvD/AgAOAEvjkNog+3wAAAgQcDDT +D23qDy6wACygDLGq/OkwcAICWvCLGPosAAAIEHAw/LwAABcANuBt6g8vwAAuoBSxqv75M3ACAmMw +0tDRDwAAAP7DBnIAAFDw2kBkr8bbcPwKCCAYAlCwWInQ8/+2YAEQaDAAAAAAAAD/4wZyAABQ8NpA +ZK/D/AoIICgCULBYicbAIdEPAAAAAP7DBnIAAFDw2kBkr1/bYPwKBCAQAlCwWIm+8/9PYAEQaDAA +AGwQCoc1KDAwFYWy+TIBIAAQMDD5FgkgFwA2ICowOywwRtMP+woBIAcANqAMtjkqUn0rPQEtsGEp +UoH+MAEqACBqsP8wACuQBDqg/DANKAAgVnCJlygwDCQwJome+BYIIcgCWvD6MCgiAAApMPmQJiB/ +ADUgnxeeFpwVmhP5FgIgWAA9IPsWBCIAV4Eg/wIAAgBxBSD/AgAEAIIBIP8CAAQAogUg/wIABgCv +gSD7EgkgqAA9IG9FAm9CQWhFZGhGO/U0JiIiADkg+Vz/IAEQUDAJqTnJksBA2iD0TAEiAABY8Fv+ +28ihakbtwCDRDwAAwKX8h0YQJBBYMFiTdPP/wGABECgw/BICIA4IOvBkYYT9EgMhKQA3IGTRL/P/ +omADECgwhRCPEcDh9f8MAAYQKDAP5Thj/4kAwKX8hzUQJBBYMFiTYowxGYViG4Tw+hIEIAICYzCc +MSuyhiqgfSmSgauqCaoRqpmJl4mewIEolCdj/znApfyHKBAkEFgwWJNT/TABIAEQeDD/NCkgABBw +MJ4xnjKeNS00AGP/EADApfyHHhAkEFgwWJNJGYVJLTABLDANihQbhNb8FgUsAQDrMCw0ACuyhiqg +fSmSgauqCaoRqpmJl4me/RYGIAEQQDAolCdj/sfApfyHDRAkEFgwWJM3jjT/MgIgARBAMCg0KZ8Q +nhFj/qYAAMCl/IcFECQQWDBYky4ahS+LFByEvI00nTIswoYrsH0qooGsuwm7EauqiqeKrsCRKaQn +Y/5vjhn/AgAP/z87kGAABQCPGXf5AmRubYgSZIBEiRNkkEyKGXepAmRuW4sSZL5WjBNlzlGPFo4V +jRcP7jT/AgAP/yLzUIoYwIX62QwCAAApMAmFOGP+LgAAAPP+KGACECgwixn/AgAP/w860GP/t44W +jRWMFw7dNH3BpvP+B2AEECgwAAAAbBAK+ywAAAkQQDD3XAAGAWbFUPMWBSABEGAw8hYGKAFmhWDA +m/UseCYCHE3QaHomwKT8hswQJBBYMP1Q6SIAAHHwWJLyKlI3sar6VjcgABAQMNEPAAAAkhb8JEYg +DhBoMP9M/yADEFAwCvQs/gq0KgJOf1AtUMT/AgAGASSnUAQNR/RUxCACfydg9xYJIgAAMzCHFZsW +/rQIAAAQEDD3fAIiAAAZ8CocEPt8AAACEGAwWIjeLjABKxEI+EFGLkUBdDDw8QQAARBgMPDNGg5A +AXAw/Q1HACAEWjArRUbwAAdgARBYMADAsChAin2BB/1EiiABEFgwKUCLsO0NCkd6kQf9RIsgARBY +MC1QxPRMBCYJADLw8zwDIAICELD9IoZwBgI58PcSCSQAKgtgjhb+3goAABBIMP7tASAEEFgw/bsM +AYACa7BtujIv0UAPAgBk8Ir51UAgARBYMCjQfsiG+dR+IAEQWDAq0H/IpvnUfyABEFgw/dwEJgkA +MvBkY8f8VNYgARBwMIsW/AoBIAAQaDD7vEUiAABS8Fv7BfKsAAD/ADagjBYswEdkw7eDFiM9ASM8 +OC0wBJIYlxn2FgogAc6nYIIW9jwAAAAQODDyLQEgABAgMPAAI2FQAhCwwLDz/3dgABBIMAAAAAAA +9KQIAAICOfD9elRwCAIxsCpQ6SthBCxgBi1gB1v7EP0wBC/dADagatHVAiwC/jwAAAAQWDBtCCQt +4AYp4Aco4QQoxUApxH8txH4tMAT7vAEgCAJzsP26p3AIAmMwY//UhhqHGYIYfUo+ihYKSgoqrQHz +SwoBaAJSsG0IJC+wBi6wBy2xBC2lOi6kcy+kciwwBPRMASAIAlrw/EoLcAgCUrBj/9QAAAAA9FSs +IAEQQDAoVL7ecPyGMRIAAHmw/VDpIAQQUDDyFgAgJBBYMFiSVNEPAAAAAAAA/wIAAAFWJ2CXGfP9 +wGAAEDAwAAAAAAAA9Sx4IAAQMDDz/7dgABAQMMCh+iQwIAICcPD8MAAgCgJ48PMtASAaAkjw8zy4 +IAgQQDD5FgAiAABQ8PwNQgoHAWQw/Y04DAYBYDBb/j/UoPasAALNADagghYqIMQpIMUoIMYvIMf+ +IMgoACBWcP0gySgAIEow/CDKLgAgR/D7IMsuACB7sK7drcysuywKZPUseCAuBGLw/IX+EAMQUDD9 +UOkgJBBYMFiSIsCBKFRwjBYpwDErUBD8wDAh/gA2YP8CAAABE4ZgwCBkLveNFi3QMWTR+ZMXE4Om +JFDpKDKHIzKKpIgJiBGoM4M3jxeDPv/yAiAYAlvw+j0BIAgQYDD/NiMhIAJSsFiIEogXxpx5gRL6 +PQEgCBBgMPuMFCEwAlKwWIgLwDDaQPs8AAAAEGAw/QoAIAAQSDD5FgEgABBwMPkWAiBkEEAw+BYA +IAAQeDBbK8xmoCeMF6w8LMAMKwr/+8sMAAAQUDALrDj6TAACAABY8FsrnWagBLEzaTinwNEtVDxj +/j0sJDsiMAAuUIotMAHyY0AEBwEQMPICQwAgBCOwJFSK8AAHYgAAezAAwPAuUItz4QfzVIsgARB4 +MChQjHKBB/JUjCABEHgwKVCNfZEH/VSNIAEQeDDW8PsWBiAJADfgLFSOmxaLFixQgf1QiiIAAHPw ++7w6IgAAUvBb+jXyCgEg1gA2oGQtt4wWLMA8ZMDpihYqrQEqrP4roAcqUOlb+tXAsStUhWP9lgAA +AAD+TAAAJBBYMPyFlxAEEGgwWJG7LVDE+xIGIAEQYDD+CrQl/ssDYPcWCSAEEGgwLVTE8/tRYAEQ +MDDAYIgWKIBG/woAIAEQcDDz/C9uBQBD8PP88mAAECAwgxYjPQHz/EdgQAIY8AAAAPsWBiX/5otg +8/usYAAQMDD7FgYkADILYPP7nGIAADMwZL4KZM4HiRbAgSiUMfP9/2ABEBAwihYqrQEqrISaF2P9 ++owWLMA7wLDz/yNiBQBi8Mu9y8v9CgEiAAARMPP9z2IFACNwihYqrQHz/xVh6gJSsAAAAAAAAPxU +1iIAAHMw8/uPYAEQMDCFFvP9iGDwAilwiRbAgCiUMfP9k2ABEBAwAAAAbBAMkh4dg3z+LQEgGAI4 +sPcWAiAAEHgw/xYLICACMLD2FgghiAJ7sP8WAyBwAjOw9hYGIfwCQ7D4FgUhIAJjsPwWDSFwAkuw +mRos0n4p4GH40oEhgAJzsP4WByAAEDgw9yQ2IAEQUDD3JEEoACBmcPckTCmQBD5g+iQoKAAgSjCY +FPAAfWIAADHwwCCOEosd/uD4IAwANqD3tHMgARAQMIgSjx34gPkgGARrsP30dCABEBAw+RINIBgE +YjD8lHUgARAQMMonHoLbjR0cg0su4oYt0NEswoGu3QndEa3MjMeMzsCh+hYLIAEQWDArxCamVrJm +9gZPAAQCeXD/MwgKAVKhkNoQ+zwAAAIQYDBYh0ElEQAFmUb1BUgAAHUGYP8CAAIAkoJg/wIAAgDp +hmBplL2KFvwKBCAEAljwWIc2iRL+XPwgBhB4MA/uLf8SDSAMAlDw8goAIAEQQDD4lEAgABBAMP70 +rC7gAXAw+BYMIAHWp6CHF5MRmh+yGvsSDyACEGAwWIckjBEtEQEvcUAuwAgrwAv+DkEAIgRr8C11 +QPAACGABEGgwAADA0C9wfihwf3vxB/t0fiABEGgw+RIMIBgEcjD+dH8gARBoMI4dDSIC+hIPIAgC +OfD+4KwgDAJjMPwWASACAkpw+RYMIAwCUrD6Fg8t/8JyUPcKACQApiOgY/6/hxKKGCgwAoJxiXKZ +GSh0AP8wAyAIAljw/3QBIAgQYDBYhvuKcYsSjBn3CgAuAIaSkIuy9woALgCB4tCMEifEHGP+p44S +ihr9CgEgBAJY8P3kKiAEEGAwWIbujxKIEi0wBC/wsCwwEv1tQAAAEBAw+ICxICQEa/CCHS0kLPAA +BWABEBAwAIoSDwIADwIAKqCy+RINIAwANiD3lC0gARAQMPyhEHAEEGgwix3TD/y0LiABEBAwwMBt +2haNHqPO/uAGLAAgbzAt0MD8zAEuAVZrkPwKACAIEHAw0w9t6haNHqPO/uAKLAAgbzAt0MT8zAEu +ATBrkGP9yAAAjxKKFf4KASAEAljw/vQ1IAQQYDBYhsCIEooSLjAEKID2LTAH/DAGLgYBcDD6oPcn +/q72EIkdLpRy8/1SYAEQEDCKEiekHGP9fwAAAIkeCekK+Z0BIAQQWDD+uwwBgAJKcG26MiqRQCuQ +fv0KASAxADagJ5VA/JB/IAwANuD3lH4gARBoMMjG95R/IAEQaDD5nAQiCQBosGP9LAAAAAAA8//S +YAAQaDCNEi3QJMAg8xIEIB0AN2COEi7gL2TgECMSAiMwOi8KAfMSBCIFABvw+xIOIgAAUPBb/NSF +Goce2jD9CgAiAAB5cPZ9ASIAAFnw9myEIF4COfD8fAACAABxsFv6csqiwEDccP5sAAIAAHlw+jwA +AAICITD7Eg4gABBoMFv6asihakbdhRWHHvQKACIAAFDw/QoBIgAAeXD2fQEiAABZ8PZs9SB0Ajnw +/HwAAgAAcbBb+l3KoNxw/mwAAgAAeXD6PAAAAgIhMPsSDiABEGgwW/pVyKFqRt2FFoce9AoAIgAA +UPD9CgIiAAB5cPZ9ASIAAFnw9mwgIIoCOfD8fAACAABxsFv6SMqg2jD8fAACAABxsPRMASIAAHlw ++xIOIAIQaDBb+kDIoWpG3YoSKKAkwJD5pB0gUAA2ICugL2SwZiygOmTAZmQgP4sdGoHSGYJCK7DR +KqKGKZKBq6oJqhGqmYmXiZ4tkCbL1/ISCyAAEGAwLJQn0Q8AAAAA8goAJf3ni6Bj/iFkL7+KHcCx +/AoAIAAQaDD6oNEgAhBwMFhFqmP/pYIb0Q9lL95j/5tlL9hj/5X+0wZ//xBgMMDBZMtiihP8Cggg +FAJY8FiGKvP7UmABEBAwAAAAAAAA/tMGf/8QYDDAwWTNSooX/AoEIAwCWPBYhiDz/TpgARAQMAAA +bBAM8hYCIDgCULD6Fg0gABBwMP4WCyAAEDgw9xYKIgAASLD2nQEgABBAMJgZnhAYg4QtkDD2YGEh +oAJhsJwVnRgskEYtkDv9FgcgABAQMPwWBigAIEGw+BYMIH8QODC0Gvs8AAACEGAwWIYCJBECBJVG +9ARIBgBvPVCySPVf32IAIETwiRpklJCKGWSjS4sbjB39EggjhgA24CzAFP4SByA8CGswjR0t0B// +EgYgIghzcI4dLuAq/wIABgHo/5DAIRiBdBWB5SiChyVSgaaICYgRqFWFV/VSDiIAAFGw+woAIAAQ +YDD9CgEgPxB4MP9VsSACEHAwWEVU2mD7CgAgARBgMP0KASACEHAwWEVPKVGx/wIAAgE+dlD6XQEg +ASPqUIkcKZ0BKZyAKZDA9JOUYQACIrD/AgAAARkeYNpg/Ar/IAQQWDD9CgAgAhBwMFhFPmACFdoQ +/AoEIAQCWPBYhcWMEB2DlgyLFP6DlRYAQe7Q/wIAD/+C8tAYgUYfgbcogoYv8oGmiAmIEaj/j/eM +FY/+iRwdgyv/8EAgBBBQMPmdASCAEHAw+ZyALAAgd3D5kLwgDAA34P8CAA//X9ZQLMCRrcwswLyb +E/IKACIApXsQ9iG3YAEQeDD/FgsgARBoMP0WCiABEHAwnhlj/osAABmBKRiBmSmShiiCgaaZCZkR +qYiIh4ociI4qrQEogED6rIAgARBoMPqgvCAAEHAw+QoAIAkANiB9rwHAkfneOAzgAWAw/woILkIA +N6D4Cgwr/x1j0P8CAAv/GWYQ+xYDKHQAPyDAm3nBNYob+woBIegCazANujiaG44VH4L1LuCRKAqA +qP+v7i7gvPwWBCAsAPuwwCBnLfJgAQGdGmP/2J0ZY//TihUpoiD7EgMgAgJKcCmmIIoSWEZCG4NF +ihOMFfzAkSBABFqwGYMJKgqAqpmpySmQwGiTM2iXMPP/tWAAEBAwABmDPCoKgKqZqckpkLxokgJp +l9CKEvxM/CAMAljwW/3b8/+MYgAAErD6EgIgDAJY8P0SBCH4AmEwW/xO8/9xYgAAErCMFSvCIPoS +AiACAlrwK8YgixNYRiGJFRqDJSmQkSsKgKuqqpkpkLxokg1olwrz/olgABAQMAAAAIoS/Ez8IAwC +WPBb/cHz/nFiAAASsCRdASRMgIgcKI0BKIyAKIDAaIEzwKAqVP0qVOgqVLQqRIYqRLYqRM5mIXHR +D9pg+woDIAAQYDD9CgEgAhBwMFhEqSlRsWP9bClRsf8CAAIAz3pQ/wIAAgDbclB6l7XaYPwK/yAE +EFgw/QoAIAEQcDBYRJxj/52OHR2ArvyBHhAAEFgwK+QfLdKHLMKBpt0J3RGtzIzHjM4rxP8rxP4s +zQErxAArxAErxAIrxAMrxAQrxAUrxAZj/HGKHRmAnfiBDRAAEHgwL6QqKZKHKIKBppkJmRGpiIiH +iI4ojQEvhDgvhDkvhDovhDsvhDwvhD0vhD4vhD8vhEAvhEEvhEIvhEMvhEQvhEUvhEYvhEcvhEgv +hEkvhEovhEsvhEwvhE0vhE4vhE9j/A4Aix0rsJhlvCyMHSzAzGXMJI0dLdDhZdwcjh0u4Opl7BSP +FS/wZmX8DIgVKIB+ZYwEZSwBY/7JAAAqoCT/AgAB/0+moPMKACABEGgwsKl5MgHA0PpsAAAEEFgw +/goCLOABGDBYRFYqQKSxM/oy3XABEGgwY/5ojRXAovyCsBAkEFgw/dCRIgAAcLBYjs/RD40dG4Be ++oDOEAAQYDAs1BQrsocqooGmuwm7EauqiqeKrvqtASA0EGAw+qy4IAAQWDBYhNVj+zfaYPsKACAA +EGAw/QoBIAEQcDBYRDkpUbH/AgAB/ylyUNpg+woDIAAQYDD9CgEgARBwMFhEMSlRsWP+MmwQBNMP +bUoPJyAAJDAAsTP0eQxwAgIQsMAg0Q8AAAAA9HMIf/8QEDDAIdEP0Q8AAGwQCBiCgCoK3PmCfRBi +BEEw+UkjcAoQWDD1sw5wCxBoMMDJ+QoVKgBU4VD/AgAGAGjtUMAg0Q8AwCDRDwAAwED1LQEgARA4 +MPVc3CAAEBAwKhwQ+zwAAAIQYDBYhJcqEQj6mUYLAAFUMPiRRmIAIBLwa5Ug8JAEAgAOomAECBvw +kQQCAEL+EAB4GghEAvAADGTgASAwKVIgsZkpViCyIvICTwAEAlrw9iOlcgAgXPDAINEPAJAEBAgb +8JEEALAA/jAAeBoIRALz/9Jk4AEgMAD/AgAH/6/NkPyCThIAAHEw+i0IAgAAeXD90IUgJBBYMPYW +ACAEEFAwWI5nIvq50Q9pYtRj/ywAAC5SH7HuLlYfYAAJAAAvUh6x/y9WHv1QhSAFEFAw/II7ECQQ +WDBYjloi+rnRDwAAAGwQBogrJCAH+SA5IAQQUDD0BEEAGAASMPAABmoJAFJw2pD8gi8QNBBYMP8i +AiIAAGiw/iIAJuABVDD3FgAgBRBQMFiORx+AZfh/7hbgASgw+4IlEgwAOaCDM/7yFiXABD0g/SIA +JAAgRXAsUjrTD/7sASoJAF9w/vYWIgBdQyAcf+IpUjnTDwxMCizCl/yaAQ4AUmZQHX+18A0HAgAA +SrAASWEASWEUgGAZf3YoMRAegF0dgg3+pgAgEBB4MP4iACyABDng/bsCCAkAYjD7pgQgBhBgMP+m +AyB4Aljw9KYCKAkASjD4pgUgAhAgMP8xES+ABDug/6UMLgkAI7D+pgEgNAJSsFiEHvRWOS+AEHgw +/zQnIjoAOaCKJ/sKASAAEGAw+qwgIAEQaDBbLYHSoNEPwCDRD4siZbBe+iwAADACWTD8CgAgAhBo +MFs3MWli4IonKwoA+qwgIAEQYDBbMOEdgLOdoIwgG4Hh+6YCIAEQaDD4zBEANBBYMPOmAywJAGsw +/KYBIgAAaPD8gdkQBRBQMFiN88Ag0Q/ApfyB1hA0EFgwWI3vwCDRDwAAbBAEFn9jJmJ/GoHQhmXZ +IPv6/yABEGAw8AALagAgUbAAJiwoemE/8mwAAAsANmAoYADTD8qIZD/nLSAAwGD50d9gEBBwMG3q +FKJn93AIKAAgGbAogAD3iRJwAgIxsNEPiGJ5idDRD8Ag0Q8A+HMGcgAAMvDWwGVvp2P/6GwQBtog +8xYAIDoQWDD8f9gSAAAZsFsLu/asAAA0ADagyDwDOwL8CgYgWAJSsFiDysh8B3sC/AoGIGQCUbBY +g8aUaZVqiRCZaIgiyIrAINEPwCzRDwAAAAD6LAACAABZsPwKCiADEGgwWsoZwCDRDwBsEAQiIqrA +QfMlDAAMADSgwCAFQjjRDwDAINEPbBASGH86G38sKoKAKYKKKI3m+IDBK5AEOqDwCwcIACBWcCqd +A/qsgCAUAH4wKp0BKqyA/H8vEgAASHAASWEASWEASWEASWEASWEASWEASWEroSn9fykQBxBIMP4K +4SYASubQiKAcfyMuFBj9FgApgAQ6IPwWAigJAEowmBEvoAf4fx8eIAF8MAD/EQ+/Agj/Ap8UDuow +/hYFIDAQaDD9FQ8gVAA1IPIEFgBAAkhwAEljAASGAElh9hQ2ICwANOAoMRMoFECPK/khGyAOAAfw +KRUhjjMuFhONMi0WEow1LBYVKzIEKxYU2xD8CgcgAhBoMFsotdEPG38Cmxj/IgAgABBIMJkb+RYK +IAUQQDD1FDUvgAQ/4P8WDC4JAEfwnxlj/5QAAGwQBBp+8SwgDCuigCqiiqy7CbsR+X7uGgAgWrAo +oSn8ft0Q+gRKMI4nju4Yfuoffugt7QL1fzkQoAJbcPAMBwIAAErwAElhAElhAElhAElhiSD/5pYg +BBBgMPXmmimABD5g+OaUKAkAZnAp5pUooAcvoSkICEEAiBEI/wIF/wL/5pgg4AJLcAIDhgBJYwAD +hgBJYdnQ9JSGIAIQaDBbKIHRDwAAbBAKKFAYaIEHwCDRDwAAAAArXBr8CgYgIAJQcFiDObhL/AoQ +IDACUHBYgzb8HBgiAABQsP+BExAgAnBw/TwIIAIQSDD5FgAiAABbcFsKF8Ag0Q8AbBAgiicrMggn +MgH1og4gARAwMPT6/yAIEEAw8LEEBgBARfD4sCFiAABJcC1dBCzQAABrGgS7AwvLAQsLR/vUACAH +ADcgZLOTLJK+/X6YFAEsgyCO0o/RiNCYEJ8RnhKN050TixMafo2NEo4R/xIAICACYHCfwJ7BncIq +FjSbw/AKBwBAAkhwAElhAElhAElhAElhGH6VGX6JGn6HmhgpFgkogqCOMy4WCy0yAi0WCvwyBSBA +Alhw/BYNIgAAULD5MgQgBRBoMPkWDCACEGAwC4AAGH5ojYOOgv+CASCAAmBwn8Gewp3DiID4xgAi +AABQsP05GiAgAlhwW4kCJDUaJhRbKDAXKTAW+jAVIAIQYDAsFFEqFF0pFF74FF8g/xB4MC8UUP8U +XCAAEFgwKxRSKxRTKxRUKxRVKxRWKxRXKxRYKxRZ+xRaIKACcHCL4v3iASCAAmBwncGbwonjmcOO +4P7GACIAAFCw/TkbICACWHBbiOSJPPQ1GyAAEGgwnTHwkQQAVAA6YIonj64v/QQv/IAu8IAAaRoE +mQMJ6QEJCUf59IAgBwA3oGSSPpQ8H35LnTYrXQIosAUefkgUfkb6fsYUXAA+IMl2+iwAAAkQWDBb +CV4pUCXArf0KACYAeFZQKTESZJHx/wIAAABrBmDRDyggDCSieiqihKhECUQR+X41GgAgIrAooSn0 +vPAmAENOEIUnhV4sEjQpXQIrnFDwDAcCAABi8ABMYQBMYQBMYQBMYYggL1aU/laWIAQQYDD+fngZ +gAQ6IP5WmigJAGIwKFaVJ6AHKKEpBwdBAHcRB4gC+ZxwKAkAcjD4VpgiAABxMAIOhgBJYwAOhgBJ +YS5dA/kKYiEAAnOw+eQGIAIQaDBbJ8baQPsKACBQEGAwWIKP8/88YAAQaDAvkr0okrwqkrsrkrqb +EJoRmBKfE2P9owCdNp0ynTOdNJ010Q8afgEZfoIcfbMqooApkoTTD/zAwSuQBDqgqpkqnQP6rIAg +FAB/MCqdASqsgCsSNNMP8AsHAMACSHAASWEASWEASWEASWEASWEASWEASWEroSn/AgAH/1+m0B59 +7fmiACDhEHgwLxR4/hYYIAcQYDD+fecZgAQ+YP4WGigJAGZwKRYZKKAH+X3jGCABQDAAiBEIuAIJ +iAIoFhwP6jAvFh38+vAgMBBwMP4VPyYAQOVQKxx/+7wBICACSXAGCYYAS2cECYYAS2UsCmP8FJYg +KQA04C8xEy8UoI4rceYFKCEbKBVRjjMuFiuMMiwWKos1KxYtiTQpFiwrHGD8CgcgAhBoMFsnd/P+ +DGAAEGgwljbRDyqsEFstj4kniZ5j/F4AKqwQWy2L8/23YAAQaDAffbsvFiD7IgAgABBwMC4WI/4W +IiAFEGAw/RSVK4AEPuD7FiQqCQBm8CsWIWP/agBsEAgmIv0L6jAXf//TDw8CAChykf8CAAoAoEbQ +HX1XK3aRKnKQ/dIxIAAQYDBYjJj9fcUQABBgMFiMlSctBCd89PsWByYAf7XQGH/wkhT4FgUgoAJC +MJgWYAAkACiAGP2ZDA4AIBvwLuAAAJAECAgbDg4beOFehiD/AgAGAGQ10PJsAAASADVgLmIC+hIH +IA4AO6B640GGJMhBdGnY9j8UAAAQUDBt+Ryirf3QGC4AIBqwLuAA/wIAAAICUrD/AgAOAEPrkPYN +QggAIBPw+QoIL4sAt2DRDwDApfwSBSAwEFgw/xIHIgAAabBYi9+MFo1mjmePaPhiCSAFEFAw+BYA +IDAQWDBYi9gaf8CMIYYgKqJulsCNIIsliqT81gEgABBIMPkmACAAEGAw+SYBIAEQaDBbKsuMFCvC +/LC7+8b8L/+gMdDAINEP/tMGf/8QUDDAoWWvIGP/bCxykLHMLHaQY/64AGwQEIknGn1GiZ6LoiiS +voyhjaD6ogMkAK6CIJsSnBGdEJoTHn07iRKPE4oR+xIAICACQHCbgJqBn4OZgvAOBwBAAkhwAElh +AElhAElhAElhGH1DGX03Gn01mhiZGSiCoI4znhstMgItFgr8MgUgQAJYcPwWDSIAAFCw+TIEIAUQ +aDD5FgwgAhBgMAuAABh9Fo2DjoL/ggEggAJgcJ/BnsKdw4iA+MYAIgAAULD9ORogIAJYcFuHsCgw +FykwFvowFS//ECAw9DUaIAEQMDD2FFsgABAoMCUUUiUUUyUUVCUUVSUUViUUVyUUWCUUWSUUWioU +XSkUXvgUXyD/EHgwLxRQ/xRcIAIQWDD7FFEgoAJwcIvijeH54gMggAJgcJnDncGbwo7g/sYAIgAA +ULD9ORsgIAJYcFuHkIk8JDUblTHwkQQAkgA6YIsnjb4t3QQs0AAAaRoEmQMJyQH5CUcAABAQMPnU +ACAGADcgypiUPJU20Q8AAC6SvS+SvCiSuyqSupoQmBGfEp4TY/6XAPU2BiAAEBAw0Q8qvBBbLL2U +PPU2BiAAEBAw0Q9sEAQuIv0K6jAXfzwocpH/AgAKAGjGkC8tBC/89Pp2kSDeBHPw8AAqYAgQMDAA +AAAAAAAA+qAYKAAgHXApkAAAwAQKChsJCRt6kUKO4A8CAH7xPC3iBGRAAnTZ7v01FAAAEFAw0w9t +WRSuq/uwGCwAIBqwLMAA+8lacAICUrD9C0IKACBxcPtsDA+qALbgzOLAINEPiOEqcm6L4JuAieCK +pIvl+JYBIAAQeDD/5gAgARBoMP/mASIAAGPwWyomLCL8sMz8JvwgABAQMNEPAAAAAAAAAPyzBn// +EFAwwKFlr2lj/5ktcpCx3S12kGP/JwBsEAb+fAACAAA48JcRC+owE37/KDKRnhAafFn7NpEqALrG +0GhQIC2iMfoykCAAEGAwWIuY/XzFEAAQYDBYi5X6fE8UACBdcGhgHSsykS2iMfoykCAAEGAwWIuO +/Xy7EAAQYDBYi4yrZvosAAIAAFnw/EwAAAEQaDBb/uLzrAAAIwA2oPoKBSAwEFgw/H7iEgAAaPBY +ivaVMvY2AyIAABDw0Q/Apfx+3RAwEFgwWIrvLiL8/xIAJHwBP6DL9iki/hp+042RKqJui5Cb0I6Q +iqSLlf3mASAAEEAw+JYAIgAAYjD4lgEgARBoMFsp3isi/LC7Kyb8LCL8b8VzE37EIzJuijQtoQLM +1vAAFmAAEFAwWyXiizj6qgoCAABCsAuqC5il86wAAEkANqApLQSVopajlKSMcZynjBCLcJuminOa +Of1yAiHoAkpw/TYIICgANyAuIv0tIvyT4Z4wmTHzJv0gAgJrcP0m/CIAABDw0Q/SMNEPAAAoIv4v +IvyTgJgxmTDzJv4gAgJ78P8m/CIAABDw0Q8AACkykLGZKTaQY/6DAGwQBIQgKDAGJTAHIkIQCIgR +CFMC80UaIA4ANKDzJRogABAQMNEPwCDRDwAAbBAWJzACC+owGn1b0w8PAgAoon//AgAKAmBG0B17 +5Sumfyqifv3SMSAAEGAwWIsm/XxTEAAQYDBYiyP6HAACAAAy8Ps8ECAQEGAwWICdJTAHKTAEKDAI +KzAJLDAFKjAK8LsRCIAEOiD7MAYoCQBaMPQwCyuABDqg8MwRCIAEPmD8mQILgAQ+4PpEAgQJAF1w ++EQCBAkATXD5MAMgCQA1YGhQAaVlLBAA9EAJYP4QaDBoQAGkZPoK/yYAhG8Q/wIABgB+VxB4lyBk +UQn6LAACAABYcPx8AAIAAGlw/kwAAAAQeDBb/1QpMAP/AgAAAGhmUCkiyQ8CAP4KAiIAYXZQLyKz +/wIAAABb+9AsEAD/AgACAFZyUCgK/v8CAAYAZMcQ8y0DKgBMpVApMSP/AgAAARBoMP8CAA4AQLpQ +2xD3PxQBUAJQ8G35Dy6wAC2ggLGq+7wBLgGya5AtCgEHC0L+CgIgLgA24AH4CPiAACgAIBfw+Z0D +IAgQUDD7qgwBUAJKcCmQgACgBAgIGwkJG3mJJysyEvp+LxABZILg9rsMCgAGLpD/AgAKAVSq0CU2 +EvQ2EyAAEBAw0Q/IUWh9PcAg0Q8rEAEtCsANuwH/AgAP/3ea4GP/59og+xwAAgAAYfBb/tlj/wAv +EAEoCsAI/wH/AgAP/5cb4GP/wwAALBQQLxAGKBAHKxAF+xQVIP4QUDAqFBwoFBf/FBYg/xBIMCkU +Gy8QASkQAygQAioQBCoUFCgUEoogKRQTLxQRK6A/L6A8KaA+KKA9KBQdKRQeLxQaKaA6KxQfK6A7 ++xQZKBEAdnD5FBggIAJYcPmyASBAAnhwmfGIsJjwiT6Ispjyi7P79gMgAMymYImniZ4rkr72rAAE +APIC4Bp7iYyij6H4ogAgYAJYcJiwn7GcsoqjmrP5e38QYAJQcIyij6H4ogAggAJYcJiwn7GcsgAJ +i4qj+rYDIKACSHAASWEASWEASWEASWEYe4Uae3kZe3kpFhUqFhQogqCOOy4WF406LRYW/DINIKAC +WHD8FhkiAABRsPkyDCAFEGgw+RYYIAIQYDALgAAYe1mNg4+B/oICIOACYHCewp/BncOIgPjGACIA +AFGw/TkqIIACWHBbhfMpMDUoMDYvMDcvFI8oFI75FI0gABBQMCoUiioUiSoUiCoUhyoUhioUhSoU +hCoUg/oUgiD/EGAw/BSMIAIQWDD8FIAv/xBwMP41KiABEGgw/RSLIP4CcHD7FIEgAgJzsIvijeH5 +4gMg4AJgcJnDncGbwo7g/sYAIgAAUbD9OSsggAJYcFuF0SkyFP0KAS//EHgw/zUrIAAQUDD6Ngkg +ZAA6YIxni84AkQQrvQQosADw2RoP/xBwMA6ZAwmJAQkJR/m0ACAHADYgZJCNx88sNhSaPic1IyQ2 +EyU2Ep0+LTUiiBmPGI4bnj2fOpg7jRr9NgwgARBYMPoiACABEGAwWwbmwCDRDwAAe6tEKjYS9DYT +IAAQEDDRD/8CAAv+o66Qx5//AgAL/p6uUGP/3SqSvSySvC+Su/iSuiBgAlhwmLCfsZyymrNj/hwA +AAAAAAAA9DYTIAAQEDDRDyrMEFsq4cDR8/9mYAAQUDAAAAAAAAD6+v8iAABLcP6TCHABEGgwwNHa +0P4KAizoALagY/yDACyifrHMLKZ+Y/s4AGwQCMk6+jwAAAAQWDBb+37JrsCl/H1XEDQQWDBYiWfR +D2RAaPoKACIAAFkwW/t2ZaIRF3tjJ3KHKnIBKKECZIBYWyRpi3Uceu0KqQoLmQv6lQEgRwA2YC3C +gPvCiiBIAjswJ3KHLM3mLMDBinIJ3RH5FgUqACBu8P+hAiBCAH8wLbInjd4t0hOdFGAAGcCi/H05 +EDQQWDBYiUnRDwAusqeO7i7iE54UZP/vWyRPiXaIFAqnC/l3CwgAIEKw+HUQIAAQSDCZcPl2AS/Q +ADXgZDGCihUppAApdCaTopN0ihXbUPqsHCAGEGAwWH88JnURknwuIDkudCgsUAAtUAEtdD0sdDwq +UAIrUAMrdD8qdD4oUAQpUAUpdEH4dEAvghAwMCZ0J4srJCAH+SA5IHgCMfD0BEEAHAAS8MCk8AAG +agkAUnDakPx8/hA0EFgw/yICIgAAaLD+IgAk4AFUMPUWACAFEFAwWIkVGHq9H3szHHz0/SIAI8AE +PSD+8hYiACBE8CsyOhp8/f7sASwJAGNw/vYWIgBxQuApMjkKSgoqopAbeooPAgD6ngEOAGXWUPAL +BwIAAEuwAElhAElhH3syJHEQKXER+nsvGIAEOWD4fN4UCQBBMJrgLSIA+eUMIgAAWbDyej8QNAJT +sP/mAiAQEHgw/+YDKAkAQzD43RECCQARMPLmBSACEBAw+OYELAkAF3D95gEgBhBgMFh+8PI2OS+A +EFgwK3Qn/nEQIgAAafD/cREgBRBQMPx80RA0EFgwWIjf0Q/Apfx8zhA0EFgwWIjb0Q8AjRX7TAAA +ARBgMPzUACAgAlHw/HQmIBAQYDBYfttj/miOIsniwKX8fLUQNBBYMFiIzmP/ngAAAAD6LAAAMAJZ +MPwKACACEGgwWzHziicrCgD6rCAgARBgMFsrpB17dp2gjCAbfKT7pgIgARBoMPjMEQA0EFgw96YD +LAkAazD8pgEiAABp8Px8nBAFEFAwWIi2Y/8/AGwQBPIi6CIAADCwKW0EKZygcpEv+vr/IAEQWDD2 +CgAgEBBgMNMPbcoUomf3cAgoACAZsCiAAPeJEnACAjGwcpEC0Q8AwCDRDwAAAAD4cwZyAAAysNaw +ZG/kgiDAYPKZw3AQEGAwY//bbBAEjSeN3izdBPvAACABEDAw/goEIgAAULD4Cg8gABAQMPzMgCgA +I0bQbeoKACAECw8bf/cDsSLHLwIuCQAhBABvGg3uCvjtAi4JAH7wL8SA/u0DIAICSPAp5YIp5YMl +hoL0hoMgCgA24NEPxy/RDxt6HRx6HViD9dEPAGwQBIwnis4AMQQqrQT4oAAgARBIMPCZGg//EFgw +C5kDCYkB+QlHAAAQEDD5pAAgBgA2IMiR0Q8AKswQWynQwCDRDwAAbBAEwEJtSlMoIr4sIrv7Irog +ABBQMPkKACA/ADYgjjCNMYczDrsM/cwMAAEQQDAMijj7iTgAABAgMPqQG3AAEHgwJiK9iTIlIrwH +ZgwGhDgJVQwFjzh0+AYiLEDAINEPIi0DIizg0Q9sEAgkIgf8fEEQBRBQMPRCDiAwEFgwWIhLKDAV ++TAUIACLniBlkQ8qMDj8Cv4kAISeoCswFi0wFyowEv4KwC4AfGLQDt0B/wIADgB2m2ArMBMIqhH7 +ChsqCQBasPWsDAoAa8ag/Mw2JgAgLPD+fCkaASri0C1wBC9wBQjdEQ/dAv3PQgoADWuQHnmP//wD +LWABbDDw8QQMCQB3cADdGitCtfpCtCAAEGAwWIlywKX8fBkQChAoMAW1LPsKMCIAAGlwWIggKHAa +KXAbCIgRCYgCZYB0KUL6LUL5+jqAIAEQMDD3FgQg2AA6YIsni74svQQswAAA0AQMDht/508N3gkL +7gou7QMv4YIu4YMP7gx16z8pQvoAkAQMDxv/AgAAAIJ/0AmdCQvdCi3dAy7Rgi3Rgw7dDGAA7MCl +/Hv3EDAQWDBYiADAINEPwCDRD8fvdeO/jxSP8sB/+/r/IFQAt+CIFIiDZYBIiRSJlGWQQYwUjMXP +yhx5bY/DiMKJwZkRmBKfE/zCACIAAGhw/BYAIBAQcDBt6hUuMCYv0AD/AgAOANLz0PM8ASACAmtw +wCDRD4sU+7wIIgAAUTBb/y0sOoDzrAABPAA2oIqohCf9Qg4gAEaCoC/dBP4yByEAAnvwK/CAAOAE +Cwgbf4dNDukJDZkKKZ0DKJGCKZGDCJkMdZs9AKAECwwb/wIAAABm/xAKrgkN7Qot3QMu0YIt0YMO +3QxgALXH38Cl/Hu9EDAQWDBYh8XAINEPAAAAx491g8EAoQTwaRoP/xBwMA6ZAwm5AQkJR/n0gCAH +ADbgZJDPrNsqsID+OQwoAEO+kPkKACAEEHgwbfoKAJAECggbf4cDsZnHnxx7pwmYCQCRBABnGv2G +CgYJAD6wJ7SAL20C9m0DIAICIXAkZYIkZYP+9oIiAAAycPz2gyBbADagmTj1NgcgABAQMNEPwKX8 +e5cQMBBYMFiHncAg0Q/H38Cl/HuTEDAQWDBYh5jAINEPAAAA9TYHL/8QSDD5NgggABAQMNEPAMCl +/HuKEDAQWDBYh47AINEPG3kr/HkrEgAAULBYgwKWOPU2ByAAEBAw0Q8AACpMEFso6I0njd7z/yJj +gBBgMAAAAAAAAP7zB3IAAGrwBm0CZN31KUL6jyf98g4gTAA6YPCRBAgAIFNwLoCAAGkaC5kDCekB +CQlH+YSAIAcAN6BkkJeq2yqwgHeqYvkKACAEEGAwbcoKAJAECg4bf+cDsZnHnxd7YwmcCQCRBABu +Gg3MCv/NAi4JAHKwLrSA/M0DIAICQXAoxYP4xYIiAAAacPf2gyAAEFgw+/aCICQANqApRvr1Rvki +AAAS8NEPAPVG+S//EGgw/Ub6IAAQEDDRDxt47/x47xIAAFCwWILGI0b69Ub5IAAQEDDRDyr8EFso +rI0njd7z/1pjgBBQMABsEAiEJ/x7QBAFEFAw9EIOIDAQWDBYh0DaEPwKECBMAljwWH1FKhwQ/AoQ +ICwCWPBYfUEmEgMvEgL8EgEiAABRMPsSACACEEAwbYpLKaK+9AoBIAAQcDD9CgAgOgA2YCiiuimi +uwuIDAyZDAlOOPhNOAAAEEgw+KK9LgAN91Auorz2iAwAABBoMAhNOA/uDA5JOH2YBiqsQMAg0Q8p +2iB5oQUror5otAPAINEPJDASKDATCEQRCEQC/AqAIHACaTB9w3zaIPx7ERCMAlkwWwUF9qwAD9QA +NqDbEPkKgSAQEGAw+aUQIGwCQTD4pREgUAJSsFh9ESpsOPwKECAgAlhwWH0N9GYSIJgCUbD8CgYg +DAJY8Fh9CaU7/EwAAKQCUbBYfQWKImWvf/osAAIAAFmw/AoKIAMQaDBaw13AINEPAP1MAAAFEFAw +/HryEDAQWDBYhvHAINEPAGwQCv5AECIAAFDw/0ARIgAAWTD4QCogARAwMPlALC+ABDug//r/LgkA +e7D05QgCAPOaIMCEbYoPLbAuLKBgsar7vAEuAPJjUIsg+7w6IgAAUTBtmQ8tsAAsoEaxqvu8AS4A +2+NQjSD8etUQBRBQMP7t/yA0EFgw/dIAIcwCc7BYhtAqPGz8CgQgdAJZMFh81PRNASDQAnjw9zx0 +IDQCITD2PGQqALkpEJ8TlhX3FgYg8AJA8PgWByDgAkjwmRgYesApPQH4FgIhpAJKcPkWASBgAkIw ++BYEIDMQODDwAD9gERAwMAAAAAD/AgAANRBQMP8CAAYAUT7Q+rFPcDYQYDB8uQyKE/wKBCAEAlkw +WHy0LUABst2tRP8CAAoAfakQK0AA/wIAAABwBuD/AgACAGQG4P8CAAYAWALgdrmqKjAX/wIAAf/m +YpBgAF+MFC8yFY0gLkAC/hYJIAUQUDD90gAgNBBYMFiGlokZaJIVaZWfLjIV/wIAAgBPn6DA9S82 +FWP/jCgyFf8CAAIARhogwJQpNhVj/3mKFfwKBCAEAlkwWHyPY/9pAAAAAAArNNAuQAEuNNEsQAEt +GgANzDb6EgEs4AFgMPwWACAEAlkwWHyE/BICIAUQUDD9EgAgNBBYMFiGd2P/KYoW/AoEIAQCWTBY +fHtj/xmKF/wKBCAEAlkwWHx3Y/8Jihj8CgQgBAJZMFh8c2P++dEPfcMB32Bl//Vj/kEAAAAAAPzT +BnIAAFPw2mBlr+Bj/g9sEASKNPJ38BhwAVQw/ZcHf/sQQDAImQEoInYiIoqpiAmIEfmucXIAIECw +hCeETihCGf8CAAAAdSYg/HpUEAUQUDD9QhkgNBBYMFiGTygwRikwRxt6T/o9ASmABDog+YgCAPQQ +SDD6rLgsAEBKECkKBG2aDyygfi2wgLG7/Nl4cAICUrDaQPtMECBAAmDwW/9OwCDRDwAAAPx6PxAF +EFAw/TEKIDQQWDD+IhAiAAB4sFiGNSoiEMDh/woAIAAQaDD5CgAgLAA2oCsxCiyhG/wMSwtgAVww +DLsMC6k4Ce84D+049d9FYgUASnDAINEPAADz/+hgABBIMP3DBn//EFAwwKFkr379IgAgBRBQMPx6 +IhA0EFgwWIYcwCDRDwAAAAD9IgAgBRBQMPx6HRA0EFgwWIYVwCDRDwBsEAQiIhD0IA9lYAEcMCQh +GwQES3VJAdEPwCDRDwAAAGwQBIon0w8PAgAqrBBbJ2v0Igcj6BBAMAgzKNMP+jwAACACITBavKv9 +d04SAABg8P56BhIAAFqw/yIAIgAAUTBavGGCJyIsENogWrJnaKEC0Q8A2iBasoQSd9wLqBH0oDZi +ACBAsAzqMCsihYuwsKL8uwgCAABQsFiIIRx4UCrCf/AhBAABEFgwALsaC6oCKsZ/WIhW0Q8A+goH +IAEQWDBbKAksIn8sJoPRDwBsEDorIAXCZPQKJyYDvDbQwqX6sSpwABBoMMKG/wIABgOLRtDzCigm +A+Ym0PQKKSYD9h7QdLEI2iBb/8bAINEPiSqDmmQ0TCkWVi8dAfx4VBCIAlhw+xZfIP4CcHD8Fmgg +CgJzsP4WZSDIAmJw/BZmIHoCM/D2FlsgdAI78PcWWiBQAlPw+hZYIGACQ/D4FlkgqAJScPoWYCCo +AkBw+BZhIIACOnD3FmIguAIwcCYWYxx5vBZ4vfwWXiCIAnvw/xZcIHACenD/FmQgYAJjMPwWZyGg +AlmwKxZX8AAPYD8QODAAjjjz7AADrAA3oC0wBYQ3w4YPAgD0Qg4mAic/UP8CAAYCI0dQK0B++7z/ +ID4QYDD6Cv4o4AFcMPtEfiGKCFJwLTAFfNkH/wIABgNDv1AsEmctIAcuME4vME/4MFAgBBBQMPgW +ACAyEFgwWIWOHHceKDAMJTIWKzEZFHiK+XcZEBoQUDAqNFQqEmgpkoAkQon7Fl0lkAQ9YPAKFwgA +IEow+YgRBAAgLTD4HH8kACBBMPiMQSAQEEgwbZoCAAiKK0EpDwIA0w//AgAGATjm0C0yAC4wBfwS +VyAFEFAw/zBUIDIQWDBYhW8adwH5MgAg4RBYMCsU2PoWMiAIEFAw+3b8GYAEPmD7FjAoCQBWcCkW +MSwwBypBKft29xwgAWAwAMwRDKoCC6oCKhY0COowKRY5KBY1H3hn/xY4IEAQcDAuFW8tMFQtFPEs +MAUsFPIrMDD7FPMgARB4MC8U8PoyFiD+Akhw+hY9IMICSnCOlIySi5GNkyodAYiVmKWdo5uhnKKe +pCwdAS4dAYmQmaAqHQGIMCgWRi0wVCgdAS3kHS4dASswBSvEIPkwMCAAEGgwLeQeL4Qc+aQhIGgC +WPD6ElggCBBgMFh7PyoSWfwKCCB4AljwWHs8K1xy+hJaIAYQYDBYezgqElv8CgMgnAJY8Fh7NSoS +XPwKCCC4AljwWHsxJBGiKBGjKhJdKBWRKDIM+oxADAkBVDD6FRQIBQEkMPTfQAQJAC6w+v8RBAAB +LDD7mREFkAQ9YPg4VAQJAE1w+B0BJAkARXD1hCQtsAQ/YPkwaC3ABDsg/jBpJA4BIDD5RBEMCQBr +MPptQA4JACfw/mRACAMBTDD+dUAJ0AQ+YP5VEQXwBDkg9UQCDgkAT/D+XkAOCQAn8P8KMC4JAHuw +/+4CDgsBVDD+3REPkAQ/4P6EJS4KAVAw+zIML6AEO6D/7gIOBwFUMP7MAg4FAVAw+kpAD9AEP+D/ +7hEMCQB/cPsVUAgQAVww+5kRBaAEPWD9zAIKEgFcMP6qAguQBD7g/KoCBAkAXXD5CggkCQBNcPqE +JyQJAE1wJYQmKTIHJJkUKpwg+5IJJDgANSBktDArHH/7vEEgCBBgMFsnuxV32igyFiVSifmIEQIA +AFDw+FUIAIcQWDBb5ceKNyqsEFsmNIQ5y0YlFmmFS/1CACIAAGGw/jIAIAUQUDD/QAUgMhBYMFiE +yPsKhyIAAFEwW8As9FwAD9QAtWCEOSUSafkyCyBVADUg/AoAIDAAtmCUO2AAOwAAAPosAAAFEFgw +/AoAIAIQaDBbByFlooTCtSskBRt4vWP7gQAAAI2byNv90gsiAABLcNMPZd/ylJuZTPw2CSAAECAw +jVrz2Q1wABB4MIQ5jTidWmAAI8nVjtjTDw8CAHPhDP3sAAAJADegjuhz6fLI1yQyCS4yCC7WCP82 +CCAAEHAw/TAFIEIQQDD9NDAimAA1ICg0BSoSVoqniq4poHAroHEImRELmQKxmSmkcQmJFCmkcGP7 +mywSXi0gBy4wTi8wT/gwUCAEEFAw+BYAIDIQWDBYhIf5EmguABBYMPsWVCAAEFAw+hZVICACQHDw +CRcAFRBIMNMPbZoCAAiKKh0BLRJW/neIEJwCWPD+FgQgAxBgMP3SACAEEHAw/hQoIAAQeDD/FCMg +CxBwMP86/y2ABD9g/xUQLAkAd3D9FgUgoAJSsFh6c/odASCiAljw+qxUIAMQYDBYem77HQEgABBg +MCwUQSwUQvwUQyAEEGgw/RRAIKACKvAtUAL/UAEgqAJa8CiwAvqwASBSAkhwKpQBKJQCKhJfJVAA +K7AAK5QA+xJgIFoCcHAv5AEt5AL15AAgEBBgMFh6Vy4SYi0SYSvgASzgACzUACvUASngAyrgAirU +AinUAy/gBSjgBCjUBC/UBSzgBy7gBi7UBizUBy0SZCwSYyrQASvQACvEACrEASjQAynQAinEAijE +Ay7QBS/QBC/EBC7EBSvQBy3QBi3EBivEBywSZisSZSnAASrAACq0ACm0AS/AAyjAAii0Ai+0Axh1 +eS3ABS7ABC60BC20BSrAByzABiy0Bvq0ByAAEHgwLxRkLxR0/xSUICAQSDApFEQvElYpFEUoFSQu +8gj9CgIiAABQ8P7hGSALEGAw/vUpICACWHBYCepj+dbAINEPAAAAiics+sD1og4gQAJasPYkBSoA +QGbw/aUUIIACWvCbqfumCCAgAlKwWyVsLVEegyqx3f1VHiAWADTgwl0uMAXTDw8CAHXhKIM5ZT/v +JiQF+iwAAAEQWDD8CgAgAhBoMFsGZWWvlCQkBfP4lmBkEFgw2jBYDgqDOWU/wWP/zo44Y/ksAI87 +Zf1mxIN40SkadvCLMC6icvqijCAAEGAw/rsMAAEQaDBbIugcdgiLzv4yCCH+Alrwm84nNAVj/TQA +APosAAIAAGNw+woBIAIQaDBbBkllryQjJAXz+CZhkBBYMAAAAAAAAAD6LAACAABjcPsKAyACEGgw +WwY/Za78JCQF8/f+YZAQWDAAANow+xx/IAgQYDD7vEEgAhBoMFsfE2P7xABsEAb2LAABUQA1ICos +SPoWASCAAmCw/BYCIKACWLD7FgAgcAI4sPJ3yh/vEHAw+3fJEAAQKDDwAEpv/RBgMABolWlpmjEt +MAQvMAX4MAYtgAQ/YA/dAv8wBy2ABD9gCN0CCN0R/90CA+gQeDAP3Sz9ZDYiAEBYsC8wAaX18/MK +CgBZIVApMAD/AgAAAEyGYGiSbGmUqbQ58wkWAgAAQfAASIrwAEliAEBwsAAAKDACKTAD+vrfIAoC +WPD6IgEJgAQ6IPoSACgJAEow+GUYIAMQYDBYeZ6NEft3nxAQAnjw8Q8WD/0QYDDyHR4P7xBwMCow +AaWl86MKC//GJVBgADKKEvwKBi/7EFgw+zwCIgBAWLBYeY77d5Af/RBgMPP/z2/vEHAwLTADLWQ0 +8/9JYgBAYLDKIBp10YtgLqJy+qKMIAAQYDD+uwwAARBoMFsidcK0K2QF0Q/RDxJ3fmP/1QAAbBA6 +KyAFwmT0CicmA7Q20MKl+rEocAAQaDDChv8CAAYDg0bQ8wooJgPeJtD0CikmA+4e0HSxBtogW/1Y +0Q+JKoOaZDRGKRZWLx0B/HXnEIgCWHD7Fl8g/gJwcPwWaCAKAnOw/hZlIMgCYnD8FmYgegIz8PYW +WyB0Ajvw9xZaIFACU/D6FlggYAJD8PgWWSCoAlJw+hZgIKgCQHD4FmEggAI6cPcWYiC4AjBwJhZj +HHdOFnZP/BZeIIgCe/D/FlwgcAJ6cP8WZCBgAmMw/BZnIaACWbArFlfwAA5gPxA4MI448+wAA6cA +N6AtMAWEN8OG9EIOJgIkv1D/AgAGAiDHUCtAfvu8/yA+EGAw+gr+KOABXDD7RH4hkAhScC0wBXzZ +B/8CAAYDPr9QLBJnLSAHLjBOLzBP+DBQIAQQUDD4FgAgMhBYMFiDIRx0sigwDCUyFisxGRR2Hvl0 +rRAaEFAwKjRUKhJoKZKAJEKJ+xZdJZAEPWDwChcIACBKMPmIEQQAIC0w+Bx/JAAgQTD4jEEgEBBI +MNMPbZoCAAiKK0Ep0w//AgAGAThm0I0wLjAF/BJXIAUQUDD/MFQgMhBYMFiDAxp0lfkyACDhEFgw +KxTY+hYyIAgQUDD7dJAZgAQ+YPsWMCgJAFZwKRYxLDAHKkEp+3SLHCABYDAAzBEMqgILqgIqFjQI +6jApFjkoFjUfdfv/FjggQBBwMC4Vby0wVC0U8SwwBSwU8iswMPsU8yABEHgwLxTw+jIWIP4CSHD6 +Fj0gwgJKcI6UjJKLkY2TKh0BiJWYpZ2jm6Gcop6kLB0BLh0BiZCZoCodAYgwKBZGLTBUKB0BLeQd +Lh0BKzAFK8Qg+TAwIAAQaDAt5B4vhBz5pCEgaAJY8PoSWCAIEGAwWHjTKhJZ/AoIIHgCWPBYeNAr +XHL6ElogBhBgMFh4zCoSW/wKAyCcAljwWHjJKhJc/AoIILgCWPBYeMUkEaIoEaMqEl0oFZEoMgz6 +jEAMCQFUMPoVFAgFASQw9N9ABAkALrD6/xEEAAEsMPuZEQWQBD1g+DhUBAkATXD4HQEkCQBFcPWE +JC2wBD9g+TBoLcAEOyD+MGkkDgEgMPlEEQwJAGsw+m1ADgkAJ/D+ZEAIAwFMMP51QAnQBD5g/lUR +BfAEOSD1RAIOCQBP8P5eQA4JACfw/wowLgkAe7D/7gIOCwFUMP7dEQ+QBD/g/oQlLgoBUDD7Mgwv +oAQ7oP/uAg4HAVQw/swCDgUBUDD6SkAP0AQ/4P/uEQwJAH9w+xVQCBABXDD7mREFoAQ9YP3MAgoS +AVww/qoCC5AEPuD8qgIECQBdcPkKCCQJAE1w+oQnJAkATXAlhCYpMgckmRQqnCD7kgkkMAA1IGS0 +KCscf/u8QSAIEGAwWyVPFXVuKDIWJVKJ+YgRAgAAUPD4VQgAhxBYMFvjW4o3KqwQWyPIhDnLRiUW +aYVL/UIAIgAAYbD+MgAgBRBQMP9ABSAyEFgwWIJc+wqHIgAAUTBbvcD0XAAP1AC1YIQ5JRJpiTtk +QFP8CgAgMwC2YJQ7YAA+AAAAAAAA+iwAAAUQWDD8CgAgAhBoMFsEtWWrmcK1KyQFG3ZRY/uJAAAA +jZvI2/3SCyIAAEtw0w9l3/KUm5lM/DYJIAAQIDCNWnPZCoQ5jTidWmAAIAAAydCO2HPhDP3sAAAJ +ADegjuhz6fLI1yQyCS4yCC7WCP0wBSAAEHAw/TQwIAAQeDD/NggimAA1IMSCKDQFKhJWiqeKrimg +cCugcQiZEQuZArGZKaRxCYkUKaRwY/umAAAALBJeLSAHLjBOLzBP+DBQIAQQUDD4FgAgMhBYMFiC +HPkSaC4AEFgw+xZUIAAQUDD6FlUgIAJAcPAJFwAVEEgw0w9tmgIACIoqHQEtElb+dR0QnAJY8P4W +BCADEGAw/dIAIAQQcDD+FCggABB4MP8UIyALEHAw/zr/LYAEP2D/FRAsCQB3cP0WBSCgAlKwWHgI ++h0BIKICWPD6rFQgAxBgMFh4A/sdASAAEGAwLBRBLBRC/BRDIAQQaDD9FEAgoAIq8C1QAv9QASCo +AlrwKLAC+rABIFICSHAqlAEolAIqEl8lUAArsAArlAD7EmAgWgJwcC/kAS3kAvXkACAQEGAwWHfr +LhJiLRJhK+ABLOAALNQAK9QBKeADKuACKtQCKdQDL+AFKOAEKNQEL9QFLOAHLuAGLtQGLNQHLRJk +LBJjKtABK9AAK8QAKsQBKNADKdACKcQCKMQDLtAFL9AEL8QELsQFK9AHLdAGLcQGK8QHLBJmKxJl +KcABKsAAKrQAKbQBL8ADKMACKLQCL7QDGHMNLcAFLsAELrQELbQFKsAHLMAGLLQG+rQHIAAQeDAv +FGQvFHT/FJQgIBBIMCkURC8SVikURSgVJI74/QoCIgAAUPD+4RkgCxBgMP71KSAgAlhwWAd/Y/nc +AAAAiics+sD1og4gQAJasPYkBSoAQGbw/aUUIIACWvCbqfumCCAgAlKwWyMCLVEegyqx3f1VHiAW +ADTgwl0uMAXTDw8CAHXhKIM5ZT/vJiQF+iwAAAEQWDD8CgAgAhBoMFsD+2WosSQkBfP4pmBkEFgw +2jBYC6CDOWU/wWP/zo44Y/k5AI87Zf1mxIN40SkadIaLMC6icvqijCAAEGAw/rsMAAEQaDBbIH4c +c56Lzv4yCCH+Alrwm84nNAVj/TYAAPosAAIAAGNw+woBIAIQaDBbA99lqEEjJAXz+DZhkBBYMAAA +AAAAAAD6LAACAABjcPsKAyACEGgwWwPVZagZJCQF8/gOYZAQWDAAANow+xx/IAgQYDD7vEEgAhBo +MFscqWP7zABsEASEKoJKZCBG/vr/IAEQeDD9CkIgABBQMPAAEGADEEAwgijAoPgKAyAnADSgbYoU +oqv7sE4sACAasCzAIPqsAS4AcVsQLCAF0w99wdNlIFwbdDAZdDQedGItQgAYdF8lQgcSc2f4gn8i +AABRMPVSDiwJAHdw/SasIAQQYDD5Jq0gBRBoMAuAACkirQmJR2SQSypQOitQOwiqEQuqArGqKlQ7 +CooU+lQ6IAAQEDAqLE78CgMgQAJY8Fh3OitMSPwKAyCiAlCwWHc3iieKris8BPqseCAGEGAwWHcy +0Q8oIrYSdAUZdAkiIn8JiAEJiBH+dEASACBAsIwsHXLhDswBDcwCnCwrUG4sUG8IuxEMuwKxuytU +bwuLFCtUbmP/jgAAAAAA/LMGcgAAU7Da8GWu8GP/EWwQBCggavosAAABEFgw/AoAICQQSDD5JAUg +AgJCMPgkaiACEGgwWwRr0Q8AbBAE0w9tSg8nIAAkMACxM/R5CHACAhCwwCDRD/RzCH//EBAwwCHR +D9EPAABsEATA0fhFWm//EGAwG3UFKiBT/rwGIAAQSDB6kTHSsPQKACAGECgwDwIA0w9tWhT3IH4o +ACAZMCiABrFE94l3cAICELDAIdEPAAAAAAAAAPmcASBgAlrw/uwwJX4COmBgAE4qIFMXdPD5CgAg +HBBwMHqRMyRyf8pNK0AEwCD+uSFwBhB4MG36FKQo+IBAKgAgHLArsAb4uTZwAgIQsMAh0Q8AhEll +T9Cxmfd8MCWGAjpgwCDRDwAA+HMGcgAAEzDS0GUvisAh0Q8AAAAAAAAA+4MGcgAAEzDS0GUvxWP/ +5GwQBiQgJvJ00BIAACiw0w8ERAkMRBEEIggiIn/TD/QKHCAnADSgAwpLbQgJKCAEdIEGginJIWP/ +7ykhGQkJS3mp78gjYAK4AAAWcwYqYowroQJksRhbG7sbckAdcs4nsnUiYocYcjD80g8mACBV8PgW +AyeQBD3g8AgHAgAgOLD3LCAgAgJjMPzWDyIAAEnwAElhAElhAElhAElhAElhAElhK73mLlAHL1AW +KFANJlAMLVAm+VEHILACULCaEg3cCfklBy3ABDsg9iQMKgAgZvArvSAWc3MtJFP4JA0gARBAMPgk +BCYAIGGwJmIgLiQHLSRTlSgmJhUvJBYWc3H/dJMRFAJa8P8lFiAGEGAwWHaKGnKhiqsroQLJsVsb +ihtynQ8CAIu8AqwRrLsrJhgqUCYZc1wKqgkMqhGqmSySJGTCJonJyJ5tCAn5kgkiAABicMiRY//v +ksnAwJwpLCUX/CYKICQQWDD7JAUgCwC0oMAg0Q8AAAApIFsoIFwvIF0vJGooJGkpJGguUCYdc0We +EQ7uCQzuEfQkBCwAIHdwLdB/G3Gi+iw4IAYQYDD6FgAtMAQ/YP09AgoAIHbw/SUZKgAgNvBYdl2P +EYQuAEQEiC8EShT6Jg4gUBBIMCkkOPhEGAAAEBgw9CYPLugBIDDzJD8uCQB7sC4kPiNQJhtxiwM9 +CfzdEQAGEGAw/bsIAJACILD2uwgCAABRMFh2RwBEBCwiEysiEv4gaCAAEEgw+SRCL/0QeDAvJEH+ +JEMgDhBAMCgkQAtNFC0mEgy7GC0gaS0kRPsmEyBQEGAwLCRI/CBqKugBWDD8JEUqCQAasPokTi+A +EFgw+yRPIgAAULBYAi/zrAAAfgC2oMCi/HQxEDIQWDBYgCGKJyqsEFshgo8nixP4+sAgQAJz8Pju +AQAAEGgw/fUUIIACc7Ce+P72CSAkEGAwLCQFnSqdKQALiwBHYQBHYQBHYQBHYQBHYQBHYRpyYYsg +LqJy+qKMIAAQYDD+uwwAARBoMFsfBsAg0Q8AANEPKqxI/AoDINACWLBYdgr1EgAiAABZMPwKCCCA +AlDwWHYF21D8CgggcAJQ8Fh2AvQSAiDYAlDw/AoGIIACWLBYdf3bQPwKBiDkAlDwWHX6wsv8NAUg +JBBYMPskBSIAAFCwW/x60Q8AIpYkY/3oAABsEAQSc/UDNQkMVRGlIiIif9MPyiHzChwlYAEgMG0I +CSYgBHNhBoIpyCxj/+8oIRkICEt4Se/RD8Ag0Q9sEAgoIDUlIDQtIDPyLDglgAQ9YPhVAgIAcI9g +/wIAAABsp2D0CgAg9QA1YCg8IPgWAiAwAkjw+RYBIBQCUPD6FgAgIAI48PcWAyAIAjDw9hYEIA8Q +ODDwABJgCxAwMAArIAGktPKyCgoAWikQLSAAaNIcaNQpaNY2dtFFd9HgwKL8c8kQMhBYMFh/uMYq +0Q+KFPwKBiAEAliwWHW8YABIihP8CgYgCAJYsFh1uGAAOCwgAi0gAwjMEQ3MAiw1AGP/nYoQ/AoG +IAQCWLBYda+KEfwKCCAYAliwWHWsihL8CgMgEgJYsFh1qS0gAaTU8tIKC/+9rRBgACNr1Qf/AgAD +/5IPYP8CAAX/jgdgwKL8c6cQMhBYMFh/lcYq0Q/AINEPAAAAbBAaGHIYCDkR+RYAIBUQSDDwCBcA +EAJAcG2aAgAIivRylxBCAlBw9BYCIJACWLD9IgAgCxBwMP86/yABEDAw/xUMIAAQIDD0FBstgAQ/ +YPYUICwJAHdw/RYDIAMQYDBYdYIkFDkkFDokFDsoEAIsEAH9EAAgAxBwMP4UOCBKAkhw/ZQAIHgC +UHD8lAEgqAJYsPiUAiAQEGAwWHVzKhxM/AoIIIACWLBYdXAqHFT8CgggcAJYsFh1bCocfPwKCCDI +AliwWHVpG3JEGXJHHnJ2GHJ0JBRcJBRsJBSM9hVEJBAQYDAsFUH8FSEgIBBQMCoUPPoUPSD/EGgw +LRVCLSIALyIHFXFw+IJ/IgAAULDy8g4sCQB3cP1WrCAEEGAw+VatIAUQaDALgAAuUq0OjkfJ5y8g +OiggOwj/EQj/ArH/LyQ7D48ULyQ60Q8bcloYciAfchskUrYec00v8n/8clUUAEBBMPpyVhWQBDkg +/j4MAgAgJ/CJPP0KASAAECAwDtQ49Mo5CABAXnAKmQKZPCggbikgbwiIEQmIArGIKCRvCIgU+CRu +IAYAtODRDyo8TvscAAADEGAwWHUs+xwIIgAAUPD8CgsgAhBoMFgE88Oh+zAFIEEQSDD7NDAoBQAm +sCk0BdEPAGwQDBhwqRtwp/0gDCAAEHAwnhCeEZ4SnhOeFJ4VnhaeF54YLLKAnhkrsor+FgosACBr +MP4WCy2QBDsg/hYMKgAgZvAqsSmeHZ4e/hYPIDAEQrAsICYfceUMzQkM3RGv3y/wfX//AdEP0rD/ +cJASBQApcIggnxL/cN8QBBBIMP8WBimABDog+XCKGAkASjCZEJgRKLAHCAhBAIgRCKoCD6oC+hYE +IAMQeDAJ6jAYcwAbcwApFgX+FgcoCQBDMCgWCC4yAC8WCSYULf4WCiB0AlBw/EIAKgAgX3D8Fg0g +BhBgMFh06PosAAIAAFhw/AoEIAIQaDBbGiLRDwAAAGwQBGQgXPMOSw//EHgw8AAJYAEQGDCCKWQg +RyghGQgIS3jp8YsqZL/s+goAIAYQSDBtmhSrrPzAciwAICawLdAA/NkjcAICUrCCuski21D8CgMg +nAJQsFgQmsingihlL+vRD9EP0Q8A/cMGcgAAU/DaMGSv04u5wKD5CgYvtAC24GP/kWwQCiogJhZx +dQqoCQyIEfMgByYAIEGwKG0BKID5+yAWIP8QSDDzA0EAABA4MPZifyABJfYQebEIAMWOWyXzZKKo +GHBQDDUR+nBRFAAgRXAoUjoKOgr6opckARRGIClSORtwJPqUAQ4BDVZQ8AsHAgAASTAASWEASWEA +SWEASWEASWEqIQcYcJEbcMj5cqobQAFQMPNwxhvABDqg/3KnGgkAWrCaQIsg/fECIAUQYDD/8gAg +PBBwMP5GAyuABD7g80YCKgkAZvCbQSMgJidFCpdIl0knRgr3RgsgLBBQMPdGDC5IATAw90YNL4AE +O6D3Rg4gBhBgMPdGDyoHATQw90YQK1AEPuD3RhEqCQB28PlGBijAATQw+5kCAwAEPOD3RhIiCQBM +8PpFCyIJAETw80YEIDACcHD/5gAgQAJRMP3lAiIAAFuwWHRvLCAmG2+qDMwJDMwR83FNGgAgZvD7 +vSAgTAJRMPu8iiAGEGAwWHRlGnFx+kQtIIkQSDD5RCwgAhBoMC1EOP1EOSAFEHAw/kQ1IAEQQDD4 +RDMgBBB4MP9EMSAQEEAw+EQuIAAQeDD/RDAgABBwMC5ENCwgJhtvjgzMCQzMEfy7CAB0AlEw87sI +AAYQYDBYdEvA5P5EQCADEGgwLURBJiAmG2+DBmwJDMwR/LsIAEACUHDzuwgABhBgMFh0QIgYAEQE +iRn4TBQABRAYMPwWCCBQEFgw+xQgIIgCUTD5iBgACBBgMPgWCS7oAUQw9xQnLgkAN/D/FCYgQAJY +cFh0MI8n/vrAIEACe/DzVjkuAEBz8Pf1BCCAAnOwnvCe8SsgFi0K/32xDvogJiAsEGAwWyU3wCDR +D8Ag0Q8AiCJkgE2KIPxxLBAKEFgwmxL4qhEAARBYMPwWACoJAFqwmhGNJ/n6wCBAAlNwCakB99UU +IIACSnD51gkgARBgMPnWCCIAAFhwWyDnwCDRDwAAAAD6LAAAMAJY8PwKASAFEGgwWycrY/+bjCJl +z5YrICb6LAAAARBgMPu8EiAAEGgwWyckY/99bBAk8iIKIgAAGLAkCgD5Ci0hPAA0oCggBf8CAAYB +R84QKjAE/HB1EKACQHD7ChwuABBoMPkKFSYBFt6QJBYTAAyNLRYSbZoCAAiK+3DxEJgCUHApoAL/ +oAEgkAJAcC2AAiWAASsWFC4iACQUYyQUgSQUgiQUgyiAAPqgACAEEGAw/BSAI/8QGDDzFTAgqAJY +sPwUaCDSAhhw/zQBIBAQYDD5NAIg2gJ4cPo0ACD+AlBw9fQBL4AEO6D99AIgCxAYMPj0AC4JABuw +/hYVIAoCUrBYc8r7LEAg/gJQcPqsFSAIEGAwWHPF+yw4IP4CUHD6rB0gCBBgMFhzwfssZCD+AlBw ++qxFIAgQYDBYc7wkFKQkFLQkFNQYbv74FUQgIBB4MC8UhC8UhY4o+xxQIgAAULD+4RkgCxBgMP4l +KSACEGgwWAN6wpspJAXRDwAAABtwhxpvJfAKBwIAAErwAElhAElhAElhAElhHXGziTAYcbISb7oe +cIIogn/+Jq0iAABQ8PQmriAEEGAw9CavKAkAbnD5JqwgBRBoMAuAACkirQmJR8mRizeLviqwT7Gq ++rRPIAAQEDDRDyUirhJwZRZwaCIifwZVAfpu7hWQBD1g93BBEgAgKLAmISkoIHwrIT/7FgsgARBI +MJkUmRyUGJgVlBkIiAn0FgopwAQ6IPQWDSYAIEXwJXJ/J30C93EEIBQEUbAab8wKagIrHED1DEYO +SAEoMPV9QA+QBDug8N0RDAkAczD6FgcsCQBrMPwWBiAgAlBwWxgqya/ApfxxfBAyEFgwWH1iwKX8 +cXoQMhBYMFh9X2P9u9EPAAAccXb9EhAgBRBQMP4SESAyEFgwWH1X3WD8cXESAABxcP8gfCAFEFAw +9xYAIDIQWDBYfVAoEhEoJhMvEhAvJFBj/XUA/SIAIAUQUDD8cWYQMhBYMFh9R8Ag0Q8AbBAI9RYC +IgAASfDyFgEgjgA1IPtxShAAEFAw+RYAL+8QODDyFgQv+xAoMPJxRR/9EHgw0w9tCCEpMAAoMAH4 +kU5qACBSMGiSOWiUK2iVGGiaCvODCgoAIqKQY//VkxTz/+9qAEAW8AAm+t8GuwHz/+BiAAAw8AAA +B7sB8//TYgAAYPAFuwHz/8hiAABo8AAAD7sB8/+7YgAAcPDIssAh0Q+IESiAJhJxKAiICQyIEagi +IiJ/ZCFu8+ADIAYQWDDwAAtgABBwMAAAgilkIVcvIgf3CgAgABAoMP/yDiAAECAw/xYDIAAQeDBt +uhSi6vqgQCoAIG+wK7AC/uwBLgDt0tD+CgAgCBBYMG26FKLq+qA4KgAgZ7ArsAT+7AEuANXS0P4K +ACAIEFgwbboUour6oEgqACA3sCuwCP7sAS4AvdLQ+goAIAMQWDBtuhSiq/uwUC4AIDKwLuAF+qwB +LgDF25AuIDQPAgAPAgBz4QIjJDT/CEcAABBwMPsKBi9NALYgBAlHZZ9CBQpHyKwqLEj8CgggEAJZ +sFhy6AcLR8m1tWv8CgMgoAJQsFhy440TLNElscws1SWNFC3QA3/fdosUKrAELbAF/LAGK4AEOqAN +qgL7sAcrgAQ6oAyqAv4gNiuABDqg+6oCA+gQWDALqix64SaLEvokNiDMAHrwjRMs0ST+EgAgAgJj +MCzVJI0g/eYAIAAQEDDRDwCOEI0g/eYAIAAQEDDRD4IQx///JgAgABAQMNEPiicqrBBbHhrAwCwk +NowTK8BO/hIAIAICWvArxE6NIP3mACAAEBAw0Q+KJyqsEFseECggNhRuY4Mn0w8IRCgPAgD6TAAA +IAIY8FqzT/1t8xIAAGEw/nDBEgAAWrD/IgAiAABQ8FqzBYMnDwIAIzwQ2jBaqQr/AgAB/6eeoGAA +RAAAAAAA9fr/K/9C3pDz/n1gARAoMPT6/yv/Kt6Q8/5NYAEQIDD/+v8r/xLekPP+HWABEHgw9/r/ +K/869tDz/m1gARA4MNowWqkVE25sC6gR9KA5YgAgRPAM6jArMoWLsLCj/LsIAgAAUPBYfrIcbuEq +wn/wMQQAARBYMAC7GguqAirGf1h+52P+vQAAAPoKByABEFgwWx6ZLDJ/LDaDY/6mbBAKLCAFKCAE ++iBTICgQWDD9byoSAAAgsPYgByIAAEmw+q4JAAAQODD5FgovwAQ7oP7dCAYgATAw/dJ/IAGJhiD/ +CiUmAYrfEPgK/yYBhv8QKyAW+LEXcAAQYDAtFgsAxY5bI6HAwP0SCyM2ADagGG39DGUR+m39FAAg +RXAuUjoKagr6opckAT7HoClSORtt0PqTAQ4BN9ZQ8AsHAgAASPAASWEASWEASWEASWEASWEpIQca +bnUJCUr+bnQZwAQ+YPYhGSgJAFZwmTCIIP42AiBAEHgw/zYDIAUQSDD/bjMZgAQ6IPbZFAgJAEow +mDEYb0guQCYsNQqcOJw5/DYKKkgBbDD8NgsrgAQ+4Pw2DCrAAWgw/DYNKgkAWrD8Ng4qBwFsMPw2 +DytQBD7g/DYQJ0AEOaD8NhEpQAQ+YPw2EigJAF5w/DYTIDAQWDD7NQsoCQBWcPo8IC8ABDug+GYC +DgkAS7D2NgYuCQB7sP42BCHuADXg/AoGIIACWfBYchkrQCYXbVQLuwkMuxH2bvgaACBd8Pu9ICBM +AlDw+7yKIAYQYDBYchAcbxv5bVMQgBBQMCo0Nvk0NyAAEEAw+DQ0IBAQcDD+NC4giRBoMP00LCAC +EFgwKzQ5+zQ4IAEQeDAvNDMvNDH8NC0gBhBgMPw0NSAAEHgwLzQwK0AmC7sJDLsRq3v2uwgAdAJQ +8Fhx9sDT/TRBIAQQYDAsNEAkQCYESwkMuxH7ewgAQAJQcPa7CAAGEGAwWHHriBjwRAQABhAwMPkS +CSAFEDgw+EwUAIgCUPD8FgggUBBYMPsUICAAEHgw+YgYAAgQYDD4Fgku6AFAMP8UJy4JACOw/hQm +IEACWHBYcdj2NEwgARBIMCk0TY8nGm/Zj/76NE8gCBBAMCg0TonwiPEu8RkpnDT59gAgAgJCMPj2 +ASACAnOw/vUZIAAQIDAnVjkrIBYtCv99sQr6IFMgMBBgMFsi14snLPrA+rwgICgQaDD9JAUqAEBi +sPS1FCCAAlKwmrn6tgggABAQMNEPAI4iZOB6Gm7DiCCJGpkS+IgRAAEQSDD6FgAoCQBKMJgRiCf/ ++sAgQAJSMA+vAfyFFCCAAnvw/4YJIgAAWHD/hgggARBgMFsef8Ag0Q+EKPP862IAADiwwCDRDx9v +t/3xAiAwAnBwLeUC//IAIAYQYDD/5gAiAABbsFhxmWP9/AAA+iwAADACWbD8CgEgBRBoMFskt/P/ +bmAAEGAwAACIImWPYisgU/osAAABEGAw+7wSIAAQaDBbJK7z/0lgABBgMGwQGv5ujBALEFgw+G4E +HgAQSDD5FgAgABAoMPUWASAVEEgw8AgXABACQHDTD22aAgAIii4WAoogLDr/9RQbIAQQaDD9FCAr +gAQ6oPwVDCoJAFqw+hYDIOQAtSAjFDglFDklFDolFDsvEAIrEAD5EAEgCAJAcCyAAv6AASBCAmhw +LtQBLNQCKIAA+NQAIEoCQHD5hAEgeAJQcPuEACAQEGAw/4QCIKgCWLBYcV0qHEz8CggggAJYsFhx +WiocVPwKCCBwAliwWHFWKhx8/AoIIMgCWLBYcVMlFFwlFIz1FGwgIBBQMPoUPCBREEgw+hQ9INAE +SPAbbJArFSCMKCzBGfwlKSAdADUg+xwIIgAAUTD8CgsgAhBoMFgBDtEPAAAAAPscCCIAAFCw/AoL +IAIQaDBYAQfC2y0kBdEPANoQ/AoDIJwCWTBYcTa0GvwKAyCiAlkwWHEzY/8CLhAgwPIP7gIuFCBj +/5MAAGwQBNMPbUoPJyAAJDAAsTP0eQxwAgIQsMAg0Q8AAAAA9HMIf/8QEDDAIdEP0Q8AAGwQBIQ0 +Em3WDwIA+mynFHABIDAERAkMRBH+ooAiACAgsC0tAi3QACqiig8CAP7dCAABEGAw9DEKLZAEP2D7 +In8qACBqsFhQhfoigyIAAFkw/DwgIIICaPBb/CnMrcCi/G8hEDIQWDBYewDRDymgBfmkMCAEEFgw ++6RUID8QQDD4pAUghxBYMFgLo9EPbBAGGG8WeFEC0Q8A+iwAAgAAWPD8TAACAABpcP5sAAIAAHhw +W/2nZa/ejhD3bc4QJBBQMP1tPRABEGAw+egRAABqA6Aj0oeoM483j/6J9f3yBCACAnJw/vYFKgAD +y5At3AGd9CkgJtMPDwIACZkJDJkR/DQ3KAAgTfApkH0PAgD/l4VwJhBYMCwwBXvBB/8CAA//u9MQ +ijcs+sD1og4gJBBoMP00BSBAAlqw/AoAKgBAZvD8pRQggAJa8Jup+6YIICACUrBbHCguUR6EOrHu +/lUeIBEANSDCXS9ABXXxJYRJZU/0+iwAAAAQWDD8CgAgABBoMFv8AIk3iZ4okRqxiCiVGtEP2kBY +BMiESWVPyWP/0QAA+iwAAAAQWDD8CgAgABBoMFv79BptACqijCuhAmS+1VsVtRtsLBxsOPps+xIA +AHKwGWzFLMJ1KqKHjZ+uzPALBw2QBDsg/dwBKgAgYrD9lg8gQAJqsABNYQBNYQBNYQBNYQBNYQBN +YZoRKCAWLCEHLSAN/iAMIAEQeDAvpAQupAwvIAcuICYtpA0spQcO6wn9bpsbwAQ+4P6kUyoAIF3w +K7IgK6YVKKQWL6QHLqRTkqj9pRYgEQA04Nsw+hYBIgAAYTBb9rYsICaDERtrwwzMCQzMEfRsnBoA +IGbw+70gILACUPD7vIogBhBgMFhwf4pLLaECZNAMWxV/jkwCrxGv7i42GCkgJgmZCQyZEal5KpIk +ZKBrianImfmSCSIAAFJwZZ/0k6n1NRcgABBYMJs5+zYKICQQUDD6NAUtugA04Iw3jM6NxfrCBCAC +Altw9jUZKgAOatAtwRr7xgUgAgJysP7GBCACAmtwLcUa0Q8vwRqbxfrGBCACAnvwL8Ua0Q8AI5Yk +Y/+eAABsEAYYa7z4UQlwABBQMMAh0Q8A2zD8TAACAABpcPoWACIAAHHw/xwAAgAAULBb/P5lr9qK +EBts//ig0mAmEGAwL7JdCaoRqvqOp47uLeEYsd0t5RgpoAX8kR1wJRBoMC2kBSyxfv3PB3AAEBAw +0Q8AW/w8wCDRDwD9ogAgMhBYMPxuURAFEFAwWHotwCHRDwBsEBCTEpUTKzIALCAH9jAYIgAAUTD0 +MBMgAxBwMC4WDioWBPYnQAwgAWAw/BYRKuABXDD7FhMjGQA24PkKwCIAUPWQ/wIABABMgSApvEwp +FgAlnB8FRRQpIARrlgf/AgAEAaEKYCsgFiYK/9MPdrEXhhMqIEH0xhoG4AEwMFshVf8CAAAFrKqg +GmuNGW26+GuwEAcQYDD8Fg8ivgA14CYSEQxvEflrCg4AIEfwLxYSL/I6JhIS+7KvKgAHr9AmYjn7 +ZwEIABJZkIwiZMZUixKMFP0SAyIAAFCwWx590qDRD5kQY/9rAAAA8AoHAgAAQfDTD21ZAgBIYS8h +BxhsHg8CAA8PSgz/EQj/Ap9wLiIA/RIAIgAAULD/bBgSAABY8P12Ay+ABDug/3YCLgkAcXD+dgEi +AABhMFrkhBtsmywgQR1rbBprvo8tLiEiKqJvnhv+MBgvkAQ/4P3SgC4AIFfwnxiP+AzMCS8WEC/w +DAzMEf4eQAoAIGbw/hYKLAAgf3D7sn8tkAQ/YPsWDCoAIGqw+hYJIAEQYDBYT0IsEhCMxyggBfzC +DiBQEFgw/BYNIBwEWjDE3n2BBMXiLiQFHmu2jxuKHP0gQSAAEGAw/HUKILAQWDArdQv8CgYgkAJY +sPDdEQbAAVAw/9kUCAcBUDD0mREKSAFQMPWIEQuABDqg+YgCBgkAUbD4bL0WCQBBsPT/EQwJADdw +9nwgLAkAd3D9dgQuCQBH8P92BiIAAFGwWG+oLCBBG2riDMwJDMwR9hYGKgAgZvD7vSAgTAJR8Pu8 +iiAGEGAwWG+eLRIQHmyp+WrhEAEQWDD7dDMggBBQMCp0Nvl0NyAQEDAw9nQuIIkQeDAvdCz+dC0g +AhBAMPh0MSAAEEAwKHQwLdAEwez/AgAGBBZ3UCp8PPwKGCC4AliwWG+HKnxU/BITIGACWPBYb4TA +wvx0NSAAEGAwLHQ0KDAwDwIAaIEtKBYV+womICQQSDD9CsoiBAsCIP8CAAQB3oIg+BYVJAQwBiAu +ClH/AgAGAdv2EIodi6GJoPmdASACAlrw+6YBIWgCSnCZoC8SEvX2OSQAjAYg/wIABACaASArIBYq +Cv//AgAGAH9W0CwSE/ogQSB4AmMwWyB1wCDRD/P9A2AIECgw/wIABf6hASAuEhEM7RGo3S0WEi3S +OnXTEwnvCi4SEi/yry7iOf/nAQgAe/uQiCJljUIrEhH6LAAAARBgMPu8GCIAAGlwWyJwY/0pjRL7 +HAQiAABQsP8SAyAAEHAw/dEIIgAAY3BbBwgtCoh9oVmMEWTAbIrHixL8EgQgQAJSsFscF4wRjsCN +FAjuEQ7dAp2hKyAE1qDzrAAEA+WC4PosAAIAAFmwWwY2/BIBIxQANqD9EgMiAABZsPwSBCIAAFMw +WBv5wCDRDwCPEi/xCCY6/3bxDIsS/BIEIgAAULBYHOzAINEPiBnTDw8CAIiC/wIAAf9v/hD6Egkg +ARBYMFqiw/8CAAX/ahkgKSAFxab/AgAH/2PWUNogWxWYY/66AAAA8AoHAgAAQfDTD21ZAgBIYdog ++zwAAgAAYTBa460qIQcbazcKCkoMqhELqgKacI8gCP8R+CEiLgkAfXCfcf0gQSBAAnHwnhYea7r9 +2QkAkAJgsPgWBynABD5g/hITKAAgdnD7LFwgeAJR8PggBSBgAnjw9OJNbQAEP2CGEB5rIZ5y9nYD +IFAQcDD5kn8gKARyMMRudoEKLgpW/wIADgOgchCGFyQWFyMWFvmIQgLAAUww+RITJAcBSDD1RBEJ +gAQ6IPbeFAIJAETw+GyTH0AEO6D0ZhEECQBxMP5shBB4Akpw+BIGJgkAQbApdQv0am0SCQAk8P52 +ByAAEHAw/nUKIgkAH3D2dgYiCQAk8JN0CAyGAEhp+SEqIAAQQDAodDD+dC8gABAYMPN0LiAAECAw +JHQ1JHQ29HQ3IAAQMDAmdDEmdDImdDMpdC35iRQAABAwMCZ0NCl0LCQgVyR0OwSEFCR0OgSEFCR0 +OQSEFCR0OCQSFy6wACOwASOkAS6kACMSFimwAiiwA/ikAyDAAkCw+aQCIIACSfACCIgASYoACIgA +CYooIhwsEhModFMIiBQodFIIiBQodFH4iBQAqAJR8Ph0UCIAAFvwWG6jKxIT+iB0KgAgXfAqtFgp +EhL1ljkiAi+FICgwEg8CAGSFQxRqSYMnBIQo+kwAACACGPBarzf9adoSAABhMP5rjxIAAFqw/yIA +IgAAUPBaru2DJw8CACM8ENowWqTy/wIAAf5GHqBgBWSMHSrBILGqKsUgYAAMaITvjh0s4SKxzCzl +Iit0NSl0OYwajx/7hhQAAhBwMC50yS50yP4SECAIEFAw9nQ0LgUAZrAvdDgu4ATB/PgWFS/+BnuQ +ixitevu8bCAGEGAwWG5xKBIVY/vyAAAAAAAA8/lJYgAAEzAAACsSEfosAAABEGAw+7wYIgAAaXBb +IYpj+ZEWbH+WcvZqPxBEEHAwnnOOLSZibwnuEa5mhmcvFhQpkn/2Yg4gUBBwMPYWBSAyBHIwxO7/ +FhQgHgRyMP8WFCBSEEAwKCQFhhcJj0L5CEYPgAQ/4PbeFAgHAUww9ZkRD0AEO6D/iAIICQB2cPlp +3BgJAEow/mv4GAkAQ3D5a+sYCQBKMJh0/zINIAAQQDD4dQotQAQ9oPl2BywJAHdw/XYGIHgCe/Av +dQuPFibAAS7AAC70ACb0AS3AAynAAin0Ai30AyjABSbABCb0BCj0BS7ABy3ABi30Bi70BynACSjA +CCj0CCn0CSbACy7ACi70Cib0Cy0hKi10LQ2NFC10LCwgVyYSFCx0OwyMFCx0OgyMFCx0OQyMFPx0 +OCAYEGAwWG4d22D8ChAgsAJR8FhuGogVHWpD/XYaIAgQcDAudhssIHQsdHQrEhIltjkbbC2NLipy +F8CQ+qxAJgCM31Afaekv8m8J1RGl/4/3j/4n8AIu8AEt8AAl8AQm8AX78AYtgAQ/YPhVEQwJAHdw +/vADJAkANXD28AclgAQ9YPjdEQQJAF1w990CBYAEPWD43REECQA1cPWlCAwJAHdw+lsHfAAgbnAt +3AEr8A4n8A0u8Akt9AMl9AcFhhQm9AYNhRQGhhQt8Agl9AIm9AUFhRQGhhQm9AQm8Az19AEtgAQ/ +YPWFFAwJAHdw9fQAJ4AEOaD18AomCQA5sPfwDyeABDmg/vALJgkAWbD43REHgAQ5oPXdAgYJADmw +9WwBLYAEP2D+3QIKAAMxULHdJfQPLfQLDYsUBYwULPQOK/QKDIwUC4sUK/QJLPQNC4sUDIwULPQM +K/QIK4ACLoAALYAEL4ABJoAF+N0RD4AEO6D/gAYuCQB7sPaABywJADdw+O4RDYAEP2D/gAMsCQB/ +cPvuAg2ABD9g+O4RDAkAN3D/7gIMACBusPrbBngAIHZwsZkthAcmgA4qgAkvgA0ugAwphAMJixQN +jBQshAYrhAIpgAgLixQMjBQshAX7hAEvgAQ7oP+ADy4JAHuwC4sUDIwU/IQEL4AEO6D7hAApgAQ+ +YPqACigJAFZw+4ALLgkAM7D4mREPgAQ7oP/uAggJAFZw+JkRAAICU7D7mQIKAAPykCmcASqEDymE +CwmNFAqOFC6EDi2ECg6OFA2NFC2ECS6EDQ2NFA6OFC6EDP2ECCP91J0gLyAFxWb/AgAH/Lw30Nog +WxQCwCDRDxtpW4wuK7JvCcwRrLuLt4u+ihb7vHggBhBgMFhtecDA/HQ3IAAQYDAsdDZj96kAjh8q +fQErdDX5dDkgABBoMC10NPkSCiACEGAwLHQzLHTI/HTJIAAQeDD/dDcgCBBAMPsSCCAAEHgw/3Q2 +IZQCUrD5jjkABhBgMP50OCDYAlrwWG1gKBIVY/eujR0s0R8qfGb7EgggAgJjMPzVHyACEEgw+XRk +IAkQQDD5dGUgCxB4MP90OSANEHAw/nQ1IAAQaDD9dDQg2AJa8Ph0OCAGEGAwWG1MKBIVY/ddAACL +HsDS9Ns4AgAAULBYFOBj93QAKqwZ/AoDIKICWLBYbUIqbB38CgMgnAJYsFhtPowRY/gXaWIPiicD +OwL8EgQgQAJSsFsaEYsiZbhCKyBB+iwAAAEQYDD7vBIgABBoMFsgVcAg0Q8A2jBao7UTaQwLqBH0 +oEFiACBE8AzqMCsyhYuwsKP8uwgCAABQ8Fh5UhxpASrCmfAxBAABEFgwALsaC6oCKsaZWHmHY/ba +xeIuJAVj+LsAAAD6CgcgARBYMFsZNy8yfy82g2P2u2wQMiYyBBRpy/5onBZwATAwBmYJ+mnHF8AE +OaD+4oAkACAxMC1NAi3QACqiXf7dCAABEGAw9jEKLZAEP2D7Qn8qACBqsFhMeigwRfpojRAAEDgw +DwIA+TEnIAEKahD8CiIuAQZSUCswPP8CAAYBB+bQLRIA/tE3IHgCSPDwCRYAuAJDcABIYf8xJiAI +AlBw/9U2IAMQYDD+1TcgugJbcFhs74sQwMP6vF0gwgJa8Fhs64oQtBv6rGEgAxBgMFhs5ypMNPwK +CCDgAljwWGzkKkw8/AoIINACWPBYbOAqTFz8CggguAJY8Fhs3fs9ASDQAlEw/TIZIBAQYDD9Rhkh +MAJa8Fhs1h5pVIIQ/hZaIBACQHDwDhcAFRBIMG2aAgAIiiocIf5p0xADEGAw/hYCIKICKTD/QgAg +CxBAMPsKASAEEGgw/RQbI/8QSDD5FQwvgAQ/4PsUIC4JAEfw/xYDIgAAWXBYbL4qHCXzTE4gAxBg +MPUWWSIAAFjwWGy4JxQ5JxQ69xQ7IHgCUHDzFlggEBBgMP8KAiCoAimw/xQ4IgAAWXBYbK4qHEzz +bEAgCBBgMPUWVyIAAFjwWGyoKhxU9Ww4IAgQYDDzFlYiAABZcFhso/ocfCDIAhmw/AoIIgAAWPBY +bJ7CsCsUPCsUPSpCGfcUXCALEGAw9xRsIAEQSDD5FUQgAhBoMPcUjCD/EEAw+hYSIBACWHD4FUIi +AABQsFv8Wy1ABfwKCCA2EFAw0w/9RDAgeghTcNpAbcoPLqA8LGBAsWb6rAEuAE5jkMTULUQF0Q8A +31D6TAACAABYcPw8AAAAEHAwWwRBjRBl3gXRDypEBdEPAAAAAAAAAPpCgyIAAFmw/DwgIIICaPBb +95H0rAAAPwA2oBZpJi4wPCiiFiZiXfmIEQAiEHgw/+ESdgAgQbAt0QguIARp5peSEGP9rx9pGy/x +fn336PP/6GP/EGgwwKL8an4QMhBYMFh2V9EPAAAA/OMGf/8QUDDAoWevWiIKOXLRDRlpXShCE9MP +CYgBKEYTKhJaKRx/KZwx8AoXABUQUDBtqgIACYorElkfaVT/FiwgAxBgMP1CACD+AlBw9xTDI/8Q +cDD+FWAgARB4MPjdEQALEHAw/xTILAkAd3D9Fi0glAJSsFhsQPsSWCD+AlBw+qxOIAMQYDBYbDsn +FOEnFOInFOP7ElcgAxBgMPwU4CD+AlBw/AoQIMoCUrBYbDL7ElYg/gJQcPqsdSAIEGAwWGwt+hx/ +IgAAWXD6rH0gCBBgMFhsKfUK/yIAAFjw+h0BICAQMDD6rCQgCBBgMFhsIiYU5CYU5fUVliIAAFEw +/h0BIAEQeDD/FZggCxBgMPfkBCACEGgw9+QUIP4CWHD35DQgYgJa8Fv74CJEBdEPAGwQBIo6jCn4 +IgwgEghQsJw6YAAayaWLqdMPDwIAcrEM+rwAAAkANuCLuXK58pyp+iINIAAQYDCcKvwmCyAwEEgw +/CYJIAsANiApJAXRDwAAZa/1GmiY+yIAICsQaDAtJAUuonIqooz+uwwAARBoMFsU7NEPbBAEKiIH +Kwor+yQFICACUrBbF0uDLMg62jBbrwqDO2U/9YMswHD7Ig0gFAA04GWwUpMtYAAFAACTu5s8lyyD +Kskzw24oMAX0MgggIgQyMPNMAA/xALUglyrRDwCKNyqsEFsXN4U6yFraUFuu9oVbZV/1hTr7Mgsg +KAA1YM+ylTtgABoAibtkn65tCAr5kgsiAABacGSfoGP/7pW7m1yXOos59zYIICQANuDEwiw0BWP/ +nIm70w9kn+BtCAr5kgsiAABacGSf0mP/7o070w9l39gaaLj7MgAgPxBgMCw0BS6icvqijCABEGgw +/rsMAAAQYDBbFK4eZ86N7rDdne5j/00AAABsEBAoIAUkIggqCi31QggmAULWECsiByokBfr6wCBA +Akrw+pkBAAAQMDD2tRQggAJKcCm2Cfm2CCAgAlLwWxb/92hZEG4ANOAdZysYZykpUAzzcl0gIAJw +cJbgluGW4pbjluSW5ZbmlucogoCW6Jbp9uYKKAAgSjD25gspkAQ6IPbmDCIAIETwKjEplu0m5g72 +5g8gPARqsCxQJhloZwzNCQzdEQnZCCmQff8CAAIA+f5QE2hjHmcBLEIV9EIIIAQQKDDwDgcCAABI +8ABJYQBJYQBJYQBJYRhocR9m8flm8RAUAlDw9YY/INgCWLD5hYAuCQB/MP+GPiAGEGAwWGt0GGbq +KILt+kwAAgAAWPD8CgQgBRBoMAuAAIMqyjHDTvAAD2A/ECgwAADaMFuh3YM4yDwqMAV0qfB1qe2D +OGU/8YMrZDEi+2gWEFYQMDD7FhUgThAoMIo3LKkUKzAF9KIJICcANyB2sSf/AgAGAGUu0PU0BSAg +AlKwWxawLXF+ftcYzUhgAK8AAPP/2WAAECAw2jBbEc9gAJ0AAGRAmCwSFY5CjUMoQgApQAcvMQgv +FhcpFhT5MgAo+AFAMCgWFvkWACAFEFAw+BYBIDIQWDBYdTgrcX4qEhf8Ov8gqgB28P0SFiDEBGKw +aNYoZEBI/BIUIgAAWTD/CoQiAABQ8P9FCCABEHAw/jQVIAAQaDBYF/xgACItEhSKN8DADwIA+9wA +AEACUrBbFJbz/75iAAAisCs6/3uhDoM7ZT8ZgyvwACdgABAwMI03/vrAIEACY3D+CgAsAEBzMP7V +FCCAAmMwnNmc2GP/zwAA+yIMICkANODKtCmyCw8CAA8CAMiebQgJ+ZILIgAAWnDIkWP/75O7mzyW +K9EP0Q8AkyyWK9EPAAAAG2bnFWaVjzAYZpSYFJUW+P8RAAQQKDD7FgouCQAv8J8VLjAHDg5BAO4R +DqoCC6oC+hYIIAMQcDAJ6jAYaQcbaQeZGfYWCygJAEMwmByPQJ4dJhQ9/xYOIJQCUHD/IgAgBhBg +MP8WESoAIF9wWGrw+xwQIgAAUPD8CgQgAhBoMFsQKmP9iwAAbBAEiSfAoPz6wCAmEFgw85IOIEAC +QnD7JAUoAEBiMPqVFCCAAkIwmJn4lgggIAJScFsWOC0xHYIqsd39NR0gLgA0oPAAGWAtEBgwAAAA +AAD6LAAAARBYMFv/HyIiCcgrLiAFc+npgillL/TRD9EPAGwQGhhnTCkKFfAIFwIAAEBwbZoCAAiK +HWjlHGjlBNw5LBYAiyD5Ov8gARBQMPkVCCALEGAw+hQYK4AEPuD6HBkqCQBm8PsWASADEGAw/BQT +IKICWPBYargrPE78CgMgOgJQcFhqtSoKACoUMSoUMvoUMyACEFgw+xQwIGYANSAfZ4MoIg36Fiog +AxBgMP/yfyBwAnBw+hQzIP4CSHD6FDIpkAQ6IPsUMC4AIEfw+hQxIHACW/DzCxYAgAJQcPJaHgCA +AkPw8QgWAJACW/DyHh4AUgJScFhqmCgSKgiIFCgWEvosAAIAAFhw/AoLIAIQaDBb+l3RDwAAbBAa +GGcOKQoV8AgXAgAAQHBtmgIACIobaKn7FgAgARAoMPoiACADEGAw/BQTI/8QSDD5FQggCxBYMPUU +GCuABDqg+6oCAKICWPD6FgEgMgJQcFhqeyocHfwKAyCcAljwWGp3+woIIBAQeDD/FDEgABBwMP4U +MiAUEGgw/RQzIAIQYDD8FDAgFwA1IChAANMP+UACKACWAiArFDZgAA2JPAkKUAuqEQWqAioUNhZn +Gi1hfvsUNCASEHgw/NQBACIQcDD0ClYuBQAj8P4WEC4AbWdQKCAF/wIABgCnphAkJAUqMAXDmfth +fi4AU8qQ+QoHIABT+tAsIAX/AgAOAE4jEIstKmJdCbsRq6qKqsmp+6xgIP4CUHD6rCkgBBBgMFhq +SCoSKmAABwAAGmXbKhYqHmXajCcdZz366jgD6BBYMAurLA27KPsWKiAgAlMwWxWXJxIqhCf6fAAA +IAIhMFqq2f1lfBIAAGHw/mcxEgAAWrD/IgAiAABRMFqqj4InDwIAIiwQ2iBaoJT/AgAAAF0GoMCH +KDRU0Q8AKjQw+TRUID0QWDArNAXRD/osAAIAAFhw/AoLIAIQaDBb+e1j/yEAAPl6QAP/amJQ+W1A +A/9mZlCOQ3vnE/8CAAH/X+uQGGg4LzEZCP8BLzUZFmdeLjUZhDz2ZcAUAEAxMA6oEAhEAvZoMRQA +QDEwD9gQ+V9ABAkAQTDw/xEIAEA1MA+ZApk8Y/59AAAAAAAA+iwAAgAAWHD8CgsgTxBAMPgkBSAC +EGgwW/nLY/6Z2iBaoIMSZdsLqBH0oDtiACBAsAzqMCsihSuyACKs//y7CAIAAFCwWHYgKmKHACEE +AFsaC6oCKmaHWHZXwMcsNFTRDwAAAAAAAAD6CgcgARBYMFsWBy4if/4mgyAHEGgwLTRU0Q8AbBAa +GGZjKQoV8AgXAgAAQHBtmgIACIobZuT7FgAgARAwMPoiACADEGAw/BQTI/8QSDD5FQggCxBYMPYU +GCuABDqg+6oCAKICWPD6FgEgMgJQcFhp0CocHfwKAyCcAljwWGnMJQoA9RQxIGgCUHD1FDIgAhBg +MPwUMCCoAlkw9RQzIBAQYDBYacMqHET8CggggAJZMFhpvyocTPwKCCBwAlkwWGm8K0xk/AoIIOgC +UHBYabjCgCgUNCgUNS8yGS8WEPYVQCIAAFCw9RRUIgAAWHD1FGQgCxBgMPUUhCD/EHAw/hU+IAIQ +aDBb+XYqMAX6NDAgORBIMCk0BdEPbBAaGGYk/2asEAsQWDD8CgMgFRBIMPAIFwIAAEBwbZoCAAiK +nxAqIgAtOv/9FQggARBwMP4UGCuABDqg/BQTKgkAWrAqFgEpMAQnHB36HBkkmAA+YCs8UVhpj9pw +/AoDIJwCWPBYaYz1FDUiAABQsPYUNiABEEgw+RQwIAAQQDD4FDEiAABYcPgUMiALEGAw+BQzIAIQ +aDBb+UvRDys8SFhpfdpw/AoDIAoCWTBYaXpj/7QAbBAeHmea/uJ/IgAAQPD/Z5ASAABpcPVmKBwA +EDgw+ewAAKgCM7BtSQUACIYASWEmFjElUl2MJ5cQhy2LLvrsWCB4AkOw+cwgJ5AEPeD/AgAGACAt +8P8CAAYAS37QCbYRplWGVy/6wPliDi4AQH5w9nIIIIACe/D/xgkgORBYMP/GCCAAEHgw/8UUIHsA +NWAs4FT2FjAiAXSHIP8CAAQDNYMgw03zCjskAbsHIMHS/wIABgJdbxD9CgEsAe6DIMLx9gpSJgIg +/xD6CmEmAIY3EP8CAAYARlcQ2iD7XAAACxBoMP4KACIAAGIwW/+Y0Q8r+sD7mwEAABBoMP3FFCCA +Alrwm8mbyGRwsingVCoWL/gWLiICtIZg/wIABABSBmD8CmEsAsICYP0KLC4ASmJQKHAF/YEHcC8Q +cDB+iX6Desk0KjxO+xwAAAMQYDBYBPNkpRmDOGU/6dogWw+i0Q8AAC+QZCOQZdMP+JBmL4AEP+AD +/wLzkGcvgAQ/4Aj/Agj/EQP/AvNyCiACAnvwL5RnD48UL5RmD48UL5RlD48U/5RkIBoANOAqPE77 +HAAAAxBgMFgE2mSmiYM4ZT/p0Q8A2iD7fAAACRBoMP4KACIAAGIwW/9e0Q8AACaQYCqQYfyQYieA +BDmgCmYC+pBjJ4AEOaAMZgIIZhEKZgKxZiaUYwaGFCaUYgaGFCaUYQaGFCaUYClQBf8CAAwBmkjQ +/wIADAGWWlAj4hvY4PlcAA//ECAw+DMRAAgQUDBtqg8rkDQqgGSxiPmcAS4EElLQ+FwAAAgQSDDT +D22aEiqAPCngXLHuDwIA+IwBLgQKSpDzFgEiAABBcPoKAyAIAkhw0w9tqg8rkAAqgE6xiPmcAS4E +AlLQG2VTuBjwCxcAFRBIMG2aAgAIihpm7foWAiCiAllw+CIAIAMQYDD/Ov8gCxBwMP0UICADEEgw ++RQbLYAEPiD/FQwsCQB3cP0WAyBCAlBwWGjBK1xO/AoDIEoCUHBYaL37ZXIQABB4MC8UOy8UOv8U +OSACEEgwKRQ4K7Jd/iINIAMQYDD5FDgggAJocP8WLCD+AlBw/xQ7L5AEO6D/FDoqACB28P8UOSBw +AkLw8wgWAJACeHDyXx4AgAJy8PEOFgBiAlKw8h0eAJACWvBYaKEuEiz7HAgiAABQsP6OFAALEGAw +/hYUIAIQaDBb+GbRDy+QUCaQUQ8CAPyQUi+ABD/gBv8C9pBTL4AEP+AM/wII/xEG/wKx/y+UUw+P +FC+UUg+PFC+UUQ+PFC+UUClQBSoWL/gWLiYBJ95Q+hYvID8QMDD4Fi4mAvO2UPoWLyBEEFgw+BYu +JgEX3lD7Ei8iAABRcFgDB/isAAMkADag2iD8Ei4iAABZcPgORwAHEGgwW/7P+wqKIgAAUXBYAmjR +Dy6QWC+QWQjuEQ/uArHuLpRZDo4ULpRYKVAFw8b/AgAGAp1mUMP3/wIABgKj/lD5MgxwQxA4MP8C +AAgAxV5Q/wIABgDBJlDDb/8CAAYA8TZQxKL/AgAGAOxWUP8CAAYAsz5Q2iD7XAAACRBoMP4KHiIA +AGIwW/6u0Q8skFQtkFX+kFYtgAQ7IA3MAv2QVy2ABDsgDswCCMwRDcwCscwslFcMjBQslFYMjBQs +lFUMjBQslFQpUAX6Fi8mAcVeUMPa/wIABgHX7lD/AgAGAUCmUNog+1wAAAkQaDD+Ch4iAABiMFv+ +k9EPAC6QWi+QWwjuEQ/uArHuLpRbDo4ULpRaKVAF/wIABgEQnlD/AgAGAQymUNog+1wAAAkQaDD+ +CgAiAABiMFv+gtEPAAAAAAAA/wIAB/5qJlDaIPtcAAAJEGgw/goeIgAAYjBb/nnRDwAmkGgqkGn7 +kGongAQ5oApmAvqQayeABDmgC2YCCGYR/2OfFgkAUbD64S8gAgIxsCaUawaGFCaUagaGFCaUaQaG +FPaUaCYCOf6Qg1vIPikxN/8CAAYAf1ZQgztlP+/aIPtcAAAHEGgw/goXIgAAYjBb/lzRDwAA2iD7 +XAAAABBgMFv9LyhQBcCUKVRU+FQwIBIEOjDDrypUBfsKhyIAAFFwWAKY0Q8AAAD7Ei8iAABRcFgC +fPisAAGzADag2iD8Ei4iAABZcPgORwAHEGgwW/5E+lwAAAAQWDBYAd3RDwAAAP8CAAIBJ4dg2iD7 +XAAACRBoMP4KHiIAAGIwW/450Q8A+xpyIgAAUPBYCHPRDwAAAPp8AAAEEFgwWAN786wAARsAtqDa +IPwSLiIAAFnw/QoJICkQcDBb/irRDwDaIPt8AAAJEGgw/goeIgAAYjBb/iTRD2Q/B/8CAA4AY9JQ +LDE2K+Eu+BYuLgBc4tAr7Fn8CgMgugJQ8FgDjvgSLiCjALagLTAFxeZ+0QTaMFsOO9og+1wAAAAQ +YDBb/OnRD9og+zwAAgAAYTBb+p3RDwAAAAD7EjEgAxB4MP9UVCIAAFFwWAGR2iD7XAACAABh8Fv9 +xdpQWArt0Q8A8wo5IgAAULD7XAAAABBgMFv81SlQBSlUMPNUBSAIEEAwKFRU0Q/aIPwSLyIAAFlw +W/0LKhIvKqAC/wIAAf7A6pDaUFgK29EP2iD7XAAABxBoMP4KFyIAAGIwW/3r0Q8AAAArEi9YAhn4 +rAABBAA2oNog/BIuIgAAWPD4DkcABxBoMFv94fo8AAAAEFgwWAF60Q8qEi8rfED6rBAgCBBgMFgD +TfaiSWIAAEKw/wIAAAEDJqD7EjEiAABRcFgBXdog+1wAAgAAYfBb/ZDAs/tUVCIAAFFwWAq2LBIw +LMAEwdz/AgAP/MprEB1kIy3Rfv8CAAP8w3tQ2lBYASDRD9og+1wAAAAQYDBb/JgucH1l6Wf7GnIi +AABQ8FgH+dEP2iD8Ei8iAABZcFv8zi8SLy/wAv8CAAIA0mvQKBIviIP/AgAB/j7uENpQWAEN0Q/a +IPwSLyIAAFlwW/zC0Q/aIPtcAAAAEGAwW/yA0Q8AAAAAAAD7EjEiAABQ8FgBLY03DwIAjd4p0FAq +0FH70FIpgAQ+YAqZAvrQUymABD5gC5kCCJkRCpkC9BIuIAICSnAp1FMJiRQp1FL5iRQAAxBgMPnU +USAKAiEw+YkUAgAAWTD51FAgnAJQ8FhnMvocfyIAAFkw+qw1IAMQYDBYZy0rEi0cZU8ZZD4LixQr +Fi2IPPmIAQwACGbQHGVLDIwCnDxgAAgAHWLbDY0CnTzaIPs8AAADEHAw/jRUIgAAYfBb/TjaMFgK +YNEP2iD7XAAAABBgMFv8Sop4W/wt0Q8AAADaIPtcAAAAEGAwW/xE+nwAAAEQWDBb+1vacFv7Gfty +CCIAAFHwW/r50Q8AAAD7Ei8gAxB4MP9UVCIAAFFwWAGQ+KwAAHkANqDaIPwSLiIAAFlw+A5HAAcQ +aDBb/Vj6XAAAABBYMFgA8dEP2iD8Ei4iAABZcPgORwAHEGgwW/1Q+lwAAAAQWDBYAOlj/f/aUFgK +NWP+V9og+1wAAAAQYDBb/B/RDwDaIPwSLiIAAFlw/QoOIBkQcDBb/UFj/c/7EjEiAABRcFgAx9og +/HwAAgAAWXBb/PvaUFgKI9EPAPqzBnIAAEEw2NBliu9j98/5owZyAABBMNjQ/wIAAfvxKiBj+td7 +owHU0P8CAAH7+6kgY/rHAAAAbBAwFWPTGmNNG2OiLiAF/woLIAEQGDD2CgAgMhA4MP06/yBKBDuw +w4n/AgAGAHvHkP0gVSIAAFnw/GTmEAUQUDBYcLfAINEPKCIWKbJ/CYgRqJmJmCaWGSSyf/AKFwwA +EEgwKRYq+Bx/JAAgQTD4jDEgFRBIMG2aAgAIiikiAPwKAyCiAliw/RVgIP4CUHDzFMMpgAQ+YPMU +yCgJAH5w+RYtIJQCUrBYZqYjFOD8HH8g/BB4MP8U5CACEHAw/hTlIXIQaDD9FXQgUgJzMCvgAv3g +ASCcAmMwLcQBK8QCLuAALsQAKiAFd6EIKCITBYgBKCYT2iD5ZLEQCBBoMP0U8yALEGAw9yQFIP4C +WHDzRH0gAhBoMPkWLCBiAlrwW/ZUwCDRDygiFiSyfykiEwmIEfgKOSQAIEEw9ZkBAA4EQ7ApJhMA +Co34HAAAFRBIMG2aAgAIihhjgJgQjiAqHBn9FQggogJYsPYUEy2ABD+g8xQYLAkAf3D9FgEgAxBg +MFhmcCocHfwKAyCcAliwWGZsJhQxJhQy9hQzIGgCUHD5CgMgqAJZMPkUMCAQEGAwWGZkKhxE/AoI +IIACWTBYZmEqHEz8CgggcAJZMFhmXStMZPwKCCDoAlBwWGZaIxVAJhRUJhRk9hSEIgAAULD7HAAA +IBB4MP8UNCALEGAw/xQ1IP8QcDD+FT4gAhBoMFv2GcOJ+CQFIAAQEDDRDwAAbBAaGGLHKQoV8AgX +AgAAQHBtmgIACIr/ZGIQMgJQcP8WACCiAliw+SIAIAsQYDDzCgAgARBwMP4UGCP/EGgw/RUIKYAE +PmDzFBMoCQBmcPkWASADEGAwWGYzKhwd/AoDIJwCWLBYZi/zFDIiAABQsPscAAAgEHgw/xQwIAsQ +YDD/FDYgEBBwMP4UMSAIEEgw+RQ0IBQQaDD9FDMgIhBAMPgWECACEGgwW/Xqw4ooJAXRDwAAbBAE +Kiw0/AoIIDgCWPBYZhgqLDz8CgggKAJY8FhmFCosXPwKCCAQAljwWGYRKixo+DIEIIgCWPD4Jhkg +EBBgMFhmC9EPAAAAbBAEFGMBKCIW0w8nQon5iBECAABQsA8CAPh3CAIAAFjwW9DtiicqrBBbEVqE +KctDFmL/hUv9QgAgBRBQMP4iACAyEFgw/0AFIgAAYbBYb+76TAACAABY8FurUvRcAA/UALVghCnA +wPsiCyAZADUgZbCQlCtgAAQAlLubTPwmCSAAECAwi3pyuQyEKYsom3pgACUAAAAAybaKuHKhEm0I +DPusAAAMADagiqhyoQJj/+zItIQpjSiduJwoKiAF+iQwIDsAtSCOK8/hxPN/oU8aYs6LIC6icvqi +jCAAEGAw/rsMAAEQaDBbDsYdYeaM3sO/+yQFIf4CYzCc3tEPxNItJAXRD4m70w8PAgBkn2ptCAr5 +kgsiAABacGSfXGP/7sPvLiQF0Q8AAABsEBr4Yj4eABBIMPkWACAAECAw9BYBIBUQSDDwCBcAEAJA +cNMPbZoCAAiKGGJsmBKMIP46/yALEGgw/hUMIAQQeDD/FCAtgAQ7IPQUGywJAGswLBYDKyAE+hwh +JABOBuD8CgMgogJYsFhlpSocJfwKAyCcAliwWGWiE2J2KCIWIzJ/CYgRqDOKN8O/KyQFiq4poHAr +oHEImRELmQKxmSmkcQmJFCmkcCQUOSQUOvQUOyCAAlBw+AoFIIACWPD4FDggCBBgMFhljis8SPwK +AyAIAlBwWGWLiRH7HAgiAABQsPmJFAALEGAw+RYPIAIQaDBb9U/RDwAAAPwKAyCQAliwWGV/KxAA +LRAB/hACIEoCYHAuxAItxAH7xAAgKxBQMCokBfP/gWIAABiwAABsEAQiMQMqMQIUY5j+Ly9gARBA +MPJDJ3ABECgwJzECJjBA98hAAAAQEDD3V0ACBQBBcPZ2QAIFADlwBlI40Q8pMED6y0AABxAQMPpa +QAIFAFow+oI5CAcBTDAJgjjRDwBsEBYoCob/AgAGAeRE0CkKh/8CAAYB4szQJiAMFGJOJSIWGmHT +KEJ/9EKJIBAQSDDwChcFkAQ9YPgcAAYAIEGw+WYRBAAgLTD2IRkkACAxMNMPbZoCAAiKGWDQKEEp +/wIABgEpThAcYkUtIgD+IAUgBRBQMP8gVCAyEFgwWG81GWDH+CIAIOEQUDAqFBj5FgIgCBA4MPlg +whmABDog+RYAKAkAOjCYESsgBylBKfpgvRogAVwwALsRC5kCCpkCmRQP6jCfFZgZ/mIuEEAQaDAt +FQ+eGCwgVCwUMSsgBSsUMvogMCABEGAwLBQwKhQzKSIW+RYNIEACSHCIlY+UjpONkvuSASCAAlBw +m6Gdop6jn6SYpYmQmaCIICgWFi8gVC8UXS4gBS4UYC0gMC0UYfwUXCAAEFgw+xReINACUHD8fAAA +aAJYsFhlCyoccPwKCCB4AliwWGUHK1xy/AoGIPQCUHBYZQQqHH38CgMgnAJYsFhlAPssXCD+AlBw ++qwFIAgQYDBYZPwpEUIrEUP2r0AECwEwMPYaFAwJATQw9m5ADbAEP2D+7hEKCQBRsPlEEQ+gBD/g ++xUxLgkAJ/D7IgwkBwEwMPoKQAwFAUgw+aoRDbAEOyD7O1QKCQBisPnYQAoJAFqw+hRkJdAEOSD7 +IGguCQAjsPUgaSgOAUww+ogRCZAEPmD2jEAICQBKMPVpQAoDAVww9XpAC9AEPuD+qhEJ8AQ+YPqZ +AggJAFow9VVACAkASjD4CjAkCQBFcPzMEQQJAEVw9RRlLAkAazD9IgwsCQB7MP7MAgoFATQw9kpA +C/AEPuD7qgIKEAFsMP0ZUAwSAWww+pkRDZAEP2D7uxEICQBucPyqAggJAF5w+hRnKAkAPnApFGaF +JyhZFPSBRGBAAlFwjFlkwTr7HAAACBBgMFsRiRdhqCgiFidyifmIEQIAAFCw+HcIAgAAWPBbz5WK +JyqsEFsQAoQpy0MWYaeFS/1CACAFEFAw/iIAIDIQWDD/QAUiAABhsFhulvpMAAIAAFjwW6n69FwA +D9QAtWCEKfsiCyAaADUgZbCZlCtgAAOUu5tMwLD7JgkgABAgMIt6crkNhCmMKJx6YAAmAAAAAADJ +toq4cqESbQgM+6wAAAwANqCKqHKhAmP/7Mi0hCmNKJ24+iAFIAAQcDCeKPokMCA9ALUgjyvP8ygK +Q3iheRphdSsiAC6icvqijCAAEGAw/rsMAAEQaDBbDWwdYI2M3sO/+yQFIf4CYzCc3tEPxNItJAXR +D4m7DwIADwIAZJ9fbQgK+ZILIgAAWnBkn1Fj/+7B5i4kVGP8PsD0LyRUY/w2AAD6LAACAABYcPwK +CCACEGgwWwmeY/65w48oJAXRDwAAAGwQBBthNxlhOh5haPhhZxIAAFCwjaCEpxJgbSiCf/RCDiwJ +AHdw/SasIAQQYDD5Jq0gBRBoMAuAACkirQmJR8mdKkA6K0A7CKoRC6oCsaoqRDsKihT6RDogABAQ +MNEPACgithJhGBlhGyIifwmIAQmIEf5hUhIAIECwjCwDDUT93RAMAEBzMA3MApwsK0BuLEBvCLsR +DLsCsbsrRG8LixQrRG7RDwAAAGwQBNMPbUoPJyAAJDAAsTP0eQxwAgIQsMAg0Q8AAAAA9HMIf/8Q +EDDAIdEP0Q8AAGwQQIQ0EmDa9V//FHABIDAERAkMRBH+UmUiACAgsC0tAi3QACpSbyQifw7dCPnd +EQABEGAw/aoIAgAAWTBYQ4stMEwvME3+MS4iAAAysPcigy2ABD9g/90CAAEQWDD+z0AATABPsGR0 +lvQWbiAAEBAwhHplQPJlIGqHeWV/8mAAXwAAAAAAAAD0Fm4kdAA14CIKAIR6ZEBBjErNx88ohEll +T/T8FgQgPQC0oGAALc4mjMhkz+aKyWSv820ICiihNn2BDIqrZK/lY//uAAAAAADyrAAP3AA2oJwU +zCaHeWV/sWQkGxRfyIUtJEJvCVURpUSFSCkwVIdHjFf3cg4gABBQMPzCDiAAWQZgaZJYLTEvbt8K +GGIH/wIACgCDahDAl2TxGC0wmA5YQPi5OQ4HAWww/7k4DAMBbDANuThkkRGJWnSZRytwMi1wMwi7 +EQ27ArG7K3QzC4sUK3QyKsBRsaoqxFFgAETRDwCKS8mhbQgJLKE2fcEHiqvIpGP/7wDSoGUvYIRJ +ZU/gY/7qAC5wNC9wNQjuEQ/uArHuLnQ1Do4ULnQ0LcBSsd0txFLaQFv9+togWwo50Q8Aj1p0+Roo +cDYpcDcIiBEJiAKxiCh0NwiIFCh0NmAAFwApcDgrcDkImRELmQKxmSl0OQmJFCl0OCswWfoWbSQC +/QbgwMl8sSjaYPtcAAIAAGEw/QoAIAAQcDBb7oDaIFsKINEPAAAAAADz/vxgABBIMC0wWsLp+hZt +JgLMd1DaIFsKGNEPLVAE/dzkIAEQQDDz/thoBQBuMAAAKFAEH2Bt+hZtIANOhiCKFCoWcy5ABcLc +DwIA/wIABgDgb5AvFnIoYCYeYGEZXwEIiAn5FnApwAQ6IPAJBw4AIEOw/uB/IgAAS/AASWEASWEA +SWEASWEYXvAZXvX+YG0fQAQ/oC0SbvpgWRAEEGAw/OY/LgkAT/D/5YAsCQBHcP0WbyDYAlkw/eY+ +IAYQYDBYY20YYZT4gnAiAABRsPsSciAEEGAw+BZxIAUQaDALgAAfYFgu8j8Ojkdk4/T/AgAKAfiD +oGXhqy0RCig6//8CAAYA0UdQF2ASKBJtKkEpLUU//kB8IAEQWDD7Fggt4AFoMJwfKxYQDukJnhmY +HJgdHl6z+BYOKcAEPmD4FhEmACBN8PdyfyYCnPaQHl+d+hZsLgkAcrCeG/cPRghIATww93hACZAE +PmDwiBEOCQBP8PocIC4JAEfw/xYKIKACWHBbB/lkpQPApfxhTBAyEFgwWG0xwKX8YUkQMhBYMFht +Ltpg+1wAAgAAYTD9EnMgARBwMFvuECpQNtMPZKBSilcqrBBbDogoUDYXXtuGV9MPCHcoDwIA+nwA +ACACMbBao8f9XmsSAABh8P5hORIAAFqw/1IAIgAAUbBao32GVw8CACZsENpgWpmC/wIAAAOmBqAq +EnMrPHD6rDQgCBBgMFhjFioScys8aPqsPCAIEGAwWGMSKhJzKzxc+qxcIAgQYDBYYw0uEnP7PQEg +EBBgMP0yGSEwAlrw/eYZINACU7BYYwbzEnMgggJY8NMPIzxO/AoDIgAAUPBYYwAqEnOIWv4KNyA2 +EDAw+aAFIA4QODD5pDAg9ggiMPakBSAMEFgwK6RUYABuLXA8LnA9CN0RDt0Csd0tdD0NjRT9dDwi +AABRMFv9Ldpg+1wAAgAAYTD9EnMgABBwMFvtx9ogWwln0Q8AHGEOLzBCLjBBKzBDmxAqMD2aESkw +PpkS+DA/IDIQWDD4FgMgBRBQMFhs09EPJ6RULqQFKhJzWAYc2iBbCVctUATBjPdfVBCiCENwiVr/ +AgAOAiOiUCoScyqgMP8CAA4ByLKQ20D+EnMgCBBgMG3KFS+wQCLgPP8CAA4CP3iQ+7wBIAICc7Av +EnPE5P70BSAsEGgwLUQF0Q+CR/pfzxAgAhCwWqNeHF/MHV4BHl/Mj0D7rAACAABQsFqjFYJHDwIA +IiwQ2iBamRr/AgAAArOGoIhadIkagknJJdog+wpRIAAQYDBb8SWCKdMPDwIAZS/o+2CzEgAAUTBb +7Q0AB40oHQH4jAgtABBIMPkWQCAVEEgwbZoCAAiKKh0B+F+nEJACWTD4FkIgAxBgMP1CACABEHAw +/qQgI/8QeDD/FYwgCxBwMP8SbS2ABD9g/6QbLAkAd3D9FkMgQgJSsFhijxhgtS4SbfwdASBiEHgw +/8Q4IAMQaDAtxD8pwAIrwAEuxDkuxDr+xDsgSgJTMCukASmkAhtfXiiCjxlfjyzAACykAI9Agkce +X3D5X1weCQBP8PIiDiAFEGgw/+Y+IgAAUTD55j8gBBBgMAuAABhfZg8CACiCPwiIR2SBVCkgOiog +OwiZEQqZAimcASkkOwmJFCkkOvtgkxIAAFEwW+zNwqwqRAXRDyzxgA8CAA8CAPsqAC0gAWAw/BUK +K/3/YtAtEnAuEm8pEnIADYsASWEASWEASWEASWEaX0wZX0Ippj8upj4sQG4uQGwvQG8oQHApQG0t +QHH4iBEPAAQ/4PiZEQ8ABDug+e4CDgkAR/D/3QIMCQBzMA3MAwzNFA3MAwxtFP3MAwABEFgw/AxF +AAAQUDBYblz+XzUQBBBgMPgScSIAAHrw+xJyIAUQaDD/5kEiAABKsPnmQCIAAFGwC4AAH18qLvI/ +8/tQbugBcDDaIFsIs49aiBQpUAQsEm0pnOT5jDgAgggj8PpMAAAEEFgwW/Cj0Q/aIFsIqYpa/wIA +D/0PIpCLFC1QBCwSbf3c5CIAAFEw/bw4AAQQWDBb8JjRDwAAAAD6TAAAURBYMFvwk9EPGF4xGV7z +E130KIK2IzJvCYgBCYgR+V8oEgAgRPCPPBhfJwn/AQj/Ap88LiBuLyBvCO4RD+4Cse4uJG8OjhT+ +JG4ugQA04Co8TvsdASADEGAwWGIG2jD7HQEgCxBgMPu8CCACEGgwW/HNw8gsNAVj/lEAACoWbGP6 +zwAAAAAcYA39EhQgBRBQMP4SFSAyEFgwWGvuLRJsHGAI/0B8IgAAcfD4EQogBRBQMPgWACAyEFgw +WGvmKhIVKkYTKRIUKURQY/rPwrwrRAXRDwD7PQEg2AJRMPu8yiAGEGAwWGHjKkxI/AoDIHoCWPBY +YeAbXrseXtIZXu0YYASMR49AKIKPjM75XroeCQBP8PwWdCIAAFEw/+Y+IAUQaDD55j8gBBBgMAuA +ABpexCqiP/9erBroAVAw+RJ0IE8ANqAskDotkDsIzBENzAKxzCyUOwyMFPyUOiAAEGgw/RZzKN0A +t2DaQFv8AtogWwhB0Q8AKRJzwI74lFQgNxBQMPqUBSAsEHgwL0QF0Q8eXdAYXpEdXZMu4rYt0m8I +7gEJ7hH6XsccACB3cI7cGF2XCu4BCO4CntwskG4ukG8IzBEOzAKxzCyUbwyMFCyUbmP/jAAA/yMH +f/8QWDArCgFnu38vEnPTDy/wBcMpcvEOKRJzGl6kKJITCogBKJYTAAeN+woVILACSHBtugIACYou +Em0rEnMcXpz8FhYg4gJQcP2yACP/EHgw/hRrIAMQYDD/FTQgCxBwMPjdEQABEHgw/xRwLAkAd3D9 +FhcgogJa8FhhiNsw/AoDIOoCUHBYYYUrEm0rFIn7FIogAxBgMPsUiyD+AlBw/BSIIBoCUrD7TFQg +EBBgMFhhe/tMQCD+AlBw+qwdIAgQYDBYYXb7TDgg/gJQcPqsJSAIEGAwWGFx+0xkIP4CUHD6rE0g +CBBgMFhhbSoSc/gSbSABEEgw+RVsICAQeDAvFIz/FI0g/xBwMC4VavgUrCCwAlhw+BS8IAsQYDD4 +FNwgAhBoMFvxKisSc/K0BSAsEFAwKkQF0Q8A2iBal94SXTYLqBH0oH5iACBAsAzqMCsihYuwsKL8 +uwgCAABQsFhtexxdKirCmfAhBAABEFgwALsaC6oCKsaZWG2wY/pX2mBal80WXSQLqBH0oFFmACBB +sAzqMCtihYuwsKb8uwgCAABRsFhtahxdGSrCmfBhBAABEFgwALsaC6oCKsaZWG2fY/hyAAAA+goH +IAEQWDBbDVEsIn8sJoNj+fsAAAAA+goHIAEQWDBbDUstYn8tZoNj+EMAAAAAbBBIKTBU+jwAAAIQ +EDD3XdsQABAgMP8KDiAAnwZg/wIAAgCZmmArMS8uoS4qFoXyXZ0eHgE+4BhfQv8CAAoBOVoQwJf9 +oJgj/xAoMP7PQAABEGAw/l5ACAUAfzD+yTkMBwFsMP3JOAALEBgw+woVIoAANmCUFfACFw4AEHgw +/xYEIDACQHBtugIACIobXb6bFolg9BQrIAQQUDD6FDApgAQ+YPUVFCgJAB5wmRcoYAT6HDEkAQIG +IPwKAyCiAlmwWGD5Khw1/AoDIJwCWbBYYPYoYhYicl0JiBGoIo0nw+8uZAWN3izQcC7QcQjMEQ7M +ArHMLNRxDIwULNRwJBRJJBRK9BRLIKACUHD5CgUggAJYsPkUSCAIEGAwWGDjKyxI/AoDICgCUHBY +YN+OFfscGCIAAFGw/o4UAAsQYDD+FhMgAhBoMFvwpPsKiiIAAFGwW/rL0Q/RDwAjMFkPAgAPAgB/ +MfAcXvstYE4uYE//YFAgBRBQMPMWACAyEFgwWGrC+3rQIgAAUbBYCCFkr8YoYhYiZFQpYAUpZDAi +cl35iBECAABRsPgiCACKEFgwW8uqimfyFoQgIAJSsFsMFoJp0w/LIxVduoMr/SIAIAUQUDD+YgAg +MhBYMP8gBSIAAGFwWGqp+wqKIgAAULBbpg7yPAAP1AC04IJp+2ILIC0ANKDMuJJrYAAZAAAAAACJ +u8ib+ZILIgAAWnDTD2Wf8pK7myz0ZgkgABAQMCsShIu6drkLLRKEgmmMaJzaYAAfybQqsggPAgB2 +oQz7rAAACQA2oIqodqnyyLSCaY5onriUaCpgBfpkMCA9ALSgj2vP88SD/wIABgI6RpAuckaLYPpy +YCAAEGAw/rsMAAEQaDBbCXwYXJ2PjsOf+WQFIf4Ce/CfjtEPxKIqZAXRDwAAAAAAAPP9kGIAAEkw +/AoDIJACWbBYYHksHBAvwAL+wAEgagJocC7UAS/UAizAAPzUACArEFgwK2QF8/4TYgAAEbAAAAD7 +rHAgaAJRsPoWfiAIEGAwWGBpKxKF+mw8IAgQYDD6Fn8g0AJa8FhgZCsShfpsXCAIEGAw+haAILgC +WvBYYF4tEoX73QEg0AJRsP3SGSAQEGAw/WYZITACWvBYYFcqYAwrYhYcW939YAUgARBwMC5kVC1k +MC5hGS4WgyzCgPlyXSD+AkBw8AIXAIICQjD8qggLkAQ+4PmqEQoAIF5w+xaCKAAgVnD5FoEgEBBI +MG2aAgAIii8SgRhbyS/xKf8CAAYBQEfQHF0/jWD+YAUgBRBQMP9gVCAyEFgwWGovGFvB/2IAIOEQ +SDApFNgoFjL5W70QCBBAMPkWMC+ABD/g+BKBLgkAR/AvFjEqYAcogSn5W7YaIAFQMACqEQqIAgmI +AigWNA7qMC4WNS8WOf1dJhBAEGAwLBVvLRY4K2BUKxTxKmAFKhTy+WAwIAEQaDAtFPApFPP4YhYg +/gJ4cPgWPSDCAnvwjPSK8onxi/MoHQGO9Z6Fm4OZgZqCnIQqHQEsHQGP8J+AKB0BjmAuFkYrYFQu +HQErxB0rEn4pYAUsHQEppCAqHQEvYDAt5Bz0xB4gUAJSsP+EISAIEGAwWF//KxJ/Kh0B+qwwIAgQ +YDBYX/srEoL6HQEgBhBgMPqsOiDkAlrwWF/1+h0BIJwCWbD6rD0gAxBgMFhf8CsSgCodAfqsRCAI +EGAwWF/sLxKDKxGi/0xADAUBfDD/bkAIBwF4MP8aFAgLAXww+ZkRCgkAU/D9iBEP4AQ7oP/dEQ4J +AEOw/6hADAkAazD/jUAKAAFQMPmqEQ3ABD9g+ogRDgkBfDD5iAIIDgFcMPmZEQ+wBD/g/90CDg0B +XDD7W0APoAQ/4PkRoy4JAE/w+RWRK7AEPuD7YgwqCQBasAs7VPkdASoJAFqwKpQk+2BoLAkAR3D+ +YGksCQB3cP5oQAoDAVww/npAC9AEPuD+qhEJ8AQ6IPqIAg4JAF/w/l5ADgkAR/D/CjAuCQB7sA/u +Ai6UJYts/cwCChABWDD7GFAKEgFcMPqIEQuQBD7g+6oRCAkAWjD6CggoCQBSMPyUJygJAFIwKJQm +jWcv2RT08NhgQAJTcCnSCWSQzSscf/u8QSAIEGAwWwx3LHF+/wIAA/2PexAAAo0oHQH4jFAgFRBI +MG2aAgAIih5dtS4WVPliACCiAlmw+h0BIAMQYDD1FbAgARBoMP2kaCmABD5g9KRjKAkAHnD5FlUg +0gJSsFhfiPodASCcAlmw+qxtIAMQYDBYX4PaYPsdASALEGAw9LSCICAQSDD5tIAgIhBwMPm0hiAQ +EEAw+LSBIAgQaDD9tIQgFBB4MP+0gyACEGgw/hZkIKACWvBb7z7D6i5kBdEPAMP/L2QF0Q8A2mD7 +HH8gCBBgMPu8QSACEGgwWwSoY/8nbBAcGFvm91wbHAAQUDD6FgAgABBYMJsRKSIWJHJdAAiN+ZkR +ABACQHD5ChUkACBJMG2aAgAIivwKAyBCAlBw/SIAIKICKLD+CgsgARAwMPYUGyP/EHgw9hQgLYAE +P2D/FQwsCQB3cP0WAyIAAFlwWF9MJhQ4IxUgLxACKRAB+xAAIPwQYDD8FDwgAhBQMPoUPSBKAkBw ++4QAIAgQaDD5hAEhchBwMP+EAiCABHDwLioT/yoXJgBP9ND4Kh8mAGd80PoWLCCYBEDwGV1g+xwI +IgAAULD52QIACxBgMPkWAiACEGgwW+760Q8AKyAFw6L+XDAQGgRS8CwiEw7MASwmEy0USyokBSZE +ffP/vGAUEGgw21DzCggiAAAisPocBCADEGAwWF8fiBEpcX4jFE8IiBT4FhIoAQFMMPgWASQFAEmw +9BROIDQQeDAvJAXz/3lgGBBoMNtQ/AoDIAgCUHBYXxCJEfmJFABwAlkw+RYBIJgCUHD5FhIgCBBg +MFhfCcOlKiQF8/9CYBwQaDDbUPwKAyAIAlBwWF8CjBEmFE4MjBScEfwWEiAzEFgwKyQF8/8YYDQQ +aDAAAABsECL4W64QABBIMJkQiS0ogl0JmRGpgokojColkhn0wCZh4AIpcCoKQG0IC4vMCztUaLQG +jMjIzmP/7S3ABS3FCSrEBWP/7AD/AgAKAFlFYI8qKRYsG1tj/FvAEKgCaLD9FjEgeAJwcP4WMCBK +AkBw+BYvIEICUHAqFi4sFjj7Fi0gmAJgcPwWMiCAAliw+xYzIKgCUHD6FjQgcAJAsPgWNSD4AnBw +/hY2IMgCaLD9FjcgMAIg8P4KACAQAmDw/TwAAAgQQDAPAgDTD9MPbYoU9tAYJgAgF7AncECx7vZ5 +V3ACAmtwjTRm0BXzPBAh4AIpcPRMECuAAL1gYAACAI8q8/wAAAkAt+BgACDJPSgwBfMyCCIAAFDw +aY3viawJOVRplOcrCoZb+VNlP+DAoCokfdEPAAAAAAD2cwZ//xBoMMDRZN+fJhIshmplYHr8/AAA +sQA34IjMAz0CDwIA+DhUAAAQcDD/AgAACBBIMP8CAAQARhogbZoU9tAYJgAgZ7AncDyx7vZ5Z3AC +AmtwjTQvCgAPAgD42RECAABwcPkWACADEEAwbYoU9+AAJgAgY/AmYE6x//7sAS4Bb7HQKMEJKMQF +jypj/x79PAAAABBwMKbqKqBAJ9AYse793AEuAE06kPzZ7HoAIDOwjTRj/vcA9nMGf/8QaDDA0WTf +j4zIZc9SG1suK7F+frcGjTRj/tYAACsSOB5aixlbgxhbgYYnjyAogn/2Yg4iAABQsPlbTh4JAE/w +/+asIAQQYDD55q0gBRBoMAuAABlafymSrQmJR2SQQCpgOitgOwiqEQuqArGqKmQ7CooUKmQ6jyqN +NGP+dAAAAAAAAPejBn//EGgwwNFk32SGad0w/goAL0MAtaBj/rgAGFprGVstF1sIKIK2J3JdCYgB +CYgR/VtiFgAgRfCMfP1aBBwAQGswDcwCLHYMK2BuLGBvCLsRDLsCK7wBK2RvC4sU+2RuL5AANeAp +MgQqfDz4mRECAABZMPkWACAIEGAwWF489nxOIgAAWHD8CgMiAABRsFheNypwBSsKOXuhCx1bOSxy +Ew3MASx2Ey4SLbgY8A4XABUQSDBtmgIACIoaWzKaEvoSLiCiAlnw/3IAIAEQQDD4FCAgABBIMPkU +GyALEEAw+Tr/L4AEP+D5FQwuCQBH8P8WAyADEGAwWF4dKxIxKBIvKWABKmAAKoQAKYQBKhIwL2AC +/4QCIAMQcDD+FDggABBoMC0UOS0UOv0UOyAQEGAwWF4PLhIzLRIyLOAAK+ABK9QBLNQAKuACKeAD +KdQDKtQCKOAEL+AFL9QFKNQELOAHLuAGLtQGLNQHLRI1LBI0K9AAKtABKsQBK8QAKdACKNADKMQD +KcQCL9AELtAFLsQFL8QEK9AHLdAGLcQGK8QHLBI3KxI2KsAAKcABKbQBKrQAKMACL8ADL7QDKLQC +LsAELcAFLbQFLrQEKsAHLMAGKrQH/LQGICAQSDD5FDwg/xBAMPkUPSAAEHAw/hRcIAEQeDD+FGwg +AhBoMP8VRCIAAFHw/hSMIAsQYDD4FUIgEAJYcFvto8PZLXQFY/3UAAD3YwZ//xBwMMDhZO0XKsxO ++xwAAAMQYDBYXc2NNGP9CmwQLigwVGiCBtEPAAAAAAD7PHAgaAIpsPwKCCIAAFFwWF3D+zxoIHgC +IbD8CggiAABRMFhdv/s8XCC4AhGw/AoIIgAAULBYXborPHj5Mhkg0AJRsPlmGSAQEGAwWF20K2AF +HVrGimwXWjH4WbsQMRBgMP2qAQYB0+bQHlrCDq4CnmwpYhb6YAwgARAYMCNkVCuCei9hGSiChP8W +UioAIFqw8AcXC5AEOqD6iggJkAQ+YPoWUCgAIEow+BZRIBAQSDD6WSQSAABAcG2aAgAIiikSUCmR +Kf8CAAYBKdZQHFqWjWD+YAUgBRBQMP9gVCAyEFgwWGeHGVkY+GIAIOEQUDAqFBiZEvlZFRAIEGAw ++RYAKYAEOiD5ElAoCQBiMJgRK2AHKZEp+lkOGiABXDAAuxELmQIKmQKZFA/qMJgZnxUeWn/+Fggg +QBBoMC0VDytgVCsUMSpgBSoUMilgMCkUMyMUMChiFvgWDSBAAkBwj4WKgYuCjYP+ggQggAJIcJ6U +nZObkpqRn5WIgCiWAC9iAC8WFi5gVC4UXS1gBS0UYCtgMPsUYSAAEFAw+hReIgAAWXDzFFwg0AJQ +cFhdXdtA/AoIIOACUHBYXVorElEqHHr7vHIgBhBgMFhdViocffwKAyCcAlmwWF1S2yD6HH8gCBAg +MPqsBSAIEGAwWF1NKRFCKxFDLxJSKxUxi2z/rkACCwF4MPlcQAgNAUgw/xoUDAYBfDD+3REKCQBT +8PqIEQoAAVAw+8wRC5AEOqD7O1QKCQBisPnpQAoJAFqw+hRkI5AEOKD1YGkvoAQ7oPtgaC4JABOw ++ZkRDAkBeDD5iAINsAQ7IPs7QAgGASww9XpABAUBLDD+qhEJ8AQ+YP27EQgJAFZw/1pACAkAWjD/ +i0AICQBKMP9JQA4HAXww+AowJAkARXD/qhEECQBFcPUUZSvABD7g/GIMKgkAZvD6mQIP0AQ/4P/d +AgoJAHbw/bsCChABYDD8GFAMEgFgMPqIEQ2QBDsg+6oRCAkAYjD7mQIICQBSMPkUZygJACIwKBRm +gmclKRQqLCD8IgkhDgA1YGTBBvscAAAIEGAwWwnaLWAF+goALAAQSDD4HH8gMRBwMPiMGS/+Y3NQ +AAeNKhYl+RYkIBUQSDBtmgIACIrybFEgAxBgMP1iACALEHAw8xSrIP4CUHDzFLAj/xB4MP8VVC2A +BD9g+qwyLAkAd3D9FiciAABYsFhc5yMUyPocfyIXEHgw/xVoIPwQSDD5FMwgAhBAMPgUzSAiAnKw +KOAC/eABIGwCSrAtlAH4lAIiAABYsP7gACADEGAw/pQAICoCUrBYXNQoEiUeWv7zFN4iAABRsPiI +FAALEGAw+BYlIAIQaDD4FjYgMxB4MP9kBSD+Alhw/hYmIDICWvBb7JHRDxlZ1gmpAplsY/xZ+mwA +AgAAWHD8CgggAhBoMFsB/GP+7QAAbBAWFFjFIyAMJUJ6GFk4JEKE9SIWIgAgLPDwCBcAEBBIMPkz +EQIAAEBw+VURAgAgHTD1IRkkACApMG2aAgAIihlYNSgxKQ8CAP8CAAYBN04QHFmpLSIA/iAFIAUQ +UDD/IFQgMhBYMFhmmhZYK/8iACDhEEAwKBQY9hYCIAgQMDD4WCcfgAQ/4PgWAC4JADfwnxEqIAco +MSn5WCIaIAFQMACqEQqIAgmIApgUDuownxmeFR1Zkv0WCCBAEGAwLBUPKyBUKxQxKiAFKhQyKSAw ++RQzIAEQWDArFDAoIhb4Fg0gQAJAcI+FioGMgo2D/oIEIIACSHCelJ2TnJKakZ+ViICYkI8gLxYW +LiBULhRdLSAFLRRgLCAw/BRhIAAQUDAqFF77FFwg0AJQcPxsAABoAliwWFxvKhxw/AoIIHgCWLBY +XGsrTHL8CgYg9AJQcFhcaCocffwKAyCcAliwWFxk+yxcIP4CUHD6rAUgCBBgMFhcYCcRQgUYFPkR +Qy4KASgw9b9ACggBLDD1nEAMBgEsMP7dEQ2wBDsg/LsRD5AEP+D5FTEvoAQ7oPkiDCgJAEFw+AhA +CgUBODD5iBELsAQ6oPk5VAgJAFIw/+4CCAkASjD4FGQuBwEsMPkgaCQNATgw8yBpJg4BPDD6RBEH +kAQ94Py7AgQJADkw82dACAMBTDDzeEAJ0AQ+YP6IEQfwBD3g+HcCBAkASTDzU0AECQA5MPQKMCIJ +ACTw/rsCAgkAJPDzFGUv0AQ/4PwiDCwJAH9w/bsCCgUBKDD/qhEIBAEsMPqZAgoQAWAw/BhQDBIB +YDD6iBENkAQ7IPuqEQgJAGIw+5kCCAkAUjD5FGcoCQAyMCgUZoYnJ2kUJWwg+mIJIBkANeDJodpQ ++xwAAAgQYDBbCO3RDwAAAAD6LAACAABYcPwKCCACEGgwWwFM0Q8AAABsEByJJycxCyiZFIaZ9ICv +YYgCOfAqbBn8CgMgegJY8FhcAypsHfwKAyCCAljwWFwALjA8ii4YWhn5Ig0gARBYMPmmEQG6AnOw +/r45BgLXxpAYWAEogoQcWiP4ZggJkAQ+YP1gBSgAIEowj4eKZ/uCCCAREEAw//IOIZ4Ca3D6og4q +ABjDUBhaGAjYCoiACoAAKxYt+hYsIAL8B6Ap8D4q8D8ImREKmQKxmSn0PwmJFCn0PtogWwJe0Q8A +AAAAAADz/1FgABAwMAAAZeRaKTBU/wIAAAOIBmBpktfBp/pkVCIAAFGwW/8WY//HZeRTKTBU/wIA +AAKHhmBpkrZgBQxl5FkpMFT/AgAAA1OGYGmSosDFLGRUKzBY+QoBKAP3guD+CgEgABBoMAntOGTQ +YSsyGStlGRtZCCowWohsDwIACnpA/qoQCABAWjAKiAIoZgwqMFobV2QKakD7iAEK8AQ6oPtZ1BgJ +AFIwKGYMKjBaL2AF+4gBCgUBUDDwqhEAPRBYMPtkBSgJAFIwKGYML2Qw/QoBIAAQYDAJ3DhkzxQo +YAwZVysVV60nYhYpkoAlUoTzYRknkAQ94PlYHRgAIEow+YgRBgAgPXD4HBAkACBFcPAJFwAQEEgw +0w9tmgIACIobVx0qUSnTDw8CAP8CAAf/Yl6QHFiRjWD+YAUgBRBQMP9gVCAyEFgwWGWBGlcT+WIA +IOEQWDArFCj6FgYgCBAgMPpXDhmABD5g+hYEKAkAJnCZFSxgBypRKftXCRwgAWAwAMwRDKoCC6oC +mhgP6jCfGZkdHlh6/hYMIEAQQDAoFRctYFQtFEEsYAUsFEL7YDAgARBoMC0UQCsUQypiFvoWESBg +AlBwiaWIpI+jjqL8ogEgoAJYcJyxnrKfs5i0mbWKoJqwiWApFhooYFQoFG0vYAUvFHD+YDAgABBg +MP4UcSDwAlBw/BRuIGgCWbD9FGwiAABhMFhbVvtsPCD+AlBw+qwBIAgQYDBYW1H7fHIg/gJQcPqs +CyAGEGAwWFtN+2xOIP4CUHD6rA4gAxBgMFhbSPtsXCD+AlBw+qwVIAgQYDBYW0P9EUooBgEYMPOp +QAoLARgw/xFLJgkBHDDzHhQHsAQ94P8VOS4JAHDw+aoRCaAEPmD/YgwoCQBWcP4OQAQFAWww+e4R +BbAEPWD/P1QOCQArsPN6QA4JAHuw/hR0LA0BaDD7YGktoAQ7IP9gaCwOAWww+d0RBAUBHDD/VREM +CQBrMP8/QAwGAVww+35ACgUBXDD+7hEN8AQ/YP3/EQwJAHdw/8wCDgQBHDD1/wIMCQBrMPwKMCoJ +AGbw84VACgkAZvD7FHUlwAQ9YPdiDCQJAD1w/ogRC9AEOqD6iAIECQBNcPhVAgIQATww9x5QBhIB +PDD67hEHkAQ94PszEQ4JADuw9f8CDgkAG7D/FHcuCQAjsC4UdoRnLUkU9NSQYEACGTAoQglkhIUD +OgL8CgggIAJYcFsHz2P8VwBl4RgpMFT/AgAAAT8GYP8CAAP+IppgYAKF+iwAAgAAWPD8TAACAABp +cFv2xNEP/wIAAACCH6ArMS7/AgAGAavm0BlZE/8CAA/+B8rQ+xpyIgAAUbBb+3dj+/wA/wIAAAB4 +n6ArMS7/AgAGAZrm0BpZCP8CAA/98VLQ+yoTIgAAUbBb+2xj+8//AgAAAHCfoCsxLv8CAAYBi2bQ +HFj9/wIAD/3bYtD7Kh8iAABRsFv7YWP7o2XgzykwVP8CAAAA/YZg/wIAA/3JGmBgAgIt8D4u8D8I +3REO3QKx3S30Pw2NFC30PmP7cC7wPijwPwjuEQjuArHuLvQ/Do4ULvQ+Y/tWKPA+KfA/CIgRCYgC +sYgo9D8IiBQo9D5j+zwAACnwPirwPwiZEQqZArGZKfQ/CYkUKfQ+Y/sgKvA+K/A/CKoRC6oCsaoq +9D8KihQq9D5j+wYr8D4s8D8IuxEMuwKxuyv0PwuLFCv0PmP67AAALPA+LfA/CMwRDcwCscws9D8M +jBQs9D5j+tAt8D4u8D8I3REO3QKx3S30Pw2NFC30PmP6ttogWwEM0Q8ALqBwse4upHAuMhvByPju +EQIA/xpg+jwAAgAAWbD0+v8gCBB4MG36Dy+wNC2gZLGq+7wBLgGsa9D6bAAACBBAMNMPbYoPL6A8 +LTBcsTP6rAEuAaXr0P4WACIAAFGw/RwAAAMQSDBtmg8v0AAuoE6xqv3cAS4BqHPQK2AF+2QwID0Q +UDAqZAVj+iUAAAD7PEUg/gJQcPqsISADEGAwWFpjKBItLxIoLoIYD48ULxYoLYIZHFdw+wotIC4Q +eDD96ggMACA/cP2GGSFhADegGFWcfYtsKRIo/wIADfzt5lBj+c4roFwsoF0IuxEMuwKxuyukXQuL +FCukXNog+zwAAgAAYTD9XAACAABxsFv5HmP5nwAsoFwtoF0IzBENzAKxzCykXQyMFCykXNog+zwA +AgAAYTD9XAACAABxsFv8cGP5by0wOCgwOQ8CAPkwOi2ABD9gCN0C+DA7LYAEP2AJ3QII3REI3QL/ +AgAGAL7fUP8CAAYAuv9QLxIo/hYrIKgCWPD6FiosACtn0NxwWFoo2iD7EisiAABhMP1cAAIAAHGw +W/spKRItwIAolhlj+QIroF4soF8IuxEMuwKxuyukXwuLFPukXiP8pAJg/GAwIAYQaDAtZFQsZAVj ++cDccFhaFNEPLqBxse4upHFj+MMAL6Bysf8vpHJj+LcooHKxiCikcmP4rAAAKaBysZkppHJj+J8s +ZFT6CkAghhBYMPpkBSIAAFGwW/SlY/iGAC0SKP8CAA38QmdQLTA4KDA5+TA6LYAEP2AI3QL4MDst +gAQ/YAndAgjdEQjdAv0WKSAYBFtw/wIAD/wm+1AYWCApMS4uFiv6Fiov/3jCUNog/EwAAKgCWPD9 +XAACAABxsFv67hxW+i0wOCgwOSoSKvkwOi2ABD9g/hIrLAkAR3D4MDstgAQ/YPndAgAtEFgw+N0R +AC4QeDDz/qBsCQBHcAAAAAD7HBAiAABRsPwKCCACEGgwWv8SY/fMAAAAH1f+KzEuf7F4GFf+LhYr ++hYqIHgEQvAqEi3AkCmmGWP3pyowWv8CAAP8B2KQ/wIAA/wDZpD/AgAB+/9qkAoMQ/zM/yAAEFgw +DLk4Y/foAPx8AACoAljwWFm7HlbNLRIo0w//AgAN+7T3UNog+xIrIgAAYTD9XAACAABxsFv6uGP/ +lCgSLC+Acv/8ASIAAFGw/4RyJ9AQWDBYAQVlr3hj9ygAAAAAAAD98wZyAABRMMChZKyeYAAM/fMG +cgAAUTDAoWSsqyxkVPpsAABAEEAw+GQFIIYQWDBb9D5j9uwAAH/jAcBBZU/cY/yoAGwQBisgB4kn +CwhB9JEtYEACYnAqmRRkoSKKmf4KEiEdADagj6AtoDAPj1f/FgEmAVN3UCmhCC06//4KSyYBX+5Q +KSAF/wpKIgAAKrD54gxwTRBQMP8CAAgAcn5QjRH/AgAGAG1WUP/6wCAwEHAw/88BAD4Ec3ApwQX/ +nwgLwAQ5IP/8QCoAIFDw9awACgFP+pApMQscVRKHWv1VEhvABDog+ZzEKgAgYrD5ojomAwBN8PcW +AiBuAjnwB0cU/Y0KAAgCYfD8FgAqAArmUC3SlyyiOR5U3f3GAQgANesQjiJk4jGPEcOAePlSiScV +V34rmRQlUn/0sfxgQAJScIiZJoAHwLD8XAACAABpsFsCwIon2zD6rCAiAABhMFsGKYwgiycIzBEM +TAL8pgEgQAJS8PtcAAIAAGGwWwYi0Q/RDwAAAAAAAPAOBw/8EGgw/lWzECQEafCJEAZoAm2ZAgBI +YSsgBwstQArdEPwSAiwJAHdwnWCJIP8SASAwEEAw+lViEDACYzD8ZgMpgAQ+YPpmAigJAE3w+WYB +IDwEQ/CKJ/tMAAAAEGAw+qwgIgAAaTBbApcrIAfVoPshCCwgAVgw/ldSHQAEOyD8CgAqCQBm8Cxm +BfwSAioJAHbwK2YEAEWNAgaPLmYGLGYHLSAM+mwoLQAEP2D8ZgcqCQBu8PtmBCCoAljwWFkWKlwZ +/AoDIHoCWPBYWRL0VYEQggJY8PwKAyA6AlFwWFkNGVTpLVAHjhIuVQssIQedUf8gBy/ABDng/AxK +DgAgcbD6IQgtwAQ7IP8tQA4gAXww8PsRDKAEP2D9zAIKCQBasPtVJxwJACMwnOD9IgAqCQBKsJrk +++YCIAQQQDD55gYgMBBYMPvmAyAAEEgw+eYFLYAEP2D55gcsCQBHcP3mASBAAmOwAgWGAExjAAWG +AExhGVSJDPgR/xIAKAAgSjD/hjkiAABQsFr/Y9EPAAAAE1W2KCIeIzJ/CYgR+DMIAgAAULBa/1za +MFr/W9EPAPP+BmAAEEAw+iwAAgAAWPD8TAACAABpcFv8x9EPAAAA+iwAAAEQYDD9EgAgMAJaMFsL +8WP9twAACaUMY/1eAABsEAQaVPMcVKQnIAcuIQctIQgWVTT+DkoOAgE8MPr/EA/ABDug9wdBDgkA +e7DwfxEOCQAzsP5GACwJAH9w9iIALAkAZ3CdRPxGBiAAEFgw+0YFIAQQQDD7RgcgMBBIMPpGAieA +BDmg+UYDJgkAQbD2RgEgQAIRMAIDhgBCYwADhgBCYRJUaQx/EaL/lfDRDwBsEAQpIhMPAgD4Vace +NgFMMPvzZ2ACAlPwCgpB+JgBCqAEOqAKiAL4JhMgawA04CoiByqsEFsD8/QiByPoEEAwCDMo0w/6 +PAAAIAIhMFqZM/1T1hIAAGDw/lbAEgAAWrD/IgAiAABRMFqY6YInIiwQ2iBaju9ooSfAINEPLSBV +/iAFIAUQUDD8VrUQMhBYMFhiesAh0Q/aIFvxr8Ag0Q8A2iBajwMSVFoLqBH0oDliACBAsAzqMCsi +hYuwsKL8uwgCAABQsFhkoBxUzyrCf/AhBAABEFgwALsaC6oCKsZ/WGTVwCDRDwAA+goHIAEQWDBb +BIcsIn/8JoMgABAQMNEPbBAGFVVeiy0qUmoJuxGrqoqqyaIrrGD8CgQiAABQcFhYXooQYAAFABpT +8poQH1PwjSceVAj6+jgD6BBgMAysLAPMKA7MKPwWACAgAlNwWwOthBCDJ/pMAAAgAhjwWpjv/VOS +EgAAYTD+VUcSAABasP8iACIAAFDwWpilgiciLBDaIFqOq2ihAtEPANogWo7IElQgC6gR9KA2YgAg +QLAM6jArIoWLsLCi/LsIAgAAULBYZGUqUpTwIQQAARBYMAC7GguqAipWlFhkm9EPAAAAAPoKByAB +EFgwWwRNLCJ/LCaD0Q8AbBAQG1O1LDEn9VXREgAAaXCOLikgBypSOfYxJiIAAEEw+QlBD5AEO6D7 +wQ96ACBysC8hN/8CAA4CL+PQJyE2/wIADgIqOZCPJ2Tw9Cv5FCkWFPTyCSRQADbgmh6YH/QWECAg +AlPwWwNuLSAF/xIUIAsQQDD+ClYj/xBIMPYKByYCJ3dQLiEIGlOr+ekMA/8QYDD5hjkJwAQ/4PYW +EiQWADUgiED4iFcGAh5nkPoSEigAIFZwKRYRKZI6KxIRHFOfepMUK7I5DPwKLMKX0w/TD/y0AQgA +OGLQjCIPAgD4FhUkgwA3IGiGWC8iBxdWFC35FCdyf/TUVGBAAlPwJPIJ9UwAACEANSD9QAcgABBY +MP0WBCIAAGHwWwFTiif6rCAiAAAp8PwSDyIAAFjwWwS6jCCLHwjMEQy7AvumASOAALVg0Q8A/wIA +BgIagiAdU1UpEhLwDQcCAABBMG2ZAgBIYSogQS8hBxxUiQqtCfdVdx3ABD9g81P5HAAgazD8wn8v +QAF8MP4hIi/ABD/g81P0HgkAH/D8jUIKwAFkMPx8QA2ABD9g/t0UCgkAbvD1zBENQAQ/YP0KACwJ +AGsw/RYFKwAEOqD/RgAqCQBm8PtTTBoJAFqwH1Xq/CIAIFgQSDCZQ5dH80YCIEgQQDD9RQovQAQ7 +oPhFCyAHEGgw+6oCAJACWLD6RgQgQAJRMPjMEQ4JAHuw/kYGLAkAazD8RgEgDBBgMFhXpCssXPpV +VxCJEEgw+UQsIAAQMDD2RDogABB4MP9EOSAAEHAw/kQ4IBgQYDD6RC0gLhBAMPhEOyB4AlEwWFeU +HVXH/kBIIAAQMDAmRET2RSUgigJRMPZGFC+EEHgw/0Q8IAICc7D+REggKAJYcP0WBSADEGAwWFeG ++1MOEAAQSDApRFQsIGgsRFUuITYuRSwvITf7RS8gQhBQMCpEZClFLi9FLScgBcWG/wIABgFhxdDF +0C0kBS4hCC86//8CAAYBOH+QG1OdJhIQiSAdU+AXU0wsIQcvIAcoTQH4jJAtQAFgMP8jQA4gAXww ++jMQCwAEO+D8zBEOCQBTsPPMAgAwEFAw9+4CAAQQGDD9zAINgAQ+YPVgdWIJAB9wFlWR9hYGIAIQ +MDAG1gKWF4YeLWIfnRkmYh6ZHfYWCCACEGgw/RQrIAAQMDD2FgwgABBoMC1GIS1GIyNGHStGHipG +Hy5GICdGIvxGHCAwAmBwAgyGAEhjAAyGAEhhGlLmDPkR+BISKAAgVnAoljlgAG8pYAf2VOwSAABp +sAaZApnRI0YdK0YeKkYfLkYg90YiIAAQMDAmRiEsRhz2RiMiAABjcAYMhgBIZwQMhgBIZRhS0f4S +ECfABD/g9hISJgAgRfAmdjmO4P6OVwAyEDgw/wIABgB9v5DDlf8CAAYAeM+QKjr/KiUIKyAFxcb+ ++sAmAMBm0I0n+yIOIEACY3D+CgAsAEBzMP7VFCCAAmMwnNic2YosKVI5+CILK5AEPuD1oApoACBe +cJiZYAACAJir/woAIAYANiCajJ8riJv/JgwgCAA2IJKMmCuSm/osAAADEFgwW/6i0Q/bMPyMAACF +EHAwWAFs0Q+aHpgf8/uwYAAQIDAAAPP79GAAEEAwAIon21D8EgQgQAJSsFsD09EPjB4twAX9xDAg +QxBYMCvEBWP7ogAAAP8CAAf94hoghif4+sAgQAJxsPgKAC4AQEOw+GUUIIACc7CeaZ5oY/ueKSEU +IyESwED5FhMvBwA2YPpSxCIAAFjw/AoAIAEQaDBa/9cmUsUqEhP0TAEgAgIY8AYzLnpJ2GP+2AAA +AAAA8/uvYAAQIDApEhEoEhIoljlj/sUAAAAA+iwAADACW/D9EhIgARBgMFsJ8ygSFWP7Z4onjR/A +wPqsICIAAFtwWwA2KhYQY/u3HFT9jSD7IQggBRBQMPsWACAyEFgwWGC6Y/0qHFT3jSD+ITYgBRBQ +MP8hNyAyEFgwWGCz2iBa/TrRDwAAbBAGFlOxjC0mYmr4VNEdkAQ7IP0iDiwAIGGw/MIHIEsQODD6 +CkogSBB4MPswPCCBEHAw/MIOIKoEQ3AJ2BEIZggtYgf90g4mAEN20C4gBf8CAAYAmP+QfnIu+QqE +LAAV05D6CoUmAQDO0P8CAAYAudbQyWMuYAXDsvwKOSYAkF+Q/wIABgCMZ5DRDwD/AgAGAWv20C4g +Bf8CAAYBkn+Q/wIADACR8dD/AgAMAI3TkC0KhP8CAAYA1+7QLgqF/wIABgGEdtDz/6lgABAwMAAv +wGAowGH5wGIvgAQ/4Aj/AvjAYy+ABD/gCf8CCP8RCP8Csf8vxGMPjxQvxGIPjxQvxGEPjxT/xGAg +PQA1oCjQPCnQPfrQPimABDogCYgC+dA/KYAEOiAKiAIIiBEJiAKxiCjUPwiIFCjUPgiIFCjUPQiI +FCjUPCpgBcSQ+pIOcEIQYDDDvv8CAAn/mN6Q/gpQJ/+U5pAtIAX/AgAGAR73UPosAAIAAFjw/EwA +AgAAaXBb/izRDy/AbLH//8RsLvwANaAo0HOxiCjUc9EPAAAqYhMKb1Fr8yUZU1Ox+wsLQfq7EAgA +QE6wC5kC+WYTIgAAUbBb73jRD9EPAAAAAP1gVSAFEFAw/FR1EDIQWDBYYDnRDy7AbbHu/sRtID0A +NaAv0HQo0HX50HYvgAQ/4Aj/AvjQdy+ABD/gCf8CCP8RCP8Csf8v1HcPjxQv1HYPjxQv1HUPjxQv +1HSKJxRR/fqsECBNEDgwWwGG9yQFIgAAaXD4Qk8iAABQsPkxJyAAEFgw+SU3IAAQYDALgADaIFr8 +oWVuEWP+I8BgiifETfdR7RAgAlKwWwF39CQFIgAAaXD4ck8iAABQsPkxJyAAEFgw+SU3IAAQYDAL +gAAqMFT0UwseAJyCoIssjC4qQmr5IgstkAQ7IPWwCWoAIGKwmalgAAGZu8iQm5zA0J0riav9Jgwg +CAA2YJKcmSuLLZKrKkJqCbsRq6qKqsmiK6xg/AoEIgAAUHBYVfyKEGAABQAaUZCaEB9Rjo0nHlGm ++vo4A+gQYDAMrCwOzCj8FgAgIAJTcFsBTIUQgycPAgD6XAAAIAIY8FqWjf1RMBIAAGFw/lLlEgAA +WrD/IgAiAABQ8FqWQ4InDwIAIiwQ2iBajEj/AgAB/okeoGAAlybAYCjAYfnAYieABDmgCGYC+MBj +J4AEOaAJZgIIZhEIZgKxZibEYwaGFCbEYgaGFCbEYQaGFCbEYPP9oWAAEDAw2mD7PAACAABhMP4K +hCIAAGlwWAAy0Q8owGyxiCjEbNEPKcBtsZkpxG3z/lJgABAwMCoxLmWuxCsxL2W+vi0gaCwwVS3c +Af8CAA//WWsQAioCWvw6Y/xy2iBajD4SUZYLqBH0oDZiACBAsAzqMCsihYuwsKL8uwgCAABQsFhh +2ypClPAhBAABEFgwALsaC6oCKkaUWGIRY/wwAAAA+goHIAEQWDBbAcMsIn8sJoNj/BlsEATTD21K +DycgACQwALEz9HkIcAICELDAINEP9HMIf/8QEDDAIdEP0Q8AAGwQCC4gVSciFiggBy8hIvMWAyAB +EFAw9BYBIAAQSDD0UmUQhBBgMPxsDAIAAGpw/K04AAYQGDD8UkAQBxBQMPpRKhIFAG6wmRD5USUS +AABZcPRCfyggAUAw/u4JBcAEPiD5VQgHkAQ94PdSOiQAIDkw/xYCL8AEO6D6igoMACBzMPzCfyoB +Ap3QKqKXKVI5+pcBDgD71lAbUO3wCwcCAABB8G05AgBIYSkhBxpRlgkJSgyZEftRlRgJAFZw+XYA +IFgQeDD4IgAgUBBwMP3+OQ5IAWQw+P8RCMABZDD/mQIJgAQ6IP9Q8RgJAEDwmHGIEv52AyoHAWAw ++3YCIEgQYDD42xQLUAQ6oP5AfCtABD7g9IgRCgkAWrD6mQIAQBBYMPpTABoFAG8w+3ULLwAEO6D5 +UvEeCQBLsPl2ByAGEGAw/+4CCAkAUjD+dgQgABBQMPp1CiDkAlkw+HYGIEACUfBYVT8rTGz8CgYg +TAJR8FhVPCZ0PP9S8BAAEEgw+XQ6IC4QQDD4dDsgABBYMPt0OCAAEFAw+nQ5IIkQIDAkdCyKEy90 +LRRQuCyhJiuhJy2gSS6gQC50QC10SSt1JyqhJ/x1JiAAEFgwK3RE+3RIJgBaJpAqfEH8CgMgogJY +sFhVICssTvwKAyB6AlHwWFUdiBMfUq8ogEWNEB5TUQCIMvj9OwCEEBAw+nxFLAkAd3D43RECAABY +cP0WACADEGAwWFUQ8mErcAkQSDD5dFUgQhBQMPp0XCAAEGAw/HRXIAMQWDArdFYjVjnRDwAAAAAA +AAD+EgMgABB4MP90VC//EEgwKXRVKOEmKHUsLuEnJHUvL3Uu/nUtIEIQaDAtdGQjVjnRD8CgKnUn +Y/9HjCKbFPW8AABJADcgjxP8UyoQBRBQMP7xJiAyEFgw//EnIgAAaXBYXuMFCEdoggHRD4onjBEr +qRQpoRUMzBGsu/qsICv/9d5QixOMEVsBu9EP3TD6LAACAAAq8PuMGCABEGAwWwgAY/+iAGwQBhtS +3gIsCQzMEay7K7J/ZLDC8AAJb2ABGDCLuWSwtSixGQgIS3jp8Ya6ZG/s+goAIAYQSDBtmhSmrPzA +bCwAICawLdAA+qwBLgB441D6CgAgBhBoMG3aFKas/MByLAAgJrAt0Ab6rAEuAHDjUIJq0w/KKvNM +ISDkAnGw/hYAIAwCaTD9FgEgOgI5MNsw/AoDIJwCULBb/xLJoYIoZS/ry28vYARo9EbAINEPAADb +cPwKAyCiAlCwW/8JZa/cihD7EgEgBhBgMFv/BWWvzPP/zmIAADCwwGD2VgAgABAQMNEPAPZWACAA +EBAw0Q8AAIJpyi76CgAgAxBAMNMPbYoUoqv7sF0sACAisCzAIfvJGnACAlKwLSE2LEEWfcEIgitl +L8+WUNEPklDRD/yzBn//EFAwwKFlr+Vj/9n9wwZ//xBQMMChzK9j/wMA/cMGf/8QUDDAoWSvFYZp +wKD5CgYu1gC1oGP+s2wQDPtQeRAAEFAwmhCFNPSyfSBcAjDw+LJ+IgAAEbD3soEkcAEsMPRUCAQB +FhFgCUQRpHSER6hY9EIOKZAEOiCodydyByhBAvdyDiILADYgKkwu/AoGIEwCWPBYVGocUqL5wAIi +AABRMPzBACAIAlhw+bQCIAMQQDD8tQAgABBIMG2KDy2wAC6gLrGq+7wBLgHzc1DAkRpP4ylEQBlQ +UyqihymSgapaCaoRCpkIKZIHKZIOL5Av+wodI78AN+AsMQuXFfYWBCAAEDgw9goAKgDEYtCNQ/QW +AyACAmtwnUO4GvssAAACEGAwWFRGJBEE/zELJQABJDCyWPhmCATJASAw/EwACgHt+ZDwQAQEHgC5 +IAcIG/8CAAIB6X4QZMEk/wIAAACFByD/AgACAHYDIP8CAAIAaIcga8cJasQGbl8hYAOcAP8CAAYA +Vgcg+Qp/KABGgyD7EgMgSARLMIq1saqatbJb9U93YgAgWLDAn/8CAAYAc83QYANmAAAA/Br/JAGw +QWD/AgAKAawvENoQ/AoEIAQCWLBYVBuNEB5SVA2MFP9R6xF6BHMw+FHoEC4EezD6EgMgHgRDMIml +sZmZpWP/nwAA+hIFLOABbDD+XPwgDAJYsFvPXWevh2ADAsCo+wqnKgF+qpD/AgAL/7uq0GAC7QAA +/wIABf+1AWBgAuAAAAAAAPgKCCIBbBlgCHcC8/9PZuABPDBkUsX4CgQuAWEVYAh3AvP/OWbgATww +ZFKv+AoCLgFWFWAIdwLz/yNm4AE8MAD4CgEimAC1YAh3AvP/D2bgATww0Q+OEyntASjtAvaMAAIA +ABIw84wAAgAAejD6jEEghAI6cPoWCSEAAkpw+RYOIL4Ce/D/FgYgogIY8PMWCyC2AhCw8hYHIJgC +MbD2FgwghgJCMPgWDSCEAjOw8hIEIHACc7CeGPAAJWAAEBgwAGnIEvoSBiAMEGAw/Fw0AAQCWLBY +U8uyXfRBVGIAIGiwuBr7LAAAAhBgMFhTxSURBPWcRgUAASww9MwAAAB4ByD/AgACAFEDIGjDamjE +UWjFNmjGG2nHqPoSByAEEGAw/Fw0AAQCWLBYU7Zj/6gAAAD6EgsgChBgMPxcNAAEAliwWFOvY/+P +AAD6EgwgCRBgMPxcNAAEAliwWFOpY/93AAD6Eg0gCRBgMPxcNAAEAliwWFOjY/9fihmOGC0gA/8g +AiAEAliw/+QAIAIQYDD95AEsAQDhcFhTmokTKJEcsYgolRxj/zAA2nD8Cv8gBAJYsPsWCiwBAOFw +W87XyaP8Cv8iAABR8PsSCiwBAOFwWFOMwDGNHi3Qwf4SDif/fa9QJeTB8/7wYAEQGDAAANpg+ywC +IP8QYDD7FgosAQDhcFvOxsmj/Ar/IgAAUbD7EgosAQDhcFhTe8AxjRMt0EH+EgMn/1qvUCXkQfP+ +qmABEBgwjxNkMGsv8CUcT2seTvn5EgMgvgB78C7ihi2QJCzCga7dCd0RrcyMxyiQJYzO+woAIAIQ +UDD7xCYoCQBSMCiUJdEPY/wcL5A6Zfw+KJBFZYw4jkL6CgUgJBBYMPxRmBACAnOw/kYCIgAAaXBY +XVHRDwCKFYsUW805Hk7eiRMcT00u4oYtkCQswoGu3QndEa3MjMcokCWMzvsKACACEFAw+8QmKAkA +UjAolCXRD4gTj4Sx/5+E0Q+JE4qWiJSxqvqWBiACAkIwmJTRDwAAAAAAAGwQBPoKDi/9EFgw+yQB +IAAQYDAqJAAsJAIpMAApJAMoMAEoJAQkMAIkJAXRDwAAAGwQBiQhCI0nJSAHE1Ft+NkUIDQQMDD8 +Ov8gABA4MPsyvSQgASww9IIWYEACU3At0gn9FgEmAV3lECnSAPsWACT4AUgw+QhHBgEstRD7fAAG +ASi2EPkKBCAAEFAwHE69DFgR/069GAAgYjAsgjr/XwoABgIycP/ylyoAB7cQLoI5/+wBCAAWe5D4 +TqwcEgA9IAhIApjQyL2KJ4sQ+qwgIAIQYDBbA82LImSyLMAg0Q8AH06D+1FFH/0QcDDwDwcAGARy +cNjAbWkCAEhhJSEHGU8p/1E+FUABLDD4UToVwAQ9YP7RCCgJAE1wmcCJIJfGl8iXyZjH/8YFIBgQ +QDD4xgMgAxB4MPhPHBoJAF5w+MYCKYAEPmD7xgQuCQB+cJ/BK9AH+CEIL4AEO6D+TsUaCQB28PvW +ASwAy4UgKyAHwEQElAL7D0EKAgFcMPq7EAkABD/g+YkCAKACQzD1oFdoCQB2cJTNJ8YRJ8YTKcYQ +/k8CEDAQUDCazxpPRZ7O/k6xGAkAXXD+xhIoCQBWcPnGDCIAAEtwAgmGAEhjAAmGAEhhGU5sDPgR +qYgmhjmE0PAAWmT4ASAwjhEu4BRk4TuUzSfGESfGEynGEP5O7BAwEGgwnc8dTy6ezv5OmhgJAF1w +/sYSKAkAbnD5xgwiAABKsAYJhgBIZwQJhgBIZRlOVQz4EamIJoY5hKAEhFfDovpBW3A1EFgwe0FT +jif/+sAgQAJrsA/dAfflFCCAAmtwneid6SwgFWXOc9ogWvklwCDRD5sQ9xYBJgBVZRCIcAiEV/gJ +RwCcBDEwdpFGwJT7CgAgABBQMPP99GAAEGgwJiEUJCES9QoAL6IANaD6MkIiAABZMPwKACABEGgw +WvuHKDJD9VwBIAICITAIRC52Wdtj/3bAsPwSACACEGgwWvv1iBGNEPiAFCAKALagZY3tYAACZIEc +wJTz/ZNgARBYMIvQCLsQm9Bj/mIA+1wYIgAAULD8CgEiAABpsFsFncAg0Q8A2iBa+PfAINEPJ8YR +KcYQH07jlM3+Tk8QMBAgMJTPLsYS/qEILgkAfvCfzB9Ol5/OhKAvoAfAVPjuEQT4ASAw/goyLgkA +d/D/pgEgEgRxMITQBIRXCgqGAEhrCAqGAEhpKCAHKSEH/iEIKgIBQDD4CEEJQAFMMPqqEAnABD5g ++k7HGAkAVnD7zQEvAAQ+IP9Ofh4JAHuw+k4uGAkAVnApxhyJICrGIifGIffGIy4JAFOw/8YeIDAQ +eDD/xh8pgAQ+YP7GICgJAC5w+cYdISACWvAODYYAS28MDYYAS20bTeAMihGrqiamOWP+LwAAAAAA +8/7hYAgQSDBsEA4oMQgaTrcpOv//AgAGAHXOEPAKFwAgAkhwAAmKAAmKAAmKAAmKAAmKAAmKAAmK +AAmKG024Hk4IiCAaTbX6FgYgBBBIMP4WCimABDog+xYEKAkASjCYFS8gBy0xCA8PQQD/EQ/dAg7d +Av0WCCIAAEjwDOow/BYJIAAQWDD7FgsgYAJQcAIJhgBKYwAJhgBKYYsn/LkULYAEPWD+sgksCQBt +MP+xFSBAAlLw/RYNIDgANyD04DBggAJDMHjzDPwKBCAgAlhwWv7h0Q8cUEeNII8z/jICIAQQUDD1 +FgAgMhBYMFhb+dEP+xwQIgAAULD8CgQgAhBoMFr3OtEPAAAAbBAEiCIbTl71CUcPwBAYMPSyiyCu +ADYgaZJmiScrmRT0sbxgQAJScIuZLAoqWvkY9VAvEFoANqDwAC5gKhAgMIwnLcEVLMwgA84Brt7+ +7EAqACB6sP6rL3IAAFqw+swAACoQYDBa+QrKoo2gL6AH/qICLPgBbDD8/xEBiggjcHXpvcAg0Q8A +AA2rDGP/zoonwLD6rCAgARBgMFsClRxOfZygiyD4uxEAARBgMPWmAioJAGbw+6YBIAAQEDDRDwAA +AAAYUAsqsnOGICchNfpmDAAAECgw+HcIAgClGmCJKo4n+QpHAAAQYDD0oDVgQAJrsP/6/yAtADSg +K7KLnCf/5gAsAEAfcPzlFCCAAmtwnen95gggAGoGoP8CAAIAdIKgmSp3lxL7LFggABBQMFtgHvAA +EWAAEFAw+iITIAAQWDBbYBnAoBxP65oomimaKpormiyaLZoumi8qJhAqJhEqJhIqJhMqJhQqJhUq +JhYqJhcqJhgqJhkqJhoqJhsqJhwqJh0qJh76Jh8gMBBYMPoKBSBgEGgwWFuM+ApwIgAAWbD4JAUg +ABBgMPpCFSABEGgwWvqDiSr6liVyAAARcPpCDSIAAFnw/AoAIAEQaDBa+nyKTrCq+kYOIgAAEXDR +D9EP8/5GYAAQWDAvshP5IgogEAJrsJ3x/+YCIJgCQvCY4y22E2P/Gi2yEfkiCiAQAlOwmtH95gIg +iAJ68J/jKrYRY/79AIon+woBIAAQYDD6rCAgARBoMFr62BtN0vP+nmIAACqwAGwQBC0iD2TQDQIq +AvsiECABEGAwC9AAiCr/AgACAOOiEIwuyMn7IhAgABBQMAvAAIkiGk3CI/rA9KKLIJwANmCJJyuZ +FPSxk2BAAlJwi5nCylr4fvVPlRBWADag8AAuYCoQIDCMJy3BFSzMIAPOAa7e/uxAKgAgerD+qyty +AABasPrMAAAqEGAwWvhwya6NoC+gB/6iAiz4AWww/P8RAYoII3B16b3RDw2rDGP/0oonwLD6rCAg +ARBgMFsB/BxN5JygiyD4uxEAARBgMPWmAioJAGbwm6HRDxhPdSYhNSmic4oqhSDAcPoLRwYAIEGw ++VUMAEEANuD9+v8gOQA0oIknDwIADwIA9yYHIEACYnD9lgAsAEAbMPeVFCCAAmMwnJn8lgggAHOG +4P8CAAIAfgLgKiYK/wIAAABiXpD7LFggABBQMFtfh5colymXKpcrlyyXLScmDicmDycmECcmEScm +EicmEycmFCcmFScmFicmFycmGCcmGScmGicmGycmHCcmHScmHicmH/xPSRAFEFAw/QpgIDAQWDBY +Wvr+CnAiAABZcP4kBSAAEGAw+kIVIAEQaDBa+fGPKv8CAAH/dKvQ+kINIgAAWbD8CgAgARBoMFr5 +6ohOsIiYTtEP8/5vYAAQWDD6IgggABBYMPwKACACEGgwWuoQ0Q8AAAD6IhMgABBYMFtfV2P/PAAA +LEIT+iIKIBACWnCbwfyWAiCYAmkwnZMrRhNj/wcvQhH6IgogEAJycJ7x/5YCIIgCQTCYky5GEWP+ +6gAAbBAGHE8aGEy0jyAkMgX1IAUgBRBQMP4yBCAwEFgw9RYAIuABJDD5IAQlaAEgMPkWAST4AXQw +9RYCIgAAaTDzFgMuAEBDsFhavRhMTCmCeCiCiqSUCUQR/E8GFAAgIjAtQgD+QAUgBRBQMP9CCiAw +EFgwWFqywpP5MXlwJRBYMPsxcXAkEGAwfDFpaDE5aDI2+DMzYBUQaDD9MStwFhBwMH4xIy8iGPhC +CCAmADfgKSAEyoH7IgcgJQA2YMq1HU46jEoNzAKcStpAW/87wCDRDyQmGGP/15JIY//XAMHoLiQE +Y//WWu3fjyCfoJonY//MAAAAAP08AAAFEFAw/E7eEDAQWDBYWo3AINEPAGwQBBtMShpO2flO2RAA +EGAw/igRAAUQaDD6iggAARBwMPs0AggAIEow9IYAIAAQeDBa/F/SoNEPbBAEIyRy+iwAAAEQWDBa +/s/aIFr/XNKg0Q8AAGwQBIoqGU7GHEwi+ApyIGQACrArIAUJqQH6CnAoAEBmcPkmCiA4BELwerEU ++iIIIAAQWDD8CgAgAhBoMFrplNEP0Q8AbBAIHE63JCIYAGWOIyAHL0IILUAE/kIAIAUQUDD/8gAi +IAEcMPMWACAwEFgw9hYBJOABLDBYWlj6LAAAEBBYMP0cECIAAGDwWwQFZKCHHE6liyDA0f2kCSoJ +AGbwm6AaTBYMORH4EgQoACBWcJiQ+yAiIgAAULBa+PuOSvsKciB0EHgw+kAFIAAQYDD8JCMgQAAL +sH+hGGhSN4onwLH6rCAgARBoMFr5sMAg0Q8AAAD8CnAgPARasHyhFvpCCCAAEFgw/AoAIAIQaDBa +6WDAINEPwCDRD9og/E6EEgAAWbBbA8HAINEPAGwQBhxOgP0iACIAAHkw/iAEIAUQUDD1FgAgMBBY +MFhaJBxOeS0yBC4wFy8yBvgyByAFEFAw+BYAIDAQWDBYWhwpIATBqPsgIiDeBFJwyLjaIFr4ysCw +KyQjKjAF+wp2IHQQYDD9CncgYgRisHrSQ/4KciwAIFqQ/wpwIHAEcrB/oTD6MgggABBYMPwKACAC +EGgwWuk0wCDRD40/KzQF+yQFIBEAN2DaMPsyECABEGAwC9AAwCDRDwAjIhhj/44AAGwQBCQiGB9L +4Y00HE5Q+goFIDAQWDD+MBcsAEB/cFhZ9CgwF8KaeYEX+yAiIgAAULBa+KLAoPokIyIAAFEwW/91 +wCDRDwAAAGwQBCQiGB9Lzy0yBBxOP/oKBSAwEFgw/jAXLABAf3BYWeIqMBf5CiQgJRBAMA8CAPus +AAYAV8aQ/Et+FgBTzpCJSiYKI/4KFCAfECgw/J0CAA4AhnAtRgr5QAUmAEp2kMH+/wIAAAMQYDD/ +AgAGAEV+kPWhcnAhEEAweKFq9qFnf/8QYDAqCnR6kRGNT8jc+0IQIgAAUTAL0AArMBd1sUL+ThMQ +fgQy8CsiGI1KK7B0/AqOLABAd3D9RgogKAhi8MDD/CRlIAAQEDDRDwAAAAD6LAAAABBYMPwKACAC +EGgwW/9LwCDRDwDz/5lgBBBgMPP/kWACEGAwY/+JAGwQCCIiGGQgdRxOAYgojSAvIAWOgPiABSAF +EFAw+BYAIDAQWDBYWaEvIAUlCnfzCnQgcxAwMPQKciCYBDPw+Qp2IOAEG/B5+SD6CnAgOgQj8Hrx +FfoiCCAAEFgw/AoAIAIQaDBa6L4vIAWNIPoKBSAwEFgw/E3oEgAAc/BYWYvAINEPAAAAjS+LKCcK +dSckBfe0BSARADdg2iD7IhAgARBgMAvQAIwoLMBlycYvIAVj/7eNKCUkBSXUBS8gBWP/qQAAAAD8 +S1oQBRBQMP4iACAwEFgw/yAFIgAAaLBYWXMvIAV08Q//AgAGAF630P8CAAYAZD/QwDDApfxLTRAw +EFgw/iIAIgAAaLBYWWhkP5yGKCNiGBxLKyVgB/0wBCAFEFAw/jIAIDAQWDD/MAUkIAEsMFhZXigw +BQ8CAA8CAP8CAAv/tUEQHEsfjzguMgAtMAT/8gAgBRBQMPUWACAAEEgw+RYBIDAQWDBYWVD6bAAA +CBBYMP0cECIAAGFwWwL9ZKBJHUsRjGDA4P6mASwJAGswnKAbSw4MWRH4EgQoACBecJiQY/8Fjygj +JAUj9AUvIAXz/0JgARAYMIMoJSQFJTQFLyAF8/8vYAEQGDDaYPxLABAAEFgwWwLJY/7PbBAEKCBy +wJQJiAL4JHIiAABQsFr+EtKg0Q8AAAAAAABsEAYrIA0mIAccTFYdSrn6IAwm4AEsMPnCRiYgATAw +/tKBINkANuAfTAWvry/w3av/r+4J7hGumSmcgPkWAiIAZoHgiCIPAgBlgQUqkA1koEAaTEQqoID4 +S7gbgAQ6oAoFRw5VEahYKIJ/mhEKihQLgAAKCUFokQf/AgACAJYeYBhMOqhYKIJ/ihELgADMo2AA +/cCgGEqvDGUR+0qvFAAgRXApUjqUEAtrCvuylyQAcUJgKVI5+5QBDgBr3lDIq4ow+gpDABACWPBb +nFPaIPtMAAIAAGDwWvtHwLT7VjkiMgA54IonjRDAwPqsICIAAFtwWvhj0qDRD8Ag0Q8r0oCquwm7 +EfP/M2gAIF5wjCf++sAgQAJrMP7dAQAAECgw9cUUIIACa3Cdyf3GCCIAAFCwWuvqLyAE+RICKf+E +m+D7IQkgABBQMFge74kSJSQFY/7xaHIEwCDRDwCKJ8Cw+qwgIAMQYDBa+7MdS4WdoIwgG00r+MwR +AAMQaDD7pgIsCQBrMPymASAAEBAw0Q8AAAAA+iwAADACWbD8CgAgBBBoMFsB72P/qgAA8/7hYAAQ +UDBsEAj7Sk0QAhBoMJ0RmxCPMg8PXy8VBC4gDCwgDQjuEQ7MAiwVBSkhCZsQ/RYBIgAAULD5FgMg +IAJAcPADFgAAEGAw8AigAgAAWHBb/4HRD2wQBBhNB9MPCEgKKIJ/+iwAAgAAWPALgADRDwBsEAb2 +SegRGBA4MAcnKNMP90z+FgAgObAjZv8PAgAncn/7TPoSAABR8FharPW8AAIAACKw+wpkIgAAUPBY +Wqf8CgAgZBBoMFhZHdpA/AoAIgAAGvD7XAACAABo8FhZF/+xV2IAAHLwGEopLW0EGkzoAikL/kzo +GbAEPmD6bQQoACBWcP6WxSACEGAwnNCYoS9tBCuWwZvyLJLAHkq4HUofDswBDcwCLJbAKJLAGknD +CogCKJbAI2b/0Q+TEBxM15sTH0oFG0oF+UoREAMQUDD5FgIp0AQ54AuILPmIKAIAAGiw+BYBICAQ +WDBYWGobSfpj/3MAbBAG9EmrERgQQDAIKCjTD6hEKkL++kb/IgAAWPBYWnL8CgAgZBBoMFhY5xVM +utMPJVJ/07D7TLgSAABRcFhaavwKACIAAGjwWFjf/7FXYgAAcvAYSfEtTQQaTLACKQv+TLAZsAQ+ +YPpNBCgAIFZw/pbFIAIQYDCc0JihL00EK5bBm/IsksAeSoAdSecOzAENzAIslsAoksAaSYsKiAIo +lsAjRv/RD5MQHEyfmxMfSc0bSc35SdkQAxBQMPkWAinQBDlgC4gs+YgoAgAAaLD4FgEgIBBYMFhY +MhtJwmP/cwBsEAQpMAgaSe38+uoiAAAhcPVKiBA8ADZgaJEO+kwAAgAAWPBYVVfAINEPiTAKmgFk +oFQqMAlkoF74oVNv6hBgMPj66ioAQCpw8//PbAUAUjCJMPqaAQAqACpwKjAJZKBW+KFLb+oQYDBj +/695lsArMAllv6b7PAgiAABQsFrytvP/mGIAAGKwY/+1AAAAAADz/61v2hBgMPs8CCIAAFCwWvJZ +iTDz/5hiAABisAAAAPP/aG/aEGAw+zwIIgAAULBa8q3z/1ViAABisABsEAQdS3Qu0h8p0jjaUPs8 +AAFCADegCclTZJE3/wIAAACUnmAk0uAv0tmJIIww9gogIAEQKDD/nwwJkAQ6YPkyAyAAYSsQJyEa ++ExMFAAgQTAsQgrzQgsjMAFIMPRwNGwAQEMwf5cFGEksCMwCe5cFKAqACMwCfJcExIAIzAJ9lwIG +zALwKBEAEgB6cMGQCcwCCMwCKTr/eSEK/vsUcAAQEDBgAA4YSXXAIfjMAgoAA3fQwEAZSn4YSyTD +eieWECOWEcPrLpYQLJYRiZAXSs74/hEIAEBGcA6ZAgaZAgeXAgJ5OR5KcpngF0pXHkpwCJkBB5kC ++eYAIAoANSAsRgojRguMsB5JTHnGPi7igyzS4K/uCe4RrsyMygwJWQCZEQWYAvyJOgASAGMwwfAP +mQJ5xwTAiAiZAnrHBMDUDZkCe8cEwOIOmQKZs8DAWFTbwCDRDwAA8//zb7kQYDBsEASJMPpcAAIA +AFjw/EwFEFAAqnB5lhePwIjBmLOfso3CjsOetZ20iMWJxJm2mLfAwFhUysAg0Q8ZSNopkq5xlgfz +/+xvuRBgMIkyCclTb5It/xoMIEoAPmCJso2zncGZwI+0iLWYw5/CjbaOt57FncSJsGP/nQAAAACO +M3/o2fP/rm/qEGAwbBAEGUpcGkrCGEuV90jKFyABEDD6ZgIAABAQMPaW+yAKECgw0w9tWg0qkvsI +qwH3sQhwAgIQsMcg0Q8KrUn9NgAtIAFQMPxGACAAEBAw0Q9sEAodSP/2S9ISAAB4sCzwDZUV+/AM +IgAAEPDzYtMjeQA3IBpKSqq6KqDdKdKBrKqqmQmZEak5KZyALZETLpESLPEa+yEELgAga7D0wB5h +/gJzsGS0lMKA/wIACgJH3hApIQWrmf8CAAoCQU8QiyAPAgD0SiwQAL0q0CpiKwrKU/8CAAABygag ++AoBIAAQSDD8iTgAABBYMAmLOPkWBiPRADbgKiEFJ/EZIyEE+ncIACACKLD3FxICAez+kPYKFCAA +EGAwwKD/FgIiAXFA4PMYFAIAAHjwbYlgiVAAYAQJAxn0YPFjIAEcMCZs9gBgBAkLGfRg7GsgAVww +Jmz2/wIACgH6btD/AgAKAfZfkP8CAAoB8mzQ/wIACgHuH5Cnqfa4EQjABD5gCYgC//z+KAkAQPD4 +RvsgAgJSsJsRkxAuFgT9FgMgATmH4I0WjxL7CgEgABBQMA26OPIWByIlADagiyDyFgcgAQ2m0CYh +BfsKACKiADWgLvEa8hYHIgUAN6AdSFkeSyMZSk4jIQQv8RkoIQX7JgQgIAI4sPslBC4AIDfw/xYS +AAD1fhAPGkn5qgIAChBAMPpG+yAAEHgwbYoNLEL7DsgB/YEucAICe/DwADlv8BBgMLRViVDz/w5g +FBAwMLRV8/8VYBQQMDAAAAAA8/9uYAAQYDAMqkn6FgApIAFkMPkWASAAEGAw+UotEAICMbDyFgch +agC3IIgR/IgQAf4CGPD4dgAgChB4MJMZ+goAIgEmwOCLEYMQhRmWGJIXYABbAqNJ8xYAKyABFDD7 +FgEgABBgMIgXZcEkKYEEspkphQSJcADxBAA4GgiZAvl2ACB1ADfgL/z28PEEAfwCKXDwuBoAAgJS +sPlKDRgJAEJw+HYAIGoAN+Av/PZuUnQsEggMrAgMDEn5zAIAChBAMPxG+yAAEGAwbYoVIkL7DiYB +/wIAAAICYzD/AgAH/7ttkP8CAA/wEGAw/wIAB/+zbZBj/3EAAAD/CgAgCAI58P92ACAAEEgw8/9+ +YBQQeDAZSfD4CgAgCAI58Jhw8/+MYBQQeDBpUWiCF4gYHEnpCKgICAhJ/IgCAAAQWDD4RvsgChBg +MG3KDSxC+w7JAf2REHACAlrw8AAbb/AQYDAAAAAADKtJ+xYAKyABYDD6FgEgABBgMPIWByAYALcg +jhCNcADxBADuGvIWBywJAHdwnXCKFYsXWFO/wCDRDwAAAADz/plgFBB4MCnSgKuZCZkR8/yWaAAg +TPAAAAAAnxKeFP0WAyH+ypzg96cIAgAAWHD6fAAACAJgcFv++YNQAGAEAwMZ8wNJAgAAYrDzFgAh +LQC2oCg6/3gxE4kT/wIACgCOzNCLFP8CAAoAiZ7QjRH8fhANYAQ/YA7dAg09Ai1G+2P9NZskY/1c +KCEF+WIsLGkAtiCeFP0WAyH+L/pQivAoYsyOJP8WAiuQBD6g/0rGGgAgXPCMusDQ+KoMDzQBcDDw +7hEMAEB7MPuyCywJAHMwWAj4jxKNE44ULPEaY/wYAJ8S8/zTYAAQYDAAAAAAAADyFgch/36c4JYY +khdj/ogAAJ8SnhT9FgMiAABR8PwcBCIAAFhwW/7CjROLUI4UjxL7S1kCAABisPsWASBAALagfbM4 +e+M1hhD2uREIwAQ54AmIAvhmAgH+Ahjw9kb7IAICOfDz+91gChAwMJIX8/6Qb+oQYDCbEZMQY//u +khf7+uoiAABisAq8OGP+dJIX/frqIgAAYrAK3Dhj/mRsEAQpIgIPAgD5xVACAABAsPnWUAg+AUww +FEqIBFMQ9JQKBDAEPaDyQoQuIAQ6YAXuAgPuAvINRAAASKCQAo9E+4IAL4AEP+AP3QL++vAsCQB3 +cPIsVAoAQHbw/YYCKgkAZvArhgAqQoj5lRELEAFUMPoKTQrgBD7g+6oCAAAQEDD6hgMgHBAYMPpK +bRIAACIwbToU9SMKAAgCITD6MwgAAgIQsCMygJND8goAIBwQGDBtOhX1IwoACAJCMPozCAACAhCw +IzKgI4YfwCDRDwAAbBAEhSKMIB1KWfIiAyIAAECw/AxDCD4BLDD121AKHAEoMPWHRAWyARAw8gJN +BIABLDAj0oD2Sk4QFgB48MYq0Q8AAAD+SX8TgAQ94P7PEAIJAB1wDzMC/Z8KAgkAdPAj9oT0CgAv +AAQ5IPmXEQ4JAHCw/vaIICQANuDyjAAAHBAYMG06FIMk90UKAAgCELD2VQgAAgIhMCNWgPIKACAo +ADagJAoA8wocJ5AEPmBtOhUjgiD3RQoACAJCMPZVCAACAiEwI1ag0Q9sEAQpMgAPAgD6nhhwABBg +MHmeIvpcAAIAAFjwWFLswCDRDwAA2jBb/8SJMA8CAPmW4XIAAGKw2jBb/4vz/9RiAABisGwQBCsh +BPRKFhABEDgw9QoAIAAQMDD7+UAABBAYMPvqQAwNAVww+4xECiYBXDBtOhUuQoT45g1wCAIhMABR +BAB/Gg9mArFVHkoGZJCCI+KAfj93ZGB09UoCECwANqAnCgD4SgEQBBB4MG36GSNSiMFP8wNNAAgC +KXD3RAwKAAOaEHRtRrF3FEn5LOaMFUn5IyEFBbUC8FURAAAQEDDyRoIiCQAs8CNGgyXigP/6+Cng +BDtg/5YRBABAfXD4ZgIECQAusAZVAiXmgNEPxirRDwAo4oDHvf+aEQgAQFowCogC+OaAIAAQEDDR +DwAAbBAEiDD7jhZwABBgMPpcAAIAAFjwWFKiwCDRDwAAANowW/+88//lYgAAYrBsEAiJMPQKACAA +EEAw+zIDIABJqlAqIAz6rPkgARBIMAqUOASYOGSAavxJzBAAB7LgLMF//wIAAABwdxAcSD6PNPky +BSIAAFCw/TICKHgBWDD4FgEuHwFYMP4WACj4AUgw+05TDABAYvD+FgIrdAFsMP+OVw3gAUww+BYE +KPABTDD5FgUp4AF4MPgWAy7wAXwwWFK6+AoBIAAQeDAEjzhk8F2JMHmWWCohEgyqEJoyKCBcKSBd +jyv8mRAIgAQ6IAmIAv4hBy4JAEfwnzMtIFD8ISoubAFwMPjuEA0ABD9gDt0CDcwCnDQqICArICEp +IhD4uxALAAQ6oAuqAgqZApk1wMD6XAACAABY8FhSWsAg0Q8AAAAAAAAA8//mb+oQYDBsEAb5R4AS +AAAw8PcyACAAEGAw9Q5HAAEQaDD4CggrwAQ5IPl3AQgANNYQ9RYAJAAgGrD0FgEgABAoMP7VOAAQ +AiDwi2ILi1dvtlTLsRhJfAi4CoiA+iwAAgAAYfD9XAACAABZMAuAAPysAAAQAjGw9aAMYBACITCJ +EQ8CAHlDwvoSACIAAFjwWFIywCDRDwCVEPP/6mAAEGAwAAAAAAAA8//cb+oQYDBsEAaPMBlGbw8P +V/mSfyAhALfg8goAIAsANSAqki6aMdEPizH7li4gABAQMNEPAAAcSVsuIA0tIAz0FgAgAhBQMPUW +AS/qEEAw+BYCIDQQWDBYVOXGKtEPbBAEFEZzJUKHJEKKolIJIhGiQoIngi4ONRHyIiMgHBAgMAVE +DABABAICGQICQ9EPbBAM80ZaEgAASPDYIPADBwIAABBwAEJhAEJh8AMHAEACEHAAQmEAQmFkgIf/ +AgAAAFIGIP8CAAIAXgIgEkk39BwAAAYQGDAPAgAPAgBtOhHzLQQh+AIhMPVCCCAIAhCwlTAUSS7z +CgYgQAIQcG06D/MiByH4AhCw80YIIAgCITAUSSYaRk8IhxAHlwLzRf4WCQBV8PdG/yPoEBAw0w9t +CAqwImQgbShC/3gwA2P/7ADAINEPBWsR810RCrAEOSD8SRgaCQBqsPwWCioJAFqwmhJj/2cPbxH9 +UxEPEAQ5IPJJER4JABuw8hYLLgkAe7CeE2P/RwlKEfxsEAagBD1g+0kKFgkAZfD7Fg0mCQBV8JcV +Y/8nxyvRD2wQEPMyACIAAFDwKyETLiANAwxPC80M/tw4AvABHDD4PAlqACBm8MYq0Q8AGEj1+UXP +EFUANSAUSPcEtAL0hv8j6BAgMG0ICrBEZECyJoL/dpAGY//uAAAAABJI6fMKBiCAAiBwbToR8y0E +IfgCITDzMgAgCAIQsJNIKBIX+KYBIAAQEDDRDwBkUHCNoRxI4pwfnRfUEPKMAAAGEBgwDwIA0w/T +D206EfMtBCH4AiEw9UIIIAgCELCVMBRI0vMKBiBAAhBwbToP8yIHIfgCELDzRgggCAIhMB5I0fI6 +6C4JAHLw/ob/If4CELDJJS+C//+Y93H+AhCwwCDRD8cv0Q/HK9EPxyvRD2wQFCkyAC0hEyggDftF +0B/gAUgwDe8M+P44CPABTDD0kB1sACB3cBJIvB9Fjv5IshBiAD5g/wIAAgBXgmBokwPGKtEPZU/4 +jjHAof4MSgIAAFtw/v1QDjgBcDBb/2HSoNEPZU/aijEAC4v4CgIggAJIcG2KAgBJYSw6APwWFCmA +BDqgKBYcGUic+woGIMACUHBtuhGLp/ydBCH4AlKw+8YAIAgCSnAZSJX4CgYggAJQcNMP0w9tig/7 +ogcgCAJKcPuWByH4AlKwAtwC/Ob/I+gQUDCwqmSgkS3i//8CAA4AR+/QY//sAAD5HAAPVAC1IBhI +iyoyAQALiwBJYQBJYfgWBCjgBD6gKRYMKhwg+OwAAAYQSDBtmhH5jQQh+AJSsPuiCCAIAkIwm5Aa +SHT4HAAABhBIMG2aD/mCByH4AkIw+aYIIAgCUrAC2gL65v8j6BBQMLCqyK0r4v/7+Pdx/gJSsMAg +0Q/HK9EPxyvRD8Ag0Q8AAGwQCIowCgZX/2QdYBQQWDBlQkjbMPosAAIAAGEwW/+b06BlMjjSMNEP +drMH+gdPClgAvaD7CjAsAG4BoPoKTw4BDlmQKCANZIB7/wIABgBB3ZDGqvP/ymIAABqw/wIAABEQ +SDD/AgAKAEYFoPxIUBYAX82QwdP202JwEhBQMHpjWmRBZy4gDWThbNlwiy8vwnGpuw+7CouwZLHt ++jIBJgCC7ZD/AgAAAL6CoGRBMiqwFiwK//8CAAYApmaQmjHwACpgABBIMC0hE/2qDAEKCFmwZU99 +YAHdAAAAAAD/AgAOANNZkPn66iB6ADUg8/8yYgAAGnD6IA0hFAA1IPkhCCFHADagLiETDp4MnjHz +/9xgABBIMPs8AAIAAFCw/EwAAgAAaXBb/xfz/vdiAAAasCogDWSg9tlwjS8rwnGp2QuZCvmSACDv +ADUg+ZEIIP8ANqAuIRMOngyeMWP/rwAAAAAAAIssjjEpIA36CgAiAAB58Pt4DA1AAXAw+Y84DB8B +dDD/uwgOOAFwMFv+uPavXGIAAEqwZK9U/iANIgAAGrCTFGAA5v8CAAAAVgKgGEUYKbAHKIKH/8KO +KCABTDCpiAmIEaj/j/eP/sHc+vIjL+AEOqAO3QwA0AQKChkKCkNlTswKCkcqtBZj/sYAAGVelPP+ ++W//EEgwiSwJeQxj/owAAAAAAPP+tG//EFAwKyETjDELzQwK3DisuyslCGP+7AAAAAAA8/6DYP8Q +UDCJLAl5DGP/AishE4wxC80MCtw4rLsrlQhj/sSZMWP+v5kxY/66AAAA8/+GYP8QUDDGOhxH1C4g +DS0gDPQWACIAAHmw9RYBIAIQUDDzFgIgEhBYMFhTU9Iw0Q8uIA3GOpMUHEfJLSAMlxL0FgAgAhBQ +MPUWASASEFgw8xYDIgAAebBYU0eJFGP+No4xKyETDgxK+rsIDB8BdDD+jlEAAhBQMFv+Z2P9gABs +EAQWRSSCMBdHt/RAKWIAQDCw+RodKgAFEdAYR7Nyix4kLfAkTPj2R7EaAAfJEAZGCoZgCmAAAMlV +xirRDwAAGETCqCiIgPg2ASAAEBAw0Q/HL9EPAAAAbBAEHEeVizD+RGoQARBoMP9HohAyEEAw+wpX +AgAAMLD4qw9wABAQMBlHnQmpComQCpAAxirRD2RDqStgISpgIAi7EQuqAvo2ASAAEBAw0Q9kQ+4q +YHgsCv//AgAGAmXmkNmg+TYBIAAQEDDRDxtGThpFr/lgDSOZADUgZJQVJKJ/j2AE/wwPVBQORBEE +5AwkTQf0QiUogAF8MACRBPDYGgIAAFiw/EY/FABAQTD01DkABRBQMP38AAIAAHEwWFL49DYBIAAQ +EDDRDwAAAAAAAABkT14oYA1kg+fyNgEgABAQMNEPZE9LKWANZJPq8jYBIAAQEDDRD2RPOCpgDWSj +X/I2ASAAEBAw0Q8AAAAAAGRPICtgDWSzYfI2ASAAEBAw0Q9kTw0sYA35YgsjvAA3ILCd/TYBIAAQ +EDDRD2RO8y5gDWTjsvI2ASAAEBAw0Q9kTuAvYA35YRIjqwA34LCY+DYBIAAQEDDRD2ROxilgDWST +ovI2ASAAEBAw0Q9kTrMr4rYq4rWrqrCqmjHRD2ROoizitZwx0Q9kTpiNZ43eLdEqnTHRD2RCUC9g +XC5gXQj/EQ/uAv42ASAAEBAw0Q9kTnEoYFALi0f/AgAKAPtG0Mef+TYBIAAQEDDRD2ROVIpniq6K +r5ox0Q9kTkeLZ4u+LLISK7IRrLuwu5sx0Q9kTjKMZ4zOLMIRnDHRD2ROJIlr+mIOIxwANWCObKnu ++2AMLgAgcrAowoQOuxEAsAQICBkICEMAgQQA3xoPDwYP7gGw7v42ASAAEBAw0Q9kTeT5Ygsi7gA1 +YI9s+mAMLgAgT/AowoQOqhEAoAQICBkICEMAgQQA2Bqvj/gIBgH+AnvwCP8B/zYBIAAQEDDRD2RN +o4hniI4pghQoghOpiLCImDHRD2RNjolniZ4pkhOZMdEPZE2AimeKrouuiq2rqrCqmjHRD2RNbYtn +i76LvZsx0Q9kTWCMZ4zOLcIQjM+tzLDMnDHRD2RNTI1njd6O3I3brt2w3Z0x0Q9kTTmOZ47ujuue +MdEPZE0sj2eP/oj4j/eo/7D/nzHRD2RNGYhniI6Ih5gx0Q9kTQyJZ4meipaJlaqZsJmZMdEPZEz5 +imeKroqlmjHRD2RM7Itni74ssTErsTCsu7C7mzHRD2RM14xnjM4swTCcMdEPZEzJjWeN3i7RLy3R +Lq7dsN2dMdEPZEy0jmeO7i7hLp4x0Q9kTKaPZ4/+KPEtL/EsqP+w/58x0Q9kTJGIZ4iOKIEsmDHR +D2RMg4lniZ4qkSspkSqqmbCZmTHRD2RQyNpgWB2uLGAMCMwR+wqAKgkAYrALqgL6NgEgABAQMNEP +ZFCljTEtZCANjRT9ZCEgABAQMNEPZFCQjjEuZF0OjhT+ZFwgABAQMNEPAABkkTYson+LYAy7DAtY +FA6IEQjoDCiNBf+CpSqAAVwwALEEANkaCf8C/4alIAAQEDDRD2RQR4ox/wIAAACOgqD6ZHgiAABR +sFrswsAg0Q8AAC3xiGTcmSjxdS7xdKjusO7+NgEgABAQMNEPKfGIZJyXKvF0+jYBIAAQEDDRD8cv +0Q8AAAAtYAwssIAA0AT8DBsABRBQMPwCQAIAAFiw/EU/EgAAcLBYUfryNgEgABAQMNEPjWeN3o7T +jdKu3bDd/TYBIAAQEDDRD45nju6O4v42ASAAEBAw0Q+PbKn/sP//NgEgABAQMNEPiGz4NgEgABAQ +MNEPKmETqaqwqvo2ASAAEBAw0Q8rYRP7NgEgABAQMNEPAAAAAAAA8/s3b/8QSDCprLDM/DYBIAAQ +EDDRDwAA+TYBIAAQEDDRDyhgDC6wgACBBADfGg/uAv60gCAAEBAw0Q8AAAAAAPP+42D/EFAwbBAG +JTAKJzALHEZJ9jAIIAUQUDD0MQIgCBBYMPQWACIAAGiw/mwAAgAAIbBYUcAbRGvAoPtrCwAqALVg +LLJx/RIAKgAP5dD/22Vv9RAQMC4xAio0C/o0CCACAnOwLjUC0Q8osnACKgIPAgALgADLovRhGXAA +EFAwJDQI+jQLIAEQSDD5NAov9RAQMNEPKzALwMD8NAogAgJa8Ps0Cy/1EBAw0Q+xZGlHxsAg0Q8A +AAD9bAAAARBQMPxGHxAIEFgwWFGbxyvRDwBsEAT2LAAAOQA1IB1C2h5DJoswH0YY/EYWEAAQEDD7 +ClcAGhBAMPVF7RoACUKQGUYSCakKiZAKkABkQoPGKtEPZV/Hxy/RDwAAZE/vKlJ/mjHRD2RP5RtE +VCuwgJsx0Q9kT9jaYPwKASvgAVwwWCb7ZK/ILaEaLKEZAN0RDcwC/DYBIAAQEDDRD2RPr5Ix0Q9l +T6gu0q7/AgAAAUSHkCL6udEPZE+VkjHRD2RPji/t/C/yrQv/EZ8x0Q9kT34oCv+YMdEPZE90KVKA +mTHRD2RPaguJR2SRq/8CAAH/sJ5gKcKtZpJOmTHRD2RPT8evmjHRDxJF4ikgaPsKRwQYADpg/wIA +A/+cHmD1Q3cR2QA2oP8CAAAA+oagwCDRDwAALRrg9e3mL+cQUDD4ChQiAABhcG2KDynBcAqZAfzM +AiYAUu5QsSIi+trRD2RO7yrCUyvCUvi7EQvwAVAwC6oCmjHRD2RO1yzy1Jwx0Q9kTs0t8tOdMdEP +ZE7DkjHRD2ROvJIx0Q9kTrWSMdEPZE6ukjHRD2ROp5Ix0Q8AZE6fkjHRD2ROmJIx0Q9kTpEr4m8q +8vgJuxGrqoqnZKDSKqwgWuvsCkwU/DYBIAAQEDDRDwAAAAAAZE5mWBm2+jYBIAAQEDDRDwBmL130 +QLto6AFcMBJCefQKASBDALZg21DAwP4ihCAUEHgwbfoPKLFwCogB/YEIcAQCWvCxzMfLAMAEDgoZ ++gpAAAIQSDAKSTn5NgEgABAQMNEPAAAA/wIAAf8AHmDbUP0qQCAAEGAw8iKFIBQQQDBtihApsXAK +mQF9kXX8zAEgBAJa8CrihCny+AmqEaqZKZBJwKEJqTn5NgEgABAQMNEPKcKsZpCmmTHRD4r3i/os +/BwMrAz7vPgh8AJSsAy6OGP/FGSQ0v8CAAH+yB5g+jIBIAEQWDBYDEPSoNEPAFhLl4sxZLC8/wIA +AABghuDAINEPAMAEAg0Zf9eI8/+YYAIQSDAu0q5x7kz7MgEgTgA1IMCgWIfI9q1AYgAAErDAoFiH +vZox0Q9kTS8sUpiMwMnH+iCYIAgCWPALwADSoNEPAIoxWIeYwCDRD8Yq0Q/SkNEP0pDRDyL6udEP +wKD7hxQE4AFYMFiHpy1gDPjaEQIAABqwWE4dLlKYjuHJ5fogmCIAAFnw/EwAAgAAaPAL4ADSoNEP +xirRDwCKMVgDfNKg0Q8AWFL8wCDRDwBYUwLAINEPbBAEE0U6JTKAFENQ8zJ/JAAgILAlRoAjRoHR +D2wQBBVChBdFM/QKACAAEDAw+AoBIAoQGDDTD9MPbToU9iYAIBQANSBoQQyxRPVcASAIAhCw0Q8A +KXF+AEAECQkbf5fmK1AwALEEAIoasKqaIGP/1mwQBBNFICUygBRDNPMyfyQAICCwJUaAI0aB0Q9s +EAQVQmgWRRf0CgAgABBAMPcKASAKEBgwbToqKWF/AEAECQkb/5cUcAICITArUDAAsQQAehqwqpog +YAABmCD1XAEgCAIQsMAg0Q8AbBAEFkP50w8uYkMtYXcUQcnzRQMQABBAMPJDnxwAIHdw+QqALAEA +J3BtmhXyiRELkAQ6IAmpAvmJAgACAkIwKTZOLyKB9UT3EOYAJ/AoIoH/AgAAAEWuECoiivoKVQAS +EEgwepNZGkTw/AoAIAAQeDD82xEAARBwMP67AgAQEGgw+zZNIAIQWDBa8jz2oGxiAAASsMCA9GWI +IIAQSDAPAgBtmhXyiRELkAQ6IAmpAvmJAgACAkIwKTZO0Q/AINEPwKT8RNoQBhBYMFhQTCwigQXM +AfwmgSAAEBAw0Q8AAMCk/ETTEAYQWDBYUEQtIoEF3QH9JoEgABAQMNEP0Q8AAGwQBBVBhAJJFClW +kSRSkgIIQw+IEQCBBAA2GvCBBAADEDgw8HcaD/8QQDAIdwMHRAEGRAIkVpLRDwAAAGwQBBRBdSJG +liNGl9EPAABsEAQSQwYiIrwCAk/RDwAAbBAK9EFrFAAQKDD8MgIoAJ3AoP4s+CAAEFgw+kSvEAAQ +aDD4CgggKAI48NMPbYoOKaDdq5v6rAEqAIZfkLHdxqqaGPTBcWA4AljwLEKe+xYHLAEAKzD8Rp4i +AABR8FiKUYsxjDX2rAAKAMPfEJwxihdYikyOMo0x/t0IAgAAOrD9FgQgIAJQcFiKRi5Cm4wx/sgI +A/8QeDD48wpyAABasAxeDC5Gm91w+UKcIgAAY7D+Qp4iAAB5sPkWACIAAFCwWMLejRSMNY8YizeK +MgT/Cinyny7ypwuoNv2ZNwgAIEMw+fafLgEAQ7Au9qcpQp4uQpsvQpyfNJ4w+TYGKAMAWrCYN/hB +rhAAEFAw+bkIDgAga7D+RpsuACB/MP9GnCgBAH5w+UaeKgBw9hD/AgAKAHv9UC5CnhlCi/8CAAoA +hfZQ0qDRD50YY/74hjH8FgUgKwA3ICtCnipCm/W7NwoAIDKw+0aeKgAH2pAKvAysZpYxYAAGAAut +Ny1GnokV+iwAABEQWDD8CgAgHxBoMPaZCAAAEHAw+RYEIAAQQDD4FgAgEBB4MFjCpYoyizeMNY0U +Y/84AAAAAAD7FgcgCAJQ8FiJ+Isxmhb7FgQiAABR8FiJ9foSByIAADKwWInyixYuQpvz/sBiAAA6 +sAAAAAAAAPs2BSIAAFHwWInqjDXz/mxiAAAysAAAAPoKAiAGEFgw/EQzEgAAaLBYT6EvQpz6+vQr +/4j5UN7w+goCIAYQWDD8RCwSAABosFhPmfP+9G/0EFAwAAAAAAD9LAAAAhBQMPxEJRAGEFgwWE+R +xyTRDwBsEAQTRCEjMn/yQNEQDhAoMG05DSQg3AQERPVBCHACAhCwwCDRD8Ah0Q8AAAAAAABsEAbS +MIkgFEES+ZZWcgAAGXAYQMIogq7/AgAAAKAGEMBQKkJnmiMpQdApJQgoQdEoJQkvQdIvJQouQdMu +JQwtQdQtJQ0sQdUsJQ4rQdYrJRAqQdcqJQ8pQdgpJRGJIGAAAwAAwFB6lgmKIytCZ3q0EsZa2jD7 +LAACAABhcFhMlcAg0Q8sQdAqRmcqIQjTD9MPeszcLUHRKkXQKiEJDwIAetzNLkHSKkXRKiEKeuzB +L0HTKkXSKiEMevy1KEHUKkXTKiENeoypKUHVKkXUKiEOepydK0HWKkXVKiEQeryRLEHXKkXWKiEP +esyFLUHYKkXXKiER/wIADf+811AqRdhYjggcQ9YoQdguQdMvQdAqQdYpQdQrQdItQdHwmRELAAQ6 +oPC7EQ8ABD/g/0HVLAkAf3D77gIICQBSMPoKBCAAEFgw+BYALgkAT/BYTzFYjGD2rx9iAAAqsGAB +uQAVQ8H4Q8EQAxBIMClF0vlF0yAAEDAwJkXQJkXRKFbxWI+TiyHTDw8CAPdA6RAAT5LQ+4pSCvAB +XDBYjmz2oWZiAAAqsBtDsI2+LSYKLLIPLCYLK7INmylYjf/2oWZiAAAqsFiN9BxDqdMP0w8rwmbT +Dw8CAPpDpxBwADbg/wIAC/8jXdAuwpn/AgAL/x310C+iKPsKAC4wADfgbQgqLEKAKaLsrLwJzBH9 +ojQoACBmcCySGq3MLKY0JpYaKKIosbv/AgAL/wFC0GP/zgD6CgUgABBYMFiORfevfGIAACqwWMda +Y/4xAAAALcKZZd+NHUOJLEHTG0OJKUHY/UOIHABAazAsRdMsQdb7QmAYAEBecP2iKCwAQGsw9kXX +KABAXnD5RdggABBYMPxF1iBIADdg/UN8EAQQcDAvQoAsouyvvwn/Ea/ML8IWsbv5whouAEBv8P/G +FiAOAFPwLsU6L6I0r5kppjQmxhoooih4s8kpQdgsQdb7xxVwAhBYMCumGCumGfumFyEAEEAwKKYa +f5cb+6YVIAgQYDD8phQgIBBIMCmmE2P9H1jHKGP9aiZF2GP9EwAAAAAAAABYiZ7z/VdiAAAqsFjH +IGP9TAAAbBAEiEAeQbgZQDaNIP7ifyj4AUAwCYgK+ILYIgAAUPD+3QwCAABZMPjdEQAFEHAw/EAH +LAkAd3ALgACJQY8yCYlH+QkGABYAN+DIXooniq6VoPamAS+NEBAw0Q/SkNEPbBAMFEAkKyAMKiAN +8AQHAgAASHAASWEASWEASWEASWEXQBgZQX8VQZj8QX8ZgAQ64PwWASvABDzg+xQQIAAQaDD9FBEo +CQBCsP5SfygJAEowmBD9IgAo+AFAMAeICviC2CAFEDAw/t0MAgAAULD43RECAABYcPbdAgAEEGAw +C4AAgxEPAgAPAgADg0cDAwZmMjgjEQTzA0sCAABQsPwKASIAAFjwWCP18AQHAgAASHDwCaACAAAy +sABJYQBJYQBJYS5Sfxg/7RlDDPs/8RABEGAwnBH7FQQhgBBQMCoVBfkWAygJAEDwmBD9IgAo+AFA +MAeICiiC2P7dDAIAAFGw+N0RAAUQcDD+3QICAABYcAuAAIUR/0FdFOgBLDD1BQYABRBwMPZRvGIA +AEhwAASL8AmgAAEQKDAASWEASWEASWEv8n8YQT2VERlC7fkWAigJAEDwmBD9IgAo+AFAMAeICiiC +2P/dDAIAAFGw+N0RAgAAWHD+3QIAARBgMAuAAIUR/0FCFOgBLDD1BQYCAABIcPZRaWAFEHAwAASL +AElhAElhAElhAElhL/J/GEEl+UElEAEQWDD7FQQgABBQMPoVBSAEEGAwnBH5FgQoCQBA8JgQ/SIA +KPgBQDAHiAoogtgP3Qz43RECAABRsP7dAgIAAFhwC4AAhREFhUcFBQb2URViAABIcAAEiwBJYQBJ +YQBJYQBJYRhBDRlCuv5BGxACEGAwnBGZE/7ifygJAEDwmBD9IgAo+AFAMAeICiiC2P7dDAIAAFGw ++N0RAAUQcDD+3QICAABYcAuAAIURBYVHBQUG9lDLYgAASHAABIsASWEASWEASWEASWEYQOkeQQMZ +QqGZEf7ifygJAEDwmBD9IgAo+AFAMAeICviC2CIAAFGw/t0MAgAAWHD43REABRBwMP7dAgABEGAw +C4AAhREFhUcFBQZmUILSMNEPAI0g+goCIAAQWDD8QowSAABw8FhN6tIw0Q+NIPoKAiAAEFgw/EKH +EgAAcXBYTeTSUNEPjSD6CgIgABBYMPxCghIAAHFwWE3e0lDRD40g+goCIAAQWDD8Qn0SAABxcFhN +19JQ0Q8AjSD6CgIgABBYMPxCdxIAAHFwWE3R0lDRD40g+goCIAAQWDD8QnISAABxcFhNy9JQ0Q8A +AGwQBPQgXWPwARQw9AogICAANOACiFfKggLJU8qYAupR9KAwYf4CWTACtDvSQNEPACIR8//dYBAQ +IDAAAAgiEfP/1WHwAiEwDCIR8//PYfgCITAAAA4tEfxM/SH8AhEwDcI70Q/AINEPbBAEEj70IiLY +0Q8AbBAEgieCLoMogiejIrAi0Q8AAABsEASCJ4IugifRDwBsEAQSPukiItfRDwBsEAQl+sAFJQEk +URWlRCRNAfMmASGAAiEwlCDRDwAAAGwQBIIngi6DJoIloyKwItEPAAAAbBAEgieCLoIl0Q8AbBAE +G0I2JDx/BDoUC6oB+qwQIBAQWDBYll4jpQLzpQMiAAASsPR8FAAAEFgw+6UFJMABGDD8pQQgIAJi +sPymACAoADUg+kwAAAEQaDBa6G1orhX6TAAAABBYMPwiACABEGgwWuhoaa7p0Q8AbBAEFz659kIb +FeAEPKCnV/N2BCQAIDVwJFZ/0Q8AAABsEAQZQBuJkBpAwfhAGRgAQFZw+SICABAQIDAEJAKUgBNC +DhRAvPNAEhIJABiwBCIB8jYAIAAQEDDRDwBsEAQYQNYaQAsZPuwmgh8dQLApkoP3guAqAAiwkKKZ +CZkR8AAGZgAgTfDAcMPKLKYQI6YRw7srphAkphGKoB9AU/gsEQoAQGqw/KoCACAQWDALqgIPrwIF ++jkeP/aa4Bw/2xs/9A2qAfyqAgAAEBAw+rYAIAoANeCUepN70Q/AINEPAABsEAT4PpgQExBYMPxB +5BABEFAw+fr/L+cQMDD9ChQh4BA4MPzM2CCCAjyg8swAAAAQKDDTD23aDy4hcAbuAfIsAiYApz+Q +sVXHSyKCwAS1DABRBACvGgnzAwMiAQL/Ai+GwGAATQAAAPUKACIAfBig/QoAIgAAEzD0+vsgFBBw +MNMPbeoPLyFwBv8B8iwCJgBsv9CxVSKCwAS+DADhBADTGgDhBAClGglVAwUiAQMiAiKGwPLMAAAA +ECgw/woUImAQODDTD236DyMhcAYzAfcxTnAEAhCwsVXHWy2CwBY+lidihCZiigW8DPDBBAeQBD3g +8KwaBgAgObD0YDcuEQBLMA7dAfcKAiwJAGsw/IbAJAkAOTD0ZDcgABAQMNEPAABvW7YugoQbPoQs +soQrsorwUQQNkAQ7IPCtGgoAIGbw97A3LhEAT3AP7gH8CgIsCQB3cP2GhCYJAGXw97Q3IAAQEDDR +D8Yq0Q/0XAAL/5SVYC6ChABRBADfGgBRBACiGgkiAwLuAQ/uAi6GhGP/JAAA9FwAC/9bFWAlgoQA +QQQAoxoJPQMNVQEFMwIjhoRj/wJsEAj7QTAfABBgMPIqCwD/EHgw/kF3ERgQODD3JygCAABJsPOq +CQfABDjg+O32K8AEOqD7qggGACBF8PSQeWYAIDmwH0FsAigL+X0EKbAEOiD7QWkYACBaMPuGxSAC +EGgwnZArfQQZPkmZsSvxfyd9BCuGwZtyL/F+KV0BD0cuD08s+D5PEAICa/D3QVweBQA/cLCZ+hYE +KABAZnD1lzkKADt+EAeJFACbEQv7AiumySlmvy9mwNEPKOJDLX0EidKN0Q2IEQmILAjdKJoU/RYF +KgBJJ1D0Zr4gtwA1YK9VDFUBKn0E+qIBIgAAWTBYTqj9EgUgABBgMFhNHWSwmY4UBYwUAM0RDb0C +LebJLGa/K2bA0Q8AAJQRGT4qmRAo4kPdIPxBNxADEFAw9xYDKdAEOiALiCz5iCgCAABw8PgWAiAg +EFgwWEyAGT4ejBQHihQAqxELmwIrxskqZr8pZsDRDwAA30D8QScQAxBQMP0WACAgEFgw/jwAAgAA +aLBYTHL0EgUvABBgMPP/SWD/EHgwFUEbY/9LAAApfQQYQRX0FgEgARBQMPoWACIAAGiw+IJDIgAA +cPD5kgIiAAB68PxBEhAgEFgw9RYDKdAEOiAJiCz4FgIgAxBQMFhMW8CxjhQFjBQAzRENvQIt5sks +Zr8rZsDRD2wQBvY9mBEYEDgwByco0w/3QK4WACA5sCNm/yNm/idyf/tAqhIAAFHwWE5c9bwAAgAA +IrD7CmQiAABQ8FhOV/wKACBkEGgwWEzN2kD8CgAiAAAa8PtcAAIAAGjwWEzH/7FXYgAAcvAYPdkt +bQQaQJgCKQv+QJgZsAQ+YPptBCgAIFZw/pbFIAIQYDCc0JihL20EK5bBm/IsksAePmgdPc8OzAEN +zAIslsAoksAaPXMKiAIolsAjZv/RD5MQHECHmxMfPbUbPbX5PcEQAxBQMPkWAinQBDngC4gs+Ygo +AgAAaLD4FgEgIBBYMFhMGhs9qmP/cwBsEAoWPacVQMcPAgAtYnYrUuYPAgCi3QndEfxAwxIAIGrw +LiA5KiA4/SIAIAEQeDD5IRsgABBAMPcgByH0AlKwCvg4lxD7IBYoBQBP8PkWAyAAEDgw9xYCIAUQ +UDD7FgEiAAB48PgWBCA0EFgwWEv8/wIAAgCAgOAuIDjTD2nmWGQxRGgxOWkyQS9S7C/yGsv4K1IT +y7PAQPpApRCSEDgw+hYJLwgQcDAoYnkvUuYJiBGo/67/KvB9YAAlAAAAAAD6LAAAARBYMFqQKylS +7CmSLv8CAAIASf5Q0Q8AsUR7S+l3qfct8ihk3/GM2XLJ7GTf2I/Y/xYIL9MAN+ApIAf/8AciAABD +8PoK+ygCAUww+v8BCeAEPmD8IBYuCQBP8C+EBykgB/4K/CAwEFgw/YIALgBAd/D8hBYoIAFMMPwS +CS4JAE/w/4QHIAUQUDD/DkEOAgF8MFhLwitSE7FE/voIK/+j3RBj/2L6LAACAABY8Ft3jtEPHEBu +LUAA/kACIAUQUDD/QAEgNBBYMFhLtSkhGytAACskFipAAfokByAKADZgCQlMKSUbLUACHj5ejCsD +3RENnQL9JRssCQBzMJwrY/60AAAAAAD6LAAAABBYMFqP6WP+9AAAbBAGHz9DJC0BK0Bg9kBUEAAQ +KDD3CqgggBBwMPSw6GHIAmEw/wIAAAB8BuDIumixAmiyG8Ag0Q8AACnAfa76qpkpkMBok+xol+lo +kgJpl+P1NAIgAhB4MC80Bv80BSAKEEAw+DQHICEQSDD5NAQgGxBQMCo0Ay4gAP40CCAIAliw/SAB +IAgQYDD9NAkgFAJQ8FhBhSwgL/oKGyAhEFgw9MKWYFgCILD7LQEgBBBgMPw0EiAREHgwLzQTLiAA +LjQULSABLTQVLSCG/TQWIDACUPD1NBchGAJa8FhBc/stASA4AlDw+7yQIAgQYDBYQW78IIogGxBQ +MPw0JCAhEFgw8AI4YCUQYDAALUBhrvmp2SmQwGiTDf8CAAf/iZ5gYAACLUBhHEAPLyA6/iAvIFgC +ILD4IEUgBRBQMPgWACAkEFgwWEtRKkAD+/rCL/4QeDDTD/SgpG+AEEgw9TQCIAkQYDD8NAUgGRBo +MP00AS/CEHAw/jQEL/4QQDD4NAAvgBB4MC80Ay9AXS5AXCstAf1AXiAOAlDw/g5ADgABfDD6/xEP +kAQ7oP0NQg4JAHuw+7yMLAkAd3D9NAYgBBBgMFhBOvstASAWAlDw+7yQIAgQYDBYQTX7LQEgJgJQ +8Pu8mCAIEGAwWEExKfqA//r+L8IQWDDwAAZgGxBQMMCgLEAOZMBPqj4l5AIp5AMr5AT/5AAgBhBA +MPjkASALEGgwLeQFLUDOLEDNKEDP/AxADAABbDD63RENkAQ7IPgIQwwJAGswDIgCKOQGLUDQ/eQH +IBACUrAsQBlkwekvQPiqPSvUBP//CQAMEEAw+dQDIAoCe/D11AIvAAF8MPjUBS4JADfwL9QBD48U +L9QALkD4/wIAAADbJ6D/+gAiAAArcPMtAS/wEDAw8zwoLgAgOLDwAExgABAQMLHMCxsUZb/3KOB/ ++IwBKEABZDD7mREIQAFAMAmIAihUByswASkwACnUCCvUCShA+PIsASAIAnOw9VwDIAgCGPD4Kjlw +BgJrcCvgfsq2wMBtCA178A8LixT0v6xgEAJjMGP/6wAAe2CZC0sU8/+TYAgCYzAAAPP/j2AAEGAw +AiIJtyKiotEPwcIoQA7Lgv4KBiwAIGTwLtQALtQBKSAAKdQCKCABKNQDLyD3JdQFL9QELiD8LtQG +KSD7+dQHIBACYzAvQBlk8M4oQPgIiAn5PBAZ8AQ6ILSICAhI+YgCDgAgYPAo5AEIiBQo5AAvIAAv +5AItIAEt5AMpQPYl5AUp5AQoQPj/AgAAAFQmIP0KACAMAnuw9S0BIgAgOLD1XCggARA4MG0IPShQ +AClQASn0ASj0ACggfyrkCfvkCigFAEHwKOQIKSB+KeQLKED4/dwBIAgCKXD//AYgCAIQsPjaDXAM +AnOwY/+7AAAAAAAA/dIJAAYQQDAIIgmiwiks/gkJSAaZAik0AQmJFCk0ANEP0qDRD7ei0Q8pzP4J +CUgGmQIpNAEJiRT5NAAiAAATMNEPtMkJCUgGmQIpNAEJiRT5NAAgDAITMNEPAABsEBIcPJTzwn4i +AAA48C7ChCrCgaIz8hYYI5AEPODyqggCACAfsPgyGiuQBDqg/zv6GgAgU7CKpymBA/iBAiIAAFkw +/VwAAgAAILD8PAMQBBAoMPqiDiYA404QGD03KxYXnBT5O/wYACBAsCiA4JkW8jxLEAUQYDDyFgog +WwC2IP0/GhBgAlhw8A8HAgAASvAASWEASWH+PxUcCQBtMP4WDSABEHAw/RYMIXUANeD5CgAgAOcF +4P8CAAIBEoXg+hYUJABPmeAsCgL9EhcgABBwMFumo2AAiRo8X/8CAAAAn4XgKqJ8Kxww8A8HAgAA +SvDwCaALkAQ6oPAJoAoAIFOwGT78/jxUHQAEO2D+eBENgAQ9IP0KAigJAGowDcwC/uJ6KAkAQbD5 +O7cYCQBKMJwdmBz9ogAo+AFAMAmICiiC2A7dDPjdEQAFEHAw/AxHDAkAd3ALgACOHQ6ORw4OBmbi +QioyGvYWFiAAEFgwWulZEju4GDu29xYVIgAAMrD3PDUSAAAqsCiCgCdyhKWICYgRqHcucSly4WOK +cPiqEQAEEFgwC6oCmhUpcAf9O/wYIAFMMPCZEQAEEGAw+xwQKAkAT7D9mQICAABR8PkWCCACEGgw +WuVVHD7HLhIVLxIXKRIW+RYAIAQQUDD4cgAgJBBYMPgWASIAAGkwWEoE+jIaIAICWXBa6TMXPBMY +O5D/AgACAAAqsP8CAA//tlGQ0Q8rEhdksWb/AgAB/5we4CoWFC+giv4UOC9wEGgw/xQ/IDoEarAr +EhT7vQEggAJQcPu8kCAIEGAwWD/1KhIULPpo+hYUJ/9/ZpArEhT7vQEgkAJQcPu8mCAIEGAwWD/s +Y/7gLaAwyNkvoDvI9CigRgjpOS6tASvgYP+gSCC+ADbg/wIAAABxBuD/AgACAGkC4MCwLqA9LaAy +BLgR/BQ4L4AEO6D83REICQByMP3/Ag2QBD5g/RQ5LgkAR/AvFR1j/oEsoPz8GRIAAhBwMPxdEggH +AWAw/D8SCgABZDD1/wELkAQ+4P7dAQ4AQHMw+N0CD7AEO6D+CggqCQB28P6ZAQ4AQHMw9cwBCAkA +fnD/7hEN0AQ7IP7MAggJAG5w/KD7KAkAZnD8FD8gAxBAMPgUOCgJAF5wKRQ5Y/4IHT1PK+Bh0w8P +AgCtuyu9ASuwQGizFWi3EmiyB/8CAAf/mx7g8/8wYAIQWDDz/yhgAxBYMC+iI/8WDyAAEHAwLhQ4 +Y/3DAAAAAAAAAP1MAAACEFAw/D5TECQQWDBYSZXRDwAAAGwQBhk7IyYgByOShiiSiqYzCTMR+iIC +IgAgHjCDN/MyDiAIADagwCDRDyqShw8CAAaqCAmqEaqKKqIH0w+KriugL2Sw+isxAtMP0w9ksMwr +PQMrvI9b/dz9OyYQwAA2oPg7Jh4AIBqw/u0DIAAQWDD8bBEBAAJzsPvkDywAIGswLBYAK+QQ/MI6 +IKoCOrAHRxQvEgD4aAoKAFw/ECiCly/yOfj9AQ4AVUfQGDrs8AgHAgAAQ3BteQIASGEfPBaf0I4g ++TuVEGwCYrCc0/nWAi+ABDug+T1zHgkAcfCe0R47Vv8yACBMAmKwLNUL+9UKKQAEOaD51gYqCQBH +8Ps9AioJAHLwmtT63CAg1gJa8Fg/WIkQJ5Y5iDexiJg3BQpH/wIAA/+BgqCKJ/tMAAAAEGAw+qwg +IgAAaTBa6LnAINEPK6A6Zb7+LKBFZc74Y//MAI04+iwAADACWbD93AEgABBgMP02CCIAAGnwWvJl +BQ5H/wIAA/9ZG6CKJysKAPqsICABEGAwWuwTHTvlnaCMIBs97PjMEQABEGgw+6YCLAkAazD8pgEg +ABAQMNEPbBAI/S0BIAEQODD00GAgABAoMPw8xhIAAFDw+wqAIJAQGDD0QDdhyAIzcP48+RDkAD0g +/jz2EkYCOSB+qRtgAIIkZHwqYH37CgEgABBgMP0KACACEHAwW/6QwCDRDy3QYavJqdkpkMD/AgAC +AcwGYP8CAAYByAZg/wIAAgHMAmD/AgAGAcgGYGhDzvQKAyAEEFAw/D3BECQQWDBYSQJj/59+qbUt +0GGryanZKZDAaJICaZel/wIAAgG6GSBj/5oAAAAAAC3QYavJqdkpkMBokwJpl4X/AgAAAZodIGP/ +egAAwKT8Pa4QJBBYMFhI7iogL2SgRSUkMCUkMSggVC0iFi4gVi8gVS8kif4kiioAIBiw/SYjILgC +WLD4JIggCBBgMFg+6PotASDIAliw+qyYIAgQYDBYPuMnJDkrIDoPAgAPAgD4IEUgJQA24CUkOyUk +PCckRCwg8y0g8i4g8S8g8C8k+S4k+i0k+ywk/PSO0WBwAlCwL6DUJSRGJSRHKKDaKaDbLaDXLKDW +L6TsLyGMLiGIKyGKLiWULqDfLaTvLKTuLaDeLCGOKyWWK6DjKaTzKKTyKaDiLyWYLaT2LqT3LCWa +KaT6K6T7JyRPY/5yAAAAEzpEKDKHIzKK/YgIAAQQUDD5iBEAJBBYMPw9bRIAIETwWEisJSQmJSQA +KCAvJyQBlSH1JgIgTQA2ICUkMCUkMSkiFiwgVisgVCotAS0gVS0kifskiCEgAlKw/CSKILgCWLD5 +JiMgCBBgMFg+ovotASDIAliw+qyYIAgQYDBYPp0nJDkrIDr4IEUgJQA24CUkOyUkPCckRCwg8y0g +8i4g8S8g8C8k+S4k+i0k+ywk/GSAWyUkRvUkRyBwAlCwL6DUKKDaKaDbLaDXLKDWL6TsLyGMLiGI +KyGKLiWULqDfLKTuLaTvLCGOLaDeKyWWK6DjKaTzKKTyKaDiLyWYLaT2LqT3LCWaKaT6K6T7JyRP ++jwAAgAAWLBbtLz6PAACAABYsFu0ufo8AAIAAFiw9y0BIF4CYLD8FgEgABBoMPV8hCFwAjnw/lwA +AgAAefBbslb1FgAgKAA2oMBQ33D6PAAAAgIpcPwSASIAAFiw/hIAIAAQaDBbskzIoWpW3fUKACIA +AFDw+ywAAAEQaDD+LQEgdAJgsPwWAiIAADuw/uz1IfwCOfD+FgMiAAB58FuyPsqh33D6PAAAAgIp +cPwSAiIAAFiw/hIDIAEQaDBbsjdkoAJqVtz1CgAiAABQ8PssAAACEGgw/i0BIIoCYLD8FgQiAAA7 +sP7sICBwAjnw/hYFIgAAefBbsijKodow9VwBIgAAefD8EgQiAABYsP4SBSACEGgwW7IhZKACalbc +wPAvJClj/Dz/AgAB/ikFIPP80WABECAw/wIAA/44BmD/AgAH/jQGYP8CAAP+GQEg8/2hYAIQIDBs +EAQUOhyIIClCfiNCfQmIDPRCgSjgAUAwqDMJMxGjQ4M3CYgI8zIOKZAEOiAIRAgkQgcoMQIrMRwk +Qg75vAAAaAA2IC0yB/oyDSANADbgKbz/CQlPKTUc/zEfIAEQYDD+1QZgARBwMMDAD68u/+w4AAYA +NuDLl8nD+iwAAAAQWDD8CgAgAhBoMFv+ZYo9Gzmv/Dy3EAICQrD4Ng0iAABQsFhDa8Ag0Q/AINEP +AAAAAPw8sRAEEFAw/U0BICQQWDD90GEhAAIrcFhH6fpQ4SAAEGAwLEQw/EQ7IAMQcDAuRDL+RD0g +ARBYMP5ESCAAEGgw/ERGIAIQcDBb/Vpj/3kAbBAGGzncLCAiLbJ+KrKBrcwJzBGsqiqiByKiDhk7 +dygtAf2AYSAAECAwJIRgJCS0JCTo9CT9KAAgT3ApnQEkhAYkhDYkhE4kJlQkhGT5kEAgARAoMPcK +mCCQEDAw+rzcIQACQjD4FgAiAUUGYP8CAAYBQQZgaJIH/wIABgEwHmD/AgACASwGYP8CAAYBKAZg +KKKHI7KB/Dx1GAAgajD5iBEABBBQMPgzCAAkEFgwWEewJCQmJCQAKSAvJSQBJCYB9CYCIEQANmAk +JDAkJDEoIFQtIhYuIFYvIFUvJIn+JIoqACAwsP0mIyC4Aliw+CSIIAgQYDBYPaanKvwKCCDIAliw +WD2jJSQ5KSA60w/TD/4gRSAlADZgJCQ7JCQ8JSREKiDzKyDyLCDxLSDwLST5LCT6KyT7KiT8+BIA +IFsAN6AtgIwkJEYkJEcugJIvgJMrgI8qgI4thKQtIYwsIYgpIYosJZQsgJcrhKcqhKYrgJYqIY4p +JZYpgJsvhKsuhKovgJotJZgrhK4shK8qJZovhLIphLMlJE/6PAACAABYsFuzwfo8AAIAAFiwW7O+ ++jwAAgAAWLD3LC8gABBoMPUtASIAAGHw9lyEIXACKXD+bAACAAB5cFuxW/QKACAmADag3HD+bAAC +AAB5cPo8AAACAiEw+ywAAAAQaDBbsVLIoWpG3fQKACIAAFDw+ywAAAEQaDD2LQEgdAI4sPx8AAIA +ACmw9mz1IfwCKXD+bAACAAB5cFuxRMqg3HD+bAACAAB5cPo8AAACAiEw+ywAAAEQaDBbsTzIoWpG +3fQKACIAAFDw+ywAAAIQaDD2LQEgigI4sPx8AAIAACmw9mwgIHACKXD+bAACAAB5cFuxLsqh2jD8 +fAACAABxsPRMASIAAHlw+ywAAAIQaDBbsSZkoAJqRtzAgPgkKSACEFAwiRD7CgEgABBgMPqU4CAA +EGgw+pDhIAIQcDBb/KPAINEPwKT8O+EQJBBYMFhHIvP/zmADEFAwAADApPw73BAkEFgwWEccKiAv +ZKA/JCQwJCQxKCBULSIWLiBWLyBVLySJ/iSKKgAgMLD9JiMguAJYsPgkiCAIEGAwWD0Xpyr8Cggg +yAJYsFg9EyUkOSkgOsmfJCQ7JCQ8JSREKiDzKyDyLCDxLSDwLST5LCT6KyT7KiT8LiBF0w8PAgBk +4FiIECQkRiQkRy2AjC6Aki+AkyuAjyqAji2EpC0hjCwhiCkhiiwllCyAlyqEpiuEpyohjiuAlikl +limAmy+Eqy6Eqi+Ami0lmCuEriyEryolmi+EsimEsyUkT/P+52ABEFAwbBAIFDh10w8oQoQkQor3 +OC4YACASMPk6gBmQBDog/TqXFAAgQTAoQSD+QR0gwBB4MPs4JhBAECgw+kEeIAAQMDD97AEIAEBK +MPhFICYAfd8QcecLcacI8AANYgBAf7AA8woAJgCCbxD/bAAIAEB7sPi/OQAOAGewB/8CkxEcOoD6 +FgAgCBBYMP0sAAAFEFAwWEbB+gqAIDYAZPApQSAoQEsnQC0FmQIpRSDwAAZmAEBF8MBw+DcgcAAQ +eDApQSAoQEsvQC0KmQIpRSDwAAluAEBH8AAAAAAA/xYEIgAAK/DzQEYgBBBQMPw6ZxAYEFgw/SwA +AgAAIfD3FgAiAABw8FhGpfQwTG8AEHgwHDhUAisRrLsosoIPiAEIWAIotoIusoQP7gEOTgIutoQq +soAcOLwdODHz1jkKAEBisAaqAiq2gNEPAAAAAPMKgC3/gu6QY/8UhRT9CgEiAAAh8AfUOfP/p2QF +AC9wDagB/wIAD/982hDz/vFgQBAYMABsEAT3+uchCQA04PhM+CIAhYEg9QoBIAAQSDD4WTgAABBY +MPo32RATEHAw/zfAEAEQYDD9+v8gABAgMPgq4CAUECgw8/wACAkAQLDTD21aDyUxcAdVAfWBLXAE +AhjwsUTT8PsqwCAAECAw+yICABQQQDBtig8vMXAH/wH/IS1wBAIY8LFE0Q9mT9VvS2EjooQAQQQA +tRoAQQQAxhoNZgMGMwEFMwIjpoRj/7QAZk/Vb0scKKKEAEEEAJsaAEEEAM4aDe4DDogBC4gCKKaE +0Q8vosAE5QwAUQQAkhoAUQQAwxoNMwMD/wEC/wIvpsDRDyaiwATlDABRBAC4GgBRBADDGg0zAwNm +AQhmAiamwGP/T8CQ8/8NYAAQWDDAkPP/A2ABEFgwbBAEFzkCJnKCGDd/+goLIAkQSDD3cnwggAA1 +oKJ3CXcRp2cicCNrIihmICUicCxoJTZoITNoIjBoJi1oIypoJGDAIAIyCggiCiIiS9EPAAAAAHkh +1vsqB2AOECgwaykXclLdayrFY//XwCMCMgoIIgoiIkvRDwAAaEVPaEc/+EgKYAAQEDBoSTR6QTEC +MgoIIgoiIkvRDwAMIhGigiIiS9EPInA2eSGYaCkseiECaSqPwCICMgoIIgoiIkvRD8AiAjIKCCIK +IiJL0Q/AIQIyCggiCiIiS9EPwCECMgoIIgoiIkvRDwAAAGwQCBo4yCmighw3Rf4KCyAJEGgw+6J8 +IYwANmCiuwm7EQubCCqwIwRFCfkKACIAnQqgZqEyKrAs0w9oohpopRf/AgAEAMaCoP8CAAAAygag +/wIABADKAqCllQxVCiVSa9og+0wAAgAAYPBb/6v2OXwaAQEkMPQIQAvgBD7g9CcUCAkAWjDydxEJ +gAQ6IPh3CAggASww9nYIAgCLgOD5FgQgAHeuoN0g9RYAIgAAeTD8OpoQBRBQMPsKGCIAAHDwWEXU +GTloqXmPmxo6lPWoQgotASww9LsRCYAEOiD7iAIOAEBX8Aj/Ap+bLZIcHzqNBX5C9+4RDABAf3AO +3QItlhwqkifGwPUrRAoAQGKwC6oCKpYnKG0EiIAcOoOKFBs4CvyqEQgAQGIwCogC+m0EKAkAWjCY +oC6SHx85IQ/uAi6WH4ySwNENzAL8lgIi2AA44IyYwNENzAKcmIqYx74LqgGamNEPAAAAAP8CAAf/ +ZO6Q+6oHYA4QeDBrqT7/AgAN/2zT0P8CAAv/VwqgY/7JAAAobQSIgBo5BvltBCgAQFIwmJDRDwIl +CQxVCiVSa2P+r/P+6WAAEEgw0Q9oNUpoNz9oOAVoOTl+MTbz/otgABBIMCiwNv8CAA//OGoQY/54 +APP+dGABEEgwKrA2aKnx/wIAD/8zcpDz/l5gAhBIMAAA8/5UYAIQSDDz/kxgARBIMGwQBtog+0wA +AgAAYPBb/z7Acfg5DxoBASQw9AlAC+AEPuD0JRQICQBecPJVEQmABD5g+VUIAgAAMrD3oBJkACBF +cCxSgB041g3MASxWgNEP3SD+PAACAAB5MCtSgBw2tAy7AitWgChSgBo6LBk3swqIAfw6KRgJAEow ++FaAIBgQWDD2FgAgBRBQMFhFXSr6wClSg/arUQ/zEGAw/rsRCABAZnALmQIpVoMvUojGgPj/AQiV +ATAwCP8CL1aILlKJ9v9FDgBAU7AP7gIuVoktUor2nkUMAEBXcA7dAi1WiitSjcfI/LsBDEYBMDAM +uwIrVo0pUoz2CEUIAEBWcAmIAihWjC9Sggf/Ai9Wgi1SgsfuDt0BLVaC0Q9sEAQcNn0YOgAbOMsa +OgDwAA9gABAwMACxZv8CAAgAQ4GgAGAEAgQbf0fsbzMLyDjAcvAAEmAAECgwaDgCaTtk9woAIAEQ +KDAGFED2D0AF4AQ5IPYuFA4JACfw8u4RD4AEP+Cv7gvuCC3igApfEf9/AgwAQFdwD90CCN0CLeaA +CeowJcIxCVUJCeowCVkMapGGbQgNCeowCVkM/wIAAf+9JmBj/+vRDwBsEASFJ4VeLlIl/zwAAAQQ +UDD0ICIgGBBYMPw50xACAnOw/lYlIAAQKDD1JFIiAABpMFhFA9pA+woAIAAQYDBb/nkrIhuLt2Sw +CgIqAguwAGAAAwAAwKBmoT8eNqoCShGuri3igB85Rw/dAS3mgAvqMBw2NynCMSzCMQyZCguZCQzq +MAycDGrBDm0ICA/qMA+fDGrxAmP/8B45txw5tfs5sxAHEBgwHTaRra0p0oAaNqsKmQIp1oAq4mAK +iFJohyco4eP8iAEABhB4MPL68CYAU14QCmhQCD85KdKCApkBCfkCKdaCKuJgCrlSaJcpL+Hj/voP +LgBAZ/D8CnAmAEXf0Pp7UABgEEAwC8g5KdKCDpkBCYgCKNaCGzZaKbKGHDgUKrKKpJn5mREMACBh +MPzA4CgAIE6wiZf5kg4gagB7MCyyhKTMCcwR/bKHLAAgYrAswCKtzAnMEaysjMeMzizNASXEYCWV +HPWVAiAAEBAw0Q/AINEPZU9sKNKCAogBD4gCKNaCY/9dAGRPjCnSgg6ZAQyZAinWgmP/fdKg0Q8A +AGwQBB42Ahk5T/Y3SxABEGgw9zYzH+cQWDD0MEVhsAJKcGgyPdiQ/CpAIAAQUDD/4oUgFBAgMG1K +DyWBcAtVAfiMAiYAjGVQsaoqcoQoYt8JqhGqiCiASWSB6P8CAAAAZQSgwID0CgEiAAB48PNPOQIA +ggCg2oD0ChQiIBBgMG1KDyWRcAtVAfmcAiYAUuVQsarHWyniwCQKEwVEDABBBAA6GgBBBPDbGg// +EGAwDLsDC5kBCpkCKebAKnKEKWLf0w8JqhGqmSWQN/sKICIAAFIwD7o5ClUCJZQ3ZDBKGTk+82Ig +IAB8BKDLPPIKACADEFAwbQgvK5B8JHKEACAECwsb/7cbdAAgILAjYt8JRBGkMywwSWTABSg0SSo0 +USNiILEicysCY//JwCDRDwAAAAD1rAAL/6+WoCnihAChBAA6GgBRBPDbGg//EGAwDLsDC5kBCpkC +KeaEY/9dAKAEDwQZ/wIAAf9yfRD/AgAD/3qYoGP/s9qA9AoUIgAQYDBtSg8lkXALVQH5nAImAEbl +ULGqx1sp4sDBQwVEDABBBAA6GgBBBPDbGg//EGAwDLsDC5kBCpkCKebAKnKEKWLfCaoRqpklkDf7 +CggiAABSMA+6OQpVAiWUN2P+/wAAZD9IwCBtCC0rkHwsYt8AIAQLCxv+coQgKAB+8K4uCe4Rrswt +xFEtxEkjYiCxIv8CAAv/jRiQY//LAAAA9awAC/+7lqAk4oQAoQQAORoAoQTw2hoP/xBYMAuqAwpE +AQlEAiTmhGP/dGUuG2P+3gAAAGwQBBQ3qPggACAAEEgwmSQpJAEpJAIpJQQpJQMbON0CiBGriyy9 +BIzAHjja/b0ELABAczCc0Cq9BIqgHDVT+70EKgkAYrCasBk1rqmIJ4KFGTWT9jjRFgkATfAnhoUj +IACmMyMw4CoKCPUgCiAgEDgw/jc6cAAQEDBtqjIAIAQFCxt/tycCH0DyDkAP4AQ/4PItFA4JAHuw +8t0RD4AEO6Cu3aTdLNKDB8wCLNaDsSIWNTXyCgAgCBAYMG06OAAgBAUIG3+HLQIcQPILQA3gBDsg +8ioUCgkAZvDyqhELgAQ+4KuqpKoprQSJkPqtBCgJADZwmaCxItEPbBAK91wAAgAAMLAL6jAYNoko +gn//AgAKATpG0B44oh01Exo2hCLiAS3SMSumf/qifiAAEGAwWERTHTUMHzibLdDB8rUMAAEQcDD+ +9AAgFABzcC4wAGjjK8f+/28BADgQQDB48R7Je8Cl/DiQEAgQWDD/MAAiAABpMPUWACIAAHGwWEO2 +KAoT/wIACgC0qhAXNPkPAgAmdr0aOIYbNPn9CmQgABBgMP4KCiADEEgw+Xa+IAAQeDBa5YkdNl7y +rAAB3AA2oPUWBiAAEEgw8xYFITUANSDVkPYWBCwAATAw/BYHIf4CWTD7FgggAxAYMPAAEmABEDAw +AAAAAAAA9goBIFIANOCNGA8CAP4SByoAA+1QJgoA9OB5Y/AEOaAbNNnydr4gABBgMPg4YxBkEGgw ++jhgEAoQcDD4FgAgABB4MFrfP/KsAACcADagZiDGsVV0U6kdNjgUOFML6jAp0n//AgAKALtO0B40 +wS7iMSvWfyrSfvwKACIAAGuwWEQCm0H6RgAg7QA04Bg4SMDwL4QA0Q+IFQ8CAKWIKIAAKHa9GjhE ++zS3EAAQYDD/CgEgZBBoMPk4QB4JAHyw/3a+IAoQcDD5FgAgABB4MFrfHPKsAA96ALagK3K+/wIA +A/+3htBgAC6KFflyvSoAICqwKaQAY/9XAAD7ODAQFBBQMAWqDFrfPmP+iRQ4J/P/TGADEBgwACL6 +uRw4KY8VjhSNFi/wAJYS9RYBIAQQUDD9FgAgCBBYMPIWAyIAAGkwWENIiBh4U2ywMwrqMBs0iSmy +MSuyMQuZCgqZCgrqMAqaDGqhCAzqMAycDGvB9vP+kGAAECgwwKH8OBIQCBBYMFhDOB44CsDQ/eQA +L/sQEDDRDxg16i+CfrH/L4Z+Y/2BAAAocr7/AgAD/xCGEPP+GW+5EBAwwKAqdr0aN/8bNHL9CmQg +ABBgMP4KCiABEEgw+Xa+IAAQeDBa5QJj/2ks0n6xzCzWfmP+ggBsEAYXNGEoCv/wIQQGAKDEkPM3 +8hoAIDiw+qDcIAEQeDAA+xotMID+cNwqYAFcMPsUACAJEFgw+gpEBgBc7JAscN0ODkTTD/wMRAYA +ht+QKHDe/wIABgCXXxAICET5cN8mAJveEAkJRP8CAAYAuV5Q/AoNIA4QWDD7oQd//xAgMHypZP40 +WBATECgw+nwAAAAQWDD2GsAv5xBgMPbdAgAUEEAw0w9tig8poXAMmQH50UZwBAJSsLG79i0CAgAA +UfD7CgAgFBBAMNMPbYoPKaFwDJkB+dFJcAQCUrCxu/s3vBfQEFAwWt7LwEDyNIAiAAARMNEPwCDR +D2a/vP8CAAoAdxbgLeKEALEEAPoaBKgDCN0BDaoCKuaEY/+cAAAAAAD2v7lgABBgMP8CAAoAbhbg +KeKEALEEAMoaALEEAP0aBN0DDZkBCpkCKeaEY/+OwCDRDwAAAAAAAP8CAAYAal8QLnDeDg5E/wIA +BgBnX5AocN8ICET/AgAP/3xaEPAAYGIAAGPwwMApcN4JCUT7mQxwAgJjMGAAAgDAwLHMLnDfDg5E +e+E6/wIAA/9jwyD7HAAA4BBQMPwKASABEGgwW/7X9qB8YgAAIrD7N4IQZBBQMFrekPI0gCIAABEw +0Q8AwMCxyP8CAAP/RUIgY/+/KuLAC1gMAIEEAPkaBJ0DDaoBCpkCKebAY/6vKeLAC1gMAIEEAMoa +AIEEAP0aBN0DDZkBCpkCKebAY/6zAAAAAAAAAPP/UmIAAGPw8/9eYgAAY/DSoNEPbBAEGjc1KKCZ +/RqHL+cQYDD+ChQgDhBYMPqs2CDYAHIwwLDTD23qDy+hcAz/Af3xCHAEAlKwsbvHuxkz2f+7I2AB +EGAwKJKFALEEAMoaCogBCMg5zoPBvPskLCAAEBAw0Q8AAP2SwSHgAnrwAPEEAM4aDt0BDc05ZN/a +KCAi8goAIDoANiDRDwApICP7kZFwQBB4MC0gIsCw/90CABQQcDBt6g8ooXAMiAH40YxwBAJSsLG7 +8/+Cb/sQWDDApPw3NxAYEFgwWEJbwCDRDwAAAGwQEioiGcAwIyQuKaEDKKEC/wIABgGazhAcNwkf +M+UYM+MeNDSeGpgWnxQvICIdNyj9Fg0sCQBj8JwcKSAr+Q5AAEQAfnB+lxp9lxd8lxQtISANC0Xw +ABNqgAQ+4AAAAAAAAAD9ISAgABBYMPwKACAPADegfpcHfZcEfJcBwMEWM4YeNJUYNdL9ZUACAABI +8P19QAgFAC+w/iEeIgAAKPD9hTkIEAQ7IPi4AgIAAGjw+yAkJgcBdDD2M3ccBQA9sP5nQAIAACDw +/YgCCrAEPuD7mQIEBQA5sPsgISwJACkw/CAjKAkAYjAnICz5ICAoCQBKMPC7EQ2ABDsg/SEZKgkA +ZvD8IR0psAQ+YPkhHCYJAE3wKRUeLBUf/RUgKAkAWjD9NuwWCQBF8PcWDiAAECgw9iA0IIAQIDD1 +FhIsACAncPYWEywAIG/wLdDAJCA1JBRC/yAvIIAQYDD/FEMsAQFsMP4VIywFAGjw/BREIAAQWDBa +4S4qFhcZNPIWM4sVM4n5FhYhgAJKcPkWFSIAACKw8AA9YDACOXAAAAD/AgAGAE+DoMiW/wIAAAC6 +B6D/AgAEALYHoGRRmhUzevoiGSACAlkwWuEZLhIX9KwABgC+V5AoUoAjcoSkiAmIEagzKzEpwNT5 +M8QRogQy8IgwCIgRDYgCmBUvMAeONw8PQfzpFC8ABD/g+uwgLgkAfvD74gkuCQBP8P8WCCEyADcg +LAooWt8C9awAAVoAtqDAUB8zES/wwS4wDP8JQAH/sP/QJyArGjS7LSAi9wtAAJoAffB+d0V9d0L4 +NLYQfgBx8C0gIg3ZCQyZEamIKICA9zPQHgBS8hD6CgQgMhBYMPwSFSABEHAwWEG32jD7LAAAARBg +MFtvumAAbwAADdwJDMwRDKoIKqCAfqlhKxYU/goAIBoANuD7FhQgJAB58PsWFCAUAHXwfHcCLgoB +wKT7CjIgARB4MPwSFi4FAHPwWEGiKBIU/AoAIA8ANiB+dwd9dwR8dwHAwfo8AAABEEgw/Jw5AgAA +WLBbboAuMAwfMtrTDy/wwRczpvP+i2gAAXwwACkgK/+XD3AAEFgwfpcHfZcEfJcBwLH6ICIgARBA +MPuLOQAAEGAwW/VvY/5r0Q/z/s5gABBYMPscECIAAFDw/AoEIAIQaDBa3MaOMC0gIvw2WxAEEFAw +/yAgIBgQWDBYQXlj/jaLWPuJVwAbEFAwepEvijcPAgAPAgAtoRX8+sAgQAJSsAysAazc+1xAKAAU +5VDCyFrenvWsAA50ADagY//CLyAiCw5DfvnGi1kLC19ps75gAAYADbsMY//U+goEIBgQWDD8Nj4S +AABpcFhBXikgK/kPQABAAH5wfpcYfZcVfJcSLiEgDgtF8AARaoAEPuAAAAAAAP4hICAAEFgw/QoA +IA8AN+B+lwd9lwR8lwHA0Rw06PMWGCAAEBgw+DwADgcBdDD/IR4oBQB7MBkykiQWGf9qQAIAACDw ++pM5AgAAYPD4Mo4SCQBE8P95QAoQBDtg+roCBAUASjD0M5gaCQAisPMgJCoJABqwDmhA+SAsLAUA +QTD0ICMisAQ84PMgISwJABswKCEc/CAgKgkAYrD4RBEDAAQ84PhVFiIJACTw9CEdLbAEOyD0VRco +CQBmcPMhGSoJABqw81UYKAkAVnCZWhM1/fwgNCAAEFAwml6cXykgNSlUMiggLyhUMywgIiQKgKQz +o8wswMDAoPQSGSCAEEgw8xIYLAEBYDD/VRsoBQBmsClUNPP9BWABECgwAAAAbBAEKCBQwkj4SEMA +JRAoMPmBKGAAEDAw/wIABgBQLND5POAmAEwk0AljOPMkQyIAABGw0Q8AAAAAAAAA/DXdEAQQUDD9 +ICIgGBBYMP4gQyIAAHjwWED3+womICMQUDD4PGxgIhBoMHOjB/8CAAoAROjQc7MCdTsldDEi+TwV +YAAQUDD2JEMiAAASsNEPAGaggmg87vMkQyIAABKw0Q8sIhsswhD6+uov5gA3IPosAAIAAFjwC8AA +Y//TAAAtIhst0hBk0FLzJEMgABAQMNEPLCBDfKICfcpDfLICdcoCdMmZLCIbLMIQysT6LAACAABY +8AvAAGAAGSogIisgTvwgTyIAAGjwW51YZ6+FYAAFAMaqZ6980qDRD8Yq0Q8qICIrIE78IE8iAABo +8FudT2evYGP/4ABsEAwoIRjzLAAAAhAwMPQgIiAAEGgw/RYEIAoANiAvIElk8GMrMCvA4A8CAP+3 +UnAAEBAwfrdKfbdHfLdEwLD1CgAgABBQMA2oEfi7AgIAAFDwW/ub0qBmIl0cM26KMCsxICzCfPyq +DAqgAVwwWATW9TQrIAEQaDD9NC4gABAQMNEPLjQr0Q8ALyBQKyBD/09DACIQaDD3MkUfigA34PgK +KCYBEO7QLCIb/wIABgEIRtCMxGTAz/scECIAAFDwC8AA+RIEIAURrqBklYMrMhuLtci42jALsACJ +FGAAAcCg/wIAAgAAErD/AgAABB+uoGSQlSwwKw8CAH7PLx41Ui0wIq7dLdDgf98OLzAjKAoOePkF +KTAsaJQS+zBOIgAAUTD8ME8gABBoMFgeeSoxICwxHSsKP/JGEQwAQFMw/LBYdAAgPbAKCUUqUoAb +NU0Lawj7stQqAsYGYP8CAAgCygJg/wIAAgLOAmD/AgAABFyeYApMQf8CAAIEVysgCy1BaNEWYAig +AADAIC4wK/8CAAIBrPuQAkYRp2UqMCMtMCIvMEX4CgkmAKGCoPkKASYAkcaQ/wIACgCNgqD5NEUg +ARBwMPoKASGkADegLFKNwNL9ywEOAB3vEMCQ/FK3IAkANuB6zwHAkduQwsAsVrcZNSWpaS6S1Hrn +FS2SwHPXDy+SwcKA+P8BAAAQcDAP6ziNFPkwKy3wBD9g/qwRCgkAX3D7ywIACBBQMAq6AtWg+gxA +AGIAfnB+l2n/AgAAAKL2UP8CAAACDvJQf6cFfqcCfa9nZMQEfqcCfa8n8/3qYAEQUDB/p1PA4v6t +AQ4AJ3aQwPT/rgEOACJ+kGTD3GTf2mTv1yowUmSliBg0/7Gp+TRSKAAgQTAogOD/AgAMAINSENEP +f6cTwOL+rQEOAAd2kMD0/64BCf/iepAlNCvRDyjCEGWN7cCRmRTz/idgABAQMAAqMCz/AgAF/3Ea +oCkwT5kbYAAOAAAAAAD5ME8gDxBgMJwbHjOY+goAIAgQQDD5CgAq4AFMMG2KPQCQBAsMG3/HMgkc +QPkIQA3gBDsg+SwUCAkAYjD4iBENIAQ7IKjMrswswifwkQQMBQFgMADMGgyqAgoKR7GZiBsIrgEO +Dkf+NEUkAAdCkMCA+DRFIAAQcDBk87Bl7lpgB4YpMEP5nN4gABBgMPP+UWoFAEswAAAAAP8CAAH/ +mn6QwOL+rQEP/5V2kMD0/64BCf9wepBj/xgAAIs3i74cNLgusiQkMCIvMSD5MR0gAgJzsP62JCAA +EEAw+DQgIAQQUDD5FgAuoAF8MPgxHiIAAGkw+BYBIBgQWDBYP8ciMSDyAkUABxAwMAJMEafNK9KA +HjSlDrsBK9aAGjSkGTSMKta3GjSJLpJgKZHjGDSG/otSDhYBdDD6mQEKWwFwMP5+UAYB18ZQ/wIA +BAE/iuBmsneSHSnSgvgKASPwBDrg+PrwLgUAfjDy8gIIAEBGcPISDSgJABZwKdaC+/oPJAEOCqBm +ohTAgCnSgsHw/vg5D7AEPqD4/wIIAEBecA+ZAinWgh0zVxgxLfsw6RDAEHgwFzFLp8cpcoAaMTry +MlwYCQBWcCl2gCiChCIigqSICYgR+TMyEgAgQLAoISAuIR0qIR797AEIAEBKMPglICYBil8Qceck +cach8AAoZgBAe7AAAPswTiIAAFEw/DBPIAEQaDBYHYVj/JQAAP8CAAYBku8QwGD/CgAoAEB7sPi/ +OQAUAGewGzDFC/8ClhEcMzH6FgAgCBBYMP1MAAAFEFAwWD9yeWccLCEgKyBL+iAtIEAQaDANzAIs +JSDwAAdqAEBasADAoPkKgCA2AGGwKyEgKCBLLyAtCbsCKyUg8AAGbgBAR/DA8J8ZHDMbnxzyIEYg +GBBYMPoWCiIAAGkw+hYAIgAAMrD6CgQiAABwsFg/V4kc+zDmEk0ANKD6+gAgABBoMCxygg8CAArM +AQycAix2gihyhAqIAQhmAiZ2hCxygB4xbB8w4vL9OQwAQHMw+jIMHAkAazAsdoAmsoYfMo8qooLy +socmACAhsPlmEQ4AIH0w//DgJgAgMrD2YgciACAgsAkiEaKi9mIOIgB9e9ArMhuLtsi+2jALsAD3 +oAliAAASsGP6G8AgLDEg2kD8DEUAARBYMFv4pmP6BvP6m2IAABKw8/nrYAEQUDD/AgAB/ip+kMDi +/q0BD/4ldpDA9P+uAQn+AHqQY/w4ACkKcP8KYCQA3IKg/wIABAD4hqD/AgAH/viaoCjSgv75OQgA +QFowCYgCKNaCY/3YAPkKBiQA1gLg/wIABADyBuD/AgAH/s4a4CvSgseA/5Y5CgBARvAGuwIr1oJj +/YEAAApMQf8CAAP9OJsgY/qpAAAKTUH/AgAB/TSfYGP6mQAACk5BZephCy9B/wIAA/0tm+Bj+oNk +6qlgA9UYMIkogoSkiAmIEaiqW/cawID4ZCUgARA4MCdlAidkJ5hjFzG2mGeIIClyfyZyfgmIDPdy +gijgAUAwqGYJZhGmdoZnqYj2Yg4pkAQ6IKh3h3cqYQIrYRyHfvm8AA6tADagyLawuQkJTyllHI1n +im3+1QZgARBgMMDAL2EfwOEPry7/7DgABwA24GSRK8nD+iwAAAAQWDD8CgAgAhBoMFv1PYptGzCH +/DOQEAICQrD4Zg0iAABQsFg6Q2P+TQAAZEDkwODz/H9gAxBQMAAAAP8CAA3+dm6Q8/0WYIAQMDCJ +GYYawKEJqTnz/apmBQAysAAAAAAAAAD6CgUgGBBYMPwznxIAAGkwWD63KjBSY/pdDawB/wIAD/5s +WxDz/NJgQBAwMAAAAAD/AgAJ/iIYoCjSgv75OQgAQFowCYgCKNaCY/wrAAAAAP8CAAn9/higK9KC +x4D/ljkKAEBG8Aa7AivWgmP74QAA/wIAA/4CGKAq0oL++TkKAEBasAmqAirWgmP76wAAAAD/AgAD +/d4YoCvSgseA/5Y5CgBARvAGuwIr1oJj+6EAAP8KASAHEFAw8/tsYAMQWDAAAC19AfwzSxEAAmtw +/RYFIAQQUDD90OEgJBBYMFg+hPoSBSADEGAwLHQyLHQ9/HRIIAAQWDArdDArdDv7dEYgABBoMPqg +4SACEHAw+woBIAAQYDBb8/Nj/n3AqPkwKyvwBD5gCroC/AoAIgAAKrD6DUAAmgB+cH6Xdf8CAAAA +SXZQ/wIAAABZclD/pxZwARBgMPauAQ4ABzaQwIT4rwEIAB1CkGTQiP8CAAH8b3qQ/wIAAfxrdpBj ++PYAAAAAAAD/AgAB/JJ+kPauAQ/8jjaQwJT5rwEP/IlOkP8CAAH8hQcgZNBKZOigZfjFY/iaAAD/ +AgAB/Hp+kPauAQ/8djaQwIT4rwEJ/+pCkGP42gAAAP8CAAH8an6Q9q4BD/xmNpDAlPmvAQn/2kqQ +Y/i6AAAA8/ZDYAEQUDD/AgAB/FZ+kPauAQ/8UjaQwIT4rwEJ/8ZCkGP4ki4xIBwzHPoKBCAYEFgw +/g5FAgAAaTBYPjErMCMsMSAtME7+ME8iAABRMP8xHSygAWAwWBx/9qB8YgAAErAdMvQpMCMuME8t +0DUvME4vFgf+FgggkAD/cPkWBiAAEBAwjhcAIAQODht/5wzaQPsSBiIAAGCwW/iZsSJpKOLAII8Y +ACAEDw8bf/cM2kD7EgYiAABgsFv4DbEiaSjiKzIbi7vIt9owC7AAYAACAMCg8qwAAfthMqCJFPP+ +SmACEDAwAAAAAPP+PmIAABKwwKT8MuoQGBBYMFg+AS4wRWP2vQBsEAQpIDf/AgACAKluUP4vVhAT +ECgw+jKiEAEQIDDz+v8gABB4MP365yIAAGPw+qzYIKQAdnAmKgD7rAAAFBBAMG2KDymxcA2ZAfu8 +AiYAczZQscwp+vsr4sAJWAwAgQQA/BoAgQQASBoDiAMIuwEMuwIr5sApIDcrCvsPAgALmQEpJDf7 +CgAgAHd+UPgKFCJgEGAw0w9tig8poXANmQH8kUpwBAJSsLG7K/r7KuLAC1gMAIEELSA3APwa8IEE +AP4QSDDwSBoMAEBPcP0kNygRABow/R0UCgBAQrD9JDcqCQBisPrmwCAAEBAw0Q9vu7ss4oQAsQQp +IDcA/RrwsQQA/hBQMPBIGggAQFZw+SQ3KBEAGjD5GRQMAEBDMPkkNywJAGsw/OaEIAAQEDDRDwAA +AAAAAPnMAAv/j5cgK+KEAMEEAPwaAJEEAEgaA4gDCLsBDLsCK+aEY/8aAAD6CgAgABBYMFv4/Skg +NyoK7wqZASkkN2P+lwkbFPskNyAAEBAw0Q8AbBAGGy8t/i73EAAQYDD5soQhhxBoMPSyii/nEFAw +/woBIBQQQDD7veYpkAQ+YPn6+yQAIEkwbYoPKLFwCogB/YFNcAQCWvCxzPniwSHgAlpwALEEAPoa ++goBKABAVnAJqTkTL6RkkEwsMCEiCv/TD3LBPcCl/DJoEBgQWDBYPX7yNCEgABAQMNEPAAAAAAAA +/8u1YgAASzAo4oUAwQQA+Rr5iQEAARBoMPP/tWgFAE9wwCDRDypALMG/e6GqwMMsFAD8FAEg/xBQ +MFv6TWagt/scAACgEFAw/AoBIAEQaDBb+Y5moKH7HAEgoRBQMPwKASABEGgwW/mJ8hABIABFrqBm +IFctMCHTD9MPfSlZLTAgLjAi0w8PAgD94URwABAQMClALGiUOWiVNioK//wKViCgEFgwWDYJ9qBF +YgAAErAcMjf9MCIgBRBQMP4wICAYEFgwWD1JLzAgLzQiZiAh0Q/RDwAAAAAA+goFIBgQWDD8MiwS +AABwsFg9PyI0IWP/jNpAW/qw0Q/3r3hiAAASsGP/yABsEAQaLnweLpL7CgAv5xBoMPwqQCABEHgw +/uKFIBQQQDBtig8ooXANiAH8gVZwBAJSsLG7Hi/S0w8r4iDLuR0uufwv+BAAEFAwbQgpKcCAKNKE +AKAECQkb/5cVeAAgQrAr4t8JiBGouy+0US+0SSviILGqe6sCY//PwKFb79zAINEPAAAAsAQOCRl/ +l6bAolvv18Ag0Q8AAGwQBhYuVtMPKGDB/IcKcAAQIDArICJks0orICP1Cg4gCRBQMPMKACYBANbQ +/wIABgD8rtD/AgAKAPiC4CwgJS0gKPcurRAQEDAw+SETIDoANyD4CgEiIwA3YC+cAf8KQAAAEHAw +Co44/yUTIBwAN6ApIhsPAgCLnPySDSBlADbgZMBd2iALsAAtICJk0vEuIFAOSUNkkKz/AgAAAHGG +YP8CAAIAi4Jg/wIAAgCjhmD8MdIQAhBQMP0gIiAYEFgwWDzjxkr9TAAAAhBQMPwxzBAYEFgwWDzd +wDHwAtVgABAgMCsgI/8gIiYBJq7Q+GwACgEiguAC/xGn/yrygi7ygvpKQA/vEEgw+jg5DgBAS7AI +7gIu9oJj/28AAAAA8woAIAEQUDApIFEoIEkPAgCwmQk6OAg6OWWiYCogUA8CAAoKQyokUPosAAAB +EFgwWACh9KwAAkYAtqAmJFAcMaknICv6CgQgGBBYMP0gIiAAEBgw8yQrJgBANfBYPLRlchorICPA +ifixB3AgEGAwdbkVKSBDzJ8qICzBv3upCPAAB2AAEFAwAMChLiBRLSBJsO4OOjgNOjlkoeEsJFDD +cPosAAAAEFgwWACD9KwAAc4AtqArIEMPAgDIs9ogW/uP/DGKEAQQUDD9ICIgGBBYMFg8lyckUNog +W/vVKyAjwIn4sQxyAAAisP8CAA//iirQKSBDZZ8JKiAswb//AgAP/4DakMCg8/7/YAAQGDAqICJY +Ft1krgksICMpICItYMEnIE4mIE/6nAACAEf/UCwWAvkWASAAEBgwADAEBw4bf+cMihH7EgIiAABg +8Fv2+bEzaTjkhxLwADxgABAYMLCZCQlP+SUTI/8DflBlnebzJCUgBBBQMPwv7RAYEFgwWDxsKSIb +ipxkoOOLnWSw3togC7AAY/3WADAEBgsbf7cM23D6EgEiAABg8Fv2XbEzaTjk+iAiIAAQGDAcMS+s +rCzA4CchHfasAAP+rP8QLSAj/wIAD/6nK1AuICz/AgAF/qGboPoKBSAYEFgw/DFAEgAAabBYPE+K +J/qiDiIAAGHw+hYAIgAAWbBYGHqLECa0KCO0KSO0K5O7Y/0GLSAiAt0Rp90o0oIu0oL/CgEoAAFA +MPwKAC/+EEgw+Pw4DgBAS7AOzAIs1oJj/SMuICzB//8CAAf+Vn+QW/6Y96yhYgAAIrDSQNEP2iBb +/jNj/QUpICIoIEoCmRGnmSiWgmP87mdAE8el/wIAD/6RURDz/S9gABAYMAAAwDErIC7Is9ogW/nL +ZD+8GzET/DETEgAAULBYN5jSQNEPAAAAbBAE+TDuEKcANOAoICIcL67TD/4gTigAIEowKIDgLSBP ++gogIAgQWDD+hzpwABBIMG26MgCQBA4PG3/3JwkYQPkPQAngBDog+SsUDgkAR/DyuxEPgAQ/4K+7 +rLsosoMKiAIotoOxmR8tUPkKACAIEFAwbao4AJAEDQsbf7ctCRtA+QpAC+AEPuD5KBQKCQBasPKI +EQuABDqgqoisiC6NBI7g+I0ELgkAe7CegLGZLSIbjd7I39og+zwAAAAQYDAL0ADSoNEPwCDRDwAA +bBAEyyFoIjNoIyz4Jy1gChAYMPIzB3ANECAwbygZ8kMHcA4QQDBvKg74IRNwDxBIMHkhA8Yq0Q/A +KNEPwCLRD8Eg0Q9sEAQrIhuLssi4AioCC7AA0qDRD8Ag0Q9sEAQrIhuLs8i4AioCC7AA0qDRD8Ag +0Q9sEARoMUL7LYMSIAA44Gg0Pmg4Q2g7SGg8KBgtCyiCMik66Am5LAeIEQmILLCIGjC0AikRqpn4 +lgAiAABQsFrW6dEPGzCwY//QGzCrY//KABswrmP/wwAAGy1uY/+7AAAbMKtj/7MAAGwQBBgs99MP +I4DB+QoBIgBs/NDVgPcKpyAAEBAw9vrnIBQQUDBtqhArUXAGuwF3sQvyLAEgBAIpcMcr0Q9mIPwV +LP/6ChMgABBYMP8rMW//EDAwL1KEACEEAJ4aBu0DD98BD+4CLlaELFKEACEE8L0aDABAazANzAIs +VoRgACwALlLAAqwMAMEEAJ0aBtcDB+4BDt0CLVbAI1LAAMEE8LQaAgBAPPAEMwIjVsAH6jAP6jAk +gjGnRA9PDGrxDm0ICAvqMAtLDGqxAmP/8G8rUi1ShAAhBACcGgbOAw7dAQ3MAixWhGAAAAAmgjHA +UPZmCgfQEDgw8AAKZ/AEOaAAsVV3URwE6jAI6jCmRAhIDGqB7G0ICAjqMAhIDGqB4GP/8NEPLFLA +Aq4MAOEEAJsaBr0DDcwBDLsCK1bAY/+q0Q8AbBAE9iynH+cQQDD3GsAgFBAgMPIKACYJADyw0w9t +Sg8pYXAImQH5cQ5wBAIxsLEixyvRDwAAAAD2IF1gARBAMMBQA4U4Eyyt/ysmb/8QODAqMoQAIQQA +WxoAIQQAjBoHzAMMqgELqgL6NoQgABAQMNEPLTLAwUMCQgwAIQQAXhoAIQQAjxoH/wMP3QEO3QL9 +NsAgABAQMNEP0Q8AbBAEEyyJJQrA9SUBAAAQIDD1NDkAGABksBIsggJCAtEP0kDRDwAAAGwQBiwK +H/sKDyAOEFAw9C/BEAEQeDD1MCMSAABAcP4sbxAAEEgw9EJ/IgAAaLD1UgAgDRAQMPUWACIAADuw +bUksJnDcBgZE/GEhcAICOfD/AgAGAJpVkPCRBAYAll2QAPQa9IQAJgCdFZCxmbGIJODc9uKDIgAg +C3AiIAD34N0gAhBgMPkK8CBYAH2w8kUUAmABEDD0BEQDwAQ4oPcHRAIJACiw8gJHBgCKVRD/AgAG +AIpV0PcssRAAegTg/wIAAgB0GOAGRBT2RUAGAEBhMBguUfosshBWADVgDC8B8hUSBAMBEDD5LgEE +AEBlcPTuAgQAARAw//8RBdAEOSD/RAICCQBxcAQiAvLVEQLgARAw8rAYdAAgPXD/AgAAAGgE4CtS +gA8CAAi7AitWgPRgeGpgARQwweDyFRIAIBAwMPI8EgQAQDVw/swBBgBAMLD+JAEH8AQ5oP1EEQIJ +AGLw9kQCAgkAEXAEIgLy1REC4AEQMPKQM3QAID1waDFkKVKACJkCKVaA0Q8AkQQAtBr0hAAgHgRR +sHthB/8CAA//ZxGQ8/7IYAgCSnDRDwAA9iYUBAIBNDDz/xdmAEBhsP8CAAf/elXQrtUlUNz/KBEE +gAEsMPpVDAjgAUAw8/7XYgUAKjApUoAKmQIpVoDRDytSgAq7AitWgGP/MwAAAABsEAQTLf0DIwoj +MpcYL6gCJBGoRPNGACAAEBAw0Q8AAGwQBBMt9fUKACAIECAw8yMKAgAAOLDzMpcgABAQMA8CANMP +0w9tShfwIQQEIgEYMPBEGgAEAhCw80MUBAkAJXAZLXICeBGpiPWGACAAEBAw0Q8AAGwQBPQtcRIA +ACiw9goAIAgQGDDTD206DyhCpQgIUvSATmAQAjGwuETAQBktKvYtYx8AEDgw+C1iECAQEDD5CgAk +ACBJMG0qJQwCACKCQCJi0gcjAQNTAiNm0iNi0ilGoCNCoCJm0vJi0iAIAiEw0Q8kQqQEBEnz/7Bl +4AQ5IAAAbBAEGC8IDwIADwIAI4J/wGD5bAAANwA04BctPvQKFyIAABHw84J/IAICSnDTD21KCiYm +gPYmgSAQAhCw931AIBcQIDDzk9xyAAAR8PcKACA9ADTgEi0w0w/0Cg0iAABIsG1KCiYmsPYmsSAQ +AhCwJpbKI4J/8p1AIA0QIDD2lssgAgI58PNz2HIAAEiw+QoAIDEANOAXLR/TD9Jw9AobIAICSnDT +D21KCiYm0PYm0SAQAhCwJIJ/J31A9JPecgAAEfDRD2wQBPMKACXgARgw+0wAAgAAUPBbhVH2oAdg +AgIY8Gk06dKg0Q8AAABsEAT3K/gSAAAwsBUrggUlAiV2syJytBQtqhMvLfQKECIAQCCw8woAIgkA +GLDydrQiAAAR8G1KB/MmtSAIAhCwJnaz0Q8AAABsEAQTLyICUhQDIgrRDwAAbBAEEy8fAlIUAyIK +0Q8AAGwQBBgvHAIjEQ8CAKgzgzDzA08AABAQMPs8AAIAAFCwW4Up9qAJYAICELBpJOnRD9EPAABs +EARb/50cLw/9CgAgABAYMA8CAPoKESIAAEMw/M0EIAICa3DTD22qCiOGQPOGQSAQAkIwwaH52OJi +AABDMCIKANogW/9tsSJpLvXZMPguZxAQEFAwbaoKKYYQ+YYRIBACQjAYLmKIgBkuYSoKwgqIApiQ +0Q8AAABsEATAMPcrhh//ECgwGC1aDCYRqGYnZoMlZoInZoElZoAULVAEJAsjRoEjRoDRDwBsEAjy +K20SAABAsNkw8AIHAgAAEHAAQmEAQmFvhG8SLlH0HAAABhAYMG06EfMtBCH4AiEw9UIIIAgCELCV +MBIr+vQuSRAGEBgwbToP8yIHIfgCELDzRgggCAIhMBcuQhorawiEEASUAvYrGhQJAFEw9Hb/I+gQ +EDDTD20ICbAiyC8lcv91YAJj/+3AINEPwCDRD8cr0Q8AAGwQBBUsncCICCgCKFZSDzQRJFZT0Q8A +AGwQBBUuHQIkCwtEEaVEJ0LCDzoR8KEEAAEQQDAAiBrwoQQAAxBIMPCZGg//EFAwCpkDCXcBCHcC +J0bC9S6tERgQMDAGJij0QsIkACA1cCRWl9EPAGwQBBUuCAIkCwtEEaVEI0LAFSruBTMCI0bA0Q9s +EAQVLgECJAsLRBGlRCNCwBYr2BUrQAYzAQUzAiNGwNEPAABsEAQbLfb7sn8iAABQ8Fg7qP0KCCAA +EGAwWDoP/SwAAAAQYDBYOhrSsNEPAGwQBPMsZhABEBAwIjaAIjaB0Q8AbBAEhyD4MgAh/gJJMPl5 +AQ//EDAw+UkMBhEAMTAJZgH2hAwGACA5sPYmACAOADVgBUouCkoMmjDRD5Qw0Q8AAGwQBhgslhMq +vBsudfKLDXAgEFAwKTDBCpkCKTTBcrsU2iD8HAQiAABYcFiB8okQaJIVaJYC0Q8ALDDBLQqADcwC +LDTB0Q8AAC4wwcTwD+4CLjTB0Q8AAABsEAQoCgAI5BYBAgAdLDoCLAkNzAojxmQt+sANTQH9XQIA +IBBwMA7dAi3GZSfGZizCZgrqMBsqmimyMSuyMQuZCgqSCgbqMAYmDGphDm0ICA7qMA4uDGrhAmP/ +8AjkFtEPAAAAbBAE+C5JEBgANOAVLkgogH0lUn+wNAhEKKJSpCLRD9EPAAAAbBAE+QqAJUAQQDDy +gjoPgBBAMAOTOqMkJE0BJEw/CEIB0Q8AbBAE+zroIgAAULBYO0gdKnn90jEgABBgMFg5vNKw0Q9s +EAT6LAACAABY8FpgatEPbBAE8y4tE1AEOKCjItEPAGwQBBgqq/AIBwPgBDzgbTkCAEJh0Q8AAGwQ +BPMuJBMQBDigoyLRDwBsEAQSKq4oIokiIooJiBGoIoonKqwQWtp8GCqb0w8ACIv4IgcgQBBIMG2a +AgBIYcCQmSfRDwAAAGwQBBIuEyMiQSIhf6Mi0Q9sEAQSLDMULg8jIoF7Nh4pIooJCVXwkQQAARBA +MACIGiIi/gIiFPAAB2IAIBIwIkF9JEGC8ypOEAwANSADIjWkItEPANEPAABsEAQSLCEjIoF7Nhop +IooJCVXwkQQAARBAMACIGiIi/gIiFKKC0Q8SLfQiIX/RDwAAAAAAbBAIEiwfGSpvmRcrInspIoP0 +LDUQABAYMPUsiRuQBD7g+Cu1GAAgXnD5FgYgABBQMPAAc2HIAjiwLLWIKYSPKtIVDwIACopXKoSO +KdIW+bWKKfABTDAphJMq0hYKilcqhJIp0hf5tYwp8AFMMCmElyrSFwqKVyqElinSGPm1jinwAUww +KYSbKtIYCopXKoSa+CuaEAAQUDD1XAEgAgIY8PRMASQBQIDgJnKGKyKDpjb5QOAnkAQ5oPyAgCYA +IFmwhmcAMAQMDBv2Yg4hmAB/MH6XxC1yh609Cd0Rrb2O1/7iDiIAAFDw/hYCIgAAYHDz1AcgBxBY +MFg2NY4X2GDwDgcALxBIMG2aAgBIYShdAfNkJCEAAkIwL4DA/QoCIgsAN+ApEgL5nQEgUAJRsPmc +gCIAAFhw85ThIAYQYDBYLqb+bQIgBBBYMPtlHiB4EEAw+GUdIB4QUDD6ZR8gBhBgMPptAiEAAnOw +/hYDIOICUrD6FgQgARB4MP/k6y+AEGgw/eTsL8IQeDD/5O0gDhBoMP3k8CIAAFhwWC6PjBP6bQIv +iBBAMPjE9y/MEHgw/8T4IAIQcDD+xPkgBxBoMP3E+iAEEFgw+8T7IPgCUrD7HAAABhBgMFgugIsU +/W0DIAMQSDD6bQMhAAJrcP0WBSAHEHAw/tQDIAQQYDD81AIhCgJSsPnUBCAGEGAwWC5zjBX6bQMg +BhBoMP3ECyACEFgw+8QMIRoCUrD8CgIgdAJZsFguavoSBiIAAFjwW+m9/goBIAgQeDD2oNxgAhBg +MJpgK3KHLSKDqzsJuxGr24u3i74pcoQutEUvtPP/tPIgABBQMCq08S608C60Oiq0Yyq0Yiq0YSq0 +YCq0Xyq0Xi+0Viq0VS60VC60Lyy0ayy0aiy0aSy0aCy0Zyy0Ziy0Zfy0ZCAyEEAw+LRdKAAgTPD4 +tFwpkAQ+YPi9ASwAIE9w/CqUEAMQSDD8thYhAAJCMCmEjCzSFfXNUmnwAWQwGSvvGitGHCvuLLWI +/4SOIAAQYDAshI8qtYovhJIshJP5tYwgIBBoMC2Eli6Elyy1jiyEmyyEmmP9atKg0Q8thMBj/fIA +bBAGFimkAAaLFi0kGSpt2GBtmgIASGEdLSUbLSMcLSAeLSEaKakYLSUVLSMSLSITK5EULKwfLR4j +MX+fTZJMlUuWSZhKKULsKqKCLkb1LEbzK0bQLUYO/e0oIKACWzD7Rs8gYAJjMCxG9i1G7v7tCCuQ +BDqg/kbtKAAgVnApkgf8jf8g/gI48Ad3FCmSDikWACNlAiNlA/xmACAAECgw92UEIsABGDD1ZQUg +LQA0oAIqAvtcAAABEGgwWtLjaK4V+iwAAAAQWDD8YgAgARBoMFrS3Wmu6YhKJ4UEJYUFI4UCI4UD +84wAACACYjD8hgAgKgA0oNog+woAIAEQaDBa0tJorhX6LAAAABBYMPwyACABEGgwWtLMaa7phxCD +SydxLyU1BSc1Avc1AyAgAmDw/DYAIP4CMfD2dhQCwAE4MPY1BCFtADSgAioC+woAIAEQaDBa0r1o +rhX6LAAAABBYMPwyACABEGgwWtK3aa7pg0zTDyY1BPc1AiIAAFCw9zUDIAAQWDD1NQUgIAJg8Pw2 +ACABEGgwWtKsaK4V+iwAAAAQWDD8MgAgARBoMFrSp2mu6SlC7vNCKyAEEEAwDwIAKJUE9ZUFIgAQ +WDArlQL7lQMg+xAQMPMiDAAgAlJwKpYAI0LzKCx/CHgUKDUEJTUF8jUCICACYPDyNQMiwAEQMPw2 +ACArADSgAioC+woAIAEQaDBa0o1orhX6LAAAABBYMPwyACABEGgwWtKHaa7pKkIsDwIADwIAZKCN +LKz//KgBDgAP5pBtCA/9jP8iAABSMP2IAQ4ABO6QY//pAAAPqhEiQvYurH8OfhQlJQUuJQT6JQIi +wAFUMPolAyAgAmCw/CYAICsANOADOgL7CgAgARBoMFrSbWiuFfo8AAAAEFgw/CIAIAEQaDBa0mdp +runRD49MJvUEJ/UCJ/UD9fUFICACQ/CY8GP+6PP/lGABEFAwbBAEEiqUIyKBezYbKSKKCQlV8JEE +AAEQQDAAiBoiIv4CIhSigtEPABIsZyIhf9EPbBAI9BYBIgAAOPBYMg0TLGwoMX7/AgACAf7+ECwx +fygxghssaPYKACADEFAw+AhBDgAJ5pAeLGQtsH4t5pUv4pIv5pRkg+spMYAPAgAJSUFkk+4eLF0a +Kfr7LFwQBBBoMC3mscLHLKYQKqIRJCANLyAM/xYCLgABUDD+tHwsAQFUMP20fSwCAVAw/LR+KgMB +UDAqtH9Yjnn2oqxiAAASsCkyX/YoyRN6ADUgGioaiBKqiCiA3SJigaSIqCIJIhGikiIsgBssQSoy +XiuysvuqCAADECAwWI5OWC44khBYjaj2omRiAAASsFiNGliMBfaiVmIAABKwWIrc9qJLYgAAErAs +MXsPAgAPAgB+xwpYitT2ojRiAAASsFiKZPaiKWIAABKwWIoV9qIeYgAAErAtMX4PAgDTD/8CAAIB +Of9QLjF/DwIA0w//AgAIATnxECsxgsH4/wIACAE829DYQPQKACgBSVoQKTGA0w97lxr6EgAiAABZ +8PwSASIAAGlwWIec9qHEYgAAErBb/t0cLA/TDyvCqvcWAyAAEBAw9RYEIJ4ANuAWLAwXLAr1KaoQ +EAIhsBgohRMsAyiCfyMyXyZ2rvZ2rygAIECw9HawKZAEOiD0drEiACBE8C4wB/oyByD8EHgw/+4B +AAAQWDD+LgIAARBgMP40ByBAAlKwWtnElaCLMPwr8RABEHAw/SvzG4AEPuD9pgIqCQB28JuhKcKq +J3wU8iwBICgCITD2bBQr/8HMkCUSBPcSAyAAECAwHSvhEygW0w8t0swpMjH5xlAj6BBYMAvdLPmf +CgBkEEAwCJgo+MZOL/AEP+Avxk/7mSgB9BBwMPnGTSoBOe+QHyjiFigdlPkoYqr9HhQLABBIMPmI +AQH+AnOw/0oALgkAQ7AP7gIuZqpb/cr2oJxiAAASsFgxYykwwfsryRAsAOpwKLJ2Kfr9CYgBKLZ2 +JLZxKTDBeZ8QLLJ2x9sNzAEstnYktnIpMMF4nw0usnbG/w/uAS62diS2glrX0smoWtfRZKG0ixAq +sA2JtyuweYme9LwAAUUANqAfJ+Au8q4YKD38GgcgABBQMPjuAg/nEFgw/vauIBQQaDBt2g8pMXAL +mQH8kRpwBAIY8LGqWDE92lD7fAACAABgsFgzvcAg0Q9mr+j/qyFv/xBgMC1ihPChBAABEFgwALsa +DL4DDt0BDbsCK2aEY//DLmLAwfMK/wzw8QQAARBoMADdGgzfAw/uAQ7dAi1mwGP/oQAAAAAAAABY +iWT3rYpiAAASsGP/jAAAWIlQ962KYgAAErBj/3wAAAAAAPoSACIAAFnw/BIBIgAAaXBYiPf2r2Fi +AAASsCsxgmP9aQAAwED6EgAiAABZ8PwSASIAAGlwWIda961ZYgAAErBj/zQAAFv+7hkrbCqWkmP7 ++ogSImKAqCIJIhHz/JViACAScBkrZSaWliaWlyaWmGP8BBwrYSbGnCbGmybGmibGnWP7/gAdK2L4 +EgAgCBBgMP+dByoJAGbw/dJ/KuABXDD7hHkgZRBwMC71A/71BCAPADdgCIoCC9AAixArsHnLQ8fP +DEwD/wIAD/893xDApfwo0xAAEFgw/gpkIAMQaDBYNjZj/l7Aovwo0BAAEFgwWDYyY/5OZL/HwKX8 +KMkQABBYMFg2LYoQGyfKHCjGWDGiixArsHlj/6cAAADApfwrPRAIEFgwWDYk8/2AYfQQaDBsEAb3 +LAAACQA04MAg0Q8ALCET9T/0bAAgIzAtISkbJ6v82QdyAAAbMCslKXthHftsAAIAAFHw/AoQIAAQ +aDBYACQbJ6L2oIJiAAASsBopQi6gfcnpLKE/wLLzzAgCAABR8FgypRsnmfagX2IAABKwe1Ea+1wA +AgAAUfD8ChAgABBoMFgAE/agQWIAABKw2nD7CgIiAABg8Fgyl/agLGIAABKw3DD6fAAAARBYMFgy +kvagF2IAABKw+nIKIgAAWTD8CgAgARBoMFrU7dEPAAAAbBAGGCsFhC8ogn/2IgwkACAZMAhECoRA +9EC/ZgAgGbD4J44QtwA1YCtABwsLQQy1Ef0nixQAIEVwKVI6Db0K/dKXJgCmwmAsUjn9xwEOAKFv +EAu6AlqRU/wKASAFEGgw//r/IAAQQDD4FgEiAABZ8P8WACIAADqw/iIAIAAQeDDTDw8CAP4WAiAA +EHAwWthW/AoAIAUQaDD+CgAgABBIMPkWACAAEHgw+RYBIgAAWrD5FgIiAABR8FrYS8DWLVY5LCAG +KiIC0w/8zAEgARBYMPwkBioJAFqwKiYC2iD7CgAiAABhsFgyUPagoGIAACqw+iINIgAAWPD8CgAg +ARBoMFrUq/cKACCFADUgikcnRAUqqRRloJMqQAcpQhonRHT3RHUqIAFQMPqsCgAlADZgLUIbHyjl +mdAuQhoPzAorwd6d4SdGG/dGGiH+AlrwK8Xei0oZJyr6IhIgIBBAMPhGAiAAEGAw+UYcIAEQaDBa +1JAbKqqMLIovK7J/DGwMrKoLqgr3pgAiAAARcNEP0lDRD/u8GCIAAFCw/AoAIAYQaDBa3rHHJNEP +AAAAHCqcLiANLSAM+hYAIgAAePD4QgIgEhBYMPgWASAEEFAwWDV7i0f8+sAgQAJK8PpCAigAQGZw +97UUIIACSnCZufm2CCBKADag/PoAIAAQWDD6wBJ/8BBoMAqKFPSgHWAQAlrwesjxetAMCkoU8AAG +YAgCWvCxuwoaFGWv9/pMAA//EGAwWtu6Y/7hAAAAAPP/6mAAEFgwbBAG8wZGAIAQSDD5OQEAMgDg +8PkWASRIARwwJV0B8AAOYQACKXAAAPkWASIAACmwGSgvKEAmDwIAK5J5J5J/DwIAC4gI+YgRAgAA +UTD4dwgAABBYMFuMhvs8AAIAAFEw/AoAIAAQaDBbjAr6LAACAABZMPxcAAAAEGgwW4bO+1wAAgAA +GrD8CgAiAABRMFuJpSpAJttQ+q0DIAAQYDBbiNQaJvIeJn4PAgAPAgAOXgIuprPAgP0oghAAEFgw +/aa0IBAQSDBtmgr6iQoAAgJCMCuWtSwgDRkoBS0gDPWmsyAzADcgKpJ2+ZJ/IioANyAeKAWu3S3Q +3azdra0J3RGtnS3cgI/QCv8Mf2kH8AATYAAQWDArIFHA0ftrDAwFAGNwq8sqIhOGEfwKACABEGgw +WtQQZGHKKUAmFieu/Pr/IAEQeDDymREOJAE5YBsoZipcgPAAFWgAIF5wGihjBVsUCbkK9QpECAAg +VnCmni3igPChBAAAEEAwAIgaAKEEAPsaDLsDC90BCN0CLeaALEA2fMcPKXEYsJkJCU/5dRghogA2 +YG5eDRoobQZZEaqZiZBgACAAHCfABVsUDLsKKrKEK7KIBQxEAMEE8PkaCgkAWrAKmQHJk9pQ+0Am +IAAQYDD+cRggABBoMFuAhytAXhonv/wKACABEGgw+qKJKsABXDBa09v6QCYgABBYMCtEXiskXytF +GStFGitENluRzixAJhsoSQ8CAALMEavMpswswoD/AgAGAFrmkC1wNGTQ6SVAJhgpyAJVEQhVCCVS +ACIKAPoWACXgASww+iwAAgAAWXBbf9X2oAdgAgIQsGkk6RgmBiiAwflAJiDiAPYwf5c0ihAAqhES +J5YJHxQC/wou8vSNTiLRAy3RAsfC8t0MD+ABcDD+rgICBQBvMP729CIAABDw0Q+KEBIniQkfFAL/ +Ci7y9I1OGCfrItEDLdECx8Ly3QwOAEBDsP6uAgIFAG8w/vb0IgAAEPDRD4lOKpEDKZEC+pkMD/IQ +QDAJgznSMNEPKiITK6EDKqEC/wIAB/8UXpDSMNEPHiYoLuKAre0J3RHz/d9sACBucC9wIgL/Eav/ +pv8q9oAqdRlj/wIAAAAAAPp8AAABEFgwW/ht8/5TYAEQeDAAAAAAAGwQBPUgDSAyALTgFyaXylcj +cnsmIAzycoQgMQA1YBQnY6RkJEDdpUSkNAlEEaQiIiyAgiADIgzRDyIgUcBB8yIIBAUALTAFIgzR +DyRyeqZECUQRpCKCIAMiDNEPbBAEiC0PAgAPAgApgQMogQL5gUB/9BAwMCQKAPosAAAIEFgwWDAE +9qERYgAAGrCKLSuhAymhAvuZf3AAECgwL6EDLqECwNH/7gwAABBgMA7cOGTPxtog/Ar9IAAQWDBY +MTnToGYwzogqDwIAKYEDKIEC/wIABgByThDAMPosAAAIEFgwWC/s9qDhYgAAIrCKKiuhAymhAvuZ +V3AAECgwL6EDLqECwNH/7gwAABBgMA7cOGTPxmAAoQAA20Ba03PAwP0KACIAACKw+iwAAgAAWTBb +/k72oF5iAAAasIotKaEDKKEC9VwBJ/+rzhBpWMpj/0zbMFrTZR0lxB4lw/sKACIAABqw+iwAAgAA +YPBb/gv2oHJiAAAisIoqLKEDK6EC/wIAAAICKXD/AgAH/7nm0GlUvmP/aNIw0Q8AAP8CAA//kjKQ ++iwAABwQWDD8CgEgIBBoMFrdR2P/Cdog/Ar9IAAQWDBYMPfSoNEPAHapFvosAAAcEFgw/AoAICAQ +aDBa3T3SQNEP0kDRDwAAbBAEiieJrimdBCiQAPSACmEAAhJwKqwQWtVqwKAqJIDRDwAAbBAMGyYF +LSAMhTEosncuIDgmsoH9iAgE6AEsMPUFBgmQBDog+CA5JgAgQbD4FgogFAA1YPkKByIAhkOg+SQ4 +IAcQcDAZKQ4PAgD04Tph7AJ6cP8CAAAApweg/wIAAgGTA6D/AgACAfsHoP8CAAQCZwOg/wIABALD +B6D/AgAGAx8DoP8CAAYAYZ+g8yVlEgAAO/AcKPsuIA2PICogOZoQKSEYKRYB+CILIDQQWDD4FgIg +BRBQMFgz1x8o8/ADBwIAAEnwAElhAElhAElhAElhLiAMKyANHCjs+iUyH4AEO6D9KOsaCQB28P32 +HioJAGbwK/YdKSEYCpkCKfU+KCA5wND+CgAiAABh8PyIEQIAAFmw+PSEIgAAUbBb5QT1rAAAFwA2 +oPoKAiA0EFgw/CjZEgAAaXBYM7fA8P8kOCIAABFw0Q8AwIAoJDgcKNItIDkfJdAuIRgTJc0v8hQj +FgApIgv5FgEgBRBQMPUWAiA0EFgwWDOoGiXH2zD6ohQiAABhcFgw1dJQ0Q8dJcIcKMP/EgogBRBQ +MP3SFCA0EFgwWDOdHyi+GCUfHii39/wAAgAAS/DwCAcCAAAqMABJYQBJYQBJYQBJYRok8xkk9Rwm +eB8meRsk1C0gDCggDSuwwf/mHi2ABD9g/yWMGAkAajD8iAIKAAFcMPjmHSgFAF6wKeU+KSA5//J3 +IAAQUDD65IUpwAQ+YCnkhI1gGST8lRz/3QwI+AFAMPmICgAEEGAw+ILYIgAAWfD43REABRBwMP7d +AgIAAFGwC4AAGSiOJZIejGIbKJP5+o0k6AEsMPUFBgAcADcgybSIZ4iOm4D0hgEvjRAQMNEPAAAA +AAAA/wIABgJ3TVBlXsEcKH8PAgArwT4LC0srJRgswUYeKHj+FggtQAFgMCwlGSrgACngASkkOyok +OijgAi/gAy8kPSgkPC3gBf7gBCB0Aiiw/iQ+IAEQYDD9JD8iAABRsFgIyxwocS5gDCtgDSlhCShh +CC0hGC9gFC+kFCilCCmlCSukDS6kDComEi8gOi4gO54QjhorIDybESogPZoSKSA+mRP4ID8gNBBY +MPgWBCAFEFAwWDM5HCheL2AULmEILWEJ+CEZIAUQUDD4FgAgNBBYMFgzMYkgKjwa+JkRAgAAWXD5 +NgMgBhBgMFgpMxolSoMclRsqohRYMIGLG/wSCCACEGgwLSQ4YAAP/JwAAgAAO/DzJKUQdAJYsP4o +PBABECgw8AMHAgAASfAASWEASWEASWEASWEaJJUpIRgdKD0l5h795T4oCQBWcCnmHSiwAC+wAS/E +ASjEAB8lES2wAiqwAyrEAy3EAiiwBSmwBCnEBCjEBRglKC/yd41gKIK8GSSELOB7/90MCPgBQDD5 +iAoCAABZ8PiC2CAFEHAw+iISLYAEP2D6FgksCQB3cAuAABkoFo4ZJZIejuIFhUf1BQYA1QA3oB8o +GGTwyoJngi6fIPQmAS+NEBAw0Q8AAAAAAAAA8yRxEgAAO/D8KBMQBRBQMP0hGiA0EFgw/xIKIgAA +cfBYMuXA4fADBwIAAEnwAElhAElhAElhAElhGiXLKCEYHyTfGSf5GygEL/J3K5Yf/pYeKAkAUjAo +lh2NYBkkVSUiEv/dDAj4AUAw+YgKAAEQYDD4gtgiAABZ8PjdEQAFEHAw/t0CAgAAUXALgAAZJ+cp +kh6IUgmFR/UFBgAvADYgGifpyqSLZ4u+mrD0tgEvjRAQMNEPLPqN/wIABgEr5VBlXCrA0y0kOGP/ +PS76jf8CAAYBIPVQZVwUwPQvJDhgAAfzJDkSAAA78MC08AMHAgAASfAASWEASWEASWEASWEaJZwo +IRgeJK4ZJ8gcJZou4ncsliH7lh4gARB4MP+VPiAAEGgw/ZU/KAkAUjAolh2NYBkkICUiEv7dDAj4 +AUAw+YgKAgAAWfD4gtggBBBgMPjdEQAFEHAw/t0CAgAAUXALgAAYJ7Eogh6PUgiFR/UFBgAZADfg +GSe0yJ6KZ4qumaD0pgEvjRAQMNEPK/qN/wIABgDBXVBlW1XAxSwkOGAACADzJAkSAAA78MCy8AMH +AgAASfAASWEASWEASWEASWEaJW4oIRgeJH4ZJ5gcJWwu4ncsliD7lh4oCQBSMCiWHY1gGSP0JSIS +/t0MCPgBQDD5iAoCAABZ8PiC2CACEGAw+N0RAAUQcDD+3QICAABRcAuAABgnhSiCHo9SCIVH9QUG +ABkAN+AZJ4jInopniq6ZoPSmAS+NEBAw0Q8r+o3/AgAGAGldUGVapfosAAADEFgwWnagwMYsJDhg +AA0AAAAAAADzI9kSAAA78B0kVdMPLdKHLdIu/wIAAgBP/1DwAwcCAABJ8ABJYQBJYQBJYQBJYRwn +c/0hGCAFEFAw/iISIDQQWDBYMkUcJSkrIRgfJ1/5I4saCQBm8Cv2HYorGyPh/QoAIAAQQDD6ylAC +AAByMPq4OQ4FAFJw+AoBLgkAQ7D47gICAABh8P72HiIAAFGw+yISIAAQcDBb43YESUH1rAAJ7QA2 +YP8CAAH9EAZgLSAMY/kj0lDRD9ogW0QJY/9cAABsEASCJ4IuIiIT0Q9sEASCJ4IuIyIUIiIToyKw +ItEPAGwQBIIngi6CJNEPAGwQDhgkORUkfyiCEtow81LtJvAANiArMhoWI6T6FgYgABA4MPIWBSCH +ADbgLFIUYAAJAAAAACgyGmSAcvQKAC/2ADcg+jIXIgAAWTBa0TktYnlmoE4sUueq3QndEa3MjMrL +z4LI9CA7YIwQeDAuwHR/4TD7JHYfjBBAMPjEdCIAAFCwWttyJ6QSiSLboPelCCATALZgiKLAxP0K +AyIAAFCwC4AALFIUsUR8Q5dj/4YsUhX0wO5vwBAQMPAATmAAECAwiscprCACmQH3pRQggAJKcJmp +maiXyJfJl8qXy5fMl82XzpfPJ8YQJ8YRJ8YSJ8YTJ8YUJ8YVJ8YWJ8YXLFIVJEwB/wIACgBOYRAt +YncsUuetTQndEa3MKsIM/BYHIUQAfrArwhL+Mi0gkAJjMPwWCiqIAVgw+qoPAAEQaDD+qgoAABBg +MPqiACvwAVwwWtDFjReP14gaLvwg94YALgBAE7D39RQggAJzsJ75nviX2JfZl9qX25fcl92X3pff +J9YQJ9YRJ9YSJ9YTJ9YUJ9YVJ9YWJ9YXLFIVsUT/AgAL/7ZlECkyGPkWCyD+AlMwCnoUKpUEJ5UF +/JUCJMABYDD8lQMgIAJicPyWACAsADUg2kD7CgAgARBoMFrMlGiuF4wb+kwAAAAQWDD8wgAgARBo +MFrMjmmu5y1SEsrWwEAsYngqUuesTAnMEfyqCAAAEFgw/ApgIEACUrBYJ6ctUhKxRH1D2Y89JFIW +nxwn9QUk9QL09QMgIAJj8Pz2ACD+AnEw/n4UBMABIDD+9QQgLAA1INpA+woAIAEQaDBazHNorheM +HPpMAAAAEFgw/MIAIAEQaDBazG1prueXPxglNS4yFZ4dKDUgJFIS9+UFICACY7As5gAk5QL05QMg +/gJpMP19FATAASAw/eUEIC0ANSAESgL7CgAgARBoMFrMXGiuF4wd+kwAAAAQWDD8wgAgARBoMFrM +Vmmu5yxSFGTAb8BAbQhlLmJ5LVLnrk4J7hGu3Y/XLvwgAu4B9/UUIIACc7Ce+Z74l9iX2Zfal9uX +3Jfdl96X3yfWECfWESfWEifWEyfWFCfWFSfWFifWFyfWGCfWGSfWGifWGyfWHCfWHSfWHixSFLFE +fEsEY/+TAAAvMhf/Fg4g/gIjMAR0FCf1BSz1Aiz1AyT1BPwERgAgAmPw/PYAICwANSDaQPsKACAB +EGgwWswqaK4XjB76TAAAABBYMPzCACABEGgwWswkaa7nLFITZMBQwEAoYnoiUueoSAmIEagijif/ ++sAgQAJrsA/dAfflFCCAAmtw/eYJIgAAWTD95gggABBgMPoyFiABEGgwWtAglyyXK5cqlymXKCxS +E7FEfEOwIjIWKcx/CXkUKSUEJyUF/CUCJMABYDD8JQMgIAJgsPwmACAqADUg2kD7CgAgARBoMFrM +AGiuFfpMAAAAEFgw/CIAIAEQaDBay/tprukaIk0pUucrYoAqoMEJuxH/pxR4ACBecCuSJ4u+K7IU +sLubGGAADAAskqeMzizCFLDMnBgtMCT/AgAAAN2nYB0mJR4mIy4WD/0WCSAAEGAwLBYEKWJ2iBQk +UuepiAmIEfwSDyQAIEEwjUAuQAyCRy9ADShAOfIiDiAFEFAw+BYAIDQQWDBYMOgqQDj/AgAGAKEC +oI5Hi+4rvQQrvIArFhIrsIBksAUq7BBa0kIrEhIqLQL8CmAh4AJSsPe0gCAAEFgwWCbsKiwQ/Brg +IAAQWDBYJuj6LQMgABBYMPqskCA4EGAwWCbk+i0DIAAQWDD8GjghkAJSsFgm3ytCEIIU+xYRIKAA +NuCMGShAOY2wKbIHLrAML7ANKZIO+RYQIAUQUDD4FgAgNBBYMFgwvyoSENMP+q0CIAAQWDD8CmAh +4AJSsFgmzCoSEMCw/BrgICACUrBYJsgqEhD6rQMgABBYMPqskCA4EGAwWCbDKhIQ+q0DIAAQWDD8 +GjghkAJSsFgmvSwSEZfIl8mXypfLl8yXzZfOl88nxhAnxhEnxhInxhMnxhQnRhQnRhMnRhInRhEn +RhCXT5dOl02XTJdLl0qXSZdILTAksSLyFgQt/0TokGAAJB8it45LjBT7EgYiAABRMP/uAQAgEGgw +/kYLLAkAazBb/Ihj/pyFGCoSBVrMr4o1BVwK/cwRAAAQWDBYJpqKNgVcC/3MEQAAEFgwWCaW9DIB +I/AEOWAoLH8IeBQoRQQnRQXyRQIgIAJhMPJFAyLAARAw/EYAICsANKACKgL7CgAgARBoMFrLZGiu +FfosAAAAEFgw/EIAIAEQaDBay19prumCMilcfwl5FCclBSklBPUlAiLAASww9SUDICACYLD8JgAg +KwA04AM6AvsKACABEGgwWstRaK4V+jwAAAAQWDD8IgAgARBoMFrLS2mu6dEPbBAILSAMHyMWFyHn +GiWDFSIaHiM5nhIrUu0kogD8Uu4gABAYMPhygCAAEDAw/BYDIAQQSDD8Uuog6AJSsPjdCAIAABPw ++xYELZAEP2D6FgUsACBrMCgh/y5yhP1S6iC0ADYgrj4J7hGu3S3QIogV/hICJABQE2AvwA0rwAwA +CIsATmEATmEATmEATmEeIvUN2AkMiBGo7p4RLuH/mRecEPsWBiBvADegGSJjHCVc+CVLEgAAUvD8 +2xENgAQ+oPoSACwJAG/w+CGmHAkAR3ArxIj7EgIoCQBPsCnFQC3GHiiC7PklPxAFEGgw+cYfIAQQ +YDALgAAdJUkPAgAt0h/5Egcs6AFsMPwSACAJALdgjhEm5n8mJoPzPAEh/gJKcPWfM2BgAhCwGCU8 +HyU9GSU+IoKJKYbXKYbYL4b7/4b8IBACcnAuhtn+htogYAJqcC2G4/2G5CBwAlpwK4bl+4bmIMAC +UnAqhu/6hvAgEAJ78C+G/f+G/iDQAkpwKYbx+YbyIFUANKCDx4M+gzT2JQUgIAJgsJwgIyUC8yUD +IP4CePD/fxQCwAEcMP8lBCAqADTg2jD7CgAgARBoMFrK22iuFfo8AAAAEFgw/CIAIAEQaDBaytVp +rukSJRAPAgAPAgAiIoZkIFATJQwmJQXzMocgIAJgsJwgIyUC8yUDIP4CQPD4eBQCwAEcMPglBCAq +ADTg2jD7CgAgARBoMFrKw2iuFfo8AAAAEFgw/CIAIAEQaDBayr1prukpUhPBMPgh6hIDAEzw8goA +ICoANOCKi8ylYAAeiqvJptsg/AoAIAEQaDBazr/6IeAQAgIQsHMj4ylSE/IKACB9ADZgKHJ1I1Lq +qCgJiBEIMwgqMgcqrBBa0RmMN/36wCBAAlsw+hIFKgBAbvD2xRQggAJa8JvJK8YIJjYJ9jYKICQQ +SDApNAXwCgcAQAJY8ABLYQBLYQBLYQBLYQBLYQBLYfpMAAIAAFiw/AoAIAEQaDBazp4uUhOxIn4j +hitSEvMKACD3ADbgEiTGKXJ0JFLq+CLbKAAgTPAJmRGpRP8CAAYAZ6YQKiLn/wIABgBiJpAsIvP/ +AgAGAFynEC0i//8CAAYAVydQKkIHKqwQWtDsi0f9+sAgQAJi8A3MASzMQJy4nLmKS/a1FCAUADag +gqtazAj6LAAP9wC0oBIkqopMDwIAZKAPgqtazAL6LAAP9wC0oBIkpIpNDwIAZKAPgqtay/z6LAAP +9wC0oBIknpZLJkYKLhIF9kYJICsQSDApRAXwDgcAQAJZMABLYQBLYQBLYQBLYQBLYQBLYfoSAyIA +AFjw/AoAIAEQaDBazl8rUhKxM/8CAAv/ilzQL1IR9AoAILMAN+AocnMjUuqoSAmIEagzijcqrBBa +0LmJN/v6wCBAAlJwC6oBKqxAmpiamYo59pUUIBEANqCCq1rL1fosAA/3ALSgijoPAgBkoAyCq1rL +0PosAA/3ALSgijvIq4KrWsvM+iwAD/cAtKCWOYwV9jYIID8QSDApNAXwDAcAQAJY8ABLYQBLYQBL +YQBLYQBLYQBLYfoSBCIAAFkw/AoAIAEQaDBazjEtUhGxRP8CAAv/qu0QHiFOlu8m5hCW7tEPAABs +EAQfJFv9IG0f/xBwMPYiVRABEFAwJyAiiCor0q4AcQQAqhr0gItsAEBasAwMR2TAvfC3F3IAAGLw +C8hC+HkMcAAQSDD5ZIAsAEB68A6oA/cgXBgAQEMwL2FQwLP41q4uAA1+0CkgDPpwwSYAUgJgf6cH +/wIAAABMhmArYU4PAgAPAgB7txApIAz8cMEk1AA+YH/HAmiRX/osAAIAAFjw/EwAAgAAaXBYAGHS +oNEPAAAAAMDA8LcXcgAAevALyEJ4eQwfJCvAgPhkgC4AQH7w/qkDAgAAWPD5+QECAABRcCnWrlgs +JMAg0Q8AAAAAAADz/8FvuRBgMPosAAIAAFjw/EwAAgAAaXBb/M5j/4kAAPosAAIAAFjw/EwAAgAA +aXBb/olj/1QAAGwQBI8ywNH6XAACAABY8PMgIR5RAXwwIiAiLDKuACEE8N0aAgAAczD8CEcOAA5v +EP8CAA4AXkNQibIs+v8M3AP87AEAAFY+UAxYUvj/DA6wBDvgD+w5/yH5EHQAwzCJsvlEUgBgAIpw +CXhQ9C4RCNAEOiD47gIJAAQ5IP4j9BgJAHIwCMwCDswCDA5H9PSAIGgAN6AM3AL8XlIIHwFgMPw2 +riAaAEMw8AAHaEwBZDAAwJ/xiBAMHgFkMPzwgCwgBD9g/+4QDAkAR3AO3QL4nhAMwAQ7IA7MAg3M +ApyyKPIh+LYDIAAQYDBYK9jAINEPAPP/9G+5EGAwf5aYGSL08/+SbABASzAAAGwQBIgiwnr2IVEQ +0QC2IAIqAlgUiGWgwxwjzP0gDCADEFAw/iANIBAQWDBYLpWMJy3JFBchi/kiAiBAAlsw+sIJIGoA +N2BkkHz/CiogYQA2oC6gABghf/yiAiAUCHuweMFdd8FC2rD8CgIgABBYMFrVThshfJagjCCXopOl +9KQcIAIQaDD1pgYgABBIMPumBC2ABDsg+aQdLAkAazD8pgEiAABRcFgrz8Ag0Q/Jltqw/AoCIAAQ +WDBa0VJj/7MAAHfJrmP/4dpQ+zwAAAAQYDBYK5zaIFgjBdKg0Q+MJw8CAC3JFPTQYGBAAlMwi8lk +sFYusAB36QgYIVYvsgJ48UD7CgAgAhBgMFrVJx0hURsjj5agjCCTpSSkHJWmm6T9pgIv/xBIMPjM +EQACEGgw+aQdLAkAazD8pgEiAABRcFgrqcAg0Q8AAAD7CgAgAhBgMFrRLGP/tAAAbBAEHh+MKCAN +/OKuIBsANiD6LAACAABY8PxMAAIAAGlwW/+h0qDRD8CwiTP75rYgADAuYC0gIh8figjdEQ3NAg/d +AhgffSiCMi3mrvoyAifQEEgwCYgoGSNoK5bw/IgRAAEQSDAJiAIo5rcv4rcL5DEBAgAt4rdm0A1t +CAUv4rdm8ARj//MAAFpVbcAg0Q8A+zwAAgAAUXAYH9QIyAL45q4gABBgMFgrUcAg0Q8AAABsEAQq +MAMKOhRuqRDaUPs8AA/qEGAwWCtJwCDRDxgjSwioCoiA+zwAAgAAYTD9XAACAABQsAuAANKg0Q9s +EASMMPwJQgIAAFlw+jwAAGMANmBokVv+Iz8SxgI6YB0fUPrGInIAAEswD+owiDKJMw2MAizmfCnm +fSjmfAzqMIkwD8wMYAABwMB5lhoI6jCPog35AinmfCnifZmjL+Z8D+owCP8Mr8zA0FgrXNKg0Q8A +AC362vP/8GAAEGAwxtrz/+ZgABBgMAAAAGwQBtIwiiD6CUIAARAwMPNcAAA6ADZgaJFH+JIWb+oQ +aDAsCgD6LAACAABY8FgrSNKg0Q8E6jB6rjp5rlXA0MCADOowBMwMDYw6Y//XCeoweaYUDOowCcwM +8//Ib+oQaDAADuowLSAIxtrz/7dgABBgMAAAACogCCsgCSwgCv0gCyomAVAwWCaDZqBjiiDTD3mm +qSUgCSogCCsgCisUAPsUASomAVAwW+qkZqAy2xD6Cv4gARBgMPpaAQABEGgwW+nlZqAasRv2WgIA +ARBgMPoKRwABEGgwW+nfZqACKhABZqAMKiQLY/9TAAAAAAAAAPP/S2//EGgwbBAGijKIMBke+v0g +CBC0ACYw+CDQGgBJVlAOqhH5+v8gERBYMG26B/mG1CAIAkIwEiDJDasCKya9GiLWKia8WAVM96wA +ACwANqD6PAACAABZcP18AAAAEGAwWCr/0qDRDwAAAAAAAADz/95v6hA4MAAAFCC4FiLILCLw/DQQ +ICICEPDaIP1C7yIAAFhw/RYAIAQQYDBYI5AkTPz2SeRwCAIQsGP/owAA8/+eb+oQODBsEAYZIir7 +MAgv5xBgMPIKASAUEHAw+ZCZIbACUnD6vQgEAH8S4C3Q3MD+/Q1EAACTclD9GocgABBYMNMPbeoP +L6FwDP8B/fEIcAQCUrCxu8e7GR7I/wIACgBLluAokoUAsQQAKhoKiAEIKDllgJeJMP366iIAcypQ +/wIAADAQWDD/AgAAAEImUCowCCYwCyQwCSwwCvwUACoAd7bQW+o89qBlYgAAarDbEPoK/iABEGAw ++koBAAEQaDBb6Xz2oEhiAABqsNxg+zwQKgkAETD6CkcAARBoMFvpdfagK2IAAGqw8AAjYAAQaDAA +AAAAAAD8ksEh4AJy8ADhBAAtGg3MAQwsOWTPZ8bd2jD7XAAAABBgMFgqpdKg0Q8AAAAAAAD/AgAD +/4fyUP8KFCBAEGgw+woALAkAbvDTD236Dy6hcAzuAfqsAif/hfdQsbvz/wFv+xBYMH/Zz2P+1yow +CCswCSwwCi0wEFgl4fkKASAAEEAw+vpQAgAAarAKmDhkj4iJMGP+8wAAAPP/fW/qEGgwbBAEKjEE +CvlADOowiDAbHtf9CgAgAE2mEGSQSP0eYBAATB5gCglODZkCKbbFKLLGLzEFCP8CLzUFLrLKnjMt +ssmdNCmyyJk1KLLHmDYvssqfNy6yyZ44LbLInTkpsseZOmAALgAKD04vtrPAgP6ytCIAAFDw/jYH +IBAQSDBtmhL7iQoABAJSsPmStSACAkIwKaUPCOow/IwMAAAQaDD6PAACAABZcFgqXNKg0Q8AAADz +/+pgABBgMMba8//gYAAQYDAAAGwQBPo8AAIAAFlwDOowiDD6hjJwSBBoMCkwA/2ZMXAQAkDwAgiL +ARBj8BYAADACePAAD2EO6jD87AwAABBoMFgqRdKg0Q/A0PP/82AAEGAwxtrz/+lgABBgMAAAbBAE +Fh4b9DA7a7AEOKADqAIoZsEkZsIbHhn9CjIgARBwMPoeFRAAEGAw/GbDIAAQeDBazqn2oFJiAAAS +sMCTKWbDYAAKBKwCLGbBwLorZsP7HgsQABBgMPoeCBAyEGgw/goBIAAQeDBazpv2oAxiAAASsC1i +wi1VANEPwKT8HgEQFBBYMFgstNEPAMCk/B38EBQQWDBYLLDRDwAAbBAEFh309DA+a7AEOKADqAIo +ZsEkZsIbHfL9CjIgARBwMPod7hAAEGAw/GbDIAAQeDBazoL2oFNiAAASsCVmwsCRKWbDYAANBKwC +LGbBJWbCwLkrZsP7HeIQABBgMPod3xAyEGgw/goBIAAQeDBaznP2oAdiAAASsNEPAMCh/B3gEBQQ +WDBYLI3RDwDAofwd2hAUEFgwWCyJ0Q8AbBAGiTAkMQTAh/8CAAgAqUoQHR9IBAdE9HE5ZIgBIDAu +0nwt0oIsCoD54hEAABBYMP0iCAAEEEAwbYobLyAh9PkNcgAAUvApIhvIkoiazYP8IggAAgJasK6i +CSIR8AAKYgAgE3BotAJkcYzAkYsw+rY1cAAQMDCZEwbqMGSQ7fwxBSIAAFEw/TEHIgAAWfBb/7WJ +E4swmhAI6jAGhgxgAAYAAAAAAAAA/RIAIABfJtAK6jD6FgIg+QA2YPIdnxuwBDkg/DEFID0ANeCE +EgerAismwSwmwhsdm/0KMiABEHAw+h2XEAAQYDD8JsMgABB4MFrOK/ahK2IAADqwwMMsJsNgAAyE +EgyuAi4mwcDaLSbD+x2MEAAQYDD6HYkQMhBoMP4KASAAEHgwWs4d9qDjYgAAOrAvIsIvNQfdcAjq +MASIDKhm2jD7XAACAABhsFgpnNKg0Q8pMQX/AgAN/2JCYMba8//eYAAQMDBj/9YqICRkoIwsIhuM +ypkT/BYBICoANyAESgL4EgEiAABZ8PwxBSAcAmjw/jEGIAEQeDALgACJE4swYAADACr62poQY/7n +KSAkZJBRKiIbiqr6FgEgKwA2oNpA+BIBIgAAWfD8MQUgHAJo8P4xBiAAEHgwC4AAhBLz/1ZiAABq +sIQS8/9Mb9oQaDAAAAAA8/5xYAAQSDDHr5oQY/6QAIQS8/8ub/8QaDAAwKT8HU0QFBBYMFgsAGP/ +FsCk/B1IEBQQWDBYK/xj/wYAbBAEFB6YpCIjJoAiIoHRD2wQBBUelKUlI1aAJFaB0Q9sEASJMPse +jxhAAUww+iEpEF8ANmBokVv6ISYSvAI6YIkw+pYfcAAQYDAN6jCIMo4zq68o9oAu9oEM6jCJMA3M +DGAAAAB5lhYN6jCPMquuL+aALuKBnjMJ6jANmQypzMDQ+jwAAgAAWXBYKUDSoNEPY/+sABohEWP/ +pcba8//hYAAQYDBsEASKJyipFBceyPkiAiBAAlqw+qIJIGoANiBkkHr8CiogYQA2oCmgAP0euxAc +CGJwjKLTD33BWnfBQNqw/AoCIAAQWDBa0ooeHrkYHnKYoI8gl6KTpSSkHPWmBiACEEAw9qQdL4AE +P+D+pgQuCQBH8P+mASIAAFFwWCkMwCDRDwDJlNqw/AoCIAAQWDBazo9j/7R3ybFj/+LaUPs8AAIA +AGGwWCja2iBYIELSoNEPAGwQBBYgExgdVAgjEPUc7BIJAETw82b/I+gQEDDTD20ICbAiyCspYv95 +UAJj/+3AINEPxyvRDwAAbBAGiDOGMBceSfQyAiIAAEkw9gZCAgDShhCIIpkQ/R7EEeQAtiBkYVH/ +AgAAAK4FoP8CAAIAsYGg+9B9IioCPaAq0T8AsQQAqhr/AgAKASlREPosAAACEFgwWCce9qIdYgAA +OrApMgAPAgD/AgACAFMqUP8CAAIAZaZQ22D6LAAAABBgMFgoVvYebh+NEGAw+SICIA4EYrAHpzgs +IgctyRQrzCD6wgkgwAA3YGSRIf8KKiC4ADagLqAAGB5d/KICIB4Ie7D/AgAGAHPHEHbBP9qw/AoC +IAAQWDBa0isZHlmMEB0eEp2giyCWopOllaYnpB0spBz4uxEAAhBgMPmmBCoJAGbw+6YBIgAAUXBY +KK3AINEPAA7qMNog+2wAAgAAYTD+HW4QIAJo8Fgm/vagt2IAADqwD+owiTD/AgAB/56mUAjqMPxM +AAIAAFCw/TwQIgAAWbBYJ0T2oJxiAAA6sAnqMGP/FAAAZJBl2rD8CgIgABBYMFrOG2P/YSrSIP8C +AAv/U9UQYAEWK9Ij/wIAC/9Q3RBgAQgALtB9LNE/AOEEAMwa/wIAC/9I5RBgAPAA/wIAD/+UsxBj +/1TaYFv/gtyg+zwAAgAAUXBYKFbAINEP2lD7PAACAABh8FgoUtogWB+60qDRDwAv+o3/AgAH/6N+ +kGP+hAAAKPqN/wIAB/+wxpBj/nSMJynJFPSQYWBAAlMwi8lksFcssADC2n3JBx8eBI6yf+Gk+woA +IAIQYDBa0dWMEBkgRh0d/pegiyCdopOllaaZpPykHC//EEAw+LsRAAIQYDD4pB0qCQBm8PumASIA +AFFwWChXwCDRDwAA+woAIAIQYDBazdpj/7TH5PcdqxEECHKw+iwAABwQWDD8CgAgIBBoMFrUHWP/ +ZwAA8/3Xb+oQODBsEASJMIQy+p49cAAQEDB5nhj6PAACAABZcPwsAAAAEGgwWChN0qDRDwAA2kBY +LThYLU4J6jCKQJozCOowCYgM8//OYgAgQLAI6jCJM5lAAuow+CIMAgAAUTBYLS1YLUOJMGP/qQAA +AGwQBIInJikU8iIJIDQANaD4CiogLAA0oCcgABodxYki/SIFIDgIQfB6mRSdMIsmLCAcLEQA+1YA +IAAQEDDRDwDHItEPbBAG+iwAAgAAWHD8HAQgEAJocFv/6osQZqA1KTwQ8gkWACACUvAASmMACYYA +SmEJ6jAosQMsOQEaHEYImTIMmQwKmTYAmRH4tgEoCQBKMJix+iwAAAAQWDBaVFnRDwAAbBAEFx2v +Eh2KJnLVJHITAjIB+HLrKgAHMJCmRHJLBMAg0Q8ACSIRooLRDwBsEAQXHaQSHX8mctYkchQCMgH4 +cusqAAawkKZEcksDwCDRDwkiEaKC0Q8AAGwQBBQddRIdcCIifwQ0AQlEEaQi0Q8AAGwQBBQdXiRA +gAMCQwAgBAQEG/gcGhAQAP0wwCDRDySChCiCiqQiCSIRooLRDwAAAGwQBPOKQgCAEEgw+TkBAgBq +4NAlrQElXID/AgAOAGeRYPSQ92hIARgwLSAMKiANFhyJ9x1ZHgBzQ1AuIFErIFD/YoQswAEYMPSg +qGoAIHbw99gIAf4CGvD7YnsgoAA2oCiA3aqIqLgJiBGo/y/8gInwC5kMecEP/wIACgBQ9xD/AgAK +AEybEBMb8WRARvtieyAuADag/2KEIHMANqCn3S3Q3ardrb0J3RGt/S3cgI/QC/8M/8kIcAAQWDBg +AAwAwNH+ywwKBQBTcKurKiITWslxZKBHKDKDImKEpYgJiBGoItEPAPP/OWTAARww8/8xYgAAKrDz +/39iAAAa8Clieq2ZCZkR8/9nbgAgT/AoMoCtjQndEfP/lmwAIG/wwCDRD2wQBPOKQgCAEEgw+TkB +AgBu4NAkrQEkTID/AgAOAGuRIPSRAmhIARgwLiAMKiANFRxB9x0RHgB4w5AvIFErIFD9UoQswAEY +MPSgsGoAIH7w9+MIAf4CMvD7UnsguwA2oCMw3aozo7MJMxED0wgjPIAoMgALiAx4wRr/AgAKAFV/ +EP8CAAoAUTMQ+1J7IDUANqAtUoT0oGxoACA7sCiA3aqIqLgJiBGo3S3cgInQC5kM+ckOcAAQWDBg +ABIAAAAAAAAAwNH/ywwKBQBTcKurKiITWsko+BuWEE8ANqAogoMiUoSkiAmIEagi0Q8A8/8xZMAB +GDDz/yliAAAisPP/eWIAADLwGRuKKZKArpkJmRHz/5hsACBPcCNSeq4zCTMR8/9NYgAgH3DAINEP +AGwQBCQgDchA0Q8WG30YHM/zhUIC4AEcMPJiiiAcADTgqFgogN0kYoGjiKhECUQRpCIiLIDRDwAA +KWKApZkJmRGpItEPbBAE0Q8AAAAAAAAAbBAEFBy2I0K8FR0XBTMBAyMCI0a80Q8AbBAEEhywIiKQ +0Q8AbBAEFxxwpycjdoAmcoEjdoDHjwhIAwhmAQZWAiZ2gdEPAAAAbBAGy0QoIAAPAgD4QR9wABA4 +MNkgbQgS9IEcYAICOfAokAH4QQdwAgJKcGP/5qJ0JEwB8kwAAhsANSAWHvgqYAD0CgAg5gA2oG0I +DCVgAbFE9FAHYAICMbBj/+xkQMsnIADTDw8CAGRwwP8CAAAAsSUg+AoALgCtUdAZHugIRgz/AgAA +AgJCMP8CAAAAT4Wg+ZwBIgCTIaD6kAAqACAWMCuwAPhGDAGyBFqwerMP+6MOcAEQIDDwAAZgABAg +MMdPZEBnFh7XKmAA9AoAIF4ANqBtCAwsYAGxRPTAB2ACAjGwY//sZEBD/wIAAADCpSD4CgAuAL66 +kBkeyghGDPhhKmACAkIw+ZwBIgCnoaD6kAAqACAWMCuwAPhGDAHCBFqwerMc+6MbcAEQIDDHz/w2 +ACAAEBAw0Q8A8/73YAAQIDDHT2RP5RYetyxgAMCA9IwAAF8ANyBtCAwtYAGxRPTQCGACAjGwY//s +AGRAQ/8CAAAAzCUg+QoALgDIOxAaHqoJRgz4YSpgAgJKcPqsASIAsyGg/KAAKgAgFnArsAD5RgwB +wgRbMHyzMfvDMHABECAw+DYAIAAQEDDRDxoemKKL+7AAKgAgUjAqoABj/t0AAADz/tdiAABZ8AAA +x09kT9AWHpIsYAD0CgAgXwA3IG0IDC1gAbFE9NAIYAICMbBj/+wAZEBD/wIAAADQJSD5CgAuAMw7 +EBoehQlGDPhhKmACAkpw+qwBIgC1IaD8oAAqACAWcCuwAPlGDAHCBFswfLM5+8M4cAEQIDD4NgAg +ABAQMNEPxirRDwAAGh5yoov7sAAqACBSMCqgAGP+tAAAAAAA8/6sYgAAWfAAAMdPZE/IFh5rLGAA +9AoAIF8ANyBtCAwtYAGxRPTQCGACAjGwY//sAGRAQ/8CAAAA7CUg+QoALgDoOxAaHl4JRgz4YSpg +AgJKcPqsASIA06Gg/KAAKgAgFnArsAD5RgwBwgRbMHyzMfvDMHABECAwwMH8NgAgABAQMNEPHB5M +opv7sAAsACBicCzAAGP+nQDz/pliAABZ8AAAx09kT9AWHkYuYAD6CjkgLxBIMPQKACInADegbQgM +LGABsUT0wAdgAgIxsGP/7GRAkf8CAAAA0KUg/AoALgDMu5AdHjcMRgz4YXtgAgJjMP3cASIAxaGg +/tAAKgAgFzArsAD8RgwBwgRbsH6zMPvjL3ABECAw8AAnYAAQIDAAHB4mopv7sAAsACBicCzAAGP+ +mQAAAAAA8/6RYgAAWfDHT8pCmDAoIADAQPsKACoAo0JQ/wIACgDBxpDcgPAAv2GgAiswZOF39h4W +EAAQIDDTD20IDCxgAbFE9MAKYAICMbBj/+oAAAD4NgAmACAVMC1wAPQKACDTADdgKApg+wpGIGYQ +YDBtCFAMRBGUMCJwAHKbC3KjCPAAMGGgAhCwAPKLFHBAEHAwcsMM8AAcYVICELAAAAAAAP8CAAv/ +AxOQ/wIAC/7/FtAiLMmiRJQwL3AB9PB0YAICOfBj/6gcHe+im/uwACwAIGJwLMAAY/5c8/5ZYgAA +WfD1zNAqABFGkARECvVECQACAlrw9DYAJgAgFvAocAD4k95yAABiMMqIKgpt+QpLIE0QWDD4Cmsi +AAASMHghSXkhVnohYHshbSJwAfUv7WACAjnwwID4FgAiAAASMNEPAADz/pBiAABZ8B4d0KLL+7AA +LgAgczAu4ABj/ngAAGSP0fP/pGIAADiwLDroDEwo/DYAIAAQEDDRDwZNEf02ACAAEBAw0Q8eGjUO +Tij+NgAgABAQMNEPDE8Q/zYAIAAQEDDRD2WPumP/iZgwJSAA9AoAL4EANWDz/qpiAAA4sAAAAGwQ +BCYgANMPDwIA9jEfcAAQODDYIG0IEvRgGGACAjnwJoAB9jEHcAICQjBj/+aicrEi0Q/AINEPbBAE +IyAAAiQC8goAIBcANOBtCAwoQAGxIvSAB2ACAiEwY//s0Q8AAGwQBMAh8jYAIAAQEDDRDwBsEAQi +CsjRD2wQBBUbeBYZlPAACWAAECAwsURoSSkjUrx8Nx8D6jAiYjGjIgjqMAgoDGqB420ICAjqMAgo +DGqB12P/8MAg0Q/HL9EPbBAE8xzmEP4CELACMhQDIgEiLBDRDwAAbBAIGx19Hx0VFB18jDEdG2kp +IAQnIgAo0m8t0nn2+vgv/xAQMPh4DAofAWAw/XcMAdoCSnD5hzgAAKmDEP8CAAAAVYcQxkraUPs8 +AAIAAGEwWCVXwCDRDxgdahodZxkbSrg7C6g5KJa8W//OZKKEZqI3Hhz6GhtPKREALuF+KqKPCS0U +/t0MAgAAWfD9FgMtcAQ/YP2qCAAAEGAw+hYCIAEQaDBaxw+PEijxAy/xAi0RAP4SAyA4CEPwGRsz +KpK9Gx1RC6oBCtoCKpa9GB1PKJa8wKX8HU4QBhBYMFgoA4oU+x1FEAAQYDAsFgH9HNwR1AA2oC3R +f/obJBIAAGDw0w/+ChEh5wA3YG3qHfYxDHAAEGgwekIEjcVj//8tpsAszPzyptQgCAJSsB8dNRgb +Fik8CAm/OS+GvFv/mvSsAAFVADag+R0zEABILSASGxooEQApkeoiIo8IKBQJiAwHiBGoIvosAAIA +AFnwWscCZa7V+iwAAgAAWfD8CgEgARBoMFrG2GP+vwAAAAAA/wIAAf9ahxAs8X/6FgQiAABo8P4K +ESEHADcgHBr4DwIA0w/TD23qHfYxDHAAEHAwfEIEjtVj//8uxsAt3PzyxtQgCAJjMJoUY/6AAB8c +oS/xf2TxRfga6RIAAFCw+QoAIBEQWDBtugophsD6htQgCAJCMBka4hgc/yiWvFv/ZvSsAAD6ADag +wJBlQE4pFQAaGtuONS6mwP4c/BH4AmjwjdUt5sD9HPoR8AJg8IzFLNbA/BzvEegCWPCLtSvGwBga +zyqCvRsa5wuqAQqZAimGvR8c8C+GvFv/UNSgZk3cHxx8EhrRKREAL/F+IiKPCS4UD+4MB+gRqCIt +IQMsIQJ9wT7z/bZvuRAgMBoavCqi5X+nHX6nGgrLFCsVAGP+mYoU+xzTH7kQYDCcEWP+MgAAAPP+ +hG/0ECAwhBFj/X0AAAD9nAAABRBQMPwc0xAGEFgwWCeD8/6AYAAQIDAAAAAAAPP+VG/qECAwGxql +K7Llf7esfrepC8wULBUAY/1lGRqfKZLlf5cKfpcH8/78aewBTDDHRPP+8mAAEEgwxkrz/uhgABBI +MAAAAGwQBikgUCggKwMKRfkJQwAQEFgw+SRQKAkAWjD4JCsgyAA2oMCw/frwLwAQYDBtCA16wA0K +ihT0oB5gEAJa8GP/63rQDQpKFPAAB2AIAlrwALG7ChoUZa/3KiAiLSBOLiBP8LEEAAEQYDAAzBr7 +ICMiAAB48FgFpfagYWIAAEqwHRiSJyAiLdDBJiAjJSBO9CBPIJQA/3D6FgAgABAYMAAwBAUOG3/n +DNpw+2wAAgAAYPBb4cGxM2k45MAwADAEBA8bf/cM2nD7bAACAABg8FvhNrEzaTjkiRDSkNEP0pDR +DwAAAAAAAADz/21gABBYMGwQBMAg0Q8AbBAEFhh7+hx8EQAQQDD7HHoQgBAgMPY2AQAAECgw9kU5 +AGQAQPApICL4WAIJIAQ+YPqZCAngAUAwGhm/qpkqkoAr6n8LqgEKiAL4loAgABAQMNEPKiAiAqoR +q6obGberqimigCvqfwuZAQlZAvmmgCAAEBAw0Q8AbBAEGBhV0w8ogMH/jzxwABAwMABgBAQJG3+X +DNog+zwAAgAAYbBb4YixZmlo5MBgAGAEBQobf6cM2iD7PAACAABhsFvg/bFmaWjk0Q8AAABsEAYp +IR0vGgj6CgIhAhBAMPqaAQAQAHJwYAAGAC8aCAqPOSsgIy0gTiogIv4gTyygAXgwWAVF9qBeYgAA +SrAbGDInICImICMrsMElIE4kIE//v0RwABAYMJoQADAEBQwbf8cM2nD7bAACAABg8FvhYrEzaTjk +wDAAMAQEDRt/1wzacPtsAAIAAGDwW+DXsTNpOOSJENKQ0Q/SkNEPAAAAbBAEKyAj9CwAAA4QYDD6 +CgkgFgA04HqxHmi6G/yxGHAAEBAw0Q8AAHqxHHyxGfi6FmAAEBAw0Q/6TAAAARBYMFgAB9Kg0Q8A ++kwAAAAQWDBYAAPyrAACAABRMFgKVNEPbBAGKSAsJCAiGhf/+yAjIjAAOmBokRBokw1olgpolQfA +INEPAAAAAPigwSAOEHAw8EEEAAEQeDDw/BoP/xBoMP3MAwBIAHIwGhjLKaAg8DsaCABAZnALmQL5 +pCAgABAQMNEPAAAAAAD4Cg0mAFZ20P365yYAYkbQ/hf7H/8QEDD7CgAgIBBgMPxMAgAUEEgw0w9t +mg8ooXANiAH4wUFwBAJSsLG7Kfr7KuLAwdP53QwAARBAMPDRBAIFAB4wADsaANEEAPwaAswDDKoB +C6oC+ubAIAAQEDDRDwAAAAAAAAD/u8RiAABK8CjihMDB8LEEAgUAHzAAOhoAsQQA+xoCuwMLiAEK +iAL45oQgABAQMNEPAAAAAAAAAPsKoCIAAFEw/goPIAAQaDDz7TkAVhBgMFgfKtKg0Q8Axf3/FAAi +AABRMFvjT2agLfscAACgEFAw/AoBIAEQaDBb4pBmoBf7HAEgoRBQMPwKASABEGgwW+KLZqABwKBm +oHQoEAF5h24pCm75FAAiAABRMFvjPWagLfscAACiEFAw/AoBIAEQaDBb4n5moBf7HAIgoxBQMPwK +ASABEGgwW+J5ZqABwKBmoCwtEAIvCr/6PhEMAEB/cP7dAgIAAFEw+wqiLOABbDD9FAIgbhBgMFge +/dKg0Q/SoNEPAABsEAYeGukPAgAPAgD44JkgQBBQMP8KDi/nEGAw/RqHIAAQWDD+7NggAEJyEPrs +AAAUEEAw0w9tig8poXAMmQH9kQlwBAJSsLG7K/r7GReJ/7sxYAEQUDAskoUAsQQArRoNzAEMrDnP +wSjgwQ8CAHyPAn85e/8CAAIAZgEgxirRDwAAAAD8ksEh4AJC8ACBBACtGg3MAQysOWTPzCkRAvlW +AC/tEBAw0Q8AAAAA/wIAABQQQDD/AgAH/7p80AotAvsKACIAAFOw0w9tihcpoXAMmQH/AgAABAJS +sP8CAAf/t09Qsbvz/2Rv+xBYMAAAAADFrCoUAfoUAiIAAFCwW+LjZqAu+xwBIKAQUDD8CgEgARBo +MFviJWagGPscAiChEFAw/AoBIAEQaDBb4h9moAIqEAL7EQIg5gDmsPtWAC/DEBAw0Q/CwgwsCfwU +ACD/EFAwW+LO9qBHYgAAErD7HAAAoBBQMPwKASABEGgwW+IO9qAsYgAAErD7HAQgoRBQMPwKAiAB +EGgwW+II9qARYgAAErAtEQL9VgAgABAQMNEPLRECnVDRDwAAALBI/wpmIGgQcDAI/jj+FAAiAABQ +sFvis/agR2IAABKw+xwAAKIQUDD8CgEgARBoMFvh8/agLGIAABKw+xwEIKMQUDD8CgIgARBoMFvh +7PagEWIAABKwKREC+VYAIAAQEDDRDykRAplQ0Q8AbBAELDAI+DIAIgAHDyBqwQZ5jh/GKtEPaMME +xirRDwCJMHuW9fsyAyIAAFCwW/7y0qDRDyogIvsgIyAYAmjwW/9r0qDRDwAAbBAO/RhuEA4QYDD5 +GlAQBxBQMP8K/yAAEDAw/tJ8IAEQWDD4kJkgCBAoMP3SgiIAACCw/kMID+cQEDD1hQEDkAQ84P0z +CAIAjnIQLTAjLxQgLxQhLxQiJhQjJhQkJzAs+TAjIA0QQDD+MDUgEgRjcHjRAdtg/DA2IA4QaDD+ +FhEmAJ/uUP4KACBAEEAw/QoBIAAQSDD12TgCAAB6sP0Wxh4FAE0w+AoULgkAR/Btig8o0XACiAH4 +8QhwBAJrcLHux+vV4PkayBAAEGgw/RQkIAAQQDD4FCMg/xB4MC8UIf6SACBQAmhwntCJkZnR/hbJ +EP8QeDD9Cv8qTgC9YABRBPnihSABEEAwAIgaLRQi+AoBKABARnDwACloBQBOMAAA+eLBIeACQXDw +gQQAARBAMACIGvgKASgAQEZw/RQiKAUATjAtMCxkkM77FhMgHxAoMPoWEC4AaatQ9jRIIAAQEDDR +DyYUJCYUIy8UIi8UIS8UICcwLPwwNi+QBD+g+DA1LgAgf3D4FhEgNwA1ICvwNSrwNinwLC/xHy81 +Hyk0LPo0NiABEBAw+zQ1IMoIOnB8qV17iVrSYNEPAAAAAAAAAPgagCD/EEgw/goBIAAQaDD17TgC +AAB6sP1POQAAEHAw/RZ2FAUAKnD4ChQuCQBH8NMPbYoPKNFwAogB+PEJcAQCa3Cx7i76+/P+uGIA +ACuwwKEqNC7RDwDB7/8CAAYAk3dQwCDRDwAA/xQgIAUQUDD8Gm4SAAA68P1MAAAYEFgwWCUZ2jBY +CK0rMhsmNDUlNCyLs8i22jALsABgAAHAoPo1HyABEGAw/DQuLu4ANeAaFlLTDy2gwfkKASAIEHAw +/t0BAAAQQDANmDgtEhD7CgAv/xBgMP8aQCwFAEUw/90CABQQcDBt6hAvoXAC/wF/0U77vAEgBAJS +sMebGhZWKKLA8hcYEBMQcDAJ7gwA4QQAaxrw4QQAARBoMADdGv8K/ywRAGdw9jRIKABAajD/JCEo +CQBaMPimwCAAEBAw0Q8A/7u3YgAASvAaFkMoooQSFwUAsQQAaxrwkQQAARBoMADdGv8K/ywRAGdw +9jRIKABAajD/JCEoCQBaMPimhCAAEBAw0Q8vFCAqMEj8Fg0hzAA2oC4wR7Gv/zRIK/9j05D6CgUg +GBBYMPwaIRIAAGkwWCTOJjRIJjQ29jQ1IgAAUTD8CgAgoBBYMPkKASBgAmhw+TQuIB0QQDD4NCwg +ARBwMFgHF/oWEiABrK6gKhAw/wIAAgDPBqDAvXuhIhwaDf1MAAAeEHAw/jQsIAIQUDD+EDAgGBBY +MFgktMYq0Q8AwCH8HCgiAABRMPwsCgCgEFgw/BYOIEACaHD8wAAgARBwMFgG//oWEiABfK6g+goQ +IAIQWDD/ECAhQQA0oPIWDyAAiPfQ+zQsIgAAEvAtECAuCv9+0REvMCPAjv8CAAYBD8fQwJgpNSDB +rf8CAAYAj9SQLBAhLQr//wIABgCx7xDB7fYSDiYAt/SQ2kD7CqAgRgJocPxgASABEHAwWAbh+hYS +IAE/rqCPHysQI9MP+zQ1IA0AN+ALuAoPiBEoNDUiMCwbGdUqMR8pMSALqgH6NR8iGgA6YGiYDmmb +EWAACCwaAAyqAio1HwmtAi01H3J5EI8dLjA2f+kIKRIRKDA1eYE1HBnGLxAgKRAhmRAoECOYES4Q +Iv4WAiIAAGkw+xAkIAQQUDD7FgMiAABxcPIWBCAYEFgwWCRlwCHRD2S+NPswIyIAAFEwWB47KjBI +Y/4jAADyFg8gIgB78MDBLDQs8/7qYAEQEDAiMCxj/t8AAAAAAPP+jGAAEBAw8hYPIACDb9ArNCzz +/sRiAAAS8I0fZd7f2kD8CgYgoBBYMP4KASBCAmhwWAafwLL6FhIgALquoC4QIf8CAAAA44eg/wIA +AgDfg6D/AgAIANuDoCIwLMGd/wIAB/9OzJArNSBj/pIqECAsCv//AgAP/0vikCY1IGP+jAAA2kD7 +CqAgRAJocPxgAiABEHAwWAaG+hYSIACKLqCOH/sKDCALEFAw/QoFINIAN6AsECIMTBRvyWZoyGPA +0v00LCACEBAwwe3/AgAH/0F0kCxgA/sKoCIAAFEw/goBIEgCaHBYBnL6FhIgAGIuoCgQJCg0NS8Q +JP8CAAAAVIfgb/QzwKoqNDZj/kAqNSBj/eN69y3AwSw0LPP9vWABEBAwaMlsesFpbsonLTQs8/+W +YAUQEDAAAG/2JMDrLjQ2Y/4JefcpwPYvNCzz/YxgBhAQMCIwLGP/bgAAAAAAAAD/AgAJ/vST4MCc +KTQ2Y/3cePcswMMsNCzz/V9gAxAQMCkQIguZAWiUa2iYdSIwLGP/NcCkKjQs8/8tYAQQEDAAIjAs +Y/00wLkrNDZj/Z4AAPISEiIAAFDwW+FaHBk8LxAgLRAhnRArECObESoQIpoS+RAkIgAAcXD5FgMi +AABpMPgwLCAYEFgw+BYEIAIQUDBYI9nRD8DkLjQs8/7KYAQQEDAtNCzz/r9iAAATcCs0LPP+SWAC +EBAwbBAEHBVfLcKELMKKo90J3RH2F18cACBrMC7ATi4kCi3ATy0kC/zAIyAAEFgwmyQrJAErJAIr +JQQrJQMsJAMjJAAdGI4DCkcCqhGtrS7dBI7gFRiL/90ELgBAK7Ce8CzdBIzAHhUE/d0ELAkAczCc +0BsVX6uqKaKFGxVE+BiCGAkAXnAppoUlIACoVSVQ4CMKAP0KCCAgEEgw9yAKIHQAeXBt2jIAMAQH +Dht/5ycDGkDzCEAL4AQ6oPMlFAgJAFIw8lURCYAEOiCoVaZVL1KDCf8CL1aDsTMZFObzCgAgCBBY +MG26OAAwBAcMG3/HLQMVQPMPQAXgBD1g8y4UDgkAL/Dy7hEPgAQ/4K/upu4t7QSN0P7tBCwJAE9w +neCxM/QlAiAAEBAw0Q9sEAbzICMgDhAoMPcKDSAAEGAw9CAiIAkQMDD5Ch8mASG00P8CAAYBHazQ +/wIABgEZvND/AgAKARWA4CwkLP8CAAYBPyzQdjENdTEKdzEH/wIACgDImOAL6jAWGMXTD9MPKGLr +/wIACgFKxtAXFK8rZusqYur9cjEgABBgMFgj8CpwwfsWAiIA8PKQ/wIABgDsrNAaGLgbGFBawjAe +GLcvEgIqJEcrYhUaGLPAwP+7DAIAAGsw/rIHegAgUvAKrQIpcMEoYFgAQASYEQgIG/sKASAAg/4Q +xuf/CgcgCBBAMPiaAQ4AgkZQLGRYnxOGE/wKASAAEEgw+sk4AYAQQDD6fAACAAB5sPlPOQAAEGAw ++AoULgkAR/DTD22KDyihcA6IAfqsAiYAjUfQsczwARBv+xBgMLrKAKEEALoa+akBAAEQQDAJiTkq +9odlkIsJ6jAqcjENqiiqmQzqMAycDGrBCAzqMAycDGvB9sefKSRILXDBwIj42gEOALhHUMDA+QoB +IAAQQDD6mDgP/xBoMPoaQCYFAEEw+moCABQQQDBtig8ocXAOiAH3fAImAHrGkLHMx5ss8sDBgwmI +DACBBAC6Gg2uAw7MAQyqAir2wBoVMSkK/ymkISmkIvskLiIAAFEwW/1jwCDRD4wRAEEE8LgaD/8Q +SDAJiAP/FgMsAEBDMPxkWCf/dKzQwMD4CkAgABBIMPq5OAIAADPw+U85AgAAUfD4ChQuCQBH8G2K +DyihcA6IAfjxCXAEAlKwscws+vsfFFH58ocr/3aPIADBBAC6GvmpAQABEEAwCYk5KvaHY/7nKSQs +Y/3VGhXuGxfbWsG7HhhCjxIqJEcrYhUaFenAwP+7DAIAAGsw+roIDf8Z8tDz/itiAABqsAAAAAAA +AAD5zAAL/4eXICryhADBBAC4Gg2MAwyqAQqIAij2hGP/DIonLCEdiq76FgAiAABZMFv/AosQ9LQo +IAAQYDAstCkstCucu2P9XgD/AgAH/0gs0MDN/wIAB/9DZNBj/tstYuqx3S1m6mP9Y2wQBCMgI8BO +8hrKIAwEIPDRDyIK0NEPAGwQBCMgIhQYFhgVWQIzEaQzqDMjMoD7GBMQABAgMPo/CHIAACkwwCDR +DyogIgKqEauq9hP9GgAgQrAqooAZE/v6d0AKCAFQMPqVOQQFADmwBUQC9CUeIAAQEDDRDwAAAGwQ +BPMZQAgAARgwDpkR9BV5GAkASjDzJRQJgAQ6IPgiCAUgBD1gpSKkItEPbBAGHRTo/BYuEAAQUDD5 +CgEgCBBAMG2KMACgBAULG3+3JQobQPoIQAvgBD7g+i8UCAkAWjDy/xEJgAQ6IKj/rP+O+A3uAp74 +saoL6jAM6jAaE8vTDyqiMQuqCQysDPkWASAADScgbQgIC+owC6sMarEJY//wAAAAAAAAAPkWEBAA +EFAw/AowIAgQaDDTD23aMgCgBAQOG3/nJwodQPoLQA3gBD9g+igUCgkAbvDyiBELgAQ+4KuIqYgv +goIM/wIvhoKxqhcXxvAACWAAEDAwsWZoaEkAYAQEDht/5/H7GgAhABBgMPYYQAAyEGgw9g9ACeAE +OiD2KhQOCQBH8PKqEQ+ABD/g/6oIABQQcDD3qggCAAB4cFrENWSvs2ABAxYT/gIpEaaWK2KGKjzw ++AoBIAAQeDAKjziKEf4KDy8AEGgw/AoQIPAQODD/fDkKBQB7sP27AQoJAGKwC6oC+maGKgBABOAb +F58aF5/E1C5igRgXnvq/Ag4AQEOwD+4CLmaBHBebrJmIlA2IAviWBCq4ADzgGheXi5YKuwL7lgYq +0AA84BoXlPqWQCpWADzg+iwAAgAAWTD8XAAAABBoMFgALyxigC0qAA3MAvxmgCAAEBAw0Q8AKpZI +KpZQKpZYY//MwLDz/4RgABBQMIicDYgCmJwvkhQN/wIvlhQukhwN7gIulhxj/4uNngrdAp2eLJIW +CswCLJYWK5IeCrsCK5YeY/9/wKL8F3UQCBBYMFgiD8cr0Q8AbBAEGBbcAiMRqDgpjQSJkBoW2vqN +BCgAQFZwmaAljQSFUBkTUviNBCQJAE1wlYAUE62kMyIyhRQTkgQiAvI2hSAAEBAw0Q8AbBAEFhbM +FxWO9iYID98QUDD2YOAgCBBgMP0KICAAEEgw/goBIgAAQnDyCgAgAFH5kAXlOfXYOQIAAFlw0w9t +yjUAIAQDDxt/9yoCHUDyDEAN4AQ/YPImFAwJAGsw8mYRDYAEOyCsZqdmJWKDClUBBYUCJWaDsSIf +EyoVF0HyCgAiAABCcPv4OQAIEHAwbeo7ACAEBAYbf2cwAhxA8gtADeAEOyDyKhQKCQBm8PKqEQuA +BD7gq6qnqimtBImQBZkB+q0EKAkATjCZoLEiwCDRD/0KASIAAFlw8/+bagUAL3BsEAQYE24CJxGo +dylygRoXJQqZAil2gSZygCja//4iEQYAQEGw9naAIAQQGDD2FUwQABA4MG06JvITQAQAARQw8iMU +BeAEOOD0UwIFIAQ84PgzEQACAhCwo1OmMyc2gcAg0Q8AbBAI3nDzFgUqAcmFIPcTUhImAL0gyEvw +ABtgABBQMAAAAAAA/wIACAIeASD/AgAKAmIdIMCi/wrwIA8QWDACIxHzFgQiACA88CwygB0W/vQW +ByABEDgw+Rb8GcAEOqDyFgYsAEBrMPITIxgJAGIw9xYDKAkASjD4NoAmAXj9UPoKASYBdN1Q/wIA +BgF0/ZD8CgEmAXDdkP8CAAIBcIEg+QoAIAFshSCeERQSxA8CAA8CACRAwcBw9ARAAgAAQfD3FgIi +BQAh8C4yhRQT1Q9dAQ1HOfOtEQVwBDsg/RUPFAkAaTAPbwEP2DkIIgIHIgL0FtcSCQAgsPTuAQWA +BDpg/RKvHgkAI7AC7gIuNoUt0MGEF/ISBiIAYX9Q/wIADgEVttAZEw0qkrz0aBAP/xBYMAuLAwuq +AQqIAiiWvPpsAAIAAFkwW9wfFxbCHhTsHRbB+QoAIAgQYDDTD23KaQCQBAUPG3/3Xm9DEvoKACAP +ADUg8AAUYAIQWDAAAAD4SAdgABBYMGlLP8ChCRhA+Q9ACeAEOiD5LBQOCQBH8PLMEQ+ABD/gr8yu +zCjNBIiACq8R/78CCABAajAPiAL8zQQoCQA6MJjAsZkXFpXwAjpgABAoMAAAAAAAABUWoP0KBCAC +EHgwHBYSixSsu523GhacmriIshkWmwmIApiyHhaanrUcFpqKFfzCACDjADSg/RIDIADZhKAAIQQA +3Rr+3REP/xBwMA7eAwzoAQjYAv8CAA4AbMMQ+EIfb/IQYDBoQRcvstQo+t8I/wEvttQustQM7gEu +ttRgAGfA+f4KDSYBB/6Q/wIABgED9pDAkC2ywBgVGQjdAQ2dAi22wC2y1MKACN0C/bbUIgC3ASD/ +AgAGAK5+kP8CAAYAqnaQwNT/AgAGAO5+kP8CAAYA6naQwJEqstT53gIKAEBisA6qAiq21CsygRwW +agy7Afs2gSoAXQUg/wIADABZASAtMoEu+r0O3QH9NoEgABAQMNEPAIkT+EzwL/oQcDD4nTgIAEBz +MAjYAvoSBSf/l8cQKV0EiZAJ6QH8XQQoCQBPcPnGACP/i5CgHRZRjNFkwIL5CgMgALEHIP8CAAIA +tQMg/wIAAgC3hyDwAHlgABBwMGT97xsSgyyyvAZKFPSqEA//EGgwDa0DDcwBDKoCKra8Y/3P+goP +L/6P+ZD8Cg8j/pOZIJ4R8/0nYAEQSDArMoEsygUMuwH7NoEgABAQMNEPAAAA/wIAA/42wKBgAUwA +AAAAAP8CAAoAg4Ug3sD/AgAH/z13EJ7RY/5wAClM8P0KCC/1EHAw+f04CABAczAI2AL/AgAH/ytH +EGP/IwAAAAAAjRH/AgAB/1VfUPP+pGAIEGgwAACxVf8CAAn+6gFgAFAEBg4bf+fs+xoAIQAQYDD1 +GEAAMhBoMPUPQAngBDog9SoUDgkAR/DyqhEPgAQ/4P+qCAAUEHAw96oIAgAAeHBawnhkr67AovwV ++RAIEFgwWCCUxyvRDwAAAADz+85gARBQMIkR/wIAAf773lAZFB9j/e6KEf8CAAH/FV6Q8/4kYAAQ +SDAAyi5oITKOErAvD544Y/8XAMshaCE6jhICnjhj/wloSzyOEwL+OGP+/ogT3vACjjhj/vRpS8xg +AAJoS8nz/uhiAABzMAAAaEvJ8/7bYgAAczBpS8Fj//EAAAAAAAAA8/7GYgAAczDGKtEPbBAIC+ow +GhMhKKJ//wIACgHnxtAdEawrpn8qon790jEgABBgMFgg7S0iEi4iE/rTDHAAEGAwetkCe+MBwMH2 +CgEjggA3ICkwAfQT7xAAEHAw+AoIIB8ANmD/AgAAAFCGYP8CAAIBdwJg8vrqIgGeBmDRDwD6MBEg +ABBIMNMPbYo9AJAECgsbf7cyCRtA+QhAC+AEPuD5LxQICQBaMPL/EQmABDogqP+k/y/yJ/CRBA4F +AXwwAP8aD+4CDg5HsZn8Fa4QBBBQMP0wACAYEFgwWCA5izUsMBAqMAAtMBFb/Zr2oYJiAAASsCY0 +AfwVpBAEEFAw/TAAIBgQWDBYIC4cEd4VE8AuMBgaFZ4rMACbFI+iiKGNo/0WAyAAEEgw+BYBLSAE +PuD/FgIr4AQ+4PsWBSIAABOw+qIAIAQQeDD6FgAiAABQcG36cwCQBP4IGwABEDgw+AhAAAAQeDAI +fzhk8FAuMBkODhvwkQQAagB/sABoGggiAgsfQPsOQA/gBD/g+ygUDgkAe7DyiBEPgAQ7oK6I9YgI +AAgQeDAvhoFgAA6OoK3urO4u4oAODkJo5RcuMBixmfu8ASAIAlKw8ukZcA8QMDBgAIQvMBkAkQQA +aBoI/wIvNBlj/9WLFdMP8LEEAAAQeDDwahoAABBIMPoKRwAIEFgwbbo9AJAECgwbf8cyCRxA+QtA +DeAEOyD5KBQKCQBm8PKIEQuABD7gq4ikiCiCJ/CRBAgFAUAwAIgaCP8CDw9HsZkqCgX8FVIQGBBY +MP0SBCIAAHCwWB/awODyNBgs4AEUMPL69SHiAntw/+I4ABQEM3DRDwAAAAD8FUcQBBBQMP0wACAC +EEAw+DQBIBgQWDBYH8v7MBEgABBQMPkKACAIEGAw0w9tyjoAkAQLDRt/1y8JGED5D0AJ4AQ6IPku +FA4JAEfw8u4RD4AEP+Cv7qTuLuIn8JEEDgUBcDAA7hoOqgKxmS0wAB4VLALdEfo0AywAIHdwHhFH ++DAALAAgd3At0gAtNgEcEVkCixEMuwgtsoEeFRAO3QIttoEpsoD+iBEN/xBgMPwKACgAQGZw+baA +IAQQSDBtmib4GUAKAAFEMPgpFAvgBDpg+rkCCyAEPmD4mREAAgJCMKm5pZksloEtMAAbEUIC3RGr +2y6yjcDy/+oBDgAd/5DAkP6ytyAJADageu8BwJHakMLALLa3GRRsqdkuktR65xUtksBz1w8vksHC +gPj/AQAAEHAwD+o4yarAkyk0Af0wACAEEFAw/BT3EBgQWDBYH37AINEPxyXRD/wU9BAEEFAw/TAA +IBgQWDBYH3ci+pIiNALRDyyifrHMLKZ+Y/wpAABsEAoUEwYfFOkuMAEXFOn2CgAiAAAosPIRLhOr +ADegaOEt/wIAAgK9A6D/AgACAnkHoGjkXf8CAAQBSIeg/wIABgDQg6Dy+vUmATOHoNEPAMcl/BTY +EAUQUDD9MAAgGBBYMFgfWSswCiwwCyowAAsOBvwPBgABEGgw/8wBCgBAdvD7C0cM4AFgMFv9U8CC +KDQB0Q/HJZIaKzAL+wgGAAAQSDD4uwEAABBQMPsLRwAIEEAwbYo9AJAECwwbf8cyCR5A+Q1AD+AE +O6D5LBQMCQB3cPLMEQ2ABD9grcykzCzCJ/CRBAwFAWAwAMwaDKoCCgpHsZlkpNMpMAAaFK8PAgAC +mRGqmRoRzwqZCCiSgBoQegqIAiiWgAvqMC9y8/8CAAoC2f7QKxYIHRBsK3bzKnLy/dIxIAAQYDBY +H60dENqtvf4SCCoAA1tQsaotVhMqVhIL6jD/AgAKAsT20JsZHRBeK3bzKnLy/dIxIAAQYDBYH6Ad +EMutvf4SCSoAA1tQsaqdN5o2C+ow/wIACgKwdtAdEFIrdvMqcvL90jEgABBgMFgfkx0Qy/27CAIA +AHLw/rsGegAgMrCxqhwUgS0wAPo2CCAFEHgw+zYJIAQQUDD/NAEgGBBYMFge/BcUdWAA7gAAAMcl +khorMAv7DAYAABBQMPy7AQAAEEgw+wtHAAgQcDBt6j0AkAQLDRt/1zIJHkD5DUAP4AQ7oPksFAwJ +AHdw8swRDYAEP2CtzKTMLMIn8JEEDAUBYDAAzBoMqgIKCkexmWSiTikwAAKZEa+ZGhF8qpkpkoD/ +AgAAAbiGYHyfMGmXLSowABsUVgKqEauqGxF0q6oqooD/AgAAAahakPwUURAEEFAw/TAAIBgQWDBY +Hs4qMAArMAMsMAr9MAsgBxB4MP80ASAAEHAwLjQCW/y4/TAAIAQQUDD8FEQQGBBYMFgewcAg0Q8A +AADHJZIaKzAL+wwGAAAQUDD8uwEAABBIMPsLRwAIEHAwbeo9AJAECw0bf9cyCR5A+Q1AD+AEO6D5 +LBQMCQB3cPLMEQ2ABD9grcykzCzCJ/CRBAwFAWAwAMwaDKoCCgpHsZlkoWYK6jAocvP6FgUqAeDG +kCpy8h0P5osV+3bzIAAQYDCcFy3SMS0WBlgfJ402LDIHDwIA/aMWcAAQeDD0Ei0QGAhTcPQSKxoA +A1sQwPH6EgUijwC34I0WjxcL6jD/AgAKAcDW0BQSIyt28/py8iIAAGPwWB8UjjgvMgkPAgD+owxw +ABBoMHrpAnv7AcDR+QoBIhQAN2ApNAFj/IuONPwUARAEEFAw0w/9MAAgAgJzsP42BCAYEFgwWB55 +KjAAKzAD/TALIBAQYDD+MAogABB4MFv8yPoWCiAA5C6gKjAAW/yrIjAAGxAZAiIRqyspsoUcEgEM +mQEptoUYE+uoKI6CGRPqHxHSCe4BD+4CnoIN6jCOgx8RoP0NRA4AQHuw/t0CAgAQcDAO3QKdg4uE +HBPgDLsBm4QZEympIiktBImQHA+k+y0EKAkAZnCZsIiBLy0Ej/AZEeTyLQQv9RBwMP4WCi4JAE/w +nyCCGiwwAB0TyALMEfQR3xwAIGswGhDmqswrwoAdE6oNuwErxoApMAAbE8gCmRELmQgKmQgokoAq +KgAKiAIoloArMAosMAsqMAALDgb8DwYAABBoMP/MAQoAQHbw+wtHDOABYDBb/Dj8E7oQBRBQMP0w +ACAYEFgwWB4v9xOpEAQQaDAtNAHz+31gABAwMAAAKzAKKjAACw0G/bsBAgAAYbD7C0cAABBoMFv8 +JwvqMC5y89MP/wIACgDAdtAdD2MrdvMqcvL90jEgABBgMFgepPK7CAIAAHLw/rseegAgMrD7Ngkg +AgJSsPo2CCAFEHgw/zQBL/UQEDDRD5s5+jYIIAUQeDD/NAEv9RAQMNEPAAAsMAv8DQYCAABZsPow +ACwAQGsw/AxHAAAQaDBb/AfA4/40AS/1EBAw0Q+CGtEPAAAqMAAbE4QCqhGrqhsQmauqKqKAxPB/ +oOEcE4AtMACaFPsKBiAEEFAw+zQBIBgQWDBYHfEfE2pj+9wAAC8wABgTZwL/Eaj/GBCK+goAIAAQ +SDD7MAsuACBH8P/ygCAIEGAwbco9AJAECwgbf4cyCR5A+Q1AD+AEO6D5LBQMCQB3cPLMEQ2ABD9g +rcykzCzCJ/CRBAwFAWAwAMwaDKoCCgpHsZkcE16JFC4wAS0wAJoRmRD4MgQgGBBYMPgWAiADEFAw +WB3NKjAAKzADLDAKLTALW/u7KjAA+zAKIAgQEDD8MAsgABBoMFv7yPI0AS+SEBAwIjQC0Q8AACpy +8rGqKnbyY/54LHLyscwsdvJj+kUAAC1y8rHdLXbyY/pvLnLyse4udvJj+pgAACRy8voWBSACAiEw +JHbyFBFFY/wuKHLyjxf9EgYgAgJCMCh28mP8bwBsEAbDgHaDSPQUACIAAFCwW9qHZqA22xD6Cv4g +ARBgMPo6AQABEGgwW9nIZqAewNH9OgICAABZcPoKRwIAAGGwW9nC9qAGYAAQEDDRD9Kg0Q/GKtEP +AAAAbBAGKSAsJCBE9SAiIgAAGLD6ICMgCRBAMPiSG2IAAHEwaJETaJMQaJYN+JUKYAAQEDAiNETR +DwD4oS5wARAwMPiqJmANEEgw+woOJgB0zpDyCgAmAKTekGRAcGTiH/8CAAABDwSg0Q8AABoOvSyg +wcCw/g7SH+cQaDD8z3twFBB4MCwKYPxcAg/7EEgwbfoPL6FwDf8B/8E+cAQCUrCxu/riwSHgAmJw +AMEEAGsa+6oBAAEQQDAKijlkoFPAkQkOR/40RCAAEBAwZU+OwNHz/4xiBQBzcAAA/7vEYgAASvAq +4oUAsQQAaxr7qgEAARB4MPP/xGoFAFPwGQ90KZAhAFAECQkb8/+1aAABTDAAAAD64ocqAHaOYACR +BABsGvrLAQABEGgwC9s5/OaHL48AtuBgAOcAxe3+FAAiAABRcFvaJvagPGIAABKw+xwAAKAQUDD8 +CgEgABBoMFvZZvagIWIAABKw+xwCIKEQUDD8CgEgABBoMFvZX/agBmIAABKwwCBmLuAvEAL/AgAC +AExv0C4QBA4eQC40RGP/LADA8/8UACIAAFFwW9oM9qA8YgAAErD7HAAAoBBQMPwKASAAEGgwW9lM +9qAhYgAAErD7HAEgoRBQMPwKASAAEGgwW9lF9qAGYgAAErDAIGYgpy4QAf4OQwABEEAwDo45LjRE +Y/7HupwAwQQAaBr6jwEAARBYMA+/Ofjmhy6kALfg8/6eYAAQSDAtCm79FAMiAABRcFvZ6vagPGIA +ABKw+xwDIKIQUDD8CgEgARBoMFvZKvagIWIAABKw+xwEIKMQUDD8CgEgARBoMFvZI/agBmIAABKw +wCBnLxpj/e0AAAAAAADAIf1cAAAEEFAw/BJ8EBgQWDBYHO/RDyY0RPP+LWABEHAwbBAGKiAjwIn4 +oRlwDhAgMHShEfiqDmABEEgw+TYAIAAQEDDRD9ogW/9OKiAsHhGx9yAiIB8QWDD7oTRwHBBgMPyh +LHAeEGgw/aEkcAEQKDCufi7g4NMPf+8FLyAjdPEa9TYAIAAQEDDRDwAAAAAAAPP/3GAAECgwAAAA +aaThhCeETipAARgOaPgWACgAggKg9vqSJo4APqDaQPtMAAIAAGBwW/1R9qEvYgAAWrAfEksCfhGv +7o7g/wIAAAB255AqIE4qRDgpIE8pRDkoISAICEWYT/AABm/1EFgwwLAsQClowy/6TAAAIxBoMP0W +ACBQAlkwW/xC26D6FgEgAD4yoHapXPoWASIAAFCwWAA+ixFgAEwaDlICfBGqyi6ijcCS+ekBAHIA +e7DC8MDQ/qK3IAkANmB67wHA0dnQL6a3GhF8qsouotR65xMsosBzxw0uosH/7gEAABBoMA7ZOGSQ +Z9qw9rCAYgAAIvD1NgAiAAAS8NEPAAAAAP1CBCAEEFAw/BIYEBgQWDBYHImLEWP/zy9AAigKkv8C +AA//e0PQ8/++YAAQWDAAAPwSDxAEEFAw/SAiIBQQWDBYHH3aIFgAEfP/GW+5EFgw+xYBIgAAULBY +AAyLEWP/h/aqDAAAEEgwCps4Y/95x7V7oQTAINEPAIsQ/BFgEgAAULBYF+XSQNEPAAAAbBAEGBE7 +LSAiqNgogOApICP/jw1wDhBQMHqZBSsgLGi0AdEPwKX8Ee8QGBBYMFgcX4InIiIOAioCW9hH+CAo +IAAQYDAsJEEsJEAsJCosJCkbDgICihHTD6uqLaKBHhG4Dt0CLaaBKaKA/Q/kHf8QWDD+iBEIAEBe +cPmmgCAEEEgwbZom+BlACgABRDD4KRQL4AQ6YPq5AgsgBD5g+JkRAAICQjCpua2ZLJaB0Q8AAABs +EAQYEQ8tICKo2CiA4CkgI/+PB3AOEFAwepExKyEgCwtFaLIDwCDRDy0gIh4RwwLdEa7dHg7Qrt0s +0oAuKgAOzAL81oAgABAQMNEPAAAuICxp5MfApfwRtxAYEFgwWBwngycPAgAPAgCDPg8CAAM6AlvY +DfgwKCAAEGAwLDQpLDQqLDRALDRBGw3IAooR0w+rqi2igR4Rfg7dAi2mgSmigP0Pqh3/EFgw/ogR +CABAXnD5poAgBBBIMG2aJvgZQAoAAUQw+CkUC+AEOmD6uQILIAQ+YPiZEQACAkIwqbmtmSyWgWP/ +NQAAbBAEwDb0IEQgABAQMAQyOdEPAABsEAT4EY8S4AEUMPKCFAAOADTgKIJ/CiIRooLRDxgRiCMt +ASKCfyM8gAozEaMi0Q9sEAQCiBTyEYIY4AEUMGSQTiIifwqJEfQwUGIAIEiwiiGIIASqjvKIGgAH +EEgw+CYBIgAAQLBtmhOJgvqGACAQAkIwioEEmY6ZgQSqjvqGACIAAFCwWB402iBYHjHRDwAojQHz +/6phAAJCMIohiyAEqo4Eu477JgEgBxBIMG2aE4ki+iYAIBACELCKIQSZjpkhBKqOmiDRD2wQBBMR +XgwiEaMigiDRDwBsEAQVEVsMJBGlRCNGwCRCwNEPAGwQBBcRWAKEFBYRVQZFEfdVCAWgBDkg9DA2 +YgAgMTAOAogBxYoMAogBhYoKAogBRYoIAogBBYoGAogAxYoEAogAhYoCAogARYoAAogABYrRDw4F +iAHCigwFiAGCigoFiAFCiggFiAECigYFiADCigQFiACCigIFiABCigAFiAACitEPAGwQBAKDFPIN +wROgBDzgoyIiLGDRDwAAAGwQBBMN6xwM6AIUFBcM+/J+FAlVARQw+AzlHh8BFDDymlYACBBoMPK7 +VAAEECgw9bsBBABALLD9qgEGAEBosPdmEAwAQESw9+4BBgBAPLD4RAEHkAQ94P/dEQBAEEAw+6oC +BFAEPWDyNhQECQA1cPLbUgYAQGGw/CwBBAkAMTDzJgEN0AQ7IP0KAiwJAGsw+2YRCgBAbvDyXRQG +CQA5sPcaACwAQB9w8vMUCgkAfvD3MwEAEBB4MPcKgCwJAHdw+6oCACAQcDDye1gIAEB2cPKeFAoA +QH7w8t8UCAkAXnDyO1wICQBWcPoqACoAQEbw+CgBDgBAV/D9iBAKAEBQsPP/AgPRARQw86oRAgBA +PPD3JwECCQBc8PkMphIJAEzw/3cQBAAQWDDysxQOCQAf8PszAQ4AQEuw+HcCDgkAG7D/7gIAIBBA +MPsrAQwJAHdw9bsRBAkAaTD5KQEECQBhMPZEAgEAEDAw95kRBgBAMLD7mQIHEAQ5oPlEAgYJAFGw +9goQJAkAMTD4KAEGAEAwsPuIEAaQBDmg90QCBgkAQbD2CgIkCQAxMAYmAfEjEAYwBDmg9UICAgkA +NPADIgLRDwBsEAj7EMISAABQsPwKByABEGgwWroe+xC+EgAAULD8CgcgARBoMPgcECAKEHAw+BYA +IAEQeDBaufvJo8Ci/BC1EAgQWDBYGxzHK9EPAAAAAPsQshIAAFCw/04QDQAEPOD+3QIPgAQ5YPwQ +rRwJAHdwWroG+xCmEgAAULD8CgcgAhBoMFq6AvsQohIAAFCw/AoHIAMQaDD/HBAgChBwMP8WACAB +EHgwWrnf8goAIBUANqDAovwQmxAIEFgwWBr/xyvRD9EPbBAEyiewI/MlAQ4AEJyQ0w9tCA/0XP8i +AAARcPRVAQ4AA6SQY//nDyIR0Q/RD8Ah0Q8AAGwQBATqMBgMMiiCMQKIKKhCA+owAyMMajEObQgI +CeowCSkMapECY//w0Q8AbBAEoyKwIgMiLNEPbBAEFAwl+AoAICkANKBoIS5oIjZoI0BoJEhoJVpo +JmJoJwTSgNEPACJCMyIiZwKyQtEPIkIzIiJRAvJC0Q8AACJCMyIiVAIyUtEPIkIzIiJXAnJS0Q8A +ACJCMyIiWgKyUtEPIkIzIyJdIiJeAfQEAyIYAgJC0Q8AIkIzIiJhAjJC0Q8iQjMiImQCckLRDwAA +bBAEFAwByyL4IURgABBAMGgiR2gjUWgkWWglY2gmdGgnBdKA0Q8AACJCMyMiZCIiZQGkBAMiGAIC +T9EPIkIzIyJOIiJPAeQEAyIYAgJP0Q8iQjMiIlICIk/RDyJCMyIiVQJiT9EPAAAiQjMiIlgCok/R +DyJCMyIiWwLiT9EPAAAiQjMjIl4iIl8BJAQDIhgCAk/RDyJCMyMiYSIiYgFkBAMiGAICT9EPbBAE +FAvX+AoAIC4ANKBoITFoIkNoI1RoJGVoJXb/AgAGAD+AoGgnA9KA0Q8iQjMiImQCok/RDwAiQjMi +Ik4C4k/RDyJCMyMiUSIiUgEkBAMiGAICT9EPACJCMyMiVCIiVQFkBAMiGAICT9EPIkIzIyJXIiJY +AaQEAyIYAgJP0Q8iQjMjIloiIlsB5AQDIhgCAk/RDyJCMyIiXgIiT9EPACJCMyIiYQJiT9EPAGwQ +BBQQA/gKACAqADSgaCEtaCI3aCM/aCRJaCVRaCZjaCcD0oDRDyJCMyIiTQJSWtEPAAAiQjMiIisC +ElrRDyJCMyIiMALSStEPAAAiQjMiIjUCkkrRDyJCMyIiOgJSStEPAAAiQjMiIj8CEkrRDyJCMyMi +QyIiRAHUBAMiGAICStEPACJCMyMiSCIiSQGUBAMiGAICStEPbBAEFA/d+AoAIDIANKBoITVoIj9o +I0doJFFoJVloJmNoJwPSgNEPIkIzIyJMIiJNAZQEAyIYAgJH0Q8AIkIzIiIqAlJX0Q8iQjMiIi8C +ElfRDwAAIkIzIiI0AtJH0Q8iQjMiIjkCkkfRDwAAIkIzIiI+AlJH0Q8iQjMiIkMCEkfRDwAAIkIz +IyJHIiJIAdQEAyIYAgJH0Q9sEATzChMgHQA0oHI7A8Ag0Q+7I/AxBAABEBAwACIasCLRDwDHL9EP +bBAEIyANLyAM0w/0MkdggBA4MPkOnBJ9ADfgwND/KxQIIAF4MG2JCiqQ3fmcASwAIG6w9LBLYf4C +UvAokN0ukN4skN/9kOAoACBqMPjuCAAIAkJwDwIA0w9tqSH+gN0sACBzMPuA3iAIAkIw/IDbKgAg +Y3D9gNwuACBTsK6+rsmp3bA6qt0pIAVoky//AgAEAG+CYP8CAAQA04Zg/wIABgDiAmD/AgAGAOcG +YGiYB8Ag0Q8AAAAAwLMrJAX91BEOHgC7YCtNMvAACmEAAlrwK00tK7xAFgx7Hg959Q95EAEQUDCm +uSmSgA8CAAkISgiLEfnJSQoJAC7w+wtPAf4CSnD75rsp4AFMMG2ZDfCJEQACAkIwCpkCKea8wLD7 +5rsuIAC7YCtNMvAAC2EAAlrwACtNLSu8QKa5KZKACQhKCIsR+clJCgkALvD7C08B/gJKcPvmuyng +AUwwbZkN8IkRAAICQjAKmQIp5rzAoCrmuxYLyf8CAAYAYgPgGQyX+2KEIQMANOCp/CzA3Shie6PD +o4MJMxEDswgjPIAI9REqMhMuoQMtoQL/AgAGAEh3UCsKAFq42PkwDSA8ADagKzBRwMH6uggIBQBP +MAmqDAWkAvdEAgABEGAw+jwAAgAAWTBb7xjcoPtMAAIAAFDwW+Q5Y/+qAAD7YoQvxAA2YCwwDPpi +eyAhADZgHQx0rc0t0N2p2ampCZkRqbkpnICOkArqDGP/qAAZCxopkoCsmQmZEfP/6GgAIE7wAAAA +wKUqJAXaIFvlEfagVGAIEGAw/CQFIgAAErDRDy39AfP+KWEAAmtwAAAA+goAIAgQYDD8JAUiAAAS +sNEPwOj+JAUgABAQMNEPAAAjYnqvMwkzEfP/CmIAIB7w8/3qYAAQaDDSoNEPbBAGFw8FLQoA+nIz +IAhkqKD/AgAACZkEoP8CAAILMgCg/wIAAgzLhKD/AgAEDmYAoP8CAAQP/YSg/wIABhGhAKD/AgAG +E4oEoC+iH/sKDyIAAGCw8OQEAAAQcDD/7hgAABBQMPDfEQ/gAXAw/+4CAAAQaDBYE3wZCzT6cjMg +CDCooP8CAAAJZQSg/wIAAgr/AKD/AgACDJeEoP8CAAQONQCg/wIABA/MhKD/AgAGEXAAoP8CAAYT +WQSgwNAvoh8uoiDAv/HkBAIAAGCw/+4YAAAQUDD43xEO4AFwMP/uAgAIEGgwWBNg/wIAAAgEqKD/ +AgAACTiEoP8CAAIK0oCg/wIAAgxrBKD/AgAEDgiAoP8CAAQPoISg/wIABhFEgKD/AgAGEy2EoMDg ++goAIA8QWDD8LAAAEBBoMFgTS/8CAAAH5qig/wIAAAkahKD/AgACCrSAoP8CAAIMTgSg/wIABA3q +gKD/AgAED4KEoP8CAAYRJgCg/wIABhMPhKAqCgBb/u7Av/wsAAIAAHKw/QoQIAEQUDBYEzT/AgAA +B8WooP8CAAAI+YSg/wIAAgqUgKD/AgACDC0EoP8CAAQNyYCg/wIABA9hhKD/AgAGEQUAoP8CAAYS +7YSgwKBb/tfAv/wsAAIAAHKw/QoUIAEQUDBYEx7/AgAAB6QooP8CAAAI2QSg/wIAAgp0AKD/AgAC +DAyEoP8CAAQNqQCg/wIABA9BBKD/AgAGEOSAoP8CAAYSzQSgwOD6CgAgDxBYMPwsAAAYEGgwWBMJ +/wIAAAeGKKD/AgAACLWEoP8CAAIKVgCg/wIAAgvuhKD/AgAEDYsAoP8CAAQPIwSg/wIABhDGgKD/ +AgAGEq8EoCoKAFv+q8C//CwAAgAAcrD9ChggARBQMFgS8v8CAAAHZSig/wIAAAiUhKD/AgACCi+A +oP8CAAILzISg/wIABA1qAKD/AgAEDwIEoP8CAAYQpYCg/wIABhKOBKAqCgBb/pTAv/wsAAIAAHKw +/QocIAEQUDBYEtv/AgAAB0QooP8CAAAIc4Sg/wIAAgoOgKD/AgACC6uEoP8CAAQNSACg/wIABA7i +BKD/AgAGEISAoP8CAAYSbgSgwOD6CgAgDxBYMPwsAAAgEGgwWBLG/wIAAAcmKKD/AgAACFWEoP8C +AAIJ8ICg/wIAAguNhKD/AgAEDSoAoP8CAAQOw4Sg/wIABhBngKD/AgAGElAEoCoKAFv+aMC//CwA +AgAAcrD9CiAgARBQMFgSr/8CAAAHBiig/wIAAAg0hKD/AgACCc+AoP8CAAILbISg/wIABA0KAKD/ +AgAEDqKEoP8CAAYQRgCg/wIABhIvBKDAoFv+UsC//CwAAgAAcrD9CiQgARBQMFgSmfpyMyAG5aig +/wIAAAgVBKD/AgACCa8AoP8CAAILTQSg/wIABAzpgKD/AgAEDoIEoP8CAAYQJYCg/wIABhINhKDA +0C+iIPsKDyIAAGCw8GQEAAAQcDD/7hgAABBQMPDfEQ/gAXAw/+4CACwQaDBYEn36CgEgfgA0oP8C +AAAH5QSg/wIAAgl+gKD/AgACCx0EoP8CAAQMugCg/wIABA5ShKD/AgAGD/YAoP8CAAYR3gSgwOD/ +AgAAB9SEoP8CAAIJbgCg/wIAAgsMhKD/AgAEDKQAoP8CAAQOQgSg/wIABg/lgKD/AgAGEc2EoB8N +zWAAPQAAK3Iz0w8rsirxBAQAABBIMAueGAEUBAuZGP4OQAhgAUwwZJ/T/wIAAApKBmCwmACBBBgN +vwCvGrD/CP82+goBIA8QWDD1+RECAABgsPnuAgAwEGgwWBJGwKD7Cg8iAABgsP0KMCAAEHAwWBJB +2iBb/c77CgIiAABgsP0KPCIAAHKw+O4RAAAQUDBYEjn/AgAABq8ooP8CAAAHdwSg/wIAAgkQgKD/ +AgACCq8EoP8CAAQMRoCg/wIABA3jhKD/AgAGD4gAoP8CAAYRcwSgwDD/AgAAB2WEoP8CAAIJAQCg +/wIAAgqehKD/AgAEDDYAoP8CAAQN0wSg/wIABg93gKD/AgAGEWKEoMDw/wIAAAdVBKD/AgACCPCA +oP8CAAIKjgSg/wIABAwlgKD/AgAEDcKEoP8CAAYPaACg/wIABhFSBKDA4P8CAAAHRISg/wIAAgjg +AKD/AgACCn2EoP8CAAQMFQCg/wIABA2zBKD/AgAGD1eAoP8CAAYRQYSgwND/AgAABzQEoP8CAAII +z4Cg/wIAAgpuBKD/AgAEDASAoP8CAAQNooSg/wIABg9HAKD/AgAGETIEoMDAKgoA9AqVEAwQWDD2 ++BAIcAQ/oPreEAxQBDzg/YgCDgkAS7D7zxAOCQBDsP/uAgIAAGCw9O4CAEAQaDBYEd//AgAABXYo +oP8CAAAHAISg/wIAAgicAKD/AgACCjqEoP8CAAQL0gCg/wIABA1vBKD/AgAGDxOAoP8CAAYQ/oSg +wKArCgH9rhECAABgsP0KRCAAEFAwWBHJ+nIzIAVWqKD/AgAABuIEoP8CAAIIdwCg/wIAAgobBKD/ +AgAEC7KAoP8CAAQNT4Sg/wIABg70AKD/AgAGEN8EoMDQKKIgwLTxZAQAABB4MPj/GAIAAGCw/94Q +DgABfDD5/xAAABBQMP/uAgBQEGgwWBGt/wIAAAUpKKD/AgAABrUEoP8CAAIISQCg/wIAAgntBKD/ +AgAEC4UAoP8CAAQNIYSg/wIABg7GAKD/AgAGELEEoMCgwLj3rhACAABgsPoKACBwEGgwWBGXLnIz +LeIgLuIh+goAIAMQWDDx5AQCAABgsP3vGAAAEEAw8BQEDkABfDD+iBgPcAQ/4PG0BAgAAUAw/ekY +CRAEOiDxpAQOCQBH8P3oGAhAAUww8XQECaAEPmD97hgIAAFAMPuIEQ5AAXAw+f8CDgkAQ7D9CnQu +CQB7sFgReC9yMw8CAC/yIcCg8CQEAAAQcDD/7hgAAhBYMP4OQgIAAGCw/Qp4L0AEO6BYEWwucjMp +4iEu4iLAoPGUBAAPEFgw+eMYAgAAYLDxpAQCAAEcMPnvGAKgBDzg8YQEDoAEP+D54xgOCQAf8PFU +BAIAARww+e0YAuAEPODxJAQMQAFsMPnoGA0QBD9g8QQECEABQDD9MwIJQAQ6IPntGA4JAB/w8KQE +DCABbDD54xgNYAQ/YPBkBAgJAGow+e4YAqABHDD8MxEOYAFwMPj/Ag4JABuw/Qp8LgkAe7BYEUEv +cjMv8iLAoPAkBAAAEHAw/+4YAAgQWDD+DkACAABgsP0KgC5ABDugWBE2KHIzKIIi8DQEAAAQeDAI +/hjwdAQAABBQMPj/GAABEFgw/w9AAgAAYLD+DkMPwAQ/4P0KlC4JAHuwWBEnL3IzL/IiwKDwhAQA +ABBwMP/uGAABEFgw/g5CAgAAYLD9Cpwv8AQ7oFgRHNogW/yD+woMIgAAYLD+rAAAsBBoMPDuEQAA +EFAwWBEUEwyF9CB+YQAQIDD/AgAABY+EoP8CAAIHI4Cg/wIAAgjDBKD/AgAECl8AoP8CAAQL/ASg +/wIABg2ggKD/AgAGD4uEoMDg/wIAAAV/BKD/AgACBxMAoP8CAAIIsoSg/wIABApOgKD/AgAEC+uE +oP8CAAYNkACg/wIABg92BKDwAC1gABB4MClyMyqSKymSLAHEBAqeGAH0BAqZGP4OQghgAUwwZJ/X +AJEEAE8aA/82+goAIA8QWDD9+BECAABgsP0KtC4JAEOwWBDiZCB6/wIAAAVIBKD/AgACBtwAoP8C +AAIIe4Sg/wIABAoXgKD/AgAEC7SEoP8CAAYNWQCg/wIABg9ChKDA4P8CAAAFNoSg/wIAAgbLgKD/ +AgACCGsEoP8CAAQKBwCg/wIABAukBKD/AgAGDUmAoP8CAAYPMgSg8AAwYAAQeDAAKnIzKqIs8DQE +AAAQSDAKnhgAZAQKmRj+DkIIYAFMMGSf1ACRBABPGgP/NvoKACAPEFgw/fgRAgAAYLD9CrguCQBD +sFgQsS5yMy/iIi7iI/oKACAPEFgw8MQEAgAAYLD/7hgBdBBoMFgQqC5yMw8CAC/iIy7iJPoKACAP +EFgw8MQEAgAAYLD/7hgBeBBoMFgQntogW/wF+woMIgAAYLD+rAACBBBoMPDuEQAAEFAwWBCWL3Iz +L/IkwKDwxAQAABBwMP/uGAABEFgw/g5AAgAAYLD9GsQv8AQ7oFgQjNogW/vH86wAAgAAULBb+8X7 +Cg8iAABgsP0azC8ABDqg/j4CAAEQUDBYEIHaIFv7k/OsAAIAAFCwW/uQ+woPIgAAYLD9GswvAAQ6 +oP4+AgAAEFAwWBB2ZCaa/wIAAASIBKD/AgACBhwAoP8CAAIHvISg/wIABAlYgKD/AgAECvaEoP8C +AAYMmwCg/wIABg6GhKAqCgArCgTwrhECAABgsPoKACHQEGgwWBBhKHIzDwIAKYIkKIIlANQECYMY +8dQEAAEQUDD5iBgADxBYMPMDTwkABDog+DMCAgAAYLD+PAAB1BBoMFgQUt4w+goAIA8QWDD9GtQi +AABgsFgQTWQk6/8CAAAEQYSg/wIAAgXVgKD/AgACB3UEoP8CAAQJEQCg/wIABAqwBKD/AgAGDFSA +oP8CAAYOQASgwKDAvPCuEQIAAGCw+goAIdgQaDBYEDgucjMv4iYu4if6CgAgDxBYMPDUBAIAAGCw +/+4YAdwQaDBYEC9kJIf/AgAABBCEoP8CAAIFpICg/wIAAgdEhKD/AgAECOCAoP8CAAQKfwSg/wIA +BgwkgKD/AgAGDg4EoMAw2jBb+9P8rAAABBAoMPT68CAMbCjg+goBIA8QWDD4CgAgARBwMPOOOA4A +QCcw/RrkLgkAe7D17gICAABgsFgQEGQkI/8CAAAD3oSg/wIAAgVygKD/AgACBxKEoP8CAAQIroCg +/wIABApOBKD/AgAGC/IAoP8CAAYN3ASgKgoAW/u0wL/8LAACAABysPoKASHoEGgwWA/6ZCPm/wIA +AAPABKD/AgACBVQAoP8CAAIG9ASg/wIABAiQAKD/AgAECi8EoP8CAAYL04Cg/wIABg29hKDAMNow +W/ue/KwAAAwdKOD6CgEgDxBYMPgKACABEHAw8444DgBAJzD9GuwuCQB7sPXuAgIAAGCwWA/dZCOM +/wIAAAOSBKD/AgACBSYAoP8CAAIGxQSg/wIABAhcgKD/AgAECgEEoP8CAAYLpYCg/wIABg2QhKDA +oFv7gcC//CwAAgAAcrD6CgEh8BBoMFgPyGQjUP8CAAADdASg/wIAAgUHAKD/AgACBqcEoP8CAAQI +PoCg/wIABAnjBKD/AgAGC4eAoP8CAAYNcoSgwDADOgJb+2v8rAAAC84o4PoKASAPEFgw+AoAIAEQ +cDDzjjgOAEAnMP0a9C4JAHuw9e4CAgAAYLBYD6pkIvP/AgAAA0SEoP8CAAIE2ICg/wIAAgZ4hKD/ +AgAECBAAoP8CAAQJtISg/wIABgtZAKD/AgAGDUQEoCoKAFv7TsC//CwAAgAAcrD6CgEh+BBoMFgP +lWQitP8CAAADJgSg/wIAAgS6AKD/AgACBloEoP8CAAQH8YCg/wIABAmWBKD/AgAGCzqAoP8CAAYN +JYSgwKDAvPCuEQIAAGCw+goBILAQaDBYD4DaIFv6vPoWASBkADagFgrqFQme9ArtGUAEPKD5FgAg +ABAYMNogW/plLnIzLuInjxAAOBEI/wIE/wIvZpjw1AQAABBoMA7dGA0NQP+sEAyQBD9gDcwCLGaZ +K2KYihH1uwEAAgIY8PtmmCF0CFDw0Q8toicN7RRj72kuoictoigB5AQO3Rjz781sAEBPcAAvcjMv +8ijxZAQAABBwMA/uGPPwIG5gAXAwK3IzK7Io8aQEAAAQUDALqhjz8F1qgAFQMCpyMyuiKCqiKQH0 +BAuqGPPwoGqAAVAwL3IzL/Ip8EQEAAAQcDAP7hjz8OFuYAFwMCtyMyuyKfCEBAAAEFAwC6oY8/Ee +aoABUDArcjMrsinw1AQAABBQMAuqGPPxYGqAAVAwL3IzL/Ip8SQEAAAQcDAP7hjz8aFuYAFwMCty +MyuyKfFkBAAAEFAwC6oY8/HeaoABUDAAACtyMyuyKfG0BAAAEFAwC6oY8/IdaoABUDAtoipj8mwA +K3IzK7Ir8IQEAAAQUDALqhjz9T1qAAFQMC6iK/CUBAAAEGgwDt0Y8/V/bEABbDAAK3IzK7Ir8MQE +AAAQUDALqhjz9ddqgAFQMCpyMyuiTyqiUAFkBAuqGGP7OyhyMyiCUPBkBAAAEBgwCDMY8/udYoAB +HDArcjMrslDwtAQAABBQMAuqGPP8AmqAAVAwKHIzKIJQ8QQEAAAQGDAIMxjz/D5igAEcMAAAK3Iz +K7JQ8VQEAAAQUDALqhjz/JhqgAFQMChyMyiCUPGkBAAAEBgwCDMY8/zUYoABHDAqcjMrolAqolEB +9AQLqhjz/TRqgAFQMCtyMyuyUfBEBAAAEFAwC6oY8/1wa0ABUDAocjMsgisANAT4giogABBwMAzj +GAAkBAzvGAAUBAzuGPHkBAKAARww+M0YDgABfDDx1AQOAAFwMPjMGAxAAWww8/OEbAABYDArcjMr +sk/w5AQAABBQMAuqGPP5i2rgAVAwLaIsDa0UY+z4LqIsLaItAaQEDt0Y8+1cbABAT3AvcjMv8i3x +JAQAABBwMA/uGPPtsG5gAXAwK3IzK7It8WQEAAAQUDALqhjz7e1qgAFQMCtyMyuyLfG0BAAAEFAw +C6oY8+4uaoABUDAucjMu4i7z7npuYAFwMCtyMyuyLvBEBAAAEFAwC6oY8+63aoABUDArcjMrsi7w +lAQAABBQMAuqGPPu+WqAAVAwL3IzL/Iu8OQEAAAQcDAP7hjz7zpuYAFwMCtyMyuyLvEkBAAAEFAw +C6oY8+93aoABUDArcjMrsi7xdAQAABBQMAuqGPPvuGqAAVAwAAAuoi4toi8BxAQO3Rhj7/wAL3Iz +L/Iv8MQEAAAQcDAP7hj+DkAB+C+coCtyMyuyL/DUBAAAEEgwC5kY8/CfaGABTDAjcjMoMi8jMjAB +9AQIMxjzA0QB+J6coChyMyiCL/HkBAAAEHgwCP8Y/w9AAfivHKAocjMogi/x1AQAABBwMAjuGP4O +QAH4v5ygKHIzKIIv8aQEAAAQaDAI3Rj9DUIB+NAcoChyMyiCL/GUBAAAEGAwCMwY8/G5bAABYDAr +cjMrsjDwRAQAABBQMAuqGPPyIGoAAVAwAAAuojDwVAQAABBoMA7dGPPyYGxAAWwwAAArcjMrsjDw +hAQAABBQMAuqGPPyt2qAAVAwL3IzL/Iw8YQEAAAQcDAP7hj+DkIB+oUcoCpyMyqiMPG0BAAAEEgw +CpkY8/VGaGABTDAucjMv4jAu4jEB9AQP7hj+DkIB+s2coCpyMyqiMfAkBAAAEEgwCpkY8/XaaGAB +TDArcjMrslLxJAQAABBQMAuqGPP3EmrgAVAwKnIzK6JSKqJTAaQEC6oYY/egAAAocjMoglPwpAQA +ABAYMAgzGPP4AGKAARwwK3IzK7JT8PQEAAAQUDALqhjz+GVqgAFQMChyMyiCU/FEBAAAEBgwCDMY +8/ihYoABHDArcjMrslPxlAQAABBQMAuqGPP4/WqAAVAwI3IzKDJTIzJUAeQECDMY8/k7YoABHDAr +cjMrslTwNAQAABBQMAuqGPP5mWqAAVAwK3IzK7JU8IQEAAAQUDALqhjz+dVrQAFQMC2iMQ1tFGPp +vgAALqIxLaIyAWQEDt0Y8+ogbABAT3AvcjMv8jLw5AQAABBwMA/uGPPqdG5gAXAwK3IzK7Iy8SQE +AAAQUDALqhjz6rFqgAFQMAAAK3IzK7Iy8XQEAAAQUDALqhjz6vBqgAFQMC9yMy/yMvHEBAAAEHAw +D+4Y8+sxbmABcDAqcjMqojPz63lqgAFQMCtyMyuyM/BUBAAAEFAwC6oY8+u7aoABUDAvcjMv8jPw +pAQAABBwMA/uGPPr/G5gAXAwK3IzK7Iz8OQEAAAQUDALqhjz7DlqgAFQMCtyMyuyM/E0BAAAEFAw +C6oY8+x6aoABUDAuojMtojQBhAQO3Rhj7MAvcjMv8jTwhAQAABBwMA/uGPPtHG4AAXAwK3IzK7I0 +8JQEAAAQSDALmRjz7WRoYAFMMChyMyiCNPG0BAAAEBgwCDMY8+34YoABHDAAAChyMyiCNPGkBAAA +EHgwCP8Y8+4XbgABfDAocjMogjTxlAQAABBwMAjuGPPuOG4AAXAwKHIzKII08WQEAAAQaDAI3Rjz +7llsQAFsMChyMyiCNPFUBAAAEGAwCMwY8+56bAABYDAqcjMqojXz7uxqAAFQMC6iNfAUBAAAEGgw +Dt0Y8+8ubEABbDArcjMrsjXwRAQAABBQMAuqGPPvh2qAAVAwL3IzL/I18UQEAAAQcDAP7hjz8dJu +QAFwMCpyMyqiNfF0BAAAEEgwCpkY8/IWaGABTDAvcjMv8jXxtAQAABBwMA/uGPPyYW5AAXAwKXIz +KpI1KZI2AeQECpkY8/KqaGABTDArcjMrslXxZAQAABBQMAuqGPPz4mrgAVAwKnIzK6JVKqJWAeQE +C6oYY/RwAAAocjMoglbw5AQAABAYMAgzGPP00GKAARwwK3IzK7JW8TQEAAAQUDALqhjz9TVqgAFQ +MChyMyiCVvGEBAAAEBgwCDMY8/VxYoABHDAqcjMrolYqolcB1AQLqhjz9c9qgAFQMChyMyiCV/Ak +BAAAEBgwCDMY8/YLYoABHDArcjMrslfwdAQAABBQMAuqGPP2aWqAAVAwK3IzK7JX8MQEAAAQUDAL +qhjz9qVrQAFQMAAAAPPrfGAAEHgwLaI2DS0UY+aDLqI2LaI3ASQEDt0Y8+bnbABAT3AvcjMv8jfw +pAQAABBwMA/uGPPnO25gAXAwAAArcjMrsjfw5AQAABBQMAuqGPPndmqAAVAwK3IzK7I38TQEAAAQ +UDALqhjz57dqgAFQMC9yMy/yN/GEBAAAEHAwD+4Y8+f4bmABcDAqcjMrojcqojgBxAQLqhjz6Ddq +gAFQMCtyMyuyOPAUBAAAEFAwC6oY8+h5aoABUDAvcjMv8jjwZAQAABBwMA/uGPPoum5gAXAwK3Iz +K7I48KQEAAAQUDALqhjz6PdqgAFQMCtyMyuyOPD0BAAAEFAwC6oY8+k4aoABUDAAAC6iOC2iOQFE +BA7dGGPpfAAvcjMv8jnwRAQAABBwMA/uGPPp124AAXAwK3IzK7I58FQEAAAQSDALmRjz6h9oYAFM +MChyMyiCOfF0BAAAEBgwCDMY8+qzYoABHDAocjMogjnxZAQAABB4MAj/GPPq1G4AAXwwKHIzKII5 +8VQEAAAQcDAI7hjz6vVuAAFwMChyMyiCOfEkBAAAEGgwCN0Y8+sWbEABbDAAAChyMyiCOfEUBAAA +EGAwCMwY8+s1bAABYDArcjMrsjnxxAQAABBQMAuqGPPrnGoAAVAwLqI58dQEAAAQaDAO3Rjz695s +QAFsMCpyMyqiOvPsQmqAAVAwAAAvcjMv8jrxBAQAABBwMA/uGPPui25AAXAwKnIzKqI68TQEAAAQ +SDAKmRjz7s9oYAFMMC9yMy/yOvF0BAAAEHAwD+4Y8+8abkABcDAqcjMqojrxpAQAABBIMAqZGPPv +YWhgAUwwKnIzK6JYKqJZAaQEC6oY8/CbauABUDArcjMrslnwJAQAABBQMAuqGGPxJwAocjMoglnx +JAQAABAYMAgzGPPxiGKAARwwK3IzK7JZ8XQEAAAQUDALqhjz8e1qgAFQMCNyMygyWSMyWgHEBAgz +GPPyK2KAARwwK3IzK7Ja8BQEAAAQUDALqhjz8odqgAFQMChyMyiCWvBkBAAAEBgwCDMY8/LDYoAB +HDArcjMrslrwtAQAABBQMAuqGPPzIWqAAVAwK3IzK7Ja8QQEAAAQUDALqhjz811rQAFQMC6iOi2i +OwHkBA7dGGPjQC6iOy2iPADkBA7dGPPjpGwAQE9wL3IzL/I88GQEAAAQcDAP7hjz4/huYAFwMCty +MyuyPPCkBAAAEFAwC6oY8+Q1aoABUDArcjMrsjzw9AQAABBQMAuqGPPkdmqAAVAwL3IzL/I88UQE +AAAQcDAP7hjz5LduYAFwMCtyMyuyPPGEBAAAEFAwC6oY8+T0aoABUDAqcjMrojwqoj0B1AQLqhjz +5ThqgAFQMC9yMy/yPfAkBAAAEHAwD+4Y8+V5bmABcDArcjMrsj3wZAQAABBQMAuqGPPltmqAAVAw +AAArcjMrsj3wtAQAABBQMAuqGPPl9WqAAVAwLqI98QQEAAAQaDAO3Rhj5jkucjMu4j7z5qBuAAFw +MCtyMyuyPvAUBAAAEEgwC5kY8+boaGABTDAocjMogj7xNAQAABAYMAgzGPPnfGKAARwwKHIzKII+ +8SQEAAAQeDAI/xjz551uAAF8MChyMyiCPvEUBAAAEHAwCO4Y8+e+bgABcDAocjMogj7w5AQAABBo +MAjdGPPn32xAAWwwKHIzKII+8NQEAAAQYDAIzBjz6ABsAAFgMAAAK3IzK7I+8YQEAAAQUDALqhjz +6GVqAAFQMC6iPvGUBAAAEGgwDt0Y8+inbEABbDAAKnIzK6I+KqI/AcQEC6oY8+kBaoABUDAAL3Iz +L/I/8MQEAAAQcDAP7hjz60tuQAFwMCpyMyqiP/D0BAAAEEgwCpkY8+uPaGABTDAvcjMv8j/xNAQA +ABBwMA/uGPPr2m5AAXAwKnIzKqI/8WQEAAAQSDAKmRjz7CFoYAFMMCpyMyuiWyqiXAHkBAuqGPPt +W2rgAVAwK3IzK7Jc8GQEAAAQUDALqhhj7ecAKHIzKIJc8WQEAAAQGDAIMxjz7khigAEcMCtyMyuy +XPG0BAAAEFAwC6oY8+6taoABUDAjcjMjMl3z7vRigAEcMCtyMyuyXfBUBAAAEFAwC6oY8+9QaoAB +UDAocjMogl3wpAQAABAYMAgzGPPvjGKAARwwK3IzK7Jd8PQEAAAQUDALqhjz7+pqgAFQMCtyMyuy +XfFEBAAAEFAwC6oY8/Ama0ABUDAuoj8tokABpAQO3Rhj4AkuokAtokEApAQO3Rjz4G1sAEBPcAAv +cjMv8kHwJAQAABBwMA/uGPPgwG5gAXAwK3IzK7JB8GQEAAAQUDALqhjz4P1qgAFQMCtyMyuyQfC0 +BAAAEFAwC6oY8+E+aoABUDAvcjMv8kHxBAQAABBwMA/uGPPhf25gAXAwK3IzK7JB8UQEAAAQUDAL +qhjz4bxqgAFQMCtyMyuyQfGUBAAAEFAwC6oY8+H+aoABUDAAAC5yMy/iQS7iQgHkBA/uGPPiP25g +AXAwACtyMyuyQvAkBAAAEFAwC6oY8+J7aoABUDArcjMrskLwdAQAABBQMAuqGPPivGqAAVAwLqJC +8MQEAAAQaDAO3Rhj4wAvcjMv8kLxxAQAABBwMA/uGPPjXG4AAXAwKXIzK5JCKZJDAdQEC5kY8+Om +aGABTDAocjMogkPw9AQAABAYMAgzGPPkOmKAARwwKHIzKIJD8OQEAAAQeDAI/xjz5FtuAAF8MChy +MyiCQ/DUBAAAEHAwCO4Y8+R8bgABcDAAAChyMyiCQ/CkBAAAEGgwCN0Y8+SbbEABbDAocjMogkPw +lAQAABBgMAjMGPPkvGwAAWAwK3IzK7JD8UQEAAAQUDALqhjz5SNqAAFQMC6iQ/FUBAAAEGgwDt0Y +8+VlbEABbDArcjMrskPxhAQAABBQMAuqGPPlvmqAAVAwL3IzL/JE8IQEAAAQcDAP7hjz6AluQAFw +MCpyMyqiRPC0BAAAEEgwCpkY8+hNaGABTDAvcjMv8kTw9AQAABBwMA/uGPPomG5AAXAwKnIzKqJE +8SQEAAAQSDAKmRjz6N9oYAFMMAAAK3IzK7Jf8CQEAAAQUDALqhjz6hVq4AFQMCtyMyuyX/CkBAAA +EFAwC6oYY+qhKHIzKIJf8aQEAAAQGDAIMxjz6wNigAEcMAAAKnIzK6JfKqJgAfQEC6oY8+toaoAB +UDAAKHIzKIJg8EQEAAAQGDAIMxjz66NigAEcMCtyMyuyYPCUBAAAEFAwC6oY8+v/aoABUDAocjMo +gmDw5AQAABAYMAgzGPPsO2KAARwwK3IzK7Jg8TQEAAAQUDALqhjz7JlqgAFQMCpyMyuiYCqiYQGE +BAuqGPPs12tAAVAwLqJELaJFAWQEDt0YY9y6LqJF8GQEAAAQaDAO3Rjz3RxsAEBPcC5yMy/iRS7i +RgHkBA/uGPPdcm5gAXAwACtyMyuyRvAkBAAAEFAwC6oY892uaoABUDArcjMrskbwdAQAABBQMAuq +GPPd72qAAVAwL3IzL/JG8MQEAAAQcDAP7hjz3jBuYAFwMCtyMyuyRvEEBAAAEFAwC6oY895taoAB +UDArcjMrskbxVAQAABBQMAuqGPPer2qAAVAwL3IzL/JG8aQEAAAQcDAP7hjz3vBuYAFwMAAAKnIz +K6JGKqJHAeQEC6oY898taoABUDAAK3IzK7JH8DQEAAAQUDALqhjz321qgAFQMC6iR/CEBAAAEGgw +Dt0YY9+xL3IzL/JH8YQEAAAQcDAP7hjz4A1uAAFwMCtyMyuyR/GUBAAAEEgwC5kY8+BVaGABTDAo +cjMogkjwtAQAABAYMAgzGPPg6WKAARwwKHIzKIJI8KQEAAAQeDAI/xjz4QpuAAF8MAAAKHIzKIJI +8JQEAAAQcDAI7hjz4SluAAFwMChyMyiCSPBkBAAAEGgwCN0Y8+FKbEABbDAocjMogkjwVAQAABBg +MAjMGPPha2wAAWAwK3IzK7JI8QQEAAAQUDALqhjz4dJqAAFQMC6iSPEUBAAAEGgwDt0Y8+IUbEAB +bDArcjMrskjxRAQAABBQMAuqGPPibWqAAVAwL3IzL/JJ8EQEAAAQcDAP7hjz5LhuQAFwMCpyMyqi +SfB0BAAAEEgwCpkY8+T8aGABTDAvcjMv8knwtAQAABBwMA/uGPPlR25AAXAwAAAqcjMqoknw5AQA +ABBIMAqZGPPljGhgAUwwK3IzK7Ji8GQEAAAQUDALqhjz5sRq4AFQMCtyMyuyYvDkBAAAEFAwC6oY +Y+dQAAAjcjMoMmIjMmMB5AQIMxjz57JigAEcMAArcjMrsmPwNAQAABBQMAuqGPPoFmqAAVAwKHIz +KIJj8IQEAAAQGDAIMxjz6FJigAEcMCtyMyuyY/DUBAAAEFAwC6oY8+iuaoABUDAocjMogmPxJAQA +ABAYMAgzGPPo6mKAARwwK3IzK7Jj8XQEAAAQUDALqhjz6UhqgAFQMCpyMyuiYyqiZAHEBAuqGPPp +hmtAAVAwAADAofsKDyIAAGCw/goAIeQQaDBYCeDAoPsKDyIAAGCw/goAIeQQaDBYCdtj5ynAofsK +DyIAAGCw/goAIewQaDBYCdXAoPsKDyIAAGCw/goAIewQaDBYCdBj58fAofsKDyIAAGCw/goAIfQQ +aDBYCcrAoPsKDyIAAGCw/goAIfQQaDBYCcVj6GUuokktokoBJAQO3Rhj2OAuokrwJAQAABBoMA7d +GPPZQmwAQE9wL3IzL/JK8aQEAAAQcDAP7hjz2ZZuYAFwMCpyMyuiSiqiSwHkBAuqGPPZ1WqAAVAw +K3IzK7JL8DQEAAAQUDALqhjz2hZqgAFQMC9yMy/yS/CEBAAAEHAwD+4Y89pXbmABcDArcjMrskvw +xAQAABBQMAuqGPPalGqAAVAwK3IzK7JL8RQEAAAQUDALqhjz2tZqgAFQMAAAL3IzL/JL8WQEAAAQ +cDAP7hjz2xVuYAFwMCtyMyuyS/GkBAAAEFAwC6oY89tSaoABUDAqcjMroksqokwB9AQLqhjz25Vq +gAFQMC6iTPBEBAAAEGgwDt0YY9vZL3IzL/JM8UQEAAAQcDAP7hjz3DVuAAFwMCtyMyuyTPFUBAAA +EEgwC5kYCQlD/wIAA+4+qmBj3EkocjMogk3wdAQAABAYMAgzGPPdC2KAARwwKHIzKIJN8GQEAAAQ +eDAI/xjz3SxuAAF8MChyMyiCTfBUBAAAEHAwCO4Y891NbgABcDAocjMogk3wJAQAABBoMAjdGPPd +bmxAAWwwAAAocjMogk3wFAQAABBgMAjMGPPdjWwAAWAwK3IzK7JN8MQEAAAQUDALqhjz3fRqAAFQ +MC6iTfDUBAAAEGgwDt0Y8942bEABbDArcjMrsk3xBAQAABBQMAuqGPPej2qAAVAwLnIzLuJO8+Dl +bkABcDAAKnIzKqJO8DQEAAAQSDAKmRgJCUP/AgAD8JQqYGPg+AAvcjMv8k7wdAQAABBwMA/uGPPh +bG5AAXAwKnIzKqJO8KQEAAAQSDAKmRgJCUP/AgAD8NmqYGPhgCtyMyuyZfCkBAAAEFAwC6oY8+Ll +auABUDAqcjMromUqomYBJAQLqhhj43MocjMogmbwJAQAABAYMAgzGPPj1WKAARwwK3IzK7Jm8HQE +AAAQUDALqhjz5DpqgAFQMChyMyiCZvDEBAAAEBgwCDMY8+R2YoABHDAAACtyMyuyZvEUBAAAEFAw +C6oY8+TQaoABUDAocjMogmbxZAQAABAYMAgzGPPlDGKAARwwK3IzK7Jm8bQEAAAQUDALqhjz5Wpq +gAFQMCpyMyqiZ/PlsWtAAVAwAAAAH/+wwOEADgAgB1/Q4QASAIAAAAAQAAAAH/+WeB/84gAf/610 +BAAACIEAAAAf/64QH/+VfP8P//8gAwtEIAMLVCADC0wAAP//H/+VzB//lBAAAP7/IAt7EB//rQQg +C3vgIAt7cCALfFAf/64gH/+spCALfKAgC31wDzwAACALfeAgC30wBAEACDAAAADgAAAA4QAAACAL +fvAgC36wIAdPOB//qxAf/6vsH/+u4CAHX8Af/6oQIAt/UCAAAAAKAAAAH/zi5CAHU4QqAAAAIAcZ +0B//rjgf/5X0IAt2AAEAAADg//4AH/+VdB//qyz//wAAv////0AAAEQgC3YwH/+vIB//leQgC7zQ +/+///+EAVuAgC3aAH/+sxEAAAADhAZIAAAAxRAAANYQf/5UUAAAxhAAALUQgC3bA4QBWAB0AAAAf +/4TwH/+u3CAHJVAgByUUIAMI0CAHXbQAMAAAIAMNxCALvcAgC75wIAu88CALvTAgC77wIAu+ECAL +vXAgB1zUIAMLXOEALgAf/66MIAt4QAAAJxAAAIAAIAceeB//r4Qf/6/AH/+09B//tQwAD0JAH/+1 +JB//sYAf/7T4H/+1EB//tSgf/6zA4QMGAO3/////5b//ABhAAB//q0AAAmJa/8AAAAATHBz//AAA +AAGqAAADCQQgC3hw4QM6AH////8f/5VAAADw/wAAUA0f/5wI4QBeACAHXUj//Ph/4AAKAOAADYQg +C3iQP////wACAADiAAAAIAqAAB//lNAAABvw3//+AOEAWgDf////AAEAAB//qhgf/6woIAt64CAL +wZADAAAAv//w/wAAQAAf/67kAAAgAAIAAAAAAAAAbBAEiCLOh9ogW/QMzqBoUwPAINEPiif7TAAA +ABBgMPqsICIAAGkwWq2M0qDRDwAAaFMyiifAsPqsICABEGAwWrDzHf+CnaCMIBv/gfjMEQABEGgw ++6YCLAkAazD8pgEgABAQMNEPwCDRDwAAbBAEiycU/3iKvhf/eC0gDCqtByigHS+gHP4gDSAAEDAw ++IwKIQACKrD4pB0gYAA34P8CAABFEEgw/wIAAABBh+Bo8hfbYPz/ahABEFAwWA3zxyLRDwAAAAAA +AAD7cIAgJwC04MixZOEtJlScJlSdLkJ2iyD6QoggABBgMP67DAABEGgwWqzjwCDRDwAAjyLzCgEh +GwC34NogW/PNZaE4iyAjVJwoQnb6QoggARBgMPi7DAABEGgwWqzXwCDRDwAAK6Ade5vAZOCt+WwA +ANcAN2D7fIAsIAFoMG3JCi+w3fu8ASgAIE/wDSwUZMBEJLDfKrDdKLDeLbDg+akIAf4CUzD5iQgA +CAJC8G2pIfmA3SQAIEkw+4DeIAgCQjD0gNsqACAjcP2A3CgAIFZwqbmpSanZsOqqmf1wgCvQBDpg +/P8tHh4AumAprTLwAAphCAJKcCmtLSmcRBr+9A3KOBv/JsDi+5kIAAAQEDD6loAgAAsuoC5UnNEP +Kd0B8/+4YQACSnAAAPaWgCACEHAw/lScIAAQEDDRDwDa0Fv0NWP+yQAAAAAA8/+KYgAASbAAACq8 +IPwKASAAEFgwWrB5Hv8JnqCLIP3/CB2ABDrg/aYCLAkAGzCcoWP+yIsnY//QAAAAbBAGFf8BKlKI +KaEDKKEC/wIABgB4zhDAsFqsutSg9qwAAAEQODAY/s8ogoAvUoCkiAmIEaj/LPANKfAM/BYAIMgA +NyD7/vYQ3AA2YNqQ+QoALCABSDBtyQotsN37vAEoACBPcAosFPqw3SBPADcgLrDfKLDeLbDg+akI +Af4CUzD5iQgACAJC8A8CAA8CAA8CAG2pIfmA3S4AIEuw+4DeIAgCQjD+gNsqACBzcP2A3CgAIFZw +qbmp6anZjRCw3a2dDVsUDrsRCzsM+7IDLIABbDAA0QQAfBr8uwEAARBQMAurOdrwW/9OKlKIDwIA +L6EDLqECf+ENK0wBWqyC9KwAD/+TUZDAINEPAJEEAHsa+ysBAAEQQDDz/8hqBQBeMAAAAAAAAPP/ +kmAAEEgwbBAIF/68EwLeJ3J/Ff689P68EAAQEDD4fP8h8AIx8PgWBiAAhaXglhUW/rNgAAoAsSL/ +AgAGAHw8kI0WAt0MBNkRBJkCKTaYLzKZKDKY/w5BCABAKjD4NpgoABn5kP8SBSOcAj+gfyHGG/5z +Gv6nK7KAKqJGq9sJuxGrqi0yriugIvDXQnIAAHNwYAAkGAJU/P6dEAMQUDD4gj0gEBBYMPgWACAA +EHAwWA0ZY/+BAAAAAA3MQny5Dx0CRhj+k8Dw/4SALABAb7DwsQQAARBgMPDMGg//EHAwDswDDNwB +LDauKTKu/wIAAf+kBlCNovoWBCAKALdgW/LzZK8zihSKp8Cw+qwgIAEQYDBar+KLFBz+cZygi7Ad +/nD4uxEAARBgMP2mAioJAGbwm6Fj/wHRD2wQDlv/sxj+dSiAkPcKASPoADYgFv5zEwKO8goAIAAQ +KDAa/m4qoGwAIAQKCht/pywkMtF7RiYAIQTwexoCAABhsPtVAgAFEFAw+woQIgAAaXBYDOMZ/mMJ +TAEsNtGxIvkovmAQAhjw01Ae/l+THPAOBwIAAFDw8A6AACACaHDwDYAAIAJYcFv/R4gcDwIA/hIE +I00ANiAsEgcrEgYvEgX8FgEgBRBQMPsWACIAAGjw/P5PEBAQWDBYDMkc/k77/kwQABBwMJ4bHf5M +LNaDGQJgK7IZ+ZKuIlAANuBkMkgV/kkW/kcY/kWYGfgSCyCAEFAwmh6WGghYDPgWCCIAQGZw8ABz +YIAQMDAAGv45LKLTJKLdrCgJiBGoRItAKqLlDLsMWqvRZKLfjx3TDwb/CC/wvfQKACD7ALfgG/4t +K7IZjR6MG4gcjxqOGQgYFPgWDCAQAnvw/xYKIBACc7D+FgkgAgJjMPwWCyACAmtw/RYOKgDb2xBk +ga+NGIwbihz9zAgCAAATMPwWDSFsAH6wZT94jh7/AgAOAQuToIkaYAIP/lwAAWwANKDAwPIdEgAc +AHywplz8wL0gAgJxcGTQRLLo+ewBKgAgM7D6oL0uACAycP3gvSH+Aktw0w/TD22aH/aJCAACAlow +9rsIAAQCQjD6kL0oACBmsP2wvSwAIEtwrKys3KxMDFgU/hwQKeAEOiAI7gz94gMogAFkMACRBAB4 +GgjdAp3jsUT/AgAL/4b5EMg7/BIOIf+0mSBj/8cAAPoSDiAAQgEg/lwAANQANKDAwPIfEgAcAHyw +plz8wL0gAgJxcPTwTGH+Akvw/ewBKgAgM7D6oL0sACA3cP3QvSAEAkOwDwIADwIADwIAbZof9okI +AAICWjD2uwgABAJCMPqQvSgAIGaw/bC9LAAgS3CsrKzcrExvzhYZ/dMJyQtgABMAAAAAAAD+ru1i +AABisBn9zwnJCx39wRz9txr9hC3QkA3KOBv9tauZ+paAIAAVLqAe/bou4JDI5v8CAAAAVAEgjx2m +/y/wvWP/GgAAAADz/vBgABBgMMCAKJaAY//R8/+QYAAQYDAc/bqPFo4VjRT5EgcgBRBQMPkWACAQ +EFgwWAwnKBwQAgiLwDAHM2IS/ajyAYIObgA44BX9ayhSgSQi3aOICYgR/CLTJAAgQTCLQCoi5Qy7 +DFqrO8mpBEGLsTMHM2TyAYQPqAI44MAg0Q8AAAAAAAAA+kwAAAAQWDBb/ghj/9baIFvyomP/U4kZ +HP2LG/2BGP1NLMCQDLg4Gv1/qpn4loAgABQuIB39hC3QkGTdUtogW/KXY/1KAAAA/xIFIDQAN6CM +F4sWY/ywAMDgLpaAY//TH/2HI/I3I/Y3Y/xlAAAAAPpMAAAAEFgwW/3sY/0RAAD7EgYgDAA34IwX +Y/x6AAAA/BIHLHQAtuBlzGxj/1YAAGwQBIoniTAroRX9+sAgQAIysPUMRwwAQG2w/bsICMgBSDD7 +vEAilAI7IGSAd4mrCIwRrJz8zQEh/gJRMPyuEQoAStsQrs74PBAqAEx20GhBCm2pBQAIhgBMYYlj +iJCxiJiQjzD/AgACAFbD0MAg0Q8qMAdpofX+IBQu4AFMMP/8ASIAAFGw/x8UAAEQWDD/7ggAABBg +MP4kFCABEGgwWqs20qDRDyUwFy+pFP79TRACAilw+aIILcAEOWD5pgsuACBn8C+lFJ6QjCAIzBEM +VQKVkWP/WShhBQjMDGP/ZQAAAAAMuwwLSRS4ng6uNm3pBQAIhgBMYQO4CPlPDACAAktw//z/ICAC +QjBt+QUCCIYASWNj/0QY/TMkkBCKYfOQFyAAEDgw+EQKACACKnD0QhAg1AhKsPpsAAABEFgw/HwA +AAEQaDBaqwyNYy3QAykgFP3cASIAAFCw/R0UAgAAWXD9mQgCAABg8PkkFCACEGgwC0AAjmPTDw8C +AGTu7vs8AAIAAFGw/AoAIgAAaPBaqvr3ZgMgABAQMNEPAI8g+1wAAgAAULD4/xEAARBAMPj/AgIA +AGDw/5YBIAIQaDALQACJY2SepiJpBPlmACACAkDw92YDKcAEOiAIIgzyZQQgABAQMNEPAAAAbBAE +0Q8AAABsEAQE6jAV/PwiUoByQwQkVoDRDyhSf/RWgCACAkIwKFZ/0Q9sEASJJyiZFPqcICAAEBAw ++5IJICUANiD8CiogHQA24CmwAB387fuyAiAeCGJw/vzrEBQEavB+sQLRDwDAsvwKACACEGgwWqrH +0qDRDwAAAGwQBIknKJkU+5IJIBAANiCJIsiewCDRDwAAAADz//BgABBYMCywHYq2i7UAzDJYCHba +IFv/39Kg0Q8AAGwQBIs1iDQsMBz9MgYiAABQsAuAANKg0Q8AAABsEAYvMgAY/MwkIgD/S1MO+AF8 +MPj4CgwAbBfgKILYDwIAZIDwGQDNGPzFKZKuD/UK8Z4PdAAgRXAqUHz/AgACAJ9+kPZQfSDAAKLw +C2wBDAxD/Qr/IKQIYvAnUH59cQyLMQsLR/8CAA4AerrQHPy0J1CAKkAgizD/QCEoAAa6kCNQf3y4 +R3PwRBn8rgZIQ9MPCYgKKIJ/2kALgAD6JgAgkQA2oMAg0Q8c/KguQA39QAwgAhBQMPsWAChgATAw ++BYBIAAQWDBYCwnGKtEPABz8ny5ADS1ADJMR+hYAIAAQWDD3FgIgAhBQMFgLAMcv0Q8c/Jj9QAwg +AhBQMP5ADSBAEEgw+RYAIAAQWDBYCvjGKtEPAAAAAC1ADP5ADSACEFAw/PyMEAAQWDBYCvAi+trR +Dxz8iS9AIS5ADS1ADCpAIJoQKVB/mRH4UIAgABBYMPgWAiACEFAwWArlxirRDxz8fy5ADS1ADPsW +ACACEFAw9xYBIAAQWDBYCt3GKtEPLUAM/kANIAIQUDD8/HUQABBYMFgK1iL6udEPAAAAbBAKG/xx +CysLKrCALLCCLrCB8woBIAAQeDD8zAEh/gJSsPyqAQACAkOw+lxCCuABUDD6tIIgYwA2IC2yH/AA +KmAAECAwLrCBscwOzgwOTDgusIH7yhEAAgJ78PjsASrgAVAw+rSCKgAZQ9ANyQopkgAKCEQAgAQJ +CRlkn8ptCBR/nxWxqvkZFArgAVAw+rSCL7QANmBj/+QAHfv3/goRLYAEOqD70oomAKB0kCXSgKpV +CVUR9bUIAgAAGzAY+/IDAkcOIhGoKCiCfwOKFAuAABj77qgoKIJ/+jwAAAAQWDALgAAY/DqoKCiC +f9owC4AAKVB6KZwBKVR6J6AH1KD2XAAEAH4V4Iqg0w/5/CQe+AFUMPpKUwwAqhfgCfsKK7LYZLFn +HPwrGPweLMKuD/IK8c4PcgAgQLAtIHz/AgACAN5/UJoY+yB9IgBiopAKvgEODkP6FgguAFvykCog +figK/3ihEYlB+hYHKOABTDD5FgQuALJSUC0ggC5gIPpCACgAEWuQL2AhnhYY/ActFgUsIH/8Fgko +AFPCkPwWCS4AT+fQGfwBC0hDCYgKKIJ/+6wAAgAAUbALgAD1rAAA7QA2oMDAZsA6iEAZ+/UIiFcJ +iAoogtj6XAACAABZMPx8AAIAAGjwC4AA0Q8l0oGqVfPDAgWQBD1g8/7DZAAgLvAAxsr6PAACAABZ +MFgHgtEPHPvniRguYA39YAwoYAFYMPgWASACEFAw+RYAIAAQWDBYCkjz/45v6hBgMAAAAAAc+92I +FYoWLmANLWAMiRmZEfoWACAAEFgw+BYCIAIQUDBYCjzz/15v/xBgMAAAHPvS/VAMIAIQUDD+UA0g +QBBYMPsWACAAEFgwWAoyY/+kLVAM/lANIAIQUDD8+8gQABBYMFgKLPP/HW/aEGAwHPvEL2AhLmAN +LWAMKmAgmhApIH+ZEfgggCAAEFgw+BYCIAIQUDBYCiBj/1oc+7qIFy5gDS1gDPkWACACEFAw+BYB +IAAQWDBYChdj/zkAAAAALVAM/lANIAIQUDD8+68QABBYMFgKEPP+rm+5EGAwbBAEiTDaUP77dBIA +AFjw/DAIICAAKnBuxjHwAAdv6hBgMADAwP37ZhA4ACZwKeIeL+CC/7QIKAAgbnAJSRSZtCjiH5i1 +WActwCDRDyzkgvP/0mAAEGAwAGwQBPYsAAIAABDw80wAAgAAIbDTD205D/MgACACAhCw80QAIAIC +ITDSYNEPbBAE1iDTD9MPbUkH8yQAIAICELDSYNEPbBAEKgpg+QovIHoQYDD7CjkgAxAoMAUlLG1Z +zCIwAHKbEXKzDvAAHmGgAjiwAAAAAAAAAPcsySoAB5KQcsMH8AAEYVICOLAiMAHYcPyIEQoAChJQ +crMM8AAcYaACOLAAAAAAAPcsySoAB5KQcsMH8AAEYVICOLAiMAKoePyIEQoAChJQcrMM8AAcYaAC +OLAAAAAAAPcsySoAB5KQcsMH8AAEYVICOLAiMAP4eAgACAIY8PyNEQoACxJQcrMO8AAeYaACOLAA +AAAAAAAA9yzJKgAHkpBywwfwAARhUgI4sK19/UYAIAgCITDAINEPAAAAbBAEKgpg+AovIHoQYDD7 +CjkgAxAoMAUlLG1ZjyIwAPcwASoACJIQcrMJ8AAeYaACSLAAAPksySoAChKQcsMM8AAJYVICSLAA +AAAAAPIwAioADroQd7MV93zQKgAUlhByqyxywynwAClhUgIQsHerDXfDCvAACmFSAjnwAAAAJ3zJ +covacrPX8AAHYaACELAiLMn8fREHgAQ6YK1m8zwDJgAgMLD2RQAgBAIhMMAg0Q9sEAT7CmAgABBA +MPoKLyB6EGgw8hUUADkQYDDTD21ZayIwAHKrDXLDCvAAGmGgAjiwAAAA9yzJKgAHktBy0wfwAARh +UgI4sCIwAfSPCAIAAEnw8zwCIAICQjD8nhEKAAsSkHLDDvAAHmGgAjiwAAAAAAAAAPcsySoAB5LQ +ctMH8AAEYVICOLCufi70AMAg0Q9sEAQCMhRkIGf6CmAgehBgMPkKLyA5EFgw9C4KAAAQEDDzJwgA +ABBAMPUKCCAQAmiwbVosInAADIgR8psPcAICOfByswfwABZhoAIQsHKrC3LDCPAACGFSAhCwACIs +yago+EYAIAgCITD+SbRyAAATcMAg0Q8AAABsEAT3LAAAUAA04PoKOSAvEEAw+wp6IGAQSDDyCgAg +ABAwMG05LqdjIzAAAiIK84sPcAICMbBzowfwABVhoAIY8HObCnOzB/AAB2FSAhjwIzzJAyIJ0Q/A +INEPAGwQBPcKACBSADSgw7n8CnogLxBIMPgKACBgEFAwbSkuo3IiIAAIiArymw9wAgI58HKzB/AA +FWGgAhCwcqsKcsMH8AAHYVICELAiLMkCiAn4RgAgABAQMNEPwJD5RgAgABAQMNEPAAAAbBAEIyUC +8yUDICACYLD8JgAgABBYMPslBSD+AkDw+HgUAsABHDD4JQQgKAA04Po8AAABEGgwWqPuaK4V+jwA +AAAQWDD8IgAgARBoMFqj6Gmu6chLKSEEDJkRKZwQmUDRD9EPAGwQBBL6kygiaiMiawmIEagziDdk +gE38+o8QBBBQMP0yACAAEFgw/zIHIgAAcPBYCOeEN/r6iRAgAiEwWj+NHPqGHfqGHvqHjzD7rAAC +AABRMFo/RIM3IzwQ2jBaNUpooSXRDwD6CkAgQBBYMFh7X/syAC//EGAw+jYHIAAQaDBYUYpj/5AA +ANowWjVeE/p2C6gR9KA2YgAgRPAM6jArMoWLsLCj/LsIAgAAUPBYCvsqIpXwMQQAARBYMAC7Gguq +AiomlVgLMdEPAAAAAPoKByABEFgwWqrjLDJ/LDaD0Q8AbBAEE/pAEvo+IjaD0Q8AAGwQBB36CB76 +Xx/6XRn6YBL6VhP6WRX6WRz6XCw2jiU2fiI2hSk2cCk2cS82hi42jf3SMSCAAlJwKjaA+jaBIEAC +WnArNnj7NnkgARBAMPg2dSBkECAw9DZ9IMACSnApNogpNokC0igE1CiUUJLwFPpJFfpHEvpJDt0o +ncAiNo8lNn8kNofRDwBsEAQS+kQjIt0iIiGjItEPbBAEE/pBIjKBFPpABCIBIjaB0Q9sEAYT+jwr +Mrwd+jwc+j0NuwEMuwIrNrwK6jAd+dcp0jEKmQgI6jAImAxqgRJtCAgO6jAOngxq4QZj//AAAAAA +/PoxH/4QEDAoMoApCgEJiAIoNoAvwn/K/vv6JhAAEFAwbQgeKLLNAogBKLbNL7LOAv8BL7bOLsJ/ +sar7vUAqAAVykGP/2gAAACwyvx76IRv6Hh/6HirSQvkKsywAQHsw+6oMDAkAczD8Nr8gGRBgMAqc +OC8ywBj6Fx76Fwj/AQ/MAg7MAiw2wAvqMArqMCnSMauZCpoMaqEObQgICOowCJgMaoECY//wKTKB ++voMEA8QWDD9GvQgDxBgMPKZAQAUEHAw+TaBIgAAeHBaqinJpsCi/PoDEBgQWDBYCEXHK9EPAAAA +AAAAAPv5/xAHEGAwLjKBH/n9D+4BLjaBLbJKDN0CLbZKKrKKDKoC+raKIAAQEDDRDwAAAGwQBBn5 +9Bj55Q8CACWSNxf58vb58hAHEGAw9YNSAAYQUDD4Nypv8BBYMCSRkQdEAfVvUADaBDEwD8o5Ai4R +CO4ILeKCC90BDa0CLeaCJZI39JGRIlsBLDD5+g8muAA84PV+UAQAQDkw9wpwIDgIMTBkIEQCKxGo +uyqyggmqAQeqAiq2gtEPAAAsCmAOfDkCLRGo3S7SggnuAQ7MAizWgtEPZS+oAiMRqDMvMoIL/wEK +/wIvNoJj/5TRDwAAbBAEBOowGPlXKIIxAogoqEID6jADIwxqMQ5tCAgJ6jAJKQxqkQJj//DRDwBs +EATz+b0QzBAgMAQkKPj5ShIAICTw+j0BIAEQWDD7pEIgABBIMCmkQCmkQyk1niiAwfQKgCA+AH4w +AioCWD3z8qwAAB4AtqD4CgcoACAk8CiUwNEP2iBYPdTyrAAP6gA2oNEPbBAEE/k0KDJIIzJKAoM4 +A/JQ0Q9sEAQV+S8mCswGJignUkgkXQj1UkokACAxMCZNASNgMfJ1OAABEDgw92QwIIAQEDD0MDlq +QAEoMKJGImCx92SyJCMBLDAlZLP1KQwGNAA8oCmcHACRBAB4GihGT/dkzyAAEBAw0Q/AICJGT9EP +KmQxY//EAGwQCBj5EvlaByAAEDgw9frnIBQQUDDzChQiAAAyMG06DyRhcAVEAflBCXAEAjGwsXcn ++vv3FgAiAAAyMPcKACUnEEgw0w9tqg8rYXAFuwH5sQlwBAIxsLF3J/r7+VpHIgAAMjD3FgEgFBBw +MPwKFCAAEDgw0w9tyg8tYXAF3QH50QlwBAIxsLF3J/r79xYCIgAAMjD3CgAlZxBIMNMPbeoPL2Fw +Bf8B+fEJcAQCMbCxdyf6+/lahyIAADIw9xYDIBQQUDDzChQgABA4MNMPbToPJGFwBUQB+UEJcAQC +MbCxdyf6+9aA9xYEJacQSDD8ChQgABA4MG2qDythcAW7AfmxCXAEAjGwsXcn+vvAYPcWBSXHEEgw +/goUIgAAOjBtyg8tcXAF3QH50QlwBAI58LFmJvr79hYGIAAQODD4WuciAAAyMNMPbeoPL2FwBf8B ++PEJcAQCMbCxdyf6+/QcAA//EFgw9xYHIAIQGDDTD206CYhA9oBrYAgCITDBw/f5JBABEFAw9BwA +AAIQSDBtmk2FQPIGQApEAL1gLXKEAFEEAG4aAFEEAK8aC/8DD90BDt0CLXaEYAAdI3LABc0MANEE +AGgaANEEAKkaC5kDCTMBCDMCI3bA8hIUAAgCITDAINEPxyvRDwAAbBAG9RQBIP8QQDD0FAAgGghA +sPAA+mAAEBAwABr4khT5A/AhBAABEHgwAP4a+0CALAAgVLD90NwuYAFwMP4UAiAAECgw+KDcIAkQ +cDD9DUQGAF9ckCyg3fmg3iiAAUAw/AxEDgCA8hD/AgAOAKzzENzwCQlE/pkGcAICYzCxzCig39MP +DwIACAhE/wIADgBTchCxyf8CAAIAUhJg9vr/IA4QYDD1+OEQEgRjcMDtftlV/awAAAAQYDD3GsAv +5xBwMPe7AgAUEEAwbYoPKNFwDogB/dwCJgBNRtCxzAcsAvsKACAUEEgw0w9tmg8toXAO3QH6rAIm +AE9vELG7+/jNF9AQUDBaouzAUCJEgGP//9JQZiAY2xD6Cv4gAhBgMPo6AQABEGgwW8Mm0qDRD9EP +AAAAAAD/AgAD/7JDIPscAiDgEFAw/AoBIAEQaDBbwxz2r7xiAAAqsPv4thBkEFAwWqLWY/+jfslt +8/8GYAAQYDBmz2j/AgAKAE6XIC1ShADBBAD7Gga4AwjdAQ27AitWhGP/SABmv2T/AgAAABBgMP8C +AAoARxbgKVKEALEEAMoaALEEAP0aBt0DDZkBCpkCKVaEY/82KKDeCAhEfokY8/6rYgAAY/ApoN4J +CUR+mR3z/ppgABBgMCyg3wwMRP8CAA//VfMQ8/6ZYgAAY/AooN8ICET/AgAP/0ryEPP+g2AAEGAw +ACtSwMGDDIgMAIEEAPkaBp0DDbsBC5kCKVbAY/6qKVLAweML7gwA4QQAyhoA4QQA/RoG3QMNmQEK +mQIpVsBj/qdsEAgY+AP3CgAv5xAoMPoKFCQHEEgw8woUIgAAMjBtOg8kYXAFRAH5QQhwBAIxsLF3 +x3v3FgAiAAAyMPcKACQnEEgw0w9tqg8rYXAFuwH5sQhwBAIxsLF3x3v5SkciAAAyMPcWASAUEHAw +/AoUIAAQODBtyg8tYXAF3QH50QlwBAIxsLF3J/r79xYCIgAAMjD3CgAkZxBIMNMPbeoPL2FwBf8B ++fEJcAQCMbCxdyf6+/lKhyIAADIw9xYDIBQQUDDzChQgABA4MNMPbToPJGFwBUQB+UEJcAQCMbCx +dyf6+9aA9xYEJKcQSDD8ChQgABA4MG2qDythcAW7AfmxCXAEAjGwsXcn+vvAYPcWBSTHEEgw/goU +IgAAOjBtyg8tcXAF3QH50QlwBAI58LFmJvr79hYGIAAQODD4SuciAAAyMNMPbeoPL2FwBf8B+PEJ +cAQCMbCxdyf6+/QcAA//EFgw9xYHIAIQGDDTD206CYhA9oBrYAgCITDBw/f4FhABEFAw9BwAAAIQ +SDBtmk2FQPIGQApEAL1gLXKEAFEEAG4aAFEEAK8aC/8DD90BDt0CLXaEYAAdI3LABc0MANEEAGga +ANEEAKkaC5kDCTMBCDMCI3bA8hIUAAgCITDAINEPxyvRDwAAbBAEFff7wJH/KyFv/xAwMCRShAAh +BAA3GgAhBACYGgaIAwhEAQdEAiRWhNEPKlLAwdMC3QwA0QQAOxoA0QQAnBoGzAMMqgELqgIqVsDR +DwBsEAQV93YmCgD8UMEv5xA4MPj34x//EFgw+goBIAgQaDD9zAEABxAgMPwkOAFAEEgw+UICABQQ +GDBtOg8uUXAH7gH+ITlwBAIpcLFmx2sigsD091EQExA4MAZ3DABxBACvGvMK/yQRAF/w80QhIgBA +KLDzRCIuCQAX8C+GwNEPb2vLLIKEAGEEHvdEAKka/Qr/LhEAXnD95CEsAEB7MP3kIigJAGZwKYaE +0Q8AbBAEFPe8/ysWYAEQKDAjQoUAIQQAUhoCMgECUjnRDwDyQsEh4AJAsACBBABTGgMiAQJSOdEP +AABsEAQU967Agf8rHm//EDgwJUKFACEEAIMaADMRBzYDBlUBBTMCI0aF0Q8mQsHBpwKqDAChBACF +GgdZAwlmAQZVAiVGwdEPAABsEAQU958EJQojVuEMAgAkQurRD2wQBBP3mw4iEaMigiDRDwBsEAQY +93AESxH4PRAMSAEoMPQqEA2ABDsg/aoCCgkAZvD7900aCQBasAUMRwyqAguqAiqGmCaGmSeCmBn3 +PQl3ASeGmNEPAABsEAQf9xAv8jMv8hTyCgAgARBwMP+PUgAAEEgw8JEEAAICU/D6HRQAHgB+sADi +GvICRwIAAEuwZNCI8JEEAAQCGnDw6xoAAgJicPDBBAoJAFyw8OwaCuABXDD8uwICADEjYAAxBPDs +GgAGAlJwAKEEAOga/YwAAfwCU3D5zAAACAJCcA8CAA8CAG2pJ/CBBAACAmIw+IwCKuABWDDw6RoK +CQBOsPDBBAzgAVgw8O0aCgkAbzALC0cJuwILC0cNuwILAkfd8PoKBSAIEFgw/PdTEgAAcLBYBYnR +DwAAbBAEEvbZASQEE/dOIiIzJCJnIiJoBCIYFPdM8/b1EgBAGLD0IgwAARAgMAJCOSI0gNEPAGwQ +BGRAWSkgAGSQUygwAPpBOWAAEDgweYkxbQgdB0YM+GE8YAICOfBqYhOiefmQACgAIBnwKIAAeYkQ +Y//bAKJ5+ZAAKAAgGfAogAB4kwz5gxFwARAQMMAg0Q8Axy/RD8Ag0Q/RDwAAbBAEE/croyLRDwAA +bBAEG/avK7Iz/DwAAAAQUDD4Gv8gCAJIsPmDCXoAIBbwirFj//+LsFgHfQtCAdEPbBAEHfcdGPcd ++dwAAb8QUDBtqgUACIYASWH79xkSAABTcFgHqNEPAGwQBhP25/j3FRAAEBAwGfa/JDKuCUQBJDau +IoaoIoapIoaqIoarWIEsFvaPKjroKmYxWIEEWIDw9qD3YgAAErBYgNP2oOxiAAASsFiAh/ag4WIA +ABKwWH+O9qDWYgAAErAb9v8c9u0rsn78vQEIAGZi0Fv/2RX2+/JGRnABEDgwL2IyBUkB+TauJ9AQ +QDAI/ygY9rDA0C2G8Az/EQf/Ai82ty4ytw3kMQECACwyt2bAC20IBSoyt2agAmP/88CjWixeWH51 +9qBqYgAAErBYfkr2oF9iAAASsFh90/agVGIAABKwWH109qBJYgAAErAiMq50L3wFKwErNq5YfTRY +fSn2oC9iAAASsBr22Bv211h3jBz21g8CAPrGfyA4ADagG/bULLwEWHdY96AMYgAAErBgACHAoVqm +9NEPLmIxwKT89s0QABBYMA7dLFgE9WP/IWP//Mck+goAIAAQWDD89sYSAABosFgE7mcvzGP/xAAA +AAKFQvj2OBWABD1gBQZHDmYR9hYBJgAgQbAmYn8FhBTaQAtgAAoJQWiRB/8CAAIAVJ5gGfZ+iBGp +iCiCf9pQC4AAzqIb9nqKEauqmhDaQAtgAAoJQWiRAmmT8YgQKIJ/2lALgABkr+SXoRz2qJygGvZO +G/anAglS+aSAKgBAXLD7Nq4iAABRMAtgABj2F4MRqDgogn/WoPsKASIAAFFwC4AAGPYSDwIADwIA +qDj4gn8v/BBYMPtrAQAKEGAw/LsCAgAAUTALgAD/AgAD/4oIkGP+tgAAAAAA8/9mYAAQUDBsEAQd +9gX7PAACAABQsP3SMSAAEGAwWAU487wAAgAAErDRDwBsEAQD6jAW9hIkYn90MwcjZn8iYn7RDyJi +fvNmfyACAhCwImZ+0Q8AbBAE9yIHL/8QKDCGc4MiiXKZYIhy9oYBIAAQMDD2dgMkEQAtMPZ2AiIA +QCzwkyLRDwAAAGwQBIQn+gpkICACITBaOzn/IgAiAABw8PusAABkEGAw/fZlEgAAUTBaOu/RDwAA +AGwQBIUn9VwQIgAAUPBaOy38PAACAABxMP0KACIAAFqw/yIAIgAAUXBaOuOCJyIsENogWjDpaKEC +0Q8A2iBaMQYS9h4LqBH0oDZiACBAsAzqMCsihYuwsKL8uwgCAABQsFgGoxz2SSrCf/AhBAABEFgw +ALsaC6oCKsZ/WAbY0Q8A+goHIAEQWDBapossIn8sJoPRDwBsEAQU9ackQIDy9aYQBxBAMPg4KAAl +ADUgJSJKG/Wj+FMbcAAQSDAqIkkrsn/7qgEP9BAQMAqSOdEPwCDRD8DQ/AoAL/QQEDANwjnRDwAA +AGwQBARJAvg8AACCADTgbzR2ZDBz1FDy9YkQBhAYMA8CAG06EfMtBCH4AiEw9UIIIAgCELCVMBf1 +ghL1ggZ2OItijGONZI5lj2aHZ5conymeKp0rnCybLRT1eRv1fAiKEAqaAvP1eRoJAFqw+kb/I+gQ +EDBtCAqwImQgtStC/3swAmP/7sAg0Q/HItEPHPVxLMCAZM9+FPVvLUJK/woHIAUQcDAG/jn/AgAK +AEl3UBf1aiNCSSdyfwczAcCC+DQAICACQPACBYYASGMABYYASGHLaCU8MAYGhgBFZwQGhgBFZfwK +BS2ABD5gDc0CnTEqIAYrCoD8RkkqCQBasPokBiAAEBAw0Q8AAAAAAAD1CgMngAQ+YAdXApcxLiAG +LwqA9UZJLgkAe7D+JAYgABAQMNEPxyvRDwAAAAAAAADz/3pgABAYMGwQBGQwW280VGQwURn1Owg2 +EPj1PBYJADEw9pb/I+gQEDBtCAqwImQgsyeS/3eAB2P/7gAAAAAA8vUwEAYQGDAPAgDTD206EfMt +BCH4Ailw8zIAIAgCELCTWMAg0Q/HItEPGPUqKICAZI+gE/UpKTJKZJBzFvUoJTJJJmJ/BlUB+iIA +IAEQSDD5VAArgAQ9IPlUCCAAECAw9FQJKgkATvCbUQzqMPiqEQADEFgw/FUFKgkAWrCaUycgBogi ++TZJIAICOfD3JAYoCQBKMPgmAibAATww9yQGIgAAETDRD8cr0Q8AAAAAAADz/5RgABAoMGwQDBj1 +APlMAAIAADjw8AgHAgAAGHAAQ2EAQ2HwCAcAQAIYcABDYQBDYWRwkv8CAAAAi4Xg/wIAAgCPgeBv +dHtkcHgS9PH0HAAABhAYMA8CANMP0w9tOhHzLQQh+AIhMPVCCCAIAhCwlTAU9OnzCgYgQAIQcG06 +D/MiByH4AhCw80YIIAgCITAY9OAa9OMIdhAGlgL19OAWCQBRsPaG/yPoEBAw0w9tCAqwImQguSeC +/3dQA2P/7ADAINEPxyLRDxj01yiAgPr1YhsABD1gmxL6FgovbwA2IBT00ipCSv8CAAYAR0agFvTP +I0JJJmJ/BjMB+AoCICACOPD4NAAiAAAocAIFhgBHYwAFhgBHYfc8MCBAAihwBgWGAEdnBAWGAEdl ++J0RAAUQYDAM3QKdMSogBisKgPxGSSoJAFqw+iQGIAAQEDDRDwD+9UsfMAQ9YJ8Tnhtj/uUA9vVI +GHAEOWCYFZYdY/7VAMcr0Q8AAAAA8/9+YAAQGDBsEAjz9KISAAAw8NlA8AMHAgAAGHAAQ2EAQ2Fk +YIFvZHpkYHcS9Jv0HAAABhAYMA8CAA8CAG06EfMtBCH4AiEw9UIIIAgCELCVMBL0kvT0khAGEBgw +bToP8yIHIfgCELDzRgggCAIhMBj0ihr0jQhlEAWVAvf0ihQJAFVw9Yb/I+gQEDDTD20ICrAiZCBx +JoL/dnADY//sAMAg0Q/HItEPGPSBKICAZI96E/R/KjJKbqVVFfR+JDJJJVJ/BUQB+AoCICACOTD4 +RAAiAAAwcAIGhgBHYwAGhgBHYfidEQADEGAwDN0CnUEqIAYrCoD8NkkqCQBasPokBiAAEBAw0Q8A +xyvRDwAAAADz/7JgABAgMGwQBMo5bzQhyT4U9GDz9FwT6BAQMG0ICrAiZCCnJTL/dUACY//uwCDR +D8ci0Q8AABj0WSiAgGSP0CogBvinc3ABEEgwE/RVKzJKZLCCGPRTJjJJKIJ/CGYBjiApZACZYSlk +CCRkCQXqMPjuEQADEHgw9WUFLgkAe7CeYywgBo0iscz8JAYsCQBPcJ0iiyIsIAb5NkkvjRBQMPsL +QAAAEBgw/AxGAgUAXrD8JAYiAAAQ8NEPgyIv+o3zA0AAABAQMAPyOdEPxyvRDwAAAAAAAADz/4Vg +ABAwMGwQBJcj+DsRCKAEPSD69C4aCQBdsPj0LR0ABDlg+CYCKAkAZnD7JgEoCQBWcPkmACAgAhCw +0Q8AbBAEFvQlFPQl9WKKIBsANOCkJCRA3SJigaNEpCIJIhGiUiIsgNEPACRigKJCCSIRolLRD2wQ +BBn0Gogw9AsGCuABEDD7NAYoAEBKMPg2ACSkAD6gaKN6GPQTDqMRqDgogn8ChBQESgILgAAY9A+o +OCiCf9Wg+woBIgAAULALgAAY9AuoOPiCfy/8EFgw+1sBAAoQYDD8uwICAABRMAuAANEPGvP9K6KA +AowUKqKKrLsJuxGrqouni76NsMnT/LIBIAAQcDCesP62ASIAAFjwC9AA0Q9sEAQCCkdopS0Y8/EO +oxGoOCiCfwKCFNogC4AAGPPvqDgogn/8CgMiAABasPy7AgIAAFCwC4AA0Q8AAABsEAQZ8+coIQMJ +STbwmRECAABQ8PmIAgIAAGFw+CYBIgAAWLBb/7/AINEPAGwQBCMlEpQrJSYQJyRQKCANjRmMGikh +B4sb+yUqK0AEOaD8JF0pYAFMMP0kXCgJAFZw+SUHIBAANiCMHY0cLSQhLCQg0Q/RD2wQBBjzy2Qg +Q/rzyhAAEDgw+ICAIAAQMDBtKREAYAQICRv/lwZwAgIxsLh30w8roWsrRQAqojanqqo6+kUCK/AB +UDD6RQEgABAQMNEPHPO5LcFrLUUALMI2rDz8RQIt8AFgMPxFASAAEBAw0Q8AAABsEATy87AQ/hBA +MCsg1ykg1iwg2PiZEQuABDrg/KoCCAkAXnD6mQMCABBQMPrzpxgJAFZwCYcUCHcB90QAKABAVnAp +RAEmINkmRAIlINolRAMiINsiRATzRAUgABAQMNEPAAAAbBAEKCANyYIrIS3aMPsLRgIAAGFwW//h +0qDRD9ow+0wAAgAAYXBb/8HSoNEPAAAAbBAGHPONJyAHjTEuMQWPM4o0mhCJNZkRiDb4FgIgKBBY +MPgyByYgATww+BYDIAIQUDBYAi0rIAWMIm64O/jzcBBfALcgDHYR+vN9FgAgQbAtYjoKegr6opck +vAE7YCliOfqbAQ4AKdZQ+iwAAgAAYPBaq/TAtCtmOQUMR2jCGIon+0wAAAAQYDD6rCAiAABpMFqh +idKg0Q/AINEPAAAAAPosAAIAAFjw/EwAAgAAaXBappXSoNEPAPosAAAwAlnw/AoBIAQQaDBaqzNj +/9EAAGwQBCggBI0g/iEJKDgAOiD6CgIgABBYMPzzVhIAAHjwWAH9xirRDwD/PAAABRBQMPzzURAA +EFgwWAH3wCDRDwBsEATeMP0iACACEFAw/PNKEAAQWDBYAfDGKtEPbBAEAtJC0Q9sEATLKcBQ9/rw +LwAQMDDTD20IDXJgDQKCFPQgHmAQAilwY//pcnANAkIU8AAHYAgCKXAAsVUCEhRlL/fSUNEPwCDR +D2wQBBXzNIgswEDzUowvlhBIMPkkBSCMAP4wiCeLIPn6wCBAAnow9CYMLgBAT/D0hRQggAJ78J+J +n4guUnP6MhggABBgMP67DAABEGgwWqDGKjIZsKoqNhnRDwAAKyISLjItC4pE+qoPAgAAYTD+qgoA +ARBoMPqiACvwAVwwWqC6JCYSY/+TAABsEAQmIAIoIAAnIAH0CgAgEBAoMPMgAyOABDog8EEEAgkA +EfDwIhoHgAQ5oPBRBAIJADTwADMaAyIC0Q9sEAyVFhXzA/JS6yIAAEiwkx2WGCgiGZcblBf0PAAC +ogA2ICtSE/MKACKXADbgkhX5FgQgkAJRMPoWCSAAEDAw9hYMIAAQQDCYGhby4mAAX4kciZjJlYsc +jLmZwI24/NYBIAAQUDCauJq5KrYLjhuKGokcKEIT+xIJIAICUrD6FgogQAJ6cJ+AmJmbmP9GEyAB +AIeg/wIAAgD8g6D7UhMgABBoMJ0csTP/AgAKAPLY0ChidydS5ag4CYgRqHeKdy6pFGTv4ClwBSwK +lvKiCSGuBGJwZC/PjnCPQH7xyIsVihQrshmbEIqgmhGJSomQmRKIevzyyRIAAGjw+IIAIDAQWDD4 +FgMgBRBQMFgBaIwb/wIAAgBWjyD/AgAAAFKnIIsXiSKKIwuZDIsW+AoBIAAQcDAJjjj7qgwAABB4 +MAqPOP8CAAgAgHuQjBxkz07AoCwgOC8gOfsgOiAQEEgw+CA7LYAEOyDwoQQOCQBn8PD/GguABD7g +8JEECAkAWjAAiBoI/wIc8qYucAWNcIgjiSL5FgAgBRBQMPgWASAwEFgwWAFDjByKwiv6mvvEBS6j +ADagfqdojBzHvQurAZvCY/6PjRv/AgAEAIyfYMCgLCA4LyA5+yA6IBAQSDD4IDstgAQ7IPChBA4J +AGfw8P8aC4AEPuDwkQQICQBaMACIGgj/Ao0Y/wIAD/+he1CIQI9wjhwI/wwPfjmeHGP/LAAAGfKA +/wIAD/8WVlBkoKjAsG0IEC36AHrQLgqKFPSgQGAQAlrwY//oi0CKcP8CAAf/fl6QjhSNeo7gjdCM +HA7dDA18OJwcY/7ix/B68AwKShTwAAZgCAJa8LG7ChoUZa/3+hIML/8QYDBap2Fj/cQAAAAAAADA +IIgazIuKG/qs+yACEEgwCpI5/PJgEAUQUDD+EgogMBBYMP8SCyIAAGiwWAD70Q/AsJsa8//OYAAQ +EDAAAAAAAAAA8/+lYAAQWDAAAADAovzyURAwEFgwWADw8/+jYBYQEDBsEAQY8k0tYAcvYQcsYQj9 +KUAMIAFsMP8PSg0ABD9g+pkQD8AEP+D5/wIMCQBrMP3yKx4JAEfwnyAf8kCOYJQjnSL47hEMCQB7 +MPwmBC4JAHDw/iYBIAAQcDCeJQBHjQICj/8mBiCwEHAwnictYAwrYgcA3RH7sg4sCQBrMPwmBCBg +AmFw/CYHIFACULBb9tIMOBGoItEPAGwQBBjyESwgBymCiiqCgCiN5iiAwf0iAiuQBDqg+pkICiAB +ZDD6nQEgGAB+MPAACmEAAlKwKp0DKqyAyNLAINEPHvH9DL0R+PILHAAgd3Au0joIuAr4gpckAGfD +oC/SORnyEPj+AQ4AYMfQKCEH/CtACUABQDD6uxAJwAQ6IAuIAv/x8BgJAEowmOCIIPvyBhAwEEgw ++eYDIEAQYDD75gYpgAQ6IP/mAigJAGIwmOEvoAcpoSkPCkEAqhH6mQIAABB4MP/mBSgJAF5wmeQI +6jCY5f/mByBAAlOw+woCIEACSPBtugUACYYASmEFCUf81jkiQgA6YIon+woEIAAQYDD6rCAgBBBo +MFqf+fAABGIAACKwjDTIyfsyBSIAAFCwC8AA0kDRDwAA+7wYIgAAULD8CgAgQBBoMFqppcAg0Q8A +bBAEGfHBKJKKKpKAKZ3mKZDBCaoR/iICKAAgUjD9jQEgGAB+cPAACmEAAmtwLY0DLdyAKSAHGPGw +9eE3ZiABTDD8MgcnwAQ54KhmL2I6JMxPBEQU/wIACgB4J9Af8bYrYjkPfwov8pcY8Z3/ugEOAGz+ +0PAIBwAPADUg2KBtSQIASGEpIAcvIQcY8bX5KUAPQAF8MPqZEA/ABD/gCf8CCP8Cn6COIPjxlx/h +EFgw+6QYIGACSzD5pgMvgAQ7oPimAi4JAHEwnqEv0Act0Sn+8aceIAF8MAD/Ef/dAgAAEFgw+6YF +LAkAd3AtpgQJ6jAppgUspQ/7MgggQAJSsFv2QPRmOSjgASgw+IIlYAAQIDCKJ/sKBCAAEGAwDwIA ++qwgIAQQaDBan6LwAAViAAAisACINMiJ+zIFIgAAULALgADSQNEPAAAAAPt8GCIAAFCw/AoAIgAA +aTBaqU2NIPoKBSAwEFgw/PGCEgAAcfBYABzAINEPAPWO/SIAIAUQUDD88X0QMBBYMFgAFsAg0Q8A +AGwQBPvxeRIAAFCwWqmJ9KYEIgAAWrD1pgYgABBAMPimBSBAAkqwAgOGAEljAAOGAElhiSLIksAg +0Q+IosDE/QoDIgAAULALgADSoNEPAAAAbBAKGPFnG/FlJhYIKYCCK7GuKoIeJxYJ9RYHK4AEPuD7 +pQgKAHiWUAvqMBrxXi+if/aMAAoAcf7QHfFDK6Z/F/FaKqJ+/dIxIAAQYDBYAHabUfJUDiAgAklw +/nIAIBgQaDDzVA8gChBAMPpWACAkEBgw/lYCIAICe7D/dgAgDBBQMG2qBQAEhgBJYdpQ+FTOICAC +cHD+FgEgYAJ4cP8WACAAEBAw8lTPIAwQQDD4FgIgCBBIMG2aMfiMBCIAAFuw+BYCLAAEQ1BgAA4A +wZ35igZyAABb8NgwmBKrjCzN/yzCP/ymNCAIAlKw+xoAIgAAUXBYAikd8S0oYUAt0paxiP2NFAng +AUAwfYkEImVA0Q8oZUDRD9EPAC6ifvbxIRACAnOwLqZ+Y/8PAAAAbBAIHPEgAGWOGvEfKSIYjyAj +IActkASImv6SACB4EFgw+5QFJOABKDD7JAUoCQBSMPiWCiIgARww8xYAIAUQUDD2FgEgMBBYMFv/ +ovosAAAQEFgw/RwQIgAAYPBaqU/58QsQSQA2oIggwLD7pAkoCQBKMJigjymfoR7xBQw9EfwSBCwA +IHdw/NYAIjoAOSCKJ/sKASAAEGAw+qwgIAEQaDBanwDAINEPwCDRD9og/PD5EgAAWbBaqRvAINEP +AAAAAAAAAGwQCCMWASIWAPUWAyIAAFDw9BYCIgAAWXBYAY8oEgKCEAODKAUiKKMi8qIIAgAAGvDR +DwAAAAAAbBAI2iD2PAAAIBBgMPtcAADnADUg908ECgAHoJDzCgAgABAQMNEPAPfIDAKQADXgAIAE +AgMZAHEEBU0Y9i8YD+ABaDDxBAQD8AFoMAI0LgIzLPPsKAngAXww/0QYAgAAWPD8SxpyAABRMATa +CP2jD3H+AljwfKsH+toIAfwCWPAMrwwC+C4C/yz/7CgPAAQ6IP6eAgIAAFPw/OsZcgAAE7Cu0v0j +D3H+AlPwfCsH+vz+IgAgE3D8IgwDAAQ+4PBxBAIJAB6w8FsaAgAAUPBYAVR6IxLwcQQOAF7QkABo +Gv8CAAoAWVoQ8goAIf4CGPDRDwAAAAAAAAD5XwQKAE+okMiaAJEEAFsaAyIYADMaAQQE+wxPDfAB +XDANJC4NIizyyigJ4AEYMPNEGAIAAHiw+ksZcgAAcTCrTvvjD3H+AniweusH/r4IAfwCeLAK6gwN +qS4NrSz9zigNAAQ6YAyMAv7LJHIAAFNwq8z7wxpx/gJTcH7LEvDzEQH8AlNw86MCAAAQEDDRDwAA +8xHzowIAABAQMNEPwCDRDwAAZFFLD78EZPFND8kMAPEEALsaAJAE+gQZDfABXDANRS4A8QQNRCwA +qBrwkAQN4AFYMATOKAYCGQDxBABjGvEEBAIJAECw8lUYAgAAeTD+WxlyAABRcKta+6MPcf4CeTB+ +qwf6uggB/AJ5MP6oDAXgARQwDYQsDYgu9MIoCQAEOiD4VQICAABxMPJbGXIAAFFwq1r7ow9x/gJx +MHKrB/q6CAH8AnEwAP8R8qIMDgkAf7ABBAQNJS4NJCz0yigJ4AEYMPNVGAIAABEw+lsZcgAAcXCr +XvvjD3H+AhEweusH/r4IAfwCETAK6gwNqS4NrSz9zigNAAQ6YAyMAv7LM3IAAFNwq8z7wylx/gJT +cH7LIfPc/ikABDig+DMCAgAAE/DRD3JDAnUzHfMKASAAEBAw0Q8AIxHzowICAAAT8NEPAAAAAAAA +APMKACAAEBAw0Q/AsQW7LGP+qwuiDPsNXw3gAVgw8/9KYAEQeDAAAABsEAraMPssAAAgEGgw/FwA +ARcANSD2TwQKAIWkkGRhtwbXDABwBAICGQBhBAVEGPO+GA/wASQwDyguAQQEDyIs/ogYDeABJDAC +3Cj4FggiAABYsPyLGXIAAFIwqEr0ow9x/gJYsHyrB/pKCAH8Aliw/K4MA+ABcDAP6C4P7iz+3CgN +AAQ+IP0tAgIAAFOw/NsZcgAAE3CtQvQjD3H+AlOwfCsH8kIIAfwCU7DwYQQPAAQ+4PBVGgoJAHqw +/CIMAgAAWXBYAJX9rAACAABy8PBhBAoAC1SQ8DwaAFIIULB7yyFgAAkAAAAAAGEEADwa9esMAAEQ +UDD02AwKAANfkMCgCooMCi0MC8oM8HEECgBV1xAA2BoAYAQKAxn9AhkCCQBE8NEP0Q8AAP5fBAoA +a6iQyOoA4QQAXBoDIhgAOhoBBAT8C08N8AFkMA0jLg0vLA+/KAozGP87D3IAABDwrDJ8IwV/KwIC +wgj/KgwJ4AFQMA2pLg2qLPq7KA0ABD5gDY0C+9sjcgAAU3Cs2nyjGXurFvDgBAoAIFMwC6MM8wMZ +AAAQEDDRDwAAAOAEC6MM8wMZAAAQEDDRD/BxBAH+AhNwACgaAGAECgMZ8gIZAgkARPDRDwAAAAAA +9TwMCgAGFRD/AgAKAIss0HwzCfSyDAIAABsw0Q8EsgzyLP8iAAAbMNEPAGRQ9Q7PBGTg+w7aDADh +BADMGgCgBPsJGQ3wAWQwDZQuAOEEALgaDZksAKAEAwIZAOEE8DoaC+ABZDAJsyjxBAQCCQBAsAJE +GPNLDnIAAHkwrE988wRz+wGvz/P5DAPgARQwDZguDZIs8rIoCQAEOiAIMwLyOw5yAAB48Kw/fPME +cvsBr88C8gwBBAQNIy4NLywPvygKMxj/Ow5yAAAQ8KwyfCMEfysBosL/KgwJ4AFQMA2pLg2qLPq7 +KA0ABD5gDY0C+9shcgAAU3Cs2nyjF3urFPDgBAoAIFMwC6MM8wMZAAAQEDDRDwDgBAujDPMDGQAA +EBAw0Q/RD8DBBcwsY/8BAAAAAPyyDA3wAWQw8/93a+ABZDBsEAIDBV/1JhwF8AEQMANLHKtm+QoA +KgADWZCxmQEEBAaZGAMrHABmGqtme2sBsZkFQhz5IggCAAAZsNEPAAAAbBAC8EEEDAAGiSADIhgA +MxrRDwDwMhoAABAYMNEPAABsEALwQAQMAAaJIAMjGAICGdEPAPIDGQAAEBAw0Q8AAGwQAgLqMNEP +bBACzCUD8DFgAA8AbyIFA/ExYAAFbyMFA/IxAAIA0Q9sEALMJQLwMNEPAABvIgQC8TDRD28jBALy +MNEPwCDRD2wQAiIKgCMKAG0oDig3QCg3RCg3SCg3TCM9AdEPAAAAbBACIgqAIwoAbSgOKDdQKDdU +KDdYKDdcIz0B0Q8AAABsEAImJwDRD2wQAiUnANEPbBACAgRFpDMjPD8DYxRtOQUmJwAiLEDRDwAA +AGwQAgIERaQzIzw/A2MUbTkFJCcAIixA0Q8AAABsEAICBEWkMyM8PwNjFG05BSUnACIsQNEPAAAA +bBAC0Q8AAABsEAIC5DHRDwAAAAAAAAAAAAAAACAGxEAgBsdIIAbeqCAGx2AAAAAAIAbhXCAG4kQg +BrtoAAAAAAAAAAAAAAAAAAAAACAGtwAgBrZgAAAAACAGtZggBrWQIAa0oAAAAAAAAAAAAAAAAAAA +AAAgBrEIIAaw+AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAACAEuYggBL54IAS/RCAEs5AAAAAAAAAAACAEv5wAAAAA +AAAAAAAAAAAgBL3wIAS9TCAEwmggBMBIIAS1nCADPQQgAz3cIAS+gCAEtTwAAAAAAAAAACADPkgg +A0LEIANCaCADQYQgA0EsIAM/+CADP4AAAAAAAAAAAAAAAAAgAz7oIANA2CAEwmggBMBIIAM8LCAD +PQQgAz3cIAS+gCADO6wgAyl8IAgohCAIKaAgAzukIAPzrCADNBggAzLYIAMxtCADOaQAAAAAAAAA +AAAAAAAgAzjEIAM26CADLtwgA/RQIAMwnCADKnAgAzAYIAMxrAAAAAAAAAAB/wEAAAAAAAEf/OEw +AAAAAOAAAOABAAAAIAka0AAAAAEgCREEAAAAAiAJC9gAAAABIAkISAAAAAEgCQHoAAAABCAI7iQA +AAABIAjtGAAAAAEAAAAAAAAAAAABAAEAAAAAAAAAAAAAAAAAAP8AAAAO/wABAAAAAAAACgEAgQAK +AQABAAoBAAEACgEAAQAOAwEBAB7/gYEAHgKBAQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAEbBAMDARsCAwMBGwMDAwEbAgEBAR8EgYEBK/+BgQEqAYGBASkBgYEBHwOBAQEfA4EBASz/gYEB +PQKBBQE8/4UAATz/hQABOQEFBQE+DwUFAS4EgYEBGwIBAQAOAoEBAS4CgYEADgIAAQAOAoEBAA4C +AQEBGgGBgQEOAgEBAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAcAwEA +AA4DAQEATwQBAQBfBAEBADwEAQAAAAAAAABs/wEBAEwEAQEAAAAAAAAOAoEFAAAAAAAAAAAAAAAA +AAAAAB4CAQEAAAAAAAAAAHMAAAB9AAAAhwAAAJEAAAAAHwAAAAAAAAEAAAAAAAAAAgEAAAAAAAAE +AgAAAAAAAAYUAAAAAAAABxIAAAAAAAAIAwAAAAAAAAoVAAAAAAAADxMAAAAAAAAQBAAAAAAAACAF +AAAAAAAAQAYAAAAAAACABwAAAAAAAMAWAAAAAAABAAgAAAAAAAIACQAAAAAAAwAXAAAAAAAEAAoA +AAAAAAgACwAAAAAADAAYAAAAAAAQAAwAAAAAABgAGgAAAAAAIAANAAAAAABAAA4AAAAAAIAADwAA +AAAAwAAaAAAAAADgABsAAAAAAQAAEAAAAAABgAAcAAAAAAHAAB0AAAAAAeAAHgAAAAACAAARAAAA +AAYhegAAAAUAAAAEAAAAAQAAAFIAAAATAAAAGwAAABYAAAAQAAAAAAAAAAAAAABSAAAAWwAIGzIA +AAAGAAAADAAAAAIAAABnAAAAAAAAAB0AAAAUAAAAEAAAAAAAAAAAAAAAVwAAAGMACYloAAAABwAA +AAIAAAACAAAA/QAAAAMAAAAeAAAAGgAAABAAAAADAAAAAAAAAFsAAABoAAoh/wAAAAcAAAACAAAA +AgAAAGcAAAAcAAAAHgAAAB4AAABQAAAAAAAAAAIAAABcAAAAbAAKupUAAAAIAAAACgAAAAMAAAD1 +AAAAAQAAAB4AAAAaAAAAEAAAAAEAAAAAAAAAXQAAAG0ACyBPAAAACAAAAAoAAAADAAAAagAAAAIA +AAAeAAAAGgAAABAAAAACAAAAAAAAAF4AAABuAAun8QAAAAgAAAAKAAAAAwAAACkAAAACAAAAHwAA +ABwAAAAQAAAAAgAAAAIAAABfAAAAcQAMNQAAAAAIAAAACgAAAAMAAABSAAAAEwAAABsAAAAbAAAA +UAAAAAAAAAAAAAAAYQAAAHMADELzAAAACAAAAAoAAAADAAAA9QAAAAEAAAAbAAAAGwAAABAAAAAB +AAAAAgAAAGEAAABzAAy3NgAAAAkAAAAGAAAAAwAAAP0AAAADAAAAHAAAABwAAAAQAAAAAwAAAAAA +AABkAAAAcAANHO8AAAAJAAAABgAAAAMAAAApAAAAAQAAABwAAAAcAAAAUAAAAAEAAAACAAAAZAAA +AHEADj3VAAAACQAAAAYAAAADAAAAKgAAAB8AAAAfAAAAHwAAABAAAAAAAAAAAgAAAGYAAAByAA7V +vgAAAAoAAAAJAAAABAAAAGoAAAACAAAAHAAAABwAAAAQAAAAAgAAAAAAAABmAAAAdwAPQkAAAAAK +AAAACQAAAAQAAAD9AAAAAgAAAB0AAAAdAAAAUAAAAAIAAAACAAAAZgAAAHcAD7xSAAAACgAAAAkA +AAAEAAAAKQAAAAEAAAAdAAAAHQAAAFAAAAABAAAAAgAAAGsAAAB4ABBGBAAAAAoAAAAJAAAABAAA +AGcAAAAZAAAAHgAAAB4AAABQAAAAAgAAAAIAAABrAAAAeQcAOwACADgABAM7AQAAAAABNgFsAZYA +8AEgAVMA4QExAWEAvADkAQsA9QEiAUkAxgDrAQ8AwgDqARMAnQC/AN4AywDtAQ0AqgDJAOQAowDE +AOAAgQCiAL0ArwDMAOMAlACwAMYAiwCoAL8AAACBAJ0AmgCzAMcAgQCbAK8AbgCPAKUAAAAAAAAA +iQCfALEAbQCIAJsAAABhAHIAAAAAAAAAegCPAJ8AAAByAIcAAAAAAAAAAAAAAAAAAAAAAAAAAAD7 +ASYBagDZAP8BNgDuAS4BjACrANMBEwDWAPkBKgC5ANoBCgC3AOIBMACMAK0A4QC6ANkBBgCiAL4A +5wCWALcA6gBlAIwAugCkAL8A5gCOAKgAzQB6AJgAwwAAAAAAlACRAKoAzQB7AJUAtwAAAHoAowAA +AAAAAACAAJgAuABkAIEAowAAAAAAfQAAAAAAAABtAIYApQAAAGYAjgAAAAAAAAAAAAAAAAAAAAAA +AAAAAQABKQFVAM4A8wEVAMcA7gEWAKEAwwDgAM0A7QEMAKsAygDjAKIAwQDdAH0AngC3AK0AyQDf +AJMArQDBAIYAogC5AAAAAACLAJcArgDAAH0AlgCpAEwAgQCZAAAAAAAAAIUAmgCrAGIAfwCTAAAA +AAAAAAAAAAAAAHYAiQCZAAAAVgB5AAAAAAAAAAAAAAAAAGYAegCJAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAA3wEDATEAvwDfARAAvADkASwAjwCvAOIAvQDcAQcApAC/AOcAlgC2AOYAVwCGALQA +pAC/AOQAjgCmAMoAdgCTALwAAAAAAAAAjwCnAMgAeACRALIAAABtAJkAAAAAAAAAfACUALIAWgB6 +AJgAAAAAAAAAAAAAAAAAZwCAAJ4AAAAAAIEAAAAAAAAAAAAAAAAAAABqAIoAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAADbAQABGwC3ANcA8ACwAM8A6ACQAK4AxgCzAM4A5ACZALIAxgCNAKcAvAAA +AAAAjQCZAK8AwACAAJcAqQAAAHwAlAAAAAAAAACFAJkAqABaAHsAjwAAAAAAAAAAAAAAAAB0AIcA +lQAAAAAAAAAAAAAAAAAAAAAAAABhAHUAgwAAAAAAAAAAAAAAAAAAAAAAAAAAAGAAcQAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAMwA6wEYALIAzwD2AKkAzAEAAIIAoQDKAK0AyADtAJgAsQDVAIMA +oADHAAAAAACWAJUArQDOAIAAmAC5AAAAdQCdAAAAAAAAAH8AlgC0AFUAfQCfAAAAAAAAAAAAAAAA +AGYAgACdAAAAAAB9AAAAAAAAAAAAAAAAAAAAYgCGAAAAAAAAAAAAAAAAAAAAAAAAAAAAAABfAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAP/w//D/wA/w/4CdsP8A+lD+AJmQ/AAJkPgAAADwAAAA/8 +D/wP+AX8D/APtA/gBbQPwAC0D4AAAA8AAAAMAAAAD8AAAA7AAAAPgAAADoAAAA8AAAAOAAAADAAA +AAEAAAAAATiAAABoKgAATiAAAYagAAGGoAACCNUAAgjVAAAAKAAAADIAAQRqAABoKgAASmcAAYag +AAGGoAACCNUAAgjVAAAAKAAAADIAAVsBAABoKgAAUCAAAgjVAAGGoAACCNUAArZxAAAAJgAAADIA +ASluAABoKgAATSkAAgjVAAGGoAACCNUAArZxAAAAJgAAADIAAQRBAABoKgAASmcAAgjVAAGGoAAC +CNUAArZxAAAAJgAAADIAAXQGAABsgQAAVAEAAosKAAII1QACiwoAArZxAAAAHgAAAC0AAUWFAABs +gQAAUWEAAosKAAII1QACiwoAArZxAAAAHgAAAC0AASFaAABsgQAATuoAAosKAAII1QACiwoAArZx +AAAAHgAAAC0AAQRqAABsgQAATJcAAosKAAII1QACiwoAArZxAAAAHgAAAC0AAYagAABvmwAAVs4A +AosKAAII1QACiwoAAw1AAAAAHgAAACgAAVs4AABvmwAAVHUAAosKAAII1QACiwoAAw1AAAAAHgAA +ACgAATiAAABvmwAAUjwAAosKAAII1QACiwoAAw1AAAAAHgAAACgAARwYAABvnAAAUCEAAosKAAII +1gACiwoAAw1AAAAAHgAAACgAAWx0AABy4wAAV2MAAw1AAAKLCgACiwoAAw1AAAAAGwAAACMAAUtS +AABy4wAAVVgAAw1AAAKLCgACiwoAAw1AAAAAGwAAACMAAS+2AABy4wAAU2UAAw1AAAKLCgACiwoA +Aw1AAAAAGwAAACMAARhZAABy4wAAUYgAAw1AAAKLCgACiwoAAw1AAAAAGwAAACMAAXq6AAB2XwAA +Wj4AAw1AAAKLCgACiwoAAw1AAAAAGQAAACMAAVsrAAB2XwAAWFYAAw1AAAKLCgACiwoAAw1AAAAA +GQAAACMAAUFeAAB2XwAAVoEAAw1AAAKLCgACiwoAAw1AAAAAGQAAACMAASmTAAB2YAAAVMEAAw1B +AAKLCwACiwsAAw1BAAAAGQAAACMAAREqAABvmwAAT90AAgjVAAII1QACiwoAAw1AAAAAHgAAACgA +ARcEAABsgQAATiAAAosKAAKLCgACiwoAAw1AAAAAGwAAACMAAAAAK2gjgxhqDQYLKgAAAAAAAAAA +ADIAAAPoAAAAKAAATiAAAAAAAAPQkACYloAAD0JAAJiWgAAAHCAAAABLAD0JAAAD0JAAmJaAAQEA +AAMDAgIFBQQEBwcGBgAAADIAAAPoAAAAZAAAw1AAAAAAAAPQkAPQkAAATEtAA9CQAAAAHCAAAABL +AD0JAAAPQkAjw0YAAwIBAAcGBQQLCgkIDw4NDAAAADIAAAPoAAAACgAAAfQAAAAAAAAD6ACYloAA +D0JAAJiWgAAAHCAAAABLAD0JAAAD0JAAmJaAAwIBAAcGBQQJCQgICwsKCgAAAAAAAAAAAAEAEAAR +AB4ADQASAAcAHwAOAAsAEwAVABsACAAXACAADwAdAAwABgAKABQAGgAWABwABQAJABkABAAYAAMA +AgABAHsAdQD7APUARQBvAH0AdwBfAGkAxQDvAKMAPwD9APcAuwA5AN8A6QDPAJ0ARwBxAA8AWQAl +AL8AEwBjAH8AeQBtAF0APQC5AJsADQBhAGsACwAJAFEAHwAxAFMAxwDxACEAtQCPANkArQAzAKUA +QQBVAJcAkwDjACkAyQD/APkA8wDDAO0A3QDnACMAvQA7ALcATwAdAI0A1wCRAOEA6wDbABsAiwCJ +AIcArwDRAJ8ANQAtALEA0wAXAKcASQBzAEMAZwChADcAzQBXABEAWwCZAAcALwCzAKsAlQAnAMEA +5QBNANUAGQCFACsAFQBlAMsABQCpAEsAgwADAIEAAAD6APQAfAB2AMQA7gD8APYA3gDoAEYAcAAk +AL4AfgB4ADwAuABgAGoAUAAeAMYA8ACOANgApABAAJIA4gD+APgA7ADcALwAOgAcAIwA4ADqAIoA +iADQAJ4AsADSAEgAcgCgADYAEABaAC4AsgAmAMAA1AAYABQAZACoAEoAgAB6AHQARABuAF4AaACi +AD4AugA4AM4AnAAOAFgAEgBiAGwAXACaAAwACgAIADAAUgAgALQArAAyAFQAlgAoAMgA8gDCAOYA +IgC2AE4A1gCQANoAGgCGAK4ANAAsABYApgBCAGYAzABWAJgABgCqAJQA5ABMAIQAKgDKAAQAggAC +AAEAAwACAAQAAAAAAAAAAAABAAIAHAAbABYAFQAeAB0AGAAXAAwACwAQAA8AIAAfABoAGQAUABMA +CgAJAA4ADQASABEACAAHAAYABQAEAAMAAQAAAAIABAAAAAAAAAAAAAAwBAAAMBAAAFncAABagAAA +WoQAAFqIAABajAAAWpAAAZQwAAAQJAAAEDAAABA8AAAQ3AAAEQwAAHssAAB7NAAB4owAAeaMAAHq +jAAB7owAAfKMAAH2jAAB+owAAf6MAACNzAAAjoAAAH50AACP3AABkVgAAZJwAACP/AAEExwABBMY +AAQTEAAEkxwABJMYAASTEAAAd+AAAHfkAAB39AAAeAQAAZw8AAEQdAABEIQAAJQIAACYXAAAlgwA +AJYUAACWIAAAliwAAJbEAADQKAAAkAgAAwjcAANI3AADiNwAA8jcAAMI5AADSOQAA4jkAAPI5AAB +kFQAAGAcAAGg2AABkJAAAHfgAAB35AAAd/QAAHgEAAB42AAAeOAAAHj0AAUAeAAFCHgABRMIAAGU +BAAB48AAAefAAAHrwAAB78AAAfPAAAH3wAAB+8AAAf/AAAGUDAAAAAAAAAAAAAAAAAAAMAC///9A +AAAwCL///0AAAZQQn////wABlDQAAAAQAAGUCJ//4/8AAZQ4AAAAEAAAECjh////AAAQLOH///8A +ABA0Af///wAAEDgB////AAAQQAAEAAAAABEQAP///wAAERQA////AAB7KAB9/+IAAHswP//u/wAA +ezgAAAABAAB7QD//7v8AAHwkAH3/4gAB4ogACAAAAAHmiAAIAAAAAeqIAAgAAAAB7ogACAAAAAHy +iAAIAAAAAfaIAAgAAAAB+ogACAAAAAH+iAAIAAAAAI3I////8AAAjdD////wAACOfAAAAPAAAI6E +AAAA8AAAfnB/v/9/AAB+eH+//38AAH4AAsAAAAABkVQB////AAGRnAH///8AAI/YA3///wAAj/j/ +////AAQTFAAAAAcABBMMAAAACwAEkxQAAAAHAASTDAAAAAsAAHfcAAAAAwAAd/T/////AAB4BAAA +AAMAAZw4AAHAQAABnPgAAcBAAACQBAAAAD4AARB4AP///wABEHwA////AAEQiAAAAAAAAJQEAAD/ +/wAAlBAAAP//AACYVAAAAf8AAJhYAAAB/wAAlggAAAAAAACWHAD///8AAJYkAP///wAAligA//// +AACWMAD///8AANAgAAAABwAA0CQAAAAHAAMI2AAAAAMAA0jYAAAAAwADiNgAAAADAAPI2AAAAAMA +AwjkAAAAAwADSOQAAAADAAOI5AAAAAMAA8jkAAAAAwABkFAAAADvAAGg1AAAAYMAAZCMADgAAAAB +kJgAOAAAAAUAdAAAAAcABQBwAAAABQAFCHQAAAAHAAUIcAAAAAUABRMEAAAAASADEPAgCNoEIAMQ +9CAI6XAgAxEAIAjogCADEQwgCOgwIAMRICAI54QgAxE4IAjmGCADEUggCOUAIAMRUCAI5NggAxFk +IAjksCADEXQgCOSAIAMRgCAI42QgAxGIIAjjPCADEZwgCOMIIAMRqCAI4aQgAxGwIAjg/CADEcAg +CN/4IAMRzCAI3uggAxHcIAjd4CADEewgCNy0IAMSBCAI2YQgAxIcIAjZOCADEjAgCNkQIAMSQCAI +2HwgAxJUIAjYVCADEmggCNdUIAMSbCAI1mQgAxJ4IAjVZCADEoAgCNU8IAMSjCAI1PwgAxKQIAjU +gCADEpggCNRIIAMSoCAI1BAgAxKsIAjT2CADErAgCNOgIAMSuCAI02ggAxLEIAjTMCADEsggCNS4 +IAMS0CAI0vggAxLYIAjSwCADEuAgCNKIIAMS6CAIz6ggAxLwIAjSOCADEvwgCNIwIAMTBCAI0fgg +AxMMIAjRwCADExQgCNGIIAMTHCAI0VAgAxDIIAjNZCADEyQgCM0sIAMTLCAIzPQgAxM0IAjMvCAD +E0QgCMyEIAMTTCAIzEwgAxNUIAjMFCADE1wgCMvsIAMTaCAIy8QgAxN0IAjLlCADE4wgCMtsIAMT +qCAIy0QgAxO4IAjLHCADE8ggCMr0IAMT2CAIyswgAxPoIAjKpCADE/ggCMp8IAMUCCAIylQgAxQU +IAjKLCADFCAgCMoEIAMULCAIycggAxQ4IAjIkCADFDwgCMbEIAMUSCAIxeAgAxRcIAjFrCADFGQg +CMV4IAMUcCAIxUQgAxR0IAjFECADFHggCMTcIAMUfCAIxKwgAxFsIAjDqCADFIwgCMOAIAMUlCAI +w1ggAxDUIAjsaCADENwgCOucIAMQwCAI6uwgAxDoIAjqSCALAAAAAEGMAABBiAAAQYYAAIAAAABB +gQAAqBkAAKgaAADDAAAAqBsAAKgcAACoFwAA//8AABAEAADlnwAAHxEAAO4JAAD//gAA6v8gCwAg +AAD/AAAAqBggCwBgAABgDQAPQkAAAN6tIAsAoCALAPDhAF4A/v/+/wEAAAABAAEAIDAAACALgHAg +C4CQAAAgQAABhqAgC4DAAABADSALgPAgC4EgAAAw1CALAVAAAGGoAAD/6SALTIAf/51AIAtMoOEA +cgAf/5zE4QQSAACLFEDhA/4AAAQASOEEAgAABAAhH/+UEIYQAAAABABAgAAAAIAUAAOAFgADgBIA +I4ARsgOAEAAFACrxLwABhp8ACvEvIAuqEAAEAAggC0zAH/+cCCAHX9Af/66AIAuq0OAAAADhAHYA +IAuqQCALqoAgC0zwH/+fCB//nRDhAZoAP////wABngzhAZ4AAAGedAABnqwAAZ7YAAGe8AABnxwf +/58QAAH//wABn0wAAZ+cAAGfsOEAegAgC04QIAtOYCALTsAAAA//IAtPICALT5AgC0/QIAtQECAL +UFAgC1CQIAtQ0CALURAgC1FQIAtRkB//nSwgAw7w4QSSACADDpD/AP//AAwAAIAAAB+AAAA/H/+d +NP/A//8AEAAAH/+ukAAACAAAJgwAIAtR0CALUjAgC6xgIAur8CALqxAgC6tQIAuroOEALgAEAAAA +H/+V9OEAVgACgFAAgAAAgAAAQAD/8QCAAfAAAI4B4IAAAB8AIAgAACAIE/QAABTwIAgBAOEBkgDh +AA4AAAEAAAACAAAAfwBAAAMAAACCAIAAwQDA//8j/wAAyAAQIAEgH/+ceB//rrzhAH4A4QCOADwA +AAD//7//UGjoR5IAAADwAPAA37//+yAAAAR/9///gABCAP/3/38ABgAA/wAAAABJJJIAAH4YEAEQ +AQAAfkAgASABFRUVFYQhhCEQEBAQ4QGOAAAA/n8EBAGAH/+rQB//lczhAM4ARERERIiIiIjMzMzM +4QCOBOEAjgjhAI4M4P/+ACAIDaQAAAjAgAHEEcQRxBEA/wD/AEAAQP//P/8gC60QIAutQB//nMD/ +D///zIhEACALrNAgC61w///gAf/w/wAAAgAzKioVFf7///8f/6woH/+b6B//mbgf/64QH/+d6B// +nkgf/5u0AAD//R//lQQf/5XQIAtUIB//q/AgC1RwIAtToCALU+Af/6uk4wACAOL//wAgC1SwIAjq +SCALrmAgAAAAADAAAABgAAAgYAAA0AAAACALraAgC64wAAAQACADCNgf/7DAH/+stB//gLAf/60Q +H/+uKP//8AAgC68wIAtW8CALV3AgC1fgIAuu4CALrqAgC68AH/+szCALWHAAACgAAAA//wAAwAAA +BAAA4QAyAB//gOAf/4EgH/+BYB//m0D/gA////4AHwAAe2Af/OIACAAAAB//nSAf/5YIH/+rLB// +lXThAv4A4QO+AOEDPgDhA34A4QHiAOEBDgDhAJIA///w/x//qhAAAAkAH/+b7OEBDgThAQ4I4QEO +DB//nhD/8AAAAAKABh//rsD/+///IAtf8CALYFAf/4HgH/+cnB//m5gf/664EAAAAP/w//8gAwzg +H/+aEAAAfkzhAIoAAADwACALYMAgC2EgIAthgB//rpQf/6qYH/+sjCALYcAgC2JAIAu00CALYfAf +/6yoH/+d3CALYsAgC2KAH/+cECALs1AgC2MwIAtjcCALtcAgC7Ug39AAACALtWAf/6zAH/+sdP+A +AAD//+AAIAtjsCALY+AgC7MAIAddpCADDOwf/66EIAtkIB//rMQf/7NcH/+zkB//rQQEAAAIEgAA +ACALsHCBAAAAAAAwAB//sGQgC7CgIAMM6B//sawf/7RoH/+vkB//s6hJAAAAIAuwACALr1AgC6+w +LgA2AAAPA/8DEQAAAxUAAB//r4AgB1wgIAtkYB//q9Af/5qwH/+qfB//nfwf/58wIAC9KB//7Tgf +/+yAH//uPAAAE4gf/6u0AgCCEAIAAhAAACAAAgAAEAAaAAAA+saIACAAAPAAAAAf/6y44QMGACAH +XHQgB10cH/+B8CALZLAgC2SAIAtk4CALsqD//3//AAAyAB//m8gf/53sH/+sgCALssAAAPgAADw8 +PB//scAf/5Z4H/+aQB//riQKFChQIAgPBCAHXWAf/5SoIAMO9B//lfz//8f/AAL/wB//m2wgC7SA +IAu0ICALtrAf/5wMIAtlgCALtlAgC7YgIAtlECALtoAgC7OwH/+cAB//qigf/6okH/+CcB//qwAf +/6tUH/+cWB//nFQf/5xMH/+cSB//gpAf/6tYIAMJQCADC4Af/4KwH/+utCALagAf/6rYH/+q3B// +quAf/6sUH/+rEB//qwwf/6sIH/+rBB//qvwf/6rsH/+q8B//qvQf/4LgH/+qYB//m5wAAEADH/+V +FB//qhSH////H/+cgB//nAQf/5zgH/+eHAAP//AgC2pAH/+DkCALaqAf/4OgH/+u1CALauAf/5z4 +IAtrMB//nMwgC2tgIAtrkB//g7Af/5w8AAAlgCALa8AgC2wAH/+b5B//mdAf/4PQH/+b4B//mngf +/5nMH/+D2P//AAAD5/wYIAtsMB//g+Af/6ugIAMJlB//q5wP////IAMPICALbJAgCBPk///rICAI +E5T//+twIAgSVP//7LAgCBF0///tkB//nGQD///gAARyDAAEchQABHIcAARwFAAEcCgABHAgAARw +LAAAhYA////gAARAJAAEQEwABEokAAQA4AAEAAQgC28wIAtvYB//nCAABALEAAQCwCALu+AgC7wQ +AARwZCALvEAABEHAAARBxAAEQcgABEB4AARA3AAEQUAABEFEIAtwMCALcHAgC3CgIAtvkCALb9Ag +C3AAAARwWAAAECgABEFgIAtw8AAAd3cAADMzIAtyMCALcTAgC3GwIAtx8CALcXAgC3DAAAAgKAAE +dBgABErAAARAwAAEQMQABEHwAARB9AAEdBQgC7ugIAtzUCALcxAgC3LQIAtykCALclAABAJwAAAI +8AAEAnQABAJ8AAQCkAAAvygABHBcAAR0AAAA8AYABEvwAARyKAABmy8AAWMdAAQBMAAEAOwABAEI +AAR2AAAEAQQABHYEAAD/+AAEAQAABADkAAR2CAAA/8EABHIAAABVVQAEcgQABAD4AAQA3AAEANgA +BHJIAAQBOAAEARgABHJMAAQA9AAEclAABHQIAARyCAAEQEgABErcIAtzkCALc9AgC3QQAARBLAAE +QTwABEDgIAt0UAAEQQAgC3SAAARwSAAEcGAABHIgAAR2DAAEeASAEAAAIAu8gCADD7CAEgADAAQA +6IAQAAMABADAAABOIAAEAMwABADEAAQAyAAEATQgCAbUIAgLFAACACEABACAAA//KQAEAEQgC3Sw +AAQCRAAEAkwABAJIBgYAAAAEAYAAAB54AAEwsAAEANAABADUAAQA8AAEAPwAAgjVAAEEagAEAQwA +BAEQAACiwgAEARQABAEcAAQBJAAEASgABAEsAAQBQAAEAUQABAJQAAQBIAAEAmAABAJkAAQCVAAB +ACF3NZQAAARB/AAES/wAAIAgAAAICAAEcDAgCAPAAARgwAAEYMQAAIgAAARB1AAES9QABEHYAARL +2AAEQdwABEvcAARwOAAEcAAgC3TgAARwBCALdSAABGDIAACAJAAEQBwABEocAAAXLCALdWAgC3WQ +O5rKAAAEYOQABGDQIAt1wAAEQAAABEAEAARADAAEQAggAws0AARKBAAEcDQABHAIAARQAAAEVAAA +BGDMAAB/AAAEQdAABEvQAARwUAAEUGgABFBAAARUaCAIB/AABFBQAARUUAAEUiQAAEBAAARSKAAE +UiwABEAQAARIEAAEQBQABEgUIAgIEAAEQeAABEvgIAgINAAEQegABEvoAARB5AAES+QABEHsAARL +7AAEQBgABEoYAADu8AAEUGAABFRgAAARAAAAETAAABAwIAgD6CAIAzwgCAVIIAgEnCAIBqggCAX8 +AAQTBAAEEyQA////AIECIB//lUAAAPD/AABQDQCAAADhAeJA4QASAP/ADAAf/5SkH/+WECALeNAB +////A9/SQAIWDsDhA0YAIAt5IB//mvAABFSwAADj7wAEULAABGDgAADB8x//rQgf/4Qw//x//yAL +eUCAAAUAAAB+6IAABwAgC7+QgAAEAIAABgAgC79wgAABAIAAAgAf/50w/58AAEAIAAACAAAA+MAA +AAAAYCz/f///IAt5YCAJQ0AgC3mgH/+U4CAJRMAgAwjQIAt50B//rjgAD///IAddWB//rbAf/69g +H/+VEx//rKwAVAAAIAwAACALegDhBP4A4QUGAAAkAADhAd4A4QBaAPgAA//f//4AAACAYAAAQAkA +AAnEIAu/4CALwDAgC8BgIAvBICALwPAgC7+wH/+dJN6tvu8gCAxEIAgOlAAJiWggCA5EIAgMBCAD +D+AgC3pQIAvBUCALeiAgC3pwH/+sCCAKAAAACgAAIAt6kOL//gAf/6xIH/+uXB//mWwgCqAAIArg +QAAAAABsEAbApPz8oBAUEFgwW8uw/PyfEAAQUDD7Ch4gABBoMFue/Pz8mxAAEFAw+woeIEAQaDBb +nvj8/JcQABBQMP38lhAeEFgwW57z/PyUEAAQUDD9GnwgHhBYMFue7vz8kBAAEFAw+woeIEAQaDBb +nun8/IwQABBQMPsKASAAEGgwW57l/PyIEAAQUDD9/IcQARBYMFue4Pz8hRAAEFAw+woBIBAQaDBb +ntv8/IIQABBQMPsKASAAEGgwW57W/Px+EAAQUDD7CgEgCRBoMFue0vz8dBAAEFAw+woBIAAQaDBb +ns38/HAQABBQMP38cxABEFgwW57I/PxuEAAQUDD9/HAQARBYMFuew/z8ahAAEFAw/fxsEAEQWDBb +nr/8/GYQABBQMPsKASAJEGgwW566/PxcEAAQUDD7CgEgBBBoMFuetfz8WRAAEFAw/fxcEAEQWDBb +nrD8/FYQABBQMP38WhABEFgwW56s/PxSEAAQUDD9/FYQARBYMFuep/z8ThAAEFAw+woBIAkQaDBb +nqL8/EUQABBQMPsKASAIEGgwW56d/PxBEAAQUDD9/EQQARBYMFuemfz8PhAAEFAw/fxEEAEQWDBb +npT8/DoQABBQMP38QBABEFgwW56P/Pw3EAAQUDD7CgEgCRBoMFueivz8LRAAEFAw+woBIAwQaDBb +nob8/CkQABBQMP38LBABEFgwW56B/PwmEAAQUDD7CgEgIRBoMFuefPz8IxAAEFAw+woBIAIQaDBb +nnf8/B8QABBQMPsKASAJEGgwW55z/PwUEAAQUDD7Ch4gABBoMFuebsCk/PwdEBQQWDBbyxr8/A4Q +ABBQMPsKASAAEGgwW55m/PwIEAAQUDD7CgEgABBoMFueYfz8CRAAEFAw+woBIDgQaDBbnlzAcPcV +ACIfADTgB3QC9vwKEAAQKDC0GvssAAAEEGAwW8ELjRH8+/oQABBQMP2PVw6ABDtg/Y0UCABAM3D4 +iBEMAEA3cPjuAgwJAH9w/t0CAAEQWDD9FgEt8AFsMFueRfz76hAAEFAw/REDIAEQWDBbnkAuEQDT +Dw8CAH/vGPz77xAAEFAw+woBIgAAaHBbnhEvEQB/9+b0TAEgCAIpcP8CAAAIAhCw/wIAC/+1nVD6 +CgQt4AQ9IPz74RAUEFgwW8rb/PvUEAAQUDD7CgEgABBoMFueJ/z7yhAAEFAw+woBIAAQaDBbniP8 ++8YQABBQMP37xRABEFgwW54e/PvDEAAQUDD7CgEgABBoMFueGfz7wBAAEFAw+woBIAAQaDBbnhT8 ++7wQABBQMPsKASAJEGgwW54Q/PuxEAAQUDD7Ch4gQBBoMFueC/z7rBAAEFAw+woeIAAQaDBbngYn +FQQT+7kV+7n0+7kQABAQMLEi/PukEAAQUDD7CgEgEBBoMFud/fz7oBAAEFAw/fufEAEQWDBbnfj8 ++58QABBQMPsKASAKEGgwW53zKBEE0w9/jxj8+6MQABBQMP0cCCABEFgwW53FKREEf5fm/PuQEAAQ +UDD9HAogARBYMFudv/z7jRAAEFAw/RwMIAEQWDBbnbotEQXTDw8CAP4RBiBqBBtwc+EtdNEKdOEH +/wIACf+wlVD6CgIgFBBYMPz7jhIAAHiwW8qDxyvRDwDz/oViAAAh8P0sAAAEEFAw/PuHEBQQWDBb +ynv8+2wQABBQMPsKHiAEEGgwW53IwCDRDwAAbBAG+DEIb+oQEDDRDwAAFvt7KGKEGvt6Gft7CogB +CYgC+GaEIBQQUDBbwmMrYoQc+3b8uwIAABAQMPtmhCBkEBgwwaRbwlyxInMp9Rv7cABKEftcAAoA +IFqwW/6q9qCnYgAAErDApPz7ahAUEFgwW8pZFPtoE/to/PtpEAAQcDD+FQAgABAQMLEiDCwvzcH8 +TAAABBBQMPsKFCIAAGiwW8pN+goAIAEQWDD8CgAiAABocFudcsCqW8JALhEA/PtXEY4IG7D9LAAA +BBBQMPz7VBAUEFgwW8o/HPtT+woeIAAQUDD6FQEgBAJocFudZC0RAQ3tFGjREsCi/PtLEBQQWDBb +yjTHK9EP0Q/ApPz7SBAUEFgwW8ovwCDRDwBsEAQtICIY+0P4JSYgDQC3YFrBDWagUC0gIsCk/Ps/ +EBQQWDBbyiQpICLAMPT7PBAOALZgwaRbwhmxM3Q59dogWsCjZqAh2iBawHlmoBkc+zT6ICEgBxBY +MP4KACEAEGgwWyW/0qDRD9Kg0Q8AAAAAbBAE+goFIAgQWDD8+yoSAABosFvKDcCgWEEm8/snEMwQ +IDAEJCjTD/T6+yIAICTw+iwAAgAAWPBbeDf0oQtyAAAqsGWv6dJQ0Q9nr/j6CgAgCBBYMPz7GRIA +AGlwW8n60lDRD2wQBBn7FvmSwCAMADSgwCDRDwAAAAAb+xIrsH8c+xPz+xEb8AQ+4Ay7AgnqUfs2 +SSO6Aj6g9/sNEAEQKDD2CgIgEhBwMC52sCZ2sy0KyC12sSwa9Cx2sttQ/QpkIAEQYDD6+wMQChBw +MPV2kSAAEHgwWmu89qJfYgAAIrDA7/8KByAGEEAw+goFIAQQWDD5+vkQABBgMB36+C12oMTRLXaA +wNgtdqMrdrXE2i12tih2ty92uCx2uSh2uip2uy52vMHVLXa9KHa+K3a/KnbAwNotdsEqdsIvKgAv +dsMrdsTBgCh2xS8KZC92xisqmyt2xyV2yCp2ySp2yigKAyh2yy8KEi92zC52zRv63SuySCoKTv46 +ACpUAVww/nbOK9AEPuALqgwqdrQslhQmlhUoChgolhka+tQb+tT/+tEQARBwMP92kCAAEHgwWmuG +9qGHYgAAIrAa+swb+sz8CgAgChBoMP76yhAAEHgw/naQIAEQcDBaa3z2oV5iAAAisBr6wRv6wvwK +ACAKEGgw//rBEAEQcDAPAgAPAgD/dpAgABB4MFprcPahL2IAACKwGvq2G/q2/AoAIAoQaDD4+rYQ +ARBwMPh2kCAAEHgwWmtm9qEGYgAAIrAa+qsb+qz8CgAgChBoMPn6rRABEHAw+XaQIAAQeDBaa1z2 +oN1iAAAisPv6ohAAEGAw+vqlEAoQaDD6dpAgARBwMPr6mxAAEHgwWmtR9qCyYgAAIrAb+p37NkAg +ARBQMFvBZBb6mx76mhz6mvw2QCAAECAw1eAtMkD/AgACAFXrUMCqW8FcsUT2Sehx/gJxcP4KAC/7 +EBgw+goFIAgQWDD8+o4SAABo8FvJWfYwVGIAACDw+vqKEAcQWDD9CmQgARBgMP8KACABEHAw/naB +IAoQcDBaay/2oCliAAAisPr6fxAHEFgw/QpkIAMQYDD+CgogAhB4MP92gSAAEHgwWmsk1KDJR8Cl +/Pp2EAgQWDD9LAACAABxMFvJPtJA0Q/SQNEPAAAAAAAA8/9sYAAQGDBsEAQT+mz0CgAgABBQMPYK +zCCAECgw9TgIAAEQODAogMD5MlEgGAA2IPRMASIAIDTw+ULpaAAgKPDSoNEPZJ/o8EEED+UANKAA +expkv9raQFvBJ2av4mP/zwAAAGwQBBP6VgIiCgMiCiIinNEPAAAAbBAEGPpRAiMKCDMKIjKbIzKa +8gJfA4AEPOADIgLRDwBsEAQb+koV+kj6soIv4BBgMPiyfCA+Amjw/7KBLABAZ3ANqgz0gBJkAEBi +sC6yfQ/+Of8CAAoAZXEQwEDAoPz6PBAGEFgwW8kCAisKBbsK9LaZIP0ANSAd+jcc+jfTD/1NCAAB +EBAw87acIgAAe3AqwnbTDw8CAH+nDS7CcAzuEP7fDAoASmuQ/qcXcAIQGDAuwnHTDwzuEP8CAAoA +SnuQDv8MfacmLsJyDO4Q/wIACgBIe5D+/wwAMADusMCh/PofEAAQWDBbyOLGKtEPe6frKMKC/IgQ +AAYQSDD/g91wBhBwMC+2mym2msCl/PoVEAAQWDBbyNfAINEPAAAAAAAA9LaCL/+cZpACKwoFuwok +tplj/0IAAAAA/babIAAQYDD8tpoiAAB7cPP/vWAAEHAwIraaL7ab8/+vYAEQcDAAACO2mi+2m/P/ +n2ACEHAwAAD8+f0QARBQMPsKAC/0EGgwW8i7xyTRDwBsEAraEFt4Jvah3GIAABKw+/n0EgAAUHBa +Y3f2ocliAAASsBf58BT58Slyf/z57x//EBAw+AoFIAAQGDDzdn4oAEAmcPl2fyIAAFDw0w9tihjb +IMDZfaMB2zD+zQQgCAJSsPvmACAIAmMwGvnhW3gFHPnh+goAIBEQeDBt+hTbIMKBeKMCAzsCK8YU ++qwEIAgCYzAa+dlbd/sc+db6CgAgBRBIMNMPbZoT2yDA2X2jAdswK8Yo+qwEIAgCYzAa+c9bd/Ac ++cz6CgAgDBBwMG3qE9sgwvF/owHbMCvGLfqsBCAIAmMwGvnGW3fmHPnC+goAIAUQQDBtihPbIMCZ +eaMB2zArxjn6rAQgCAJjMBr5vVt33Bz5uPoKACAMEFgwbboT2yDC0X2jAdswK8Y++qwEIAgCYzAa ++bRbd9LaEFt3vPv5sxIAAFBwWmMr9qCZYgAAErAW+a8pcoEV+aYf+aPzdoAoAEAmcCl2gS7ygRj5 +agjuAi72gSZWUPNWUSAIAmFw88ZRIBACWXDztlEgGAJRcCOmURr5oFt3nxn5liZWZPOWZSAIAnpw +8/ZlIBACcnDz5mUgGAJqcCPWZRr5l1t3lRn5jCZWafOWaiAIAlpw87ZqIBACUnDzpmogGAJCcCOG +ahr5jlt3i9EPAABsEA4T+WSKICsyMguqKFt6ci0yMowh/cooAgAAIrBbem4vMjKOIv/qKAIAADqw +W3pqGPk4JjIyE/l/+GYoAf4CSTAAkAT2BBkCAAAqsPz5ehAFEFAw8JkRAf4CKXD9IgApgAQ54P4i +ASgJAEow/yICKAkAQXD4NuQgCBBYMFvIHxz5b40jjiSPJYsmmxCKJ5oR+SIIIAgQWDD5FgIgBRBQ +MFvIFhz5Z40pjiqPK4ksmRD4Ig0gBRBQMPgWASAIEFgwW8gOiyWOIxr5EwBQBAYNGQ6uLA7dLP4i +BioABtqQCrssC0soYAAFC6ssC0ss/qsScgAAYvAf+QcP7ywPTyhgAAoAAB/5BA7/LA9PLI4nnxT/ +FgUqAAhykB/4/g/vLA9PKGAACB/4+w7/LA9PLJ8WjiiGKYUqnxf0ZigKAAhykB/49Q/vLA9PKGAA +CB/48g7/LA9PLI4rBFUonxj/FgkqAAhykBf47AfnLAdHKGAACBf46Q53LAdHLI4sfqsNH/jlD+8s +D08oYAAKAAAf+OIO/ywPTywnFhCfGo4tnxssFhH7FhIqAAvykBr42grqLApKKPAAEWIAAHnwAAAa ++NbfcA6qLApKLBf4x5ocHvke+hYNKAMAO/CYHvgWDyoAX++QFPkHLTbtwKD6NuUqAGVlEIwU+zbm +KgBt5RCNFo4V/jbnKgB2bRCPGIgX+DboKgB+/RCJGfk26SoAiDUQ9jbqKgCRrRCKHvU26yoAmlXQ +ixr/AgAKAKPd0I4fjRuMHADuEQ7dAv027CoAqGUQjC6PHf827iAAEEgwA50K/NbUIAgCWLD7sg4g +AgJicAPMCvvG1CAQAlCw+qIOIAQCWnADuwr6ttQgGAJAsPiCDiAGAlJwA6oKKKbUwCDRD8Cj/Pjq +EAgQWDBbx5UrEhIsEhEd+OVj/ysAAMCj/vjREgAAazD8+OIQCBBYMFvHjBv4zWP/Ho0U/PjfEAMQ +UDD++MkQCBBYMFvHhR74xp4VY/8LjRb8+NgQAxBQMP74wRAIEFgwW8d+H/i/nxdj/vqNGPz40hAD +EFAw/vi6EAgQWDBbx3cY+LeYGWP+6d1g/PjMEAMQUDD++LMQCBBYMFvHcBb4sGP+2N1Q/PjGEAMQ +UDD++KwQCBBYMFvHaRX4qWP+xY0e/PjAEAMQUDD++F4QCBBYMFvHYhn4XJkfY/6ywKP8+LoSAABq +8P74VxAIEFgwW8dbGvhUmhtj/p+NHPz4tBADEFAw/viXEAgQWDBbx1Qb+JSbHWP+lgAAbBASG/it +EviJHvisjbgssBcqsjuO4P4WACIAABhw+7DjIAAQIDApInH5DlsJYAFMMPTQ7GgAIHZwH/hiL/JB +8J4RCXQBaDAOjgIuJnKhzi7gAA8fQA7/EQ/uAi4mdC4idi8KBP/uAggAIE4w/iZ2IMQANqAY+JMo +gkHwnBEPdAFUMAz/Ai8mgi0idKO+LuAA//qPKAEBQDD6iBEPwAQ7oP/dAQ4JAEOwDt0CLSZ0KCJ2 +wcAMiAIoJnYkJnPAqComehv4gPkKDSAgAlBw+SZ7IGAQYDBbvSMa+FX5ChggIAJAcA8CANMP0w9t +mg/5ggAgCAJSsPmmPyAIAkIwLSK7H/hyHvhyD90BDt0CLSa7HPhwLCaDG/hw+yaEIAAQEDDRDyQm +cigidsfLDIgB+CZ2L0QAtqAkJoJj/34AAGwQChj4Jxf4ZRb4PyiAwSpx1/tx2SAfEGAw9HHbIBgA +/jApcgbTD2SSXyVx3f5x0ywAIFbwpN2l3foPRAoBaO+Q9fKeaIABWDD1gpZogAEkMGWSjv8CAAgB +RasQKHHyCu8MDekMKXXf/3XWK+ABeDD7rAwD4AQ6oPx12CHuADYgBMsMK3XaCbkM+XXeKeABTDAF +mwwrddwvcdjyZoQv4AQ/4C9mhy5x3A6dEf1mhS/gBDugLmaGLHHc/Wb9LeAEOyD8ZvwgABAQMPNy +cSAAsKygyzv4PP8qATzE4P8CAAgBRJoQ2jBbxNssYooe+C3wrREMAEBzMPtx0iwJAGswLGaKK2b+ +KWKBGvgnCpkCKWaBwKhbnnwW+CQiYoj5YociAAAisP9igi1QBD6g/SIMD/AQYDD4YoMiAEBgsPos +AAATADfgCZg5eCMIImaIYAAEAAAAwKD5rAAA2AA2oPkWCCHQADZg9fgSEAAQEDD5ZnYgABAYMCpi +dsC40w/yqggAABBgMFu9lbEz9TnocgAgETDAIGYgmFt2HfagkmIAABKwHPfaDwIADwIAK8KBHfgB +DbsCK8aBW/3a9qByYgAAErArcdkkcdslcd0ucdMvcfIjcnEmcd/6cdcgKQA34JQQlhGVEpMT/Pfz +EgAAevD97AAABhBYMP6sAAAEEFAwW8aC0Q8c9+2TE5YSlRH0FgAiAAB68P3sAAAGEFgw+HHkIgAA +crD4FgQgBBBQMFvGd9EPKmJ9K2J++WJ6IB4CUrD0sJZqAEBisCxiewycDAy7Ni5ieMjqqtx8swYs +Zn1gAAIAwKDz/vZiAABKsAv9DATdDC112gXYDAmIDPh13ingAWwwBZwMLHXcY/4MLnI5Ze2ZL3Hz +Zf2TLnHT+nHXIAAQeDD/dnEgIBBYMPt12SIAECgw/a0IJaAQIDD0ddshgAJrcPV13SoAJO+QCghE +ZI2MYAAQAAAAAAAA8/9zYgAAWnAAAACVEPz3tRIAAHkw/rwAAgAAarD6CgIgBhBYMFvGQvP9xW/q +EBAwAAAAAAAAlBGVEhz3q/sWACIAAHqw+goCIAYQWDBbxjjz/Z1v9BAQMPKcAAAAEFAw/PejEAYQ +WDBbxjEiZnbz/khv9BAQMAAA/TwAAAIQUDD895wQBhBYMFvGKWP9qQAA/TwAAAIQUDD895cQBhBY +MFvGI2P9kQAAbBAIGfeTLSpALZaqLpKFKJKGHPeQE/eR+woDIAAQUDD87AEP/BBoMP2IAQoFAGLw +9veMGAkAUjD4loYgWAAXsP/3hhAIEEgw+RYBIAgQUDD6FgAgCBBYMPv0fiAIEHgwnxJgACUAAAD4 +93wQARB4MP8WAiAAEEgw+RYBIAMQUDD6FgAgAhBYMCuEfgq9CvX3dRwAIG5w+RYELAAgb/D9nQgA +IBBgMA3MDP33axAAECAw/ndSAAQQQDD+QlIGQAQ94PzUfyIDAECwwqhbxAIY92L4gH4oAwAWsA+Z +EAl5AgmIAgaIAiky0AWZAQmIAvg20CAgAiEw8zwQLZ4CPSD091cQABAoMPb3WBIAABhwwqhbw/As +QuAf91UtMgACrjYP7hD/zAEMCQB3cP3MAgAwEFgw9VwQLAkAMzD8RuAgCAIY8PRMECGQCFlwKgoo +W8PhGPdDKYLs8vdGGgMAFrAc90Ua90WPFP+7EAgAQGZw+6oCAgkAEfD6IgIOCQBP8AL/Av+G7CAA +EBAw0Q8AbBAEFfc79iIAICAQGDBtOgaHUHZ7BbhVwiDRD5cgIlAE0Q8AbBAIlRXyFgIiAABhMPb3 +MBIAAFDw+SwAAAAQEDD8FgQgAgIacPoWAyBAAiGwJ2J/DwIA2nBbnUb7PAACAAAqsPp8AAIAAGFw +W8Ao9KATYBACMbD0adZwEAIQsMAg0Q8AAACMErFdrcwqwADF3f8KACYAiG6Q9fwAAgAAcHDzCgAg +ABAwMPQKIiAvEDgwbQgUZKB8yWF3oS9oYkyxytygKqAAfaFQY//kdKns9mwBIAICUzD65gAgCAJz +sPP/4GIAAGKwAAAAAAD/xAAgAgIxsPrMASIAABsw+uYAIAgCc7Dz/7tiAABisHSpry/EAPP/qWIA +ACswymloYVdoYkfIMSc0AGRfVPRUACAAEBAw0Q/IMSc0AGRfQvRUACAAEBAw0Q8AjhP25gAgBwA0 +4Cc0AGRQQRj26B/26Kj/9FQAIgAgeLDRDwCLFfoSASAAEGAwW5uXixT6EgAgABBgMFublIkT9pYA +IAcANOAnNADIUSRUAGau5Rv21xr22KuqqiLRDx321Bz21f0SAywAIGsw/9YAIgAgYLDRDwAAAGwQ +BIswJrAAJwoA+GlJYgAASvBkYEELuQL4GgAgABBQMPwKCSAjEGgwbQgoaGwVfGESfWE2+Iz/IAIC +UrD2JAAgAgIQsLF3q3kmkABoaVBkgGNkYEpj/9DAQPQkACACAkJw+DYAIAAQEDDRDyyQAPjJKWAA +ECgwq3v2sAAiAAA68G0IFPRgGGACAilwJnABsXf4aQliAABJ8GP/5Kt7q1nJgsDQ/SQAIAICcnD+ +NgAiAAASsNEPxirRDwAAbBAGW/799qajYgAAErAU9p4Z9m389pwQARBYMP32nBAAEHAwH/abL8al +LcakLsanGPaZKMamH/aYL8apHvaYLsaoLcarGPaWKMaqLUKCH/aVHvaVDwIAD90BDt0CLUaCHPaT +LEaGK0aHKEKqDwIACBhL/wIABgP1zhAX9o0ocjX29o0WfAA2IPL2jB/+EEgw8/ZKH/8QKDAb9oka +9okPAgAqtgAvMsAY9ocI/wEvNsAtMtAu6sD+3QEBFRBwMA7dAi020Bz2gSw20Soy2xv2fwuqAio2 +2xj2figmNS4y3S/63w/uAS423Ssy0h32ehz2eg27AQy7Ais20isy0hr2BiqgwAm7Afs20iI4ATqg +LDLTHvZyHfZzDswBDcwCLDbTJTb0JTb1wPQuMt4P7gIuNt4sMsEd9mz9zAEAgBBoMA3MAiw2wSoy +whv2aAuqAio2wi8iLRn2Zhj2Zvr2Zh4AQE/w/PZlHgkAR/D/Ji0gBRBYMFuYzBr2X/z2YBAGEFgw +W5jIGvZc/PZcEAcQWDBbmMUa9lj89lkQCBBYMFuYwRr2Vfz2VRAJEFgwW5i+GvZR/PZSEAoQWDBb +mLoa9k789k4QCxBYMFuYtxr2TBz2Thj2TPgmDiAgEFgwDwIAW5ix+vZHEUEQWDD9CgAg/xBgMFua +0Pr2QhFBEFgw/QoAIP8QYDBbmswa9j389j8Q5BBIMPkmCiAjEFgwW5iiGvY4/PY6ECQQWDBbmJ8a +9jT89jYQJRBYMFuYmxz2NZwsnCucLSlwRJkQIjLcLTLYDwIADwIADU1T/RYBJOQANmCZEPIWAyAC +hAZg+RYAIgLlAmD/AgACAu2GYCL66vT1thACF6ygKQrM8gqAIAAQUDDyTggAABAoMC7gwPtCUSJ9 +ADeg9VwBJAAgSTD5UuluACARMPaj+WIAABKwFPYWL0LUGfYVGPYVCf8B+P8CAC4QUDD/RtQgBxBY +MFt0Oiphff8CAAAB5+qQ+woCIK8QUDBbdCX6CisgARBYMFt0IvoKKyApEFgwW3Qv+goyIAEQWDBb +dB36CjIgKRBYMFt0KfoKLyABEFgwW3QX+govICwQWDBbdCT6CiYgARBYMFt0EvoKJiApEFgwW3Qe ++go6IEcQWDBbdBv7CgEgqRBQMFt0CvsKLSCpEFAwW3QW+go2IAMQWDBbdAT6CjYgLhBYMFt0EPoK +NyABEFgwW3P/+go3IDwQWDBbdAv6CiUgAhBYMFtz+foKJSADEFgwW3QF+go7IAIQWDBbc/T6Cjsg +BhBYMFt0APsKASCzEFAwW3PuK2GCIgoYDwIA/wIACAF72JD7ClYgsxBQMFtz9voKRyABEFgwW3Pk +LGGC/wIACAFzYJD6CkcgOhBYMFtz7voKRiABEFgwW3PcLWGCDwIADwIA/wIACAFn6JD6CkYgORBY +MFtz5PoKQCBMEFgwW3Ph+gozIE0QWDBbc9/6CjkgThBYMFtz3PoKsiBiEFgwW3PZ+gpJIE8QWDBb +c9b6Ck0gARBYMFtzxfsKYSBNEFAwW3PRKDL+GfVnCYgCKDb+LkKQLwovD+4CLkaQW/z49qIRYgAA +ErAd9Z/TDy3Sf2TT1Rz1nfzAgCAAEFgwbdkMALAEDA0bf9cBsbmxuxL1mh/1mB31lhT1lizSgMfr +/mF7LABAczD4CgQh+gJacAuJOPzWgCCEAH+w/wIAAAF9hmD/AgACAcUCYGmUQiR2KS92KvJ2KyAA +EEAwKHYoYAAuZL2D2lBbu9z5Cswh/sWuoGP9cwAAAAD/AgAAAWMGYP8CAAIBrgJg/wIABAGyAmD/ +AgACATqCYBX1RBT1Whz0vCxGjCVGkB/1dSz2jCX2kB71dCzmjCXmkB31cizWjCXWkPgKACAQEEgw +bZoNBIkKLJaf9ZavIAICQjDaUFv8afahEWIAABKwG/VoLEJxKnI1wdH6FgIsCQBrMPxGcSAlADag +wKBbio0b9WD7rggAABB4MC/mgYgSKOaCL+aDGfTTKeaAJ3JoZHAfKgoBW4qDG/VW+6oIAAAQYDAs +poEnpoIspoMZ9MoppoAY9VD6+v8gVRBIMG2aDPmCfyAIAkIwq5kqloAtYX7TD2TQ9cDQGvU1/Ar/ +ICcQWDBbmcAa9TEb9UQc9URbl5kf9UIvRnAa9S0c9UId9UIe9UD+RngiQBBYMFuZtsSgwLMLqiwK +GRT5nAMv/BBYMPhhfigAQF5w8psRCYAEPmD+qhEICQBecPr1ABgJAFZw+aalIBkAtiAsYX/Mzi1h +gMzZLmGCzOQvYYFk8iPRDwD6Ci0gARBYMFtzMvoKLSApEFgwW3M/Y/wbAAAAAAAAAPsKAiCzEFAw +W3MqY/z+AAD6CkcgAhBYMFtzJmP9DwAA+gpGIAIQWDBbcyJj/SYAAChyaGWJgSlhfmSRrypCpBv1 +EwuqASpGpGP5bCxhf2XPAy1hgGXe/S5hgmXu9y9hgWX+8fP+8GAMEGgwAADApPz1CBAIEFgwW8NO +HvTwKRIBKBIA/i4CAAEQUDD+FgMoAwBWcPkWASAmAj4gwKT89P0QCBBYMFvDQo4TGvT7DwIA0qAs +Mtgf9PmNEfzdEAwAQHswDcwCLDbYixAc9LkuNtwLuwsMuwsrvQv7vCAgSBBgMFu5O9ogW/rz8/q9 +YgAAErAucLYvcLAocLn5cLMgABBYMCt0tCt0uit0s/t0uS4AIE/w/3SwLgAgQ7AudLZj/V4c9N8s +dihj/U0tcLkucLYocLD7cLMgABB4MC92KC90tC90ty90uv90sygAIFow/3S2LgAgQ7D/dLksACB3 +cC10sGP9EsCk/PTPEAgQWDBbwxCMEcDQDcw2nBFj/vvApPz0yhAIEFgwW8MJEvTDY/9SW3LbLkKq +GPTFCk8UL/z+//8RDgBAQ7AP7gIuRqpj9/hj/EEAAAAA/3YpIAAQQDAodihj/LEAAP92KSAAEFgw +K3YoY/yhAAAkdikvdirydisgABBgMCx2KGP8iy1hf2XeSS5hgGXuQy9hgmX+PWP3tisy4B30qxz0 +qw27AQy7Ais24CoywAWqAio2wCgy2Bn0TRr0gvz0pBgJAEow+DbYICAQWDBblucf9B4uMsIY9J8I +7gEuNsIa9FstohjAxAzdAi2mGCuiGQy7AiumGcCRKaYgLvKBx44I7gEu9oHRD2wQGBj0kyiCQBL0 +WvP0khVwADYg8woAIAAQODD2CgAgABAgMPUKACAAEEAw//SLEAAQYDD+CgAgABBYMPsWFCAAEGgw +/RYdIgAAULD+FhcgABBoMPwWHiAAEHAw/AoAIAAQWDD/FhkgABAQMPgWGiAAEHgwGPR6KIKAKqJf +qDgJiBGoqomuKxYjKhYW+KIWKfAEPmCZrvkWGCGAEEgw+vQ1HgAFRlApEhhkkUMooX/5EhYgDwA2 +ICmSGvsWIyFcADZgLxYfLBYiLRYhLhYgLRIWLhIYnhKeFyzREpwV/dILIgAAUPD9FgEiAABYcFty +bCsSIywSIi0SIS4SIC8SHykSFioWEfoWFSACe66gIxYkihAmFiWIFSYSGSiVEpqcgxQjlROKEfqW +CygAIEDw82XfIf4CQjAoZeAjEhQoEhollhEklFEmkG+Yn/qQcCgAIFIwKBYa9pBxIgAgHbAoEh4j +FhQjEh36kHMoACBCsCgWHvaQUCIAIB2wKBIXIxYdJhYSI5Ia9pExJAAgMTD6khAoACBCsCgWFyiR +MPORMiYAIDzw+pEzJAAgVXD49CkeACB+MPOQbCoAIFzw+pBtLAAgYrD4gAkiACARsPYSJS4AIHDw +8xIkLAAgbrD68+YQwgD+MCgSEiiUXigWE2AAVgApEhYpkhr4EhYgDwA2YA+ZESkWGJmOY/6iKRIW +GPO2mJ4Z87QpFhhj/pEvFh8uFiAZ87EoEhgtFiEsFiL5EhYoAwBKMCsWIygWGJieY/6CACiQXigW +EygSExn0AfgSGSYAIEGwKZJA8zwBIAgCQjD4Fhkr/wjM0Bjz+iiCQioSFCkSEfkWFSDRADYgwDBt +CL4mFiUW8/YZ87smYoIpkl+mNglmEfYSHigAIDZwKJBwpoYmFh4okHEmEh30lFEmACAyMCYWHSaR +MCiQUCgWG/aRMi4AIH2w+JExJAAgQTD2khoqACBdsPiRMyIAIBIw9pBtJgAgPbD4kGwsACBiMPaQ +bywAIG2w+PPWHgAgcjAllhH2khAqACBRsCiACfM8ASQAIDVw9hIlICAA/jAoEhsolF4oFhxgAAUo +kF4oFhwZ88goEhwpkkL5Ow12ACBBsGP/OgAAAAAAAPPzwxHeADSgGPPEKhYUIoV/K4WB/IWDIDIA +NeAvFh8Z874uFiAtFiEslYMrlYHylX8iAABR8Fum8y0SIS4SIC8SH/AAEGIAADqwLIWDK4WBIoV/ +KhYUKhIUJzbEEvN0KyF++SF/IWQANuAnIYAsMH3+NHwsBQA7cPw0fSAZADZgKjR/LRIXLhIdKBIe +KDSALjSBLTSCLCF9+scUcAAQaDAZ854tNHktNHgtlYNgAAMAZLFUF/OVKnJB9HZIIdwANqDAMBrz +lCqigSkiX6o6CaoRqpmLng+7EZueLCF/+rwAAKoANyAskhr5FhAgjAA3IC8WHy4SEJoamh8t4RKd +Hf7iCyBAAlhw/hYJIBACUPBbcZIvEh8tEhD6FhUgAM4uoIwcix2JGCgSGiXWESTUUZjfmdwr1RIs +1RMs0FCLGfvWCyABEHAw/tIQLAEAczAs1FD5cAkgAgIY8PwMRwgAIFow+BYaJAAgYTD/nyx0ACB1 +cCzUXmAAJAAa8wkpFhD/Fh8qAwBS8JqeY/9kKRYQLxYf8/9bYgAAUvAs0F4rckH8ZggL/5Bc0C0h +fSxyKCV2PvR2QywGAWww9nZELAUAa/D8digiAAASsNEPZZ6cKCGCZY6WJyGAKjB9B9o5KjR9Y/6y +Zb4iZc4fZH5wKhYULxYfLhYgLRYhKD0CIoV/K4WBLIWDY/4VAABlnqcpIYJlnqFlfp4b80EtNHkt +NHgttYMosX0qsXkusX8ttkQIqgwOqgwqtYFj/noZ8zQpkkJkkH79CgAgABBwMPcKACAAEBAw/woA +IAAQMDD0CgAgABAoMPsKACAAEEgw+RYeIAAQQDD4FhogABBQMPoWHSAAEGAw/BYVIAAQUDD7Fhcg +ABBgMPP8nmAAEFgwJXY+LXIoJHZD9nZEIgYBYDDyEhUsBQAX8C12KNEPACISFdEPAAAA/QoAIAAQ +cDD/CgAgABAwMPQKACAAEGAw/BYVIAAQWDD7FhcgABBIMPkWHiAAEEAw+BYaIAAQUDD6Fh0gABAo +MPP9WGAAEFAwbBAEGPLI0w8igXvANfMoMHAAEDAwF/LdKXJ/ypL08v0SAAApsG0IFSZE4Cpyf/Vc +ASH+AlKw9aMHcAICITBj/+MjgX15Pxt6PxgrgX7NsiyBf8zNLYGAzNgugYHM4y+Bgsjw0Q8S8uwm +JmYmJpnRD2wQBBPyrBjy6fQxeyAAEBAw8jWBJABAQTAkNXvRDwAAbBAEG/LkGvLi+QoAIAAQEDD7 +sIAgCBBAMG2KFQCQBAsMG//HCnACAkpwLaGveN8DsqrRDx7yMS7gwMXw8goAJgBd/5AS8hEiIo9b +cXUb8s7TDymyZvOsAAABECAw9JCcYjoBEDBkIFpoIUz/AgACAEyAoGkjTGUwzv+ymSCTADZgwPHA +ovzyxBAAEFgw/SwAAgAAcPBbwPL6SREJkAQ44PnyvxgJAEowCCgC+JSAL/8QEDDRDwAAAPqymSBc +ALZgZaBU+goEIAAQWDD88rUSAABosP48AAIAAHkwW8Dh+kwRC5AEPOD88q0aCQBm8AsrAvvEgCAA +EBAw0Q/RDy6ymcDQDtQ4Y/9cZD+3YABWAABl/21j/6wAAPoKAiAAEFgw/PKhEgAAaLBbwM36SREJ +kAQ44PnymhgJAEowCCgC+JSAL/8QEDDRD/8KAS83ALZgKbKZ+AoAIAEQeDAJjzhj/yEAAAAA+goC +IAAQWDD88o4SAABosFvAuvpJEQmQBDjg+fKHGAkASjAIKAL4lIAv/xAQMNEPAAAAbBAs+fKEEt4A +NKD/AgAAAXIEoP8CAAIBeICg/wIABAGMgKBoJQPGKtEPHPHNLMDBG/J6+vJ6ECQAfzAjocQHMxHw +AAxiACBc8COhwwczEasz+goEIAEQWDD88nESAABosP5cAAIAAHjwW8CXwKX7CgEiAABg8FvAlCww +ACMWRPUKACEoAlBw9MDYYP8QQDD9CgAmAGhHEP0WSyAAEDgw8AA4b+oQEDAAAABkciQrEkWIcSwS +Rv0SRyAgAlBwC4AA9qJXYgAAErAsEkQswADVYPTAgWD/EGgwfcF5Kx0B+hwQICACWvBb+yksEBD6 +FkwgAgIxcA8CAP0KWy/NADcgfcmnKByUqKUuUHvF/Q8CAH/ply0dAfocECAoAltw/NwYIDgCa3Bb ++rL3rAADPAA2oC4SS2XjJBjyO4+hePmJKhJE+/I0EAEQSDApFksDqgwqth1j/3JmIcIc8i/AsSvE +jGAARsBg+lUID+oQEDD6CgIgARBYMPzyLBIAAGmwW8BSwKL7CgEgABBIMPlUfSAKEEAw+FR8ICAC +YHBbwEsb8h3Aofq0jCAAwaygFfIaJVId/woAIgAAWPD1KhQAABBgMPShbGhCASgwCjoUbYkLibCx +//u8BCwAIGJwZKCOibCIsSSyAv6yAygAIGZw/bIEKAAgSjD8sgUkACBBMATuCA7dCP2yBiwAIGsw +L/wI+LwgIf4CSrD+sgciAABbcG2ZR/mCACAQAnvw/IIBLAAgZvD9ggIqACBrsPmCAyoAIFZw/IIE +KgAgXzD9ggUqACBfcPuCBigAIF5w/oIHKAAgTzD53AgAQAJCMKy8rOzz+QoOIAEoMPgdASBKADeg +/fHmEAQQUDD5kgAgQAJCMPkWSCgAIEOw/qoMAAAQSDAPAgAPAgAPAgBtqQf5hAAgAgJCMCoSSMCx ++9SMLAAgYrAs1h7RDx3x1PzWHiABEFgwK9SM0Q8lEkz4HJQv6hAQMPP+jGQAIEVwGfHRADUR8/1i +YgAgTXAV8c8Y8QIAMxGlNfP9T2IAIETwAAAV8csY8csAMxGlNfP9OmIAIETwJRJMKByU8/5IZAAg +RXDAkSm0jNEP8/84YAAQYDD78cEQBhBQMP0KASABEHAw8DURABAQeDDzkhwiAABhcFuGd8BwB+QW +AQIAJRZJ/PG2EAUQUDD8FkogABBYMFu/1BbxsA8CAA8CAAAGhpYQFvGvKgoF+woAIgAAIPDwBKAC +AABhsFu/yvrxpxAQEFgwW8IfB+QW+goGIAAQWDD8CgAgABBoMP4KACAAEHgwW4ZcKDAAKQr//wIA +BgBOzhAsEkkT8Y778ZcQBhBQMP/xOxABEGgw8zIcIAEQcDBbhlDAcAfkFgECAMCl/BJKIAAQWDBb +v68a8Y748YsSAABI8NMPbaoFAAiGAElhKgoF+woAIgAAYbBbv6Ya8YIb8ShbwfwH5Bb6CgYgABBY +MPwKACAAEGgw/goAIAAQeDBbhjhj+/XAovzxexABEFgwW7+Y8/0Bb+oQEDAY8XgCCIvwA6IP/hAQ +MNEPbBAEFfF00w8kUh4jUh0iUh/28VQf/xA4MPQzCAAAEEAw8yIIAAAQIDBtKWEiYoAjUuHyQggA +AgIhMAkiEaIyKCQhKCQgKCUTKCUSmCyYKygmECgmESgkUSgkUCgkXigkXyglKickeCglMSglMigl +MygmGigkbCgkbSgkbygkcCgkcSgkdyglOigkcygke9EPbBAOJBYQFPFQIhYRiUaIQIpFjESNQ45C +j0GfEZ4SnROcFJoVmBCZFohHmBeESPQWCCIAAFjw9CwAAZYANKD88UMRjgA04P7xQRAJEGgwLSYR +JcLoKPoE/MLiL/AQaDD/4uckACBFcP7i4yQAQG1w+lwAABUANyAP/jn/8TQaAAV1UCX26GAAAcCg +9awAAV0ANqD88LgRpQA1YCVGEvsWDSIAADBw+UIFIAAQODD5Fg8gABAYMIgdhWAiQhIIVSjwMAQA +ZBBAMAhVLAVlFJUeBQUZ+lwAAgAgOLBblvYe8Rsv4uiVHPni4iIAAGqw+v8MD/gQWDD44uMuAEBf +8Pr8AAATADZgKeLnCZg5ePMFL+boYAABwKD1rAAAbgA2oGRQsvUmACIAAFFw+xIMIAAQYDBbthUA +MQQsEhCOHosfmyGeI/wmBCABEGgwAN0anSb67hEMACBjsPwWECH+AmMw/CYFKgAgdvD7Fg8hgAJa +8JsiKkIR9mwEIAICGPD3fBwr/5rU0MAg0Q8l4t0s4t4o4tv54togDgIpcPTAI2QAQF1wCJgMCMw2 +KeLY9JAabgAgL3B/wxIv5t1j/2IAAAAAAPP/42IAAGJw8/9SYAAQKDDBJtEPwKD88GcQBhBYMFu+ +9vUmAC/0EBAw0Q8Z8NgY8Nclkt0skt4ogtv5ktogHgIpcPTAUmQAQG1wCJgMCMw2GfDPKZLYKl0B +9JATYfgCUrB6wwsc8Moqxt1j/mQAAADz/l5gABAoMAAAAMCg/Qr8IAYQWDBbvtz1RhIgDBAQMNEP +AAAAAAAA8/+0YgAAYnBsEAhbcTEc7/oX8LkswMH7cnogARAYMPwMQAAFECgw+HKEJAUAZPD1uggC +AAAisPZ86CuQBDqg+ooIBOABLDBbcR8qJiArYoAqcoSrWwm7EauqW3EVKyIgKiYh+0kKcAAQYDAs +JiRgAAt7SwgEvQwNbRQtJiQV8Jj7rQwABBBwMP3cASIAAFCw/SYiIAwQWDAW8AAZ8JgsJKguJKsu +JKYlJhsjJKkrJhz7JKwgAhBAMCgkqikmHfZi2iAOEEgw+SSuIBAQQDD4JK8gDRBYMPskrSfwATAw +JiYe9iYfIAAQWDBt6hIvoKwA8QQAPhr14QlwAgJSsLG7KwoECw5H/iSmJADDg6D88HwQBRBQMP3w +chAwEFgwW76QKyIgKiIhC6oMsaoKahT5rAABrAA2oAoMX2TCbsKgCY1XZNJzCc5TZOJ4Ce9RZPJ/ +9hYEIf4CQrAJijvBcQenNvkiJCH+AlHwAKEEADYa+WkIAf4CMbD2JiMh/gJKcCkmJVtwyNWgW3DS +CloMsaoKahT5rAACSwA2oAoLX2Sx28KgCYxXZMHgCc1TZNHlCe5RZOHssK8J+jse8A6FFCokpABx +BAA6GvckpSH+AlKwKiYmKSCk+OLZIAwCSnAAkQQAPxr4Jich/gJ78C8mKBzwRS7i2i8iJi0iJyki +KJkQKCCk+BYBIAUQUDD4IKUgMBBYMPgWAi+gBD/gW75RHPA7LyIhLiIgKCIimBAtIh6dEfsiHyAF +EFAw+xYCIgAAaTD1FgMgMBBYMFu+RhzwMCgiJS8iJC4iJv0iIyAJEEgw+RYDIfAQWDCbEfsWAiAF +EFAw+BYAIDAQWDBbvjkKaxH8IiQg2AJQsFv+yMAg0Q8AAAD88CAQBRBQMP3wEhAwEFgwW74vKiCs +LSIbAKEEAD4aAKAEDQkZZJEyLCIcsMvwsAQB/gJLsPkJGQ//EFAwbQgKCRkU9JARYAICUrBj/+6W +FPP+d2AAEFAwAMEEAD0a8LAEAf4CW3D7CxkP/xBIMG0ICgsbFPSwCGACAkpwY//uAPwkrCIAAFiw ++p8MAAYCczD+JK8gAgJTMPokrSAEAkMw/ySoIAAQUDD4JK4gAgJr8P0kqSAEAkPw+CSqIAYCe/D/ +JKsgBBBwMNMPbeoSLbCsANEEADwa9cEIcAICWvCxqsCkCg5HLiSmY/2RAKkR8/4fYBAQUDAAAAiZ +EfP+GGHwAlKwDJkR8/4TYfgCUrAAAA6ZEfP+DGH8AlKwAKkR8/2MYBAQUDAAAAiZEfP9hWHwAlKw +DJkR8/2AYfgCUrAAAA6ZEfP9eWH8AlKwAAAAAADz/dRgABBQMAAAAMCi/O/JEAAQWDBbvdr878gQ +BRBQMP3vuBAwEFgwW73VY/0RAABsEAgU78IY75nTDypC2yuCgCiN5iiAwfm7EQIAADDw/4cQegAg +WrAqrQEqrIBbi6pgAAgqrQMqrIBbi6cZ7vstkhH+QgkgMgA3YMAgi0eFRo9Ijkkc76+VEIlKmRH7 +FgIgBRBQMPIWAyAwEFgwW7240Q8AAAAAAAD676cQOQA3oP9CCCoAGPaQ+u8bEDcAN+D1QgYqABf+ +kMtU+0IHKgAZLpD8CkAgBwA24HvLLPP/o2/qEBAwi0eFRo9I8/+Vb+oQEDCLR4VG8/+Jb+oQEDAA +i0fz/35v6hAQMBvvFR3vjv1GCiCIAhiwIyYR8yYSIJgCKLAlJhP1JhQiQBBQMFuEUteg+yqAIkAQ +UDBbhE+OR5oVDwIA9+ooAIAQWDBYBl/7QgchhAA2oJUW9hYEID8ANuD2rAAAABAoMPpsAA//EFgw +/e77EkAQYDBYBjgpIhEorAgolgEppgIjpgMoJhEvQgclXAH/U9F2ACAx8IcVikb3qigAgBBYMFgG +SNag9UIGIREANqDLU/MSBiAAECgw+mwAD/8QWDD8KkAigBBoMFgGIi0iE7isnNGdopOjLCYTi0ax +VftT13YAIDHwikpblSMlQvkuQvPHYPpVDAIAAGqw9OAWZABANXApQvgoQvQJmDl4UwUlRvlgAAHA +UGRRl/fuxhDHADVg9SYNIgAAUXD7QgogABBgMFu0RRvvRPslICAAEFAwmi+aLopIW5ULJUL5LELz ++lUMAgAAarD0wBZkAEA1cClC+ChC9AmYOXhTBSVG+WAAAcBQZFFyZFES9SYXIgAAUXD7QgggABBg +MFu0L4pHW5T5wbBYBgwqJhb7QgcgNAA2oCwKAFu0KYpGW5TywbBYBgUqJhX1QgYgWQC2oItHHe5k +j0iOSS3SEfP9sW/0EBAwAIVGHe5fj0iOSS3SEfP9nG/0EBAwwKD7CgYiAABh8Fu9Ih3uV5Uti0eF +Ro9Ijkkt0hHz/Xdv9BAQMAAAAAAAAAD8CgAiAABZcFu0C4pJW5TVJUL5LkLz+lUMAgAAarD04Btk +AEA1cClC+ChC9AmYOXhTCiVG+WAABgAAAAAAwFBkUPVkUMr1JhgiAABRcPtCCSAAEGAwW7P4+xIE +IgAAULBb/isb7jctshHAwQrNOC22EfP872IAABKwAADccPoKACAGEFgwW7z4He4uJSYXi0eFRo9I +jkkt0hHz/M9v9BAQMCVC7ipC7/lC6yAeAilw9KC9ZABANXAsQuwMnAwMrDYuQunI6aXaesMFKkbu +Y/448/41YAAQKDAlQu4qQu/5QusgHgIpcPSgjmQAQDVwLELsDJwMDKw2LkLpyOql2nrDBipG7mP+ +XQDz/llgABAoMNxw+goAIAYQWDBbvNMd7gglJhiLR4VGj0iOSS3SEfP8Om/0EBAwAAAlQu4qQu/5 +QusgHgIpcPSgNmQAQDVwLELsDJwMDKw2LkLpyOql2nrDBipG7mP+2gDz/tZgABAoMPP/TGIAAGJw +8/97YgAAYnDz/9NiAABicGwQBhXuphTufShS2ypCgClN5imQwfMWACuQBDqg/5czeAAgUjAqjQH2 +CoAhAAJSsFuKiCxCgCtS2wnMEay79roIAgAAIrBbioAKRgxgACsAAAAAACqNA/YqgCEAAlKwW4p9 +LkKALVLbCe4Rrt322ggCAAAisFuKdApGDC9S8yRS+QZtCv5S9C3QBD9g/UQMD/AQODD08BVkAEA5 +MC9S+A/+OX5DByRW+WAAAwAAwEBkQgD47foTJQA1IBruaw1JFJQlAAqLbZkCAERhK1LzJFL5Bm0L +/1L4LdAEP2ANRAz0sBNkAEA5MC5S9A/+OX5DBSRW+WAAAcBAZEIOZEGd9CYGIgAAUTD7CgAiAABj +cFuyhw9jEdowW5QxJFL5KFLzKVL4+kQMAgAAarD0gBNkAEA5MChS9AmYOXhDBSRW+WAAAcBAZEIb +ZEGp9CYBIgAAUTD7PAAAABBgMFuzVdpgW5QfJFL5+lLzIgAAarANRAz0oBZkAEA5MClS+ChS9AmY +OXhDBSRW+WAAAcBAZEIRZEG59CYCIgAAUTD7bAAAABBgMFuzQypSBQ8CAA8CAPqkCQB4EFgw+6oo +ABAQWDBYBRv6JgcgCAC2oMck0Q+KVfqmCgDIEFgw+6ooABAQWDBYBRP6Jggv4wA2oNpAW5P8wbBY +BQ76JgMv0QA2oPtMAAAAEGAwW7Mr2mBbk/TBsFgFB/omBC+0ADag+2wAAAAQYDBbsyMf7iP9Uhwg +AhBYMCskvSskvyskwPslYSABEEgwKSS8KSVjKSVlKSVn+SVqIGQQcDD+JWIgBRBAMCgkvvglayAD +EFAwKiVkKiVm+iVoIAAQYDAsJi4sJjYtJCQvJjj/JjkkABBoMP0mOyAEEGAwLCVpihBaWDbAINEP +wKD87X8QBhBYMFu8DvQmBi/0EBAw0Q8kUu4uUu/7UusgHgIhMPThM2QAQDkwKFLsCLgMCOg2KVLp +yJ+k23uDCytW7mP9zwAAAAAAAPP9xmAAECAwAAAAwKD87WkQBhBYMFu7+PQmAS/0EBAw0Q8kUu4u +Uu/7UusgHgIhMPTg5GQAQDkwKFLsCLgMCOg2KVLpyZCk23uDDCtW7mP9wQAAAAAAAADz/bdgABAg +MAAAAMCg/O1TEAYQWDBbu+L0JgIv9BAQMNEPJFLuLlLv+1LrIB4CITD04JRkAEA5MChS7Ai4DAjo +NilS6cmQpNt7gwwrVu5j/bQAAAAAAAAA8/2qYAAQIDAkUu4uUu/7UusgHgIhMPTgXmQAQDkwKFLs +CLgMCOg2KVLpyJqk23uDBitW7mP9vgDz/bpgABAgMAAAAMCg+woGIgAAYjBbu770JgUv9BAQMNEP +AAAAAAAA8/7WYgAAQvDz/yViAABC8PP/dWIAAELw8/+rYgAAQvBsEAQY7abAkCmG+/goCgeQBD1g +9koRCVAEPOD6mQIGCQA9sPiNBCYJAE3wl4DRDwBsECQb7Zv6HAAAQBBgMFuxqhvtmPwKQCCAAlBw +W7Gm++2WEP4CUHD6rAEggBBgMFuxohbtkhLs5vcKACACEBgwJGGu2kBbuaf7Ch8h/gJisAy7DPtF +BnIAAGKwsaz+CgAiAABAcPt1EQAgEEgw8MwRCoAEPeD6HH8qCQBm8PwcQCACAlKw+0sCAAICOfD7 +JvkhsAIhMG2aO/mBACwJAC+w/cEALwAEP2D7ogAgAgJzsPlJKAAIAlKw890RAAQCYzALmSzzmTUA +BAJCMA2ZAg+ZAikm9/8CAAAEAjGw/wIAC/+wHeDAINEPbBAEEuyR0w/TDykirxPs6sqRKTahKCKb +9AoAIBkANiD6CgAiAABZMFuBdikim7FE0w95Q+opIrHKkSk2oioinvQKACAZADag+goBIgAAWTBb +gWwrIp6xRNMPe0PqKSKzypEpNqMsIp30CgAgGQA3IPoKAiIAAFkwW4FiLSKdsUTTD31D6i4inPQK +ACAXADeg+goDIgAAWTBbgVovIpyxRH9D7Cgit/SAUGMAEFAwKyK3KjLPKzbOKq0DG+yPLTK8H+02 +sK4OfhT07hEMAEB/cA7dAi02vCwyrH/HCC8yyw8PS3vxOikyzMCHeYAILDLMDFxLe8EGwCDRD2P/ +vC0yzB/tJy6t/g5uFPvuEQwAQH9wDt0C/TbMIAAQEDDRDwAALzLLGe0KKKzA+GgUDgBAT/AI/wIv +Nstj/6oAAGwQBBTsavcKACAFEDAwBwJH+woAIgAAULBbgVEpCggJeQIJCUcpRlIoQlMlCgD1RlYj +UAQ8oAMzFCU9ARrtCvsKAiAAEGAw/zgQAAUQaDD2iAIAARBwMPhGWCAAEHgwWlzl9qByYAICGPB1 +Oc7AsCtGWLF3+UJYIAAwLqBpdo4S7N8f7Pn+7PkQABBoMPwKACAQEBgwA9sCCwtHK0ZSDIkUAJkR +DpkCKUZT/dwBKOABaDDwAgcJwAQ6IPlCUygAIHow+II5IIAQSDBtmgIASGH8zQgpggI7YNKg0Q9s +EAQa7OTTDyqifys66AuqLCit/SiM4G6IBSsal3q7USoKZBTs3fpFfiABEFgwW4FA8+zWEAAQEDD6 +RX8gABAoMNogW4Ey2iBbgSn1NgIgABAgMPosAAIAAFkwW4EQsURpS++xIvM8ECWwAjigwCDRDwAs +6nCsrG7IBS0Kz3rbCvP/n2AyEFAwAAAALvo4rq5u6ATF93r7B/P/h2AZEFAw8/9/YAoQUDBsEAYa +7LzTD9MPKqJ/9goAIIcANqAV7Lj07KsQABA4MC1Q3fluEQAAEBAw8woAIFkAN2CeECoKgFu4wMDB +LEb7sq0A0QQAaxqrO/cpCAoAICbw+70EKwAEOmCasMCzK0b7iBD0mQoLAAQ4oPmdBCgJAFIwmJAv +UN2xIv8jt3AIAhjwGuycKqJ/9VwBIAICMbD6Y4x2ACA8sNEPAABsEBQU7DsPAgArQn/XEPjsORRC +ADbg+ICAIAAQSDBtuQwAkAQICxt/twGxmrGZHewY/goEIfoCerAP6jj6HBQAABAQMPzWgCIAEBgw +2iBbgIqxInMp9Rrsghvsghzsg/7sgxAAEEAw/QoAIEAQSDDTD22aJAqJCimdBJ2QDIkKKZ0EnZAO +iQopnQSdkPuJCgACAkIwKZ0EnZBbgFkY7HX5CgAgCBBQMNMPbaoFKYYwKI0EKEJ/0w/yCgAgPAA2 +IBPsaBXr6sCQKTbCKTbDKTbEKTbF+TbGIgAAULBbgDwrMsAqQn8FuwL7NsAgAgIQsPM9QCv/6VSQ +8+wHEAAQEDDaIFuALvOtCAAAEGAw/NaAIgAAULBbgCUiLCD+CgAuACAesP72gC+yAjigGuxSE+xS +wJAppq8oMprCsPuIAgMIEFgw+DaaIAAQQDBtuhYppsYppscppsgppskppsr4psUgAgJCMPZ8ECAA +EEgw+goQIgAAQbAPAgDTD22qB/mGACAIAkIw9QqIIAAQEDD7bAACAABQsFt/8yIsAXUp7itCfyIK +AvgKACA1ADbgHOwtFewnbQgeLsKABe4CLsaAK0J/LM1A840KAAICQjDy1o0qAAbaEGP/2gAAAAAA +APUKACAuADbgFuwfGOvDKICAbQgXAFAECAkb/wIAAgENflCxVfZtQCoACFlQY//hAAAAGOu5KICA +K3xg/7wABYAEPiAW7BUoYoAZ7BUJiAEIVQIlZoAd7BMu0sv+7hEP8BAoMP7sDyAAEDAw9jaLLgBA +K7AOHgz+dhwiAABjsAHhAC3d6i3QwcCA+ewHEGQQMDD9DUAAARBwMPpqACwFAG+w/ak5AAQQcDD9 +7AAQBhBQMG3qYS7dAS7sgCPgvC7gvQOTHMdeBjMs/mUMAgBALPD+PigABgJrcPUzKA/+ECgwBu4s +BjMs9fr4IgBALPDzIzcEAEAvsPXGAC4AQFOw/MwELgAgG7D+9gAkACB1cP/8BCgAICoweJsmwMDT +D20IHAvPCo3wscwMDEH63P4iGAA7YPr2ACH8AkIweJsCY//a+YsscAAQYDDTD20IHQvPCo3wLMwB +DAxB+NIMYAQCc3D+9gAgBAJCMHmLBGP/2QAAGOvKibD5hoQgCAJ68BnrzI/w/5aEIBACcvAf68qO +4P72hCAYAmrwHuvIjdAt5oQpchyOkLSdjdAuhoi4nPzCACAIAnIwLeaIvJv7sgAgEAJqMCzWiLyM +K8aILnIcGutJjOCP443iDDwUjuENPRQPPxT+PhQO4AQ/4PTdEQ+gBDug/90CDAkAczD9zAIAKRBY +MFuNpsAg2iBbfu/aIFt+5rEiaSTwL0J/8goAIBcAN+D7Wu4iAABQsFt/TShCf7EieCPswCDRDwDa +UPx8UCAAEFgwW7cSK3EoK2aKKnxSKaEAKqEB+0J/KQAEPmAKmQL5ZokgAgIpcPZtQCv+0F1QGOsq +KICAY/2/Y/vWAGwQCBTrjxfq4xPqvChBhBzq6PVBaSAfEBAw+0FrIYoANiAmQW0qQW/+QWUsACAu +8Kbdqt31D0QKAbPvkPXzPGiAAVgw9YM0aIABNDBlkyz16AwIAZTQkChFaAuPDA3iDCJFcQb/DP9F +bCngAUAwC4kMKUVqAv8M/0VwL+ABfDAK+Qz5RW4p4AQ6ICJBavg2hCPgBDigIjaHIkFuDv8R/zaF +I+AEOKAiNoYsQW7/Nv0t4AQ7IPw2/CAAEBAwZiK4IkI6yyj4LP8qAX5EoP8CAAgBhhIQ2iBbt1wt +MorwrhEMAEA/cPxBZCwJAHdwLTaKLDb+KTKBG+qoC5kCKTaBKkFk9utQEAAQEDD1608QMwA2oGAA +CAAAACpBZHorIi8yvfwoEQ4AQDfwCP8CLza9JTa8W5Dg9K/fYAICELDHLtEPCqsKGutCKaF8+zaN +IcEANmAsMoEd6z8NzAEsNoEiQjwnQXEqQW8mQW0rQWsuQWUvQYQlQWkiNozyQjohqAA34JYQlxGS +ExzrM/+8AAIAAGuw+hYCIgAAcXD6CgQgBhBYMFu5FsAg0Q8qMoQpQWQoQWUKmQwJKRQpRWkJiAwo +RWglMoQmMocvQWgGVQwFJRQlRWsF/wwvRWotMocuMoUO3QwNLRQtRW0qMoUrQWslQWksMoYmQW3+ +QWUugAEsMPyqDAwAIC7w9t0IDeIBUDD82AgL4gFQMPpFbyoA/seQZfHQCwhEZYHKBglEZZHE/wIA +CADg0JAsQYQF7wz/RWgoACBXcAnpDPlFcS3gAXwwC94M/kVqIQMANyAG6wwrRWwJuQz5RXAp4AFM +MAqbDCtFbg7YESJBavg2hCPgBDigIjaHL0FuDp4R/jaFL+AEP+AvNoYsQW7+Nv0t4AQ7IPw2/CAA +EBAwZiDPKTKBe5ZXKDKKCAhV8IEEAAEQEDAAIhryRjouOwA0oP8CAAoAvMSgsCn/AgAIAMOSUNog +W7bbLjKK8K8RDgBAO7D9QWQuCQB7sC42ii02/isygRzqJwy7Ais2gWP998CAKEY6Y/3vKaF9ZZ48 +K6F+Zb42LKGAZc4wY/45ABzqzZIT9xYCIgAAevD2FgAiAABrsPoWASIAAHFw+EF2IAQQUDD4FgQg +BhBYMFu4qsAg0Q8L+AwGiAwoRWwKjAwJzAz8RXAp4AFEMAqeDC5FbmP+99EPAAD9XAACAAB5sPoW +ACIAAHLw+goCIAYQWDBbuJnz/SFv6hAQMJYR+xYAIgAAeXD6FgIhIAJjMPoKAiAGEFgwW7iQ8/z8 +b/QQEDD6CgIgBhBYMPzp/BIAAGiwW7iJY/0jAAD6CgIgBhBYMPzp9xIAAGiwW7iDY/0L3VD/bAAA +AhBQMPwWACIAAHLw/OnrEAYQWDBbuHvz/pJv6hAQMJYRHOnm+hYCIgAAeXD7FgAsACBXcPsKBiAC +EFAwW7hx8/5qb/QQEDAAAAAAAPoKAiAGEFgw/OncEgAAaLBbuGlj/KMAAPoKAiAGEFgw/OnXEgAA +aLBbuGNj/IsAAGwQBhnqeRrqeSiQBCgUBCmSACkWAFv8thXqdhrp+vxRgCFAEFgwW4xhGupyDwIA +DwIAKqF/8hoAIgF76pD66fERQRBYMFuMVfTpnhwIAVAwLFRMK0LTZrL4LlIZLVIYH+pmrtj/AgAK +AX3H0Md/KVIW/UbEItcANmApRsYsUhr26dASegA3IClSHGSSb4tdwDH+shVgABBQMG0ICrGqAKEE +AD0ae9sCY//uLVDKGepSiF8qVhALmSwNiCz5VhIh/AJCMChWESxGyIhdLlDKoe4u4ACNXwLuEPhG +zSwJAHdwKVIQKZz1/UbOKQAEPmAtQtse6kIO3QH4UhwoCQBucClG2yhGyi5SDC1QywHdCC3QAClS +DgHdEP5GyygJAG5wLlIfLVIeDtgI+UbMKgEkR9Ab6jQuUMsqUMovUg/8Ug4uACBbsP7ggCoAIFqw +KqCA/8wICgAgcrD76isaACBisChQyy9SDi5SD/lQyiAYEGAwDP8sDO4sCe4sCP8sAP8R+mYbLgkA +e7AuRscKqhH9RsUqACBTcC2ycAzdLArdEfpmGCoAIGqwK7JxDLssCrsR+mYZKgAgWrAqZhoa6hP9 +KgAgABBgMP4KASACEFgw+2YTIAAQeDBaWcz2oaliAAASsI9eI2YTD38UL2YhLVIjLFIiHuoGGemJ +DXw4LFYiK1IjKlIi/JbbKgAgWrAoUiUvUiQqltwIfzgvViQtUiUsUiT/ltcsACBrMCtSKShSKCyW +2At4OChWKCpSKS9SKKr/+Ob1If4Ce/AtUigv5vYsUikrUij9lt8qACBm8CpSKy9SKiuW4Ap/OC9W +KihSKy1SKqjd/+b3If4Ca3AsUiot5vgrUisqUir8luMqACBasChSLS9SLCqW5Ah/OC9WLC5SLS1S +LP+W4SwAIHdwLFIxK1IwLZbiDHs4K1YwKlIxKFIw+5bpKAAgUjAoluouQvAf6dAP7gIuRvAtQsIt +VjosQtgsVjsrQtkrVjwqQtsqVj0pQvApVj4oQuwoVj/RD8AgJ0bGJ0bIIkbNIkbOJ0bKIkbLIkbM +ImYbIkbHJ0bFJ2YYJ2YZJ2YaY/7BAAAAAAAAAPrpNRFBEFgwW4uYAqwC+ukxEUEQWDBbi5lj/PAn +RsZj/Skf6LMu8MHAhAjuAi70wWP89cCh/OmrEAYQWDBbt4bGKtEPwKH86agQBhBYMFu3gsYq0Q/A +ofzppRAGEFgwW7d+0Q9sEAQb6Lb9CgEiAAB4sNMPKrJ2DwIAf6cSLLJw/MwQAAAQcDD8LwwKAD4T +EP6nEHACEHAwLLJxDMwQf8t4DP8MfacoLLJyDMwQf8t1/P8MAD4A7rD6CgEgABBYMPzooRIAAGiw +W7djxirRDwB7p+QtsoIM3RD/09twBhBwMJ9A/jYAIAYQcDD9LAAABRBQMPzolRAAEFgwW7dXwCDR +DwAAAAAAAPJGACAAEHgwnzDz/9ViAAB4sJ0wn0Dz/8lgARBwMJ9AnjDz/71gAhBwMAAAbBAEJlpA ++gqAIgAAQTD9+sAgQAJYsPMmACBgAmCw/CYMIAAQSDD8Jg0iHwEkMPklFCoAQG7w9aU6AIACWvD7 +JgkgcAJQsPsmCCgFABmw+CUVIgAAWXBbaZIDZDn7XAACAABRMFt97QpuFC4lAtEPAGwQBBrpUgML +BvKihyIAAGiwKKKBKaKGDSIM9IATYgBAEvAoooIJmDl4IwUipodgAAHAIM8hIqJ8LKJ9oyL5onkh +/gIQsPTAM2IAQBLwK6J6C5sMC8s2LKJ3yMmtLHyzBSymfGAAAcAgyCDRD8Cg/OiAEAYQWDBbtw/R +DwDz/9ZiAABacGwQBhPo7igyIBXo0PIKACBFADYgJlKDJDLhDwIApiYJZhGmRCZCByZiDisqAPwK +ACIAAFGwW63y+kYOIEAQWDD6bFAgABBgMFut7ZpPKDIgsSJ4I74sMh0PAgDyCgAhGQA3IBTo9cBg ++0DdIgAAULBbfaQsMh3yLAEmAQBRsPwj5nACAiEwKjIeKTIf+hYCKgAgYrCqmfQKACDWADZgsWz8 +FgAgABBYMJsTYABTLSBQKyRR+9sIAgAAUvCbEv0SACoAFVqQgiAKuAxtiR0oUoMpMuH6iAgAAgJS +sAmIEaiYJ4QMJoQNkogthDcoMh4vMh0uMh+o//RMAS4AIHuwfktuIlKAKjLhokIJIhGqIiYgDYsS +9yAML5YANaCLEylSg6uZCZkR+CIAKAAgTrCZESeUDPaUDSIAAFmw+JYIIgAAUfBbfXCNEYwTKSBQ +KtQ3/CRRIAICYzD8FgMjIAE6YIoSqp76JFEh/gJbsJsSY/9M0Q8AAAAAAADz/wpgABAwMGwQBBfo +0hTo0vzo0hIAAGiw9HZ9IAUQUDD0dn4gGAIhMPR2gCAAEFgw9HaBJ6AEPKD+PAACAAAh8Fu2nfbo +gh/AEEgwCTMB83M2AAAQEDD8Yt4gaQA04Cpi3Sti2v5i2CB+AlKw/WLbKgBASrD0rAAACgA3IA27 +DAvLNvTgDWoAIBqwerMFKmbdYAABwEBkQL5kQRP1PAAAHAA04JJO8kYPIgAAUTBaUpElXMD1X+xg +gAIhMPN0DA/AEEgw+mLoIE0ANSAvYuIsYucEqgz08BNqAEBKsCti4wzLOXujBSpm6GAAAcCgyqdk +oJTzTAAAHgA1INSgkk7yRg8iAABRMFpSeyM8wPU/7GCAAiEwwCDRDyti2ipi3Sxi3i5i2P36wCB+ +AlKw9MANagBAarAtYtsNuwwLyzbI7qSsfLMKLGbdY/+kAAAAAADz/5xgABBQMCRi6C9i4vNEDA/A +EEAw9PAWZABAQTApYucoYuMJmDl4QwUkZuhj/xjz/xVgABAgMPoKACAGEFgw/Oe5EgAAaTBbtkfA +ofzocBAGEFgwW7ZExyTRDwAAAAD9PAAAABBQMPzoaxAGEFgwW7Y9wKH86GgQBhBYMFu2Osck0Q9s +EBYY58UPAgDTDyiBfyIWHfw8AACAECgw+AhBAAAQGDD4UzkP/xBQMPwWBiIAAFjwW3zq0qD7CgAv +/xBQMFt85yoWG/sKAC//EFAwW3zjKhYa+woAL/8QUDBbfOAqFhn6+v8hABBYMFt83CoWGPr6/yEA +EFgwW3zZKhYW+vr/IQAQWDBbfNUqFhX7CgAv/xBQMFt80ioWEPsKACBAEFAwW3zOmh/6+v8kgBBY +MFt8yyoWFPsaACJAEFAwW3zIKhYT+1qAIkAQUDBbfMQqFhL7OoAiQBBQMFt8wSoWEfsKAC//EFAw +W3y9mh77CgAv/xBQMFt8upod+woAL/8QUDBbfLcqFgz6+v8ngBBYMFt8s5ob+vr/J4AQWDBbfLCa +Gvr6/yeAEFgwW3ytKhYJ+vr/IIAQWDBbfKmaGPr6/yEAEFgwW3ym1qD7CgAv/xBQMFt8o9eg+vr/ +IwAQWDBbfJ/VoPr6/yGAEFgwW3ycmhf6+v8ggBBYMFt8mZoV+woAL/8QUDBbfJaMGIkaFOgDIxYf +jh+DHCtCIy1CHy9CHShCIQ7dKAP/KC8WIi5CHi8SEAmIKCMSEw/uKC9CEigWISgSFAP/KINODLso +KRIWCDMoiEwrFiArEhkJiCiJSoxJC5koKxIaC8woKxIb+UIILAAgSzALmSiLRwsrKJsU+RIYKgAg +TvD8QgsqACBm8AnMKPgSFSwAIEMw/EINKgAgZvAIzCjzEhIsACAbMPxCESoAIGbwA8wo/xIRLAAg +ezD8QhAqACBm8A/MKP4SDiwAIHMw/EIbKgAgZvAOzCj9Eg0sACBrMPxCHCoAIGbwDcwoLRIijhv9 +QiAsACBrMPxCIioAIGbwDt0ojhkOzCguEiH+EgcsACB3cP1CKioAIG7wlhGXEg7dKC4SIC9CJhnn +vP5CJSwAIHMwB/8o/EIpKgAgZvAG7igpkX/1zCgOACB7sP4SBSoAIHbw/UIrLAAgazD8QicqACBm +8IhHDt0oCswolRPzEh8sACBrMPmLD3oAIGbwhRSaEGAACwAAAAD6FgAgABAoMPsKgCoAICrwWAJC +2aD3rAAABHeqoGRQRI1HDwIADwIA9goAIDEAN2D6Fh4iAAAqsPpcAA//EFgw/Pr/IgAAaPBb/hpa +UV2OR7Fm/mPidAAgLLApEh4CZygPAgCnl4gW0w9kgGSFFiiKAAhVAQJVLCUWHAUlKPpcAACAEFgw +WAIl/wIAAAQmKqApEhwPAgDzFhcgNwA2YMBQ9jwAAgAAGrD6PAAP/xBYMPz6/yIAAGmwW/3+WlFB +KhIcJVwB0w/6Wd5yACAcsCMSFysSHfMWFyaqADbg8woAIAAQKDAmQuSlZpNgLELJ+EIHKgAHZNCs +iP8CAAoAekTQLELK+UIIKgAHZNCsmf8CAAoAk8zQLELL+kIJKgAH5NAMqgj/AgAKAJzU0CxCzPtC +CioAB2TQrLv/AgAKAKZc0CxCzf1CCyoAB2TQrN3/AgAKAK/s0CxCzv5CDCoAB+TQDO4I/wIACgC4 +9NAsQs//Qg0qAAdk0Kz//wIACgDCfNAsQtD4Qg4qAAdk0KyI/wIACgDLxNAsQtH5QhIqAAfk0AyZ +CP8CAAoA2MzQLELT+kIRKgAHZNCsqv8CAAoA5lTQLELU+0IQKgAHZNCsu/8CAAoA89zQLELS/UIP +KgEGZNCs3f4KFSoBAWjQLmQEYAAv+nwAAgAAWPD9EhcgABB4MP9kBC//EGAwW/2qx5/5pgAgABBA +MPhmByYAIDywWlDoKhId9V0BIAICGPD1XIAv/1rQ0GAFSwAA+nwAAgAAWPD9CgAgAhBgMPxkBC// +EGAwW/2YLRIbmmfz/8RmACA/cPp8AAIAAFjw/Pr/IAMQcDD+ZAQgABBoMFv9ji8SGppn8/+cZgAg +P/D6fAACAABY8Pz6/yAiEEAw+GQEIAAQaDBb/YQpEhmaZ/P/dGYAID5w+zwAD/8QYDD6CgQhABBo +MPpkBCIAAFHwW/16KxIYmmfz/0xmACA+8Pp8AAIAAFjw/AoFIQAQaDD8ZAQv/xBgMFv9cC0SFppn +8/8kZgAgP3D6fAACAABY8Pz6/yABEHAw/mQEIQAQaDBb/WYvEhWaZ/P+/GYAID/w+nwAAgAAWPD8 ++v8gExBAMPhkBCSAEGgwW/1cmmeKrikSFJag8/7QZgAgPnAAAAAA+nwAABkQYDD8ZAQvlhBYMPtk +BSEAEGgw/CpAIgAAWPBb/U4tEhOaZ/P+nGYAID9w+nwAAgAAWPD/ChciQBBgMP9kBC+QEHAw/mQF +JYAQaDBb/UIoEhKaZ/P+bGYAID4w/CpAIgAAWPD6ChYjgBBoMPpkBC+FEEgw+WQFIgAAUfBb/TYr +EhGaZ/P+PGYAID7wLELY/UIeKgAHZNCs3f8CAAoAq+zQLELZ/kIfKgAH5NAM7gj/AgAKALT00CxC +1f9CGyoAB2TQrP//AgAKAL580CxC1vhCHCoAB2TQrIj/AgAKAMfE0CxC1/lCHSoAB+TQDJkI/wIA +CgDQzNAsQtr6QiAqAAdk0Kyq/wIACgDaVNAsQtv7QiEqAAdk0Ky7/wIACgDj3NAsQtz9QiIqAAfk +0AzdCP8CAAoA7OzQLELd/kIjKgAHZNCs7v8CAAoA9nTQLELe/0IlKgAHZNCs//8CAAoA//zQLELf ++EImKgAH5NAMiAj/AgAKAQjE0CxC4PlCKSoAB2TQrJn/AgAKARJM0CxC4fpCKioAB2TQrKr/AgAK +ARvU0CxC4vtCKyoAB2TQrLv/AgAKASVc0CxC4/1CJyv+g+TQrN3/AgAL/n7o0Pp8AAIAAFjw/Pr/ +IBsQcDD+ZAQgABBoMFv83Y8Qmmfz/NZmACA/8AAA+nwAAgAAWPD8+v8gCBBAMPhkBCAAEGgwW/zS +KRIQmmfz/KxmACA+cPs8AABAEGAw/QoAIAkQUDD6ZAQiAABR8Fv8yIsfmmfz/IVmACA+8AD6fAAC +AABY8P0KACAKEGAw/GQEL/8QYDBb/L6NHppn8/xdZgAgP3AA+nwAAgAAWPD8+v8gCxBwMP5kBCAA +EGgwW/y0jx2aZ/P8NWYAID/wAPp8AAIAAFjw/Pr/IAwQQDD4ZAQgABBoMFv8qokcmmfz/A1mACA+ +cAD7PAAP/xBgMPoKDSeAEGgw+mQEIgAAUfBb/KCLG5pn8/vlZgAgPvAA+nwAAgAAWPD8Cg4ngBBo +MPxkBC//EGAwW/yWjRqaZ/P7vWYAID9wAPp8AAIAAFjw/Pr/IA8QcDD+ZAQngBBoMFv8jI8Zmmfz ++5VmACA/8AD6fAACAABY8Pz6/yAQEEAw+GQEIIAQaDBb/IKJGJpn8/ttZgAgPnAA+zwAD/8QYDD6 +ChEhABBoMPpkBCIAAFHwW/x4ixGaZ/P7RWYAID7wAPp8AAIAAFjw/QoAIBIQYDD8ZAQv/xBgMFv8 +bo0Smmfz+x1mACA/cAD6fAACAABY8Pz6/yAeEHAw/mQEIwAQaDBb/GSPE5pn8/r1ZgAgP/AA+nwA +AgAAWPD8+v8gGhBAMPhkBCGAEGgwW/xaiReaZ/P6zWYAID5wAPs8AA//EGAw+godIIAQaDD6ZAQi +AABR8Fv8UIsVmmfz+qVmACA+8AAAACxCIPdKsCKgEBgw9QoAIGQANyAW5VcmYoAiQuSmVglmEaYi +hieGbsDA+yESIOACUbBbqn2LK5oq82oIAAAQYDBbqnkrIhCaLfdqCAAAEGAwW6p1KiYSKyBQKm0H ++qzAIAAQYDBbqnAqJhMrQiAlXAF7U58sQiHTDw8CAPUKACBkADcgFuU7JmKBIkLkplYJZhGmIoYn +hm7AwPshEiDgAlGwW6phiyuaKvNqCAAAEGAwW6pdKyIQmi33aggAABBgMFuqWSomEisgUCptB/qs +wCAAEGAwW6pUKiYTK0IhJVwBe1OfLEIi0w/TD/UKACBjADcgFuUgJmKCIkLkplYJZhGmIoYnhm7A +wPshEiDgAlGwW6pFiyuaKvNqCAAAEGAwW6pBKyIQmi33aggAABBgMFuqPSomEisgUCptB/qswCAA +EGAwW6o4KiYTK0IisVV7U6DAINEPAI0WHOVuKUL1L0L0KEL38xYXIgAAcXD5/wwABBBQMPj/DAAG +EFgwW7M2IxIXY/fJAMCh/OVjEAYQWDBbszHHJNEPAAAAbBAEGuVU8qJ8IgAAaLADDAYron34onoi +ACAYsPmieSH+AhCw9LBMYgBAEzAImAwIuzYponf0kA1uACBosH6zBS6mfGAAAcAgziMioocrooEp +ooYNIgz0sBNiAEATMCiiggmYOXgjBSKmh2AAAcAgyCnRDwDz/7piAABacMCg/OU6EAYQWDBbsw3R +DwBsEBIS5I3TDyIigyoKpPIIQwAIEFgw+BYAIB8QYDBbrab7CgQgHxBgMPoWCChkARAw+BYBILgQ +UDBbrZ/7CgAgHxBgMPoWCShoARQw+RYCIMwQUDBbrZj6FgogHBBYMPLKQwAfEGAw+hYDINwQUDBb +rZL6FgsgHxBgMPILUwDwEFAw+xYEIBgQWDBbrYv6FgwgFBBYMPJMUwEEEFAw/BYFIB8QYDBbrYT7 +ChAgHxBgMPoWDSx4ARQw/RYGIRgQUDBbrX37CgwgHxBgMPoWDi58ARAw/hYHISwQUDBbrXcS4/qa +Hyoim1uX1foiniIAADKwW5fS+hYRIAAQKDD0HCAggAI4cPMcAAAAEBAw9hYQIAAQeDD/FhYgARBY +MIlAKDIAKZwLAJEE8LYaABQCQjAAgAQGBhvLZCoSEAaqLFuwzyoWEioSEQaqLFuwzC4SEiwSFgBR +BPCtGgABEFgw8O4aDAkAazD8FhYiCQBwsPVcBCAIAiEw90mjcAgCGPAY5C4ihoTBsP8SFiAAEEgw ++RYYIAAQUDD6FhcgHxBgMP+GvSFAEFAwW61E+hYIIBQQWDD6GkwgHxBgMFutP/oWCSAYEFgw+hpY +IB8QYDBbrTv6FgogHBBYMPoaZCAfEGAwW602+hYLIAAQWDD6GnQgHxBgMFutMfoWDCAEEFgw+hqA +IB8QYDBbrSz6Fg0gCBBYMPoajCAfEGAwW60oEuOr+hYOIAwQWDD6GpggHxBgMFutIisKAfQcICAA +ECgw+hYPIgAAGHCJQIgwu5kAkQTwthoAFAJCMACABAYGG2RgTSoin1uXdioWEyoip1uXdCoWFCoS +EwaqLFuwgSoWFSoSFAaqLFuwfi4SFywSGC8SFQBRBACtGvD/GgwJAGsw/BYYLgkAe7D+FhcgARBY +MLRV8iwEIAgCITD3SYpwCAIY8Bjj3ikSFymGhSISGPKGviAAEBAw0Q8AbBAIHOSIFuSGkxWSFC1g +VC5gVS9gV/pgWCAGEFgw+WBZJgAgb7D4YFsmACB98PkWASYAIFXw+BYCJgAgTfD6FgAmACBF8PcW +AyAFEFAwW7JDZHHywKX4EgQgBhBYMPzkch4AIBTw/xYGIgAAaLD4/wwCAABw8FuyOfocECAoAlhw +/ApAIEAQaDBbeKoqYFSEFPRmBCAPALag8AAoYAAQYDAAAAD7EgUgQBAoMFu0PfwKACIAAGnwW7Kz +9Q0GDAEAKvANzAEqYFX8ZgUkACAjMPRmBiAQALag8AApYAAQUDAAAAAA+xIFIEAQKDBbtC38CgAi +AABp8Fuyo/ULBgoBACrwC6oB+QoALACiFqApZj4qYFf9+sAgfgJicA3MAfxmByQAICMw9GYKIBIA +tqDwACtgABBIMAAAAAAAAPsSBSBAECgwW7QX/AoAIgAAafBbso31CgYIAQAu8AqZASpgWPlmCyQA +ICJw9GYMIBAAtqDwAClgABBIMAAAAAD7EgUgQBAoMFu0B/wKACIAAGnwW7J99QoGCAEALvAKmQEq +YFn5Zg0kACAicPRmDiAQALag8AApYAAQSDAAAAAA+xIFIEAQKDBbs/f8CgAiAABp8FuybfUKBggB +AC7wCpkBKmBb+WYPJAAgInD0ZhIgEAC2oIcW8AAsYAAQUDAAAPsSBSBAECgwW7Pn/XwAAAAQYDBb +sl31CwYKAQAq8PcSBioAQFqw3SD85AISAABw8PpmEy4AICaw/xYEIAYQWDD/fwwABRBQMFuxxYIU +0Q8AAJpnlBRbr9WEFPChBAABEEgwAJkaKWY+Y/6oAGwQEJMU8uOFEgAASLAV44CZFSsiZidSii1S +gCpSgvxSgSAAEDAw+FKJL8AQGDD5qhENkAQ/YP19CA2QBDsg/RYSLAAgYfD8FhMqACBR8PoWFCmQ +BDog9bAPZgAgRfAsIpnOxYkUYACPANpgW3h/GeNRqaktkoBn0AttCAUqkoBnoAJj//MpIpnJncCh +W3h2GeNJqakrkoBnsAttCAUqkoBnoAJj//MpIpkmVqYvImYU423842sQAhBQMCpWqSxWqvRWoSAA +EGgw9FajIAEQcDD5/wgCAABZMP9WoiQAICPw9FakIH4Ce/D0VqguAEAf8Ft4HIkUKyJmKZw/A5kB ++VabJIQANuAuUpovUp0J7gwP7gyx7Q7tOw0dEmbUyS8KZA/fLP//CgAFEFAw/OOnH8AEO+D+Fggv +4AQ/4P8WBiAAEFgwW7FsW3hME+N1JlZvDwIALzK4JDK0KDKzKTKyKjKxKzKwLTKuLjKtLDKvLlZw +/jK1LAAgd3AtVnH9MrcsACBrMCxWcvwytioAIGbwK1Zz+zLBKgAgWrAqVnT6MsIoACBWcClWdfky +wygAIEowKFZ2+DLEJAAgQTAkVnf0MsUuACAn8C9WeP8yxi4AIHuwLlZ5/jLHLAAgd3AtVnr9Msgs +ACBrMCxWe/wyySoAIGbwK1Z8+zLLKgAgWrAqVn36MswoACBWcClWfvkyzygAIEowKFZ/+DLQJAAg +QTD0VoAuACAn8C9WgfQy0S4AIHuw/laCLAAgd3D9VoMsACBrMPxWhCoAIGbw+1aFKgAgWrD6VoYo +ACBWcPlWhygAIEow+FaIJAAgQTAkVolbqI0qFhBbqIspUp0kUp4tUpr++oAg/gJKcPRADWgAQHZw +L1KbD90MDU02KFKYyIwJqBGomHjTBShWnWAAAcCQ+RYPIuUANmCMH9MPZMNBLFaKKlKAKFKJK1KB +LTLG9FKCKZAEOiD5uxELkAQ6oPrJCA2QBD9g/UoUCgAgXzD7FgkoACBDMPgWCiWQBDkg+BISJAAg +IzBtqQUACIYASWEqEhINaxRbd88tMseJGdMP+BITLZAEP2ANShRtqQUACIYASWEqEhMNaxRbd8Yt +Msj4EhQtkAQ/YA1KFG2pBQAIhgBEYSoSFA1rFFt3vi0yzfkSCi2QBD9g/UoUAgAAQfBtqQUACIYA +SWH9axQCAABR8Ft3tSdSmipSnpob+ncMAgAAIbAE5BYBAgDbcFuzLwTkFioKAVt3qCcWABTjCi8S +C/zjCBAIEFgw/QoBIgAAcrD6FhEuACAn8P8WDCAFEFAwW7DK2WAY4nkqEhH7EgwoACBCsCgWFSuG +gSeGgiaGgwnkFpkdAQIAKIKDBOowwLJbd40I6jApEhUpkoj5Fg4gFQA2YATqMPoSESACEFgwW3eF +COowih0K5BYEigxbd3rYoI4e/xIVIAUQUDD84ucV0AQ54AhELP/yiCAIEFgw9BYAIAEQaDBbsKgo +Mq0pUpomVp4qUpsmVpsW4t36mQwPwBA4MPlWmiFiADYgLFHVwLN8sAvC0J0X8AAOYAIQKDDA4P4W +ByAGECgwhBYqEhAf4tCLGC82mf82miAYAnvwLzacLzadW/pYixeKYQW7CPuqKAIAAFkwW/ng9qC0 +YgAAGrBb+X0sYvbTD2TATCpi/RviwShi/BniwSxi/v9i+CgAIFow9GL1KABASjAoZvf4ZvsgABBo +MPSEDAABEHAw+P8MAgAAWjD0zAgAfgJ78Pxm/i4AQD/wW3cQLCJmZMCWwIAtYuzM1vAAQ2AAEEAw +KWLwJWLx0w+omPti7SQAIEVw+mLzIH4CKXD8YvQkAEA9cPtfDAAAEGgw//w/IAEQcDD1Zu4uAEA/ +8Ft2/NhQihWYoFurC1uyodIw0Q8rIpllu3nz+41gABBoMClSqCxSogmrEQuZDPTAFmgAQHZwLVKn +LFKjDdw5fJMFKVaoYAABwJCZH2P88S8imWX/Yhjhz2P/XgAAAAAAAAD6EhAgABBYMFv6DPP+6WAA +EBgwwKX84n8QBhBYMFuwQB3hxGP7IgAA+a0RAAYQWDD84mcQABBQMFuwORzid/QSDyABEFAw9FaK +IAYQWDBbsDTHJNEPAAAAbBAGW3c2FuJe0w8mYX8GpjdbdyAc4mwd4dQb4msY4bcU4kT34moQARBw +MPiBfiAAECgw+uGyHgEAVbD5/xEB6AIx8P9GGSAeALYgL6F/0w/TD/ihgCAPALfg+aGBIAcAtiBk +kbJbdwf+4ZAUABBYMP0KPyoAl1bQ+bwAAAEQUDAJnA/9zAsPwBBoMA3MASxGFxvhWylCFxzhtCq2 +8friShAAqi5gLMCAL3B+KHB8K3B9KaB8/XB/KAEAQXD7oH0oAQBaMP+INwgBAE1w/6B+IAEQKDD6 +oH8oAQBqMPwdQAgBAF5w/AdAAAIQWDD/mTcAAgJCMPdYOQ4CAWQw/DxACAUAavD6mTcAAxBoMP/Y +OQAEEFAw9UDLKAUAYrD3QMoh+gJaMPuoOAACAkpw+kJtJAEATXD1BUcGAQBF8PVEyybgATww90TK +IIAANqCLTCpCDaZfCz4sCi0sL/CA/tsICgAgMfD6oIAgGBAQMALYLALpLKuqqv8C8iyvmamI/OIR +EgAgQLD6IhEABhBYMPIWACAFEFAwW6/M8kYfIAAQEDDRDxvhqfqzD3IAAErw8/7PYAIQUDAAAAD6 +4yZyAABLsPP+vGADEFAwKEKgi0yKTWWPfgvrNvtGDCoDAFOwmk1j/24b4Tz6sxByAABK8PP+j2AE +EFAw0pDRDxvhp/qzDHIAAErw8/54YAUQUDAZ4Rbz/m1gABBQMAApoX16nwUvoYJk8QUqcHwocH0i +cH7/cH8qAQBRcPiwfCoBAEKw8rB9KgEAErD5sH4qAQB6sP/QgCwBAEVw8t03AAICUrDysH8sAQBP +cP8IQAACEEgw/xtACgUAQ7D/KEAOAwF8MPLdNwAEEHAw+5o5AAMQEDD7QMsqBQBAsPlAyioFAHuw +/6z9IAICa3D/6jgKAQBu8PsLRwgBAFZw+QlHDgAgNvD/8IAiACAycCIggAX+Ef6+FA1QBDygDb0U +DegI+ODkEgAgQLD4RgwuACAX8PhGDSAYEBAwAuosAtgsD6oIAvIs+0TLKAAgUjD4IggABhBYMPlE +yiOgBDig8hYAIAUQUDBbr2ryRh8gABAQMNEP0lDRDwAAbBAGGeCb8uGmEgAAOLAokhL2InMgHQA2 +IMAg3WD+YgwgBRBQMPzhnxAwEFgwW69a0Q8mIosrIoX5IoYvEBBAMPhmCA/wEFAw9LAVZgBAUbAr +IooLuTl5YwcmJotgAAMAAMBgZGBj9hYBIJ4ANaDaYPwK8CAAEFgwW6VajREa4OX9JnMhQBBYMFuD +SPoSASIAAHKw23D8PAACAABpMP6mDCIAAHFwW/KE+woBIgAASrD6uTkCAAASsPkWACBkADagwPAJ +vzhl/1LRDyYigCsigSgifvkifSAeAjGw9LCZZgBAUbAImAwIuzYpInsqbQH0kA1h4AJSsHqzBSom +gGP/ZfP/YmAAEDAw/OCTEAAQUDD9CvAgBhBYMFuvH8ck0Q8AihH7fAACAABg8P1MAAIAAHFwW/FF +HeBO/xIAIAEQcDD80hIgABBYMPKsAAABEFAw/6s4AgAASLDy7DgIBQAXsPzWEi9kADbgwIAJqDhl +jqpj/1QAAAAAAPP/bWIAAFpwbBAMGeFJKyAMGOA5KpLdKZLT+IINJlgAOuAb4CX7sMEpkAQ+YKmp +I50B8zyAIA4AfvBgAAIjnQPyCgAgGAA2INEPqbMJMxHz/+1iACAesAAAAAAAG+E10w/7sgYiAABQ +8FthXB3hMfvSBSIAAGKw/NbiIgAAUPBbYVcf4Sv78gQiAABysP724SIAAFDwW2FRHeEmK9L7Ktbg +KNL1+73QL/AQYDD83AAKAEBm8Pq8AAAZADYgLdL6LML2Ddw5fLMIHeEaK9b7YAABwKDyrAABUwA2 +oP7gQBGZADSgH+EU8vY9IgAAUPBbfKbyrAACAABQ8Ft8pgKoDPqMASABAQIgG+CoGOELmxzwCwcA +DBBIMNMPbZoCAEhhFuEJF+AREuEGFOC7Ht/mGOEBHOEC/BYPIIAQeDCfGxzhAiqFIyqFOyqFU/qF +ayADEFgwK4Q3K4RnK4SXK4TH+4TEIAIQeDD/hJQv/xBIMCmENimEZimElvmExiABEGgw/YRkLeAB +VDD8Fg0sCQB3cP0WDiGgAmMw/BYKIAAQSDAphDQuQjqIH/UKACICPEOgKIKXL0I5+P0BDgI1R9CK +HPAKBwIAAEtwAElhAElhjB0e4Nka4N6IHpLQ/zIAIBAQSDCZ05bUJ9UM+NYFIDIQWDD4/xEAAhBA +MPrWAi4JAEfw/9YBIAUQUDD+4SMgABBoMFuug8Cy+0Y5IAAQUDBlX4HyrAAAbAA2oNEPGeDBKpLw +K5Lxx8D5ku0gHgJSsPSxz2oAQGKwHOC6LMLuDJwMDLs2HeC3LdLryN0srTB8swge4LQs5vBgAAHA +oPP+bWIAABKwAAAAAAAA+goAIAYQWDD94LQSAABjsFuuZWP+VAAAGOCoiIbBIAgiNvo8AAIAAFiw +W2DOGd+U+pYLIAgAtqDHJNEPFOCoKkITi00CLRH9qgwP8BBgMPSwFWoAQGKwLEISi04Myzl7owUq +RhNgAAHAoPWsAAE2ADagZKFlHd+C+fr0IAAQEDAFkjj11gwgJAA0oNEPwKP84JQQMhBYMP7flhAB +EGgwW65C8/3pYAEQUDAAG+COEuCOF+CQFuCOlhn24I0QEAIp8CpiLicmf5sYKKECJyaAJSaB9SaC +IMoANiBaST4f3/kv8nQuYioK/wgJ/xH8EgguACB7sCvgB/zAgCD8EGgwDbsBCwtH+uB8GgkAZvAr +5AcqFgQp4gAtCgH64gcgARBgMPscECmABD5g/iaDKAkAbnD5FgUgQAJSsFpQ/IgZixgiLDD1XDAg +YAI58Pu8AS//uUHQ2jBbe/b7rAACAABQ8FtggPpGgyIAAFDwW3vx+woyIgAAarD84GEQBRBQMFuu +CipCg/n69CAAEBAwCpI4ZCBq0Q8AAAAA8/49YgAAWnDHJNEPikiLSYlF+qwPL/AQYDD0sDpqAEBi +sIxGDJwMDLs2jkPI6arefrMFnkhgAAIAwKD1rAAOngC2oMCg/N9hEAYQWDBbrfBj/okAAAAAAPP/ +zmIAAFpwAAAAAAAV347TDyJS2/VS3CIAAFDwW2BS+kbDIgAAUPBbYEoqRsT5QsMgABBAMChGxylG +xQmrDAKZDAtrFCtGgQlpFPlGxiIAAFDwW2BI+kaAIAoAtqDHJNEPAAAc4Cv+QsQiAAB4sP1CwyAF +EFAw9RYAIGAQQDD4FgEgMhBYMFutzBzgIi1Cxv5CxSAFEFAw/0KBIDIQWDBbrcXAIPrfYxFAEFgw +W4HHKkb8+t9gEUEQWDBbgcTcoB3fTfrfXBFBEFgw+eATEQAQcDD51ggsCQBzMFuBwPgKPywAEHAw +/AoGIAAQWDAa3ugrZr8rZr4rZsArZsUrZsQrZsorZswrZtErZtArZtIrZtYrZtgrZuMrZuIrZugr +ZuouZt0uZt/+ZuUgARBIMClmzixm0yxm6Shm3Phm3i//EHgw/2bBIAMQaDAtZsj/ZscgABBoMP1m +5iAbEGgw/WbUIBAQeDAvZuAY3o2ZrRzf7Cxm1/hmxiAaEEgwKWbCH9+C/t/mEB4QUDAqZrz+Zs0g +ERBQMCpm2i9my//e1RP/EEgw+WbkIA8QQDAoZusv8sIb39wrZtnRDwAAiBv4jP8gARBIMPgWCyAC +Aiow9ZU5Af3yGiD6CgUgMhBYMPwSCiAAEGgwW6108/vJYBAQUDBsEAQV3qcoUhXyCgAgCwA2INEP +AAAAAAD63wsRQRBYMFuBbhTfxSlBIPMKECAAEBAw+5cTcgAAWrAd3vIa38Ac37os1ggipmn63v8R +ABBgMPy8AgFBEFgwW4FkI0bkHN+4Hd9NH95TG9+xIkbqGN+xGd+wKUbb+EbdLAAQUDAqRuEqRuMq +Run7RtEv/xAQMCJGxfJGyyA/EHAwLkbgLkbiL0bK/UbPIBEQeDD/Rt4gDxBoMP1G7yAAEHAwLkbD +LkbCLkbELkbJLkbILkbOLkbQLkbVLkbULkbWLkbaLkbcLkbnLkbmLkbs/kbuIAYQEDDyRtcgLhBY +MPJG7SAeEFAw+kbAIBsQUDD6RtggGhAQMPJGxiABEBAw8kbSIAMQcDD+Rswj/xBwMP5G6CAEEFAw +W60l8lYVIAAQEDDRDwAAAGwQBPTexRH8EFAwCgw/E999KULfCVkUKTYcKELhCGgUKDYdJELjBFQU +JDYeEt5lIiLeAgJA8jSQIAAQEDDRDwBsEAT033EQABAQMCJGRSJGRCJGQyJGQiNCSyNFfCNFfSNF +fiNFfwMzFCNFgCNFgSNFgiNFg9EPAGwQBhvd/RnfYxTfZPXfYxAAEDAw+RYAIBAQaDD3TQMgEAIR +MPNcAAIAAFCw2DD831wSAABJMA8CANMP0w9t2iD8hlEgYAJCMCuFlCmGQfmGQiBgAkpwKoZD+oZE +IGACUrAc31H7XQQgABBQMCq2DfvfTRAkAlGwW19wG93fjBAiLQMlXQPzPQMgAgIxsPd9AyAQEGgw +9E0DIEACOfD0TCAgQAIY8PVcICBAAhCw/wIAAgAAULD/AgAP/7nhUBjem/LfNhAAEBgw9d85EyAQ +IDD2jeYgQAI6MCuChS5gwSpygvliMSoAIFzw/N8xG5AEPuD/5xN6ACBasC0tBAycKCzWEmAAEAAA +AP4tBCB9EGgwDZ0oLeYS21BbqCmOEPjegxIAICCw/imvcAICGPDAINEPAAAAbBAEFN8fKkJ58t4C +EAAQSDD4SgAqfAFQMG2KCgybEPsm+yACAkpwZKBRaaFOI0J6KkJhW5GtW6q8+t4REgAAKrBbqrn3 +NzlwIBBoMBzfDhvfDgN+QNMP/ss5ABQAZPAf3hcPuwJ6NwUY3wkIuwJ7NxIZ3nrwAAxqCQBO8MAg +0Q8AG98D/DcMcAgQYDAe3hjTDw67Av03IXAEEEgwH92c0w/TD/+7AgAkAHjwKEB90w8PAgB/jwIN +uwJ/NwIJuwIMuwIrJvwFpgwEYxAjJv0a3vEPAgAKOgIqJv4jJv8pLQQpkgAb3u763hwfPxBoMPze +6hgAQG5w/S0EKAkAXnD51gAgOBBYMFuAfhreE/xsEQlABDmg+G4RDIAEPaD8aRAPAAQ9oPn/AgwJ +AGzw+O4CDAkAf3D8bAIMCQB3cP3MAgA5EFgwW4BuKkJdGN2N/FYQADAQGDD1CgAgIQA2oAhmAtxg ++t39EgAAWPBbgGUpQl2xVflT6nACAhjwwDD6PAAAABBYMPwKACAAEGgwW170sTNpPucjCgDaMFte +4rEzaTv1KiLAG96/C6oC+ibAIAAQEDDRD2wQBMAg0Q8AbBAIFt65Et65Gd1r+GIhIAAQIDD73rcQ +ARBQMP4KACAAEHgw9ZwAA7oANiCbFJ4WKhYFHt6w/xYAIAAQQDCYF/4WASFYAnuw/xYCIKgCc7Au +FgMX3foncoQjYuCnRwl3EQczCCcyBydyDitiH/p8UCAAEGAwW6Me+jYZIAAQYDD7Yh8g4AJR8Fuj +Gfo2GiAAEGgwLXYlLXYkLGLa+10BIBEQcDD+NAQsACBhMPw2ACEAAlrwK7CMwPX6CgEgABBoMPsI +Rg//EDgw+wlEDAAJoiD9NCQgHxBAMCg0IWAAEgDA0Po0JCAFEHgw+TQhL/8QODAkNCIsUNwtNRwn +NEstNCAtNR0tNR4tNSAtNEUtNCotNCstNEn9Nhsl7hBwMC41GS00NC80KS00LC00NS00Q/01JiIA +AFEw/TRTIAEQQDD4NC0sgAFgMPw0IyACEFgwW3DAhxD7CgEiAABKsPk0TyIAAFEwW3C7KjROLjAj +jRf8ME8gEBBYMPs0UCABEEgw+TRRLAkAbrD9FgcmCQA/MPcWACwBEhOgH95YD+8Kj/CJFQrwAPre +OxAQEEgwKTUg+jYbIMAQQDD4NR4gwBBQMFtwmisxHgq7Ais1HmAATiwigC36z/0KECwAQGsw/cwC +AgAAUTD8JoAgABBYMFtv/mAB1wBkQND43icQCBB4MP81ICDAEHAwLjUe+DYbIMAQUDBbcIYpMR4K +mQIpNR7aMFtv6woKTSo1HFtwgCsxHAq6Aio1HPo1HSvgAVAw+jUfIgAAUPBbb9z6FgYgAR0uoCow +I1tvyCswIy0wTy4wTi8xHPysAAIAAFEwW4oC+hYGIAEKrqArMCMsME79ME8iAABRMFuElfo8AAAB +EFgwW2+G+hYGIAD4rqDaMFtujikxHP8CAAIAee5Q/wIAAgB98lD/AgAAAO56UPpMAAACEFgwW2/J +YAEDAPoKByABEFgwW3AvY/8gLl0BLuyALuCUiBP45xtgCBB4MPoKAiAYEFgw/N4CEgAAaTBbq4lg +AMsAKDYbLzUgY/8UAADInVtv0PoWBiAAuS6gwKCaFS5dAS7sgC7glGjmL/8SAig4ADug+goCIBgQ +WDD83fISAABpMFurd2AAhAAA/zYbIAIQQDAoNSBj/scAAPkSASACEFAwKjUgKTYbY/60AACNFP02 +GyAIEGAw/DUgIMAQWDD7NR4gwBBQMFtwKS4xHgruAi41HmP+iQAAAAD6TAAAEBBYMFtvkWAAJAAA ++kwAAAgQWDBbb41gABQAAPoKAiAYEFgw/N3REgAAaTBbq1UvYiHyLUAgAgIhMPVcASv+PP0QYAAU +AMCg+hYHIAAQSDD5FgAgABBAMJgWgxCFF/oKBSAWEFgw/N3BH/8QQDD4VQMCEQBE8PMDRwTgASww +/VwAAgAAcPBbqz8X3O723bkQABAgMABABAUIG3+HGPrdhRIAAFkwW4iRB6oIKaKA0w8GmQEppoCx +RGlI2MBAAEAEAwobf6cV+t2rEgAAWTBbiIenrCvCgAa7ASvGgLFEaUjbHNxOLMDBe88DghbRD1tt +7IIW0Q/GKtEPAAAAbBAUFd2eHd2eGd2eKlIYK1IWLJLULtF+KFIiL9F8nxKYE54RLBYXmxQqFhYr +kisqkiot0YAsUh4sFhSdEPmSLCAAEDgw/VIcIAAQEDD9FhUqACBasPtSICgAIFZw+xYTI+8ANmAp +FgX43M8fwBAwMP7dhhAAEGgw/RYSL/8QEDD+FhggABBYMB/dfyiCgCTy7qh4+RIXKZAEOiD/8hIk +ACBBMINHHt13+hIEIAAQaDDzMg4gKAA34B3cXSxBMJwzmTIv4hGpyfkWFyB+Akqw9pkBCgAJf1Cf +NGAADi1FMGP/1QAAAC9CGi82BCk2BShQnA8CAPgWHCBrADYgK1IXKkBsmh6bH1us8v0SHCAAEGAw +W6tnAqoB/QoBIAAQYDD83DkKBQBTcPa+AQoJAGKw+woAICkANqAsEhgtEg/+Eg4gAhBQMP8SHCAA +EFgwW6rO8AAKb+oQWDAAAAAAnjZmsumJNSoSFog2Kqw/BqoB+jYHKAAgSjD/UJ0gfgJCMAaIAZgU +/xYbIGYAN+ArUhkqQG2aHJsdW6zO/RIbIAAQYDBbq0MCrAH+CgEgABBoMP3tOQwFAGOw9r8BDAkA +azD7CgAgJAA3ICwSGI0d/hIMIAIQUDD/EhsgABBYMFuqq/AABm/qEFgwnzhmsl+KNykSFYw4DwIA +KZw/BpkB+TYLLAAgUzD4UJ8gfgJjMAbMASwWFvgWGiBoADYgK1IdKkBvKhYKKxYLW6yq/RIaIAAQ +YDBbqx8CqgH9CgEgABBgMPzcOQoFAFNw9r0BCgkAYrD7CgAgJAA2oCwSGI0b/hIKIAIQUDD/Ehog +ABBYMFuqh/AABm/qEFgwnTxmsc+JOy4SFIg8DwIALuw/Bu4B/jYNKAAgSjD/UKAgfgJCMAaIASgW +Ff8WGSBoADfgK1IfKkBwKhYIKxYJW6yG/RIZIAAQYDBbqvsCrwH5CgEgABBAMPiYOQ4FAH5w9rkB +DgkAR/D7CgAgJAA34CwSGI0Z/hIIIAIQUDD/EhkgABBYMFuqY/AABm/qEFgwmT5msT+OPSoSE40+ +/xICIH4CUrD5MhAqAEAysPo2DywAIHdw/FCgIH4Ca3D6Fh0sAEA3cC0WFP0SACCDADcgLFChK1Ih +KkBxmhabFywWEFusXv0SECAAEGAwW6rT9rgBDABAFrD/CgEgABBwMP7+OQwFAG/w+hIdLAkAd3D7 +CgAgLAA3YCwSGI0X/hIGIAIQUDD/EhAgABBYMFuqOYo/LzIQLxYR8AAKb+oQWDAoFhEoNhCNEI4R +jxKME2AABo4RjBMpFhEmEhIoQTosNhEpQHMvNSwmNhP4NhQmACAyMCYWEvhBMSwAIGJwnBMuNS4o +NS32QTIuACB+MJ8SLTUwJjUv9hIRLgAgcbAoQTOeESg1MSk2EvkSBSwAIG4w9qYID8AQQDD9FgAg +fgIxsPjb3BYAQEGw9hYTIAICOfD2+sAv/iDJ0NKw0Q8A0Q8AAGwQBhnbdfjcABOAEFgw8tv+EAAQ +IDBtmgwkJvv5jQQgCAJCMJuQGtvmKqId9QoAIBcAtqBgANQa2+Iqoh2xVf8CAAoAZNFQFtvCE9vd +JmKAIzLhplYJZhGmMycxByYxEyowDPswDSZsATwwW3CnGtxyKTEHKDESCpkB9pkCAAAQUDD5NQcg +NAA2IPV9EQ2QBDlg8msKDAkAazBtCBgkJvsuMRIvvQT7vAQgAgJSsPz2ACoABHKQY//gAIk+ZJ93 +jDyKO8Cw9J9uagAgYrD1fhENkAQ5YPKtCgwJAHMwbQgkL3r//wIAC/+oV9AkJvsp3QSckIg++qwB +IAICWvD93AQr/5tC0GP/1AAAAAD3CgAhDAA2oBvbypsSYAAXGtupKqIdixL3fAEgAgJa8PsWAioA +dlHQHtuHjBId26Eu4oAt0uH8wN0uACBx8AnuEf7dCAAAECgw/RYBIEEAtyBj/8HbYP5cAAIAAFCw +/AoAIgAAafBb7f6PTvIsASACAhjw+Hr/KgAD+NByi9WKEikSACqg3fqbhnIAACpwihGxU/qgDCIA +AFjwW6bHkxAmoQfyoRMiAAAisCtADfqgDCZsATAwW3BRGtwdKUEHKEESCpkB+SkCAAAQGDD5RQcg +JgA2INog+2wAAAEQYDD9fAACAABxcFvt3itBErEz+zPicAICELCITIlOgkvzCgAvfgA2YPWQEGIA +IECwY/9uANEPAAAAAAAA8/9fZ/8QQDBsEAgb23bAQsBh9Lb7IAgQSDD0CgAiAABC8A8CAG2aCfmN +BCAIAkIwlJAY22z2tvskABBIMG2aCfmNBCAIAkIwlJAESgJb7ooT2pb2oCxiAAASsFvuUPagIWIA +ABKwKjIy+Nq3EfQQWDALqigqhpAZ2+gpho8khotbb9TyFgQgALIsoB3alxTa4vfa0R//ECgwldCV +0ZXSldOV1JXVldYl1gcscoUrQoIMfFL8zAIsfxBoMPnMEQoAQG7wDLsCK0aCGtrVKEKCGdvU/tvS +EIAQeDD6iAEAQBBoMPaUgCwFAEfwLeSAW+3c+hYEIAB7rqAV28sU2tTTDy9SS59BW/Dg+hYEIABv +rqAvUrIS28X62uIf/xBAMAj/CQ9vFC6i2dMPDwIA+v8RDqABcDD9UrQuCQB7sC6m2S2m5SxCeR3b +uSkidgwMQw3MAvxGeSmABD5gK6KQCwtHC5kCKaaQW++r+hYEIAA/rqBb7pL6FgQgADouoBvaXipS +SquqKnarW+5mFdse+AqAIAAQEDD9+vQgAxA4MCxSOv/bohKaAT8gLlI5L/JP/+sBDgAf/5D6CgAg +ARBgMP0KACAAEEgw+RYBIAAQcDD5FgIgARBAMPgWACAAEHgwWkuYJ1Y58hYEICYANKCCFNEPALCI ++4wBIAEQcDD76zkAAgJiMPzSOA+WALbgY//VGNqxG9qw+vr/IFUQSDDTD22aDPmCfyAIAkIwq5kq +loAoMMHTD3+PNPjbfhBOEEgwbZoP+YJ/IBACQjAqgn6rmSqWgCkwwG6SEyxCdsCyC8wCLEZ2KkJ+ +C6oCKkZ+HdtvKtKvxr/7ChAqAEBasAuqAirWr1urUh3aZSzSgvISBCwJADMwLNaC0Q8AAGwQBB3a +wNMP0w8s0h7TDw8CAGTAQfjM/yIAAFsw+MoBDgAPxxBtCA/5rP8iAABasPmqAQ4ABM7QY//pAAAP +uxEe21cvzB8PXxQr5Hz/5H0gABBQMCrkfvjS4SCAEFAwCAA/W6bYCgE/0Q8AAGwQJBTbTBXbTBLa +QhjbTIZWilWLVIxTLVAC/1EAIEACcHAv5QD95AIgYAJIcJyQm5GakpaT+9qpEGACUHD9QnYgQAIw +cPMipCA4Ailw/FACIIACeHD89AIgARBIMPVRACAAEHAw9fUAKgBAXPD7njgCLgEcMP6EfSYAIDDw +9mAAIAgQKDD2hHwgBxBYMG1aDyyhByqs/vxF6SoABGsQsLvHvwDhBADMGixGdygipBrbJNMP9bkR +CABAUjAJiAIoJqRb9Yb7QnUggAJQcPzZthoAIFDwKqAAC7kJLsKd/8KeKaAEPmD5ORQPwBAwMPru +KAB+Akpw//8JCABANnD5RoogPxBAMPjuCw+gBD/gDz8U//w/LgBAM7D+Ro4uAEA38C9GjC0iyXXX +FR3bBioiz/8CAAYGau6Q2aAOnhEuRpIV2n4pUrInUrAuUsIqUsYjUsQvUs8oUswiUsgrUsf/UsMo +ACB6MC1SxfJSwSoAIBbw81KvLgAgH/D6UrEsACBXcP5SrSIAIHCw91KuIgAgPPD5qggOACA7sPlS +sy4AIBuw/lK0KgAgcrAnUrUjUrb+UrgoACB2cPpStygAIFZw/lLLJgAgdfD5dwgCACBU8PpS0SIA +IDzw+VLJIgAgGLD3UtAuACAX8PPa2BwAIH9w/pkICgAgbvD1Us0oACBecPp3CAgAIEow/TKrJgAg +RfD/MngkACA9cPVcPyAAEEgw9lUBAAgQODD1NgEkagA34PXcAARqADdgKDD1/zIUABkAtiAnMYHd +kPx3EAAAEHgw8ACvZgMAPnArMP0tMPguMPkvMPssMPz6MP8oACBvsPoWAigAIH5w+xYBKAAgZnD8 +FgAoACBecPzaUxgAIFZw+RY1IAYQWDD5FgMgBRBQMFuoGyoSNcyoxKDwADVgABB4MMCx+xY0IAgQ +WDBbqiYuMPUtEjX+3QgAABBgMFuomigSNPgIBg4BAEbw+P8BAEAQUDApQCn4MYEgCBBoMA/dDA0n +KPyIEAgJAFZw+UQpJgMARfAPKCj6CgUvdAE4MPzakBl0AUAw+BYAIAYQWDBbp/sFMhT6LAACAABY +sFpGySsw9CkxgPxAKSAIEHAw+u0MAIAQcDD/AgAIwAQ+YP8CAAAELqrgDSsoCbs21bAOzAIsRCn8 +2nsZdAEQMPoWASAAEHgw+goFL3QBWDD/FgAgBhBYMPgWAiAAEHgwW6fg+lwAAgAAWfBb96v2ovNi +AAASsFtu4Pky4yB+Anqw9dnzHgBAN/AvNmr0kAdpwAQ6YCg25ikyeBvY+CpRf/4yJCfzADZgiDEs +QowrsrYpMiotMuYMuwn+mQgIACBaMP3yCAgAIEow+qcKcgAgQLAOIgwNIgz6CgUgBhBYMPzaUxIA +AGiwW6e++hxEIgAAWLBb9f/2omxiAAASsBXZ1xzZ9x3aSxrY8CkSEScyeB/Z0Prc/CgAIFZw+RYR +J4cANeAo8X//AgAAAMFqEC9CkP6cAAAZADfgr57/CAYB/gJzsAjuAf5Gjy4AIHuwjzEnQpIoQo4t +Qor5MPYgfgJzsPtCjC4AQDOw/kaJLAAgd3D+MmooACAucCmQgP1GiyoAIG7wK0aN/TIYKAAgWjD9 +Fj8mACBF8PhGkSYAIH3wJzZpDZko+RY3LgAgO7CeMP/uCAAGJapgref9DwYB/gJp8Pk2JiwAQH9w +/TYlIgAAOnAvMPf0MhcuACAv8C/wgAT5KK1++RYSIAYiKmD5NiguACAjsPQIBgH+AnOw/xYlLgBA +Q7D+NiciAABqcCow9gTZLCgyGP8SJSQAIC6wJVCACHgs+TYZJAAgSjD4NhokACApMP6cAAQAIHdw +9AoYLgAgJ/AEiiwEmyz/uwgCAABqMPuqCAB+AilwBPQs+kQIBABANXD6RBEABhBYMPQWACAFEFAw +W6dbKzLmJTYp9DYqLAAgLTD6MiQqACBu8Pz6gCD+Alrw/TblKgBAZvD7NiMqACBasFtt1dEPAAD9 +MqsgBH4p4PncAAAEeitgKDD1KRY2Bz0U/RY9IV0AtiAoMYH7CgAgABB4MPyIEAAAEFAw8AHzagMA +QrCnWfcMBgH+AkpwCckBf5ttKjJCf69nGNkTLTD3Bf4M+NlVHgMAQ7Co3S3QgA7+DPsyKC4AIHHw +990oAf4Cc7D1FhEuAEBzMP42JyoFROrQrn6w7v02KC4AQHMwLjYnKEApwKT7CgYgIBBIMPzZuBgJ +AEow+EQpIgAAa/BbpyDRDwAAAAD13AAHZwA3YAX7Nws7FPsWMSIAAFLwWkXpKzD9LTD4LjD5LzD7 +/DD8IgAAErD5MP8oACBrsPkWAigAIHow+xYBKAAgYjD8FgAoACBaMPzZPBgAIEow+BYsIAUQUDD4 +FgMgBhBYMFunBCkw9SUw9AJ7DP28AAAE/6pgKhIs+RYpIgAAcvD8CgAsACBNcPwWKywAIG6w/RYq +JfAAtqD/nAAAARBIMPkWNCAAEEAw+BYtL/8QSDApFi5gBgUrMP0tMPguMPkvMPssMPz6MP8oACBv +sPoWAigAIH5w+xYBKAAgZnD8FgAoACBecPzZFRgAIFZw+RYyIAYQWDD5FgMgBRBQMFum3SoSMsyp +LRI98AA1YAAQeDDAsfsWNCAIEFgwW6joLjD1LRIy/t0IAAAQYDBbp1woEjT4CAYOAQBG8P0SPS4A +QEfwLkAp/DGBIAgQWDAPuwz72igAQBBAMPzMEA4JAEOw/kQpKgMAYrAc2VMqFhn/2CgPdAFQMP28 +AAAGEFgw+BY+KXQBQDD4FgAgBRBQMFumuSkSGdMP/hI+JeMANmAa2JApNij6NicoACBWcC0SNv/Y +zBAKADegKTYrLjYsK/J5KvJ6C6oMKhY8DTsUKxYzWkV6LjD0KTGA/BI8IAgQaDAK3Qz05ZxowAQ+ +YC4SM9MPDe4oCe42L0ApKAqACP8CL0QpwPD6FgEgABBIMP4WGCt0AWQw/NkpH3QBcDD7FgIgBRBQ +MPkWACAGEFgwW6aSKRIYyJwc2Gv5NiYsACBh8Cw2JS0yJWTQ4S0yJ2TQ2y0WHRzZHv4yKCAFEFAw +/hYvIAYQWDBbpoQnMhcrQoosMPcoMiIqQo7/QpIsACArMCzAgC4SL/r5CAgAIFow98woCAAgSjAI +7gz8FhIqApNjkC4SHafu9wgGAf4Cc7D8NiguAEBDsP42JyIAAGswHNkE/TIlKAAgc3AuMiYuFjst +FjAoRon7CgYoACBaMPhGjSgAIFIw+EaRLgAgfjD/NiEgBRBQMFumYC8w9vcyGC4AIC/wL/CALhI7 +B/8o/wIACgJx+5AoEjCniPcJBgH+AkIw/zYmKABASjAoNiUqMivTD8ikKzIsW/P5L0KQ9RIRIBkA +N+CvVf8IBgH+AilwCFUB9UaPJAAgfXAoQoklXD/0hDRkAEA1cChCjSlCjCVGi/SEM2QAIC5wL0KS +yPQqQpFkpDOIMSsyJSwyavU2ACQAIC4wJTZp9LCFZAAgLzApMidkkHovMPca2FInMhgpMhcuMigt +MiYoMPYJ7iwH3SyqiPiAgC4AIFfwL/CArteod/cKGC4AID/wB9gsB+ks/NhlGAAgfnD5iAgABhBY +MAf3LP42GSYAIEXw/TYaJ6AEPeD3FgAgBRBQMFumGyVcP/c2KiQAQDVw9TYpJAAgPXApMuYoMiTT +D/U25SgAIC5w9fqAIP4CSnAFlQH1NiMkACAuMNpQW2ySLzInZPurJzIX/wIAC/2Tq9AY2CUkMPeo +RCRAgCoyKAdNKCUWEfU2JyoB0eqQ/TYoKAAgPXD3CgYB/gJKcP8WIigAQFZwKTYnGNgYJTD2B94s +LzIYLTIm/Ng1FAAgRXAlUIAP3Sz+3wgABRBQMPX/CAAGEFgw9AoYLgAgfTAE2CwE6Sz+NhkoACB+ +cPUyKSgAIEowBPQs/TYaJAAgQTD1XD8loAQ5IPQWACQAQDVwW6XgJTYpJDYqLRIi/jInIAQQUDD8 +2HIQBhBYMFul2dEPAMBQ+VU2CAKmA2DbUPP3n2AAEGgwKDKrZYgK8/hJYAAQEDAoMqv5FhQodgC2 +IB7Xp/2cAAIAABJw/NhiEgAAOnD57gwABRBQMP4WFyAGEFgwW6XDL0KQyfOvJ/8IBgH+AjnwCHcB +90aPJgAgffAoMiIqMuYpMmorMgEsQpItQo7/QoogfgI58P5CjCYAQDXwJ0aJ9zIkLgAgP/D/Rosu +ACB7sP5GjSwAIHdw/zD0LAAgazD9RpEqACBm8Pw2ACgAIF5w+zZpKgAgSrD5NuUoACBSMPn6gCD+ +AkIw+jYhKABASjAoNiP18ApmACBF8Cgw9WSGzicWFSsw/y0w+C4w+S8w+ygw/Pww/SoAIGuw+BYA +KgAgerD7FgIqACBCsPwWASoAIGKw/NfAGgAgWrD6FhYgBhBYMPoWAyAFEFAwW6WIIjD2JzIY+zD0 +IgAgKLAiIIArFhr3IigE1QC24P8KACbQADSgIhY3KDD1KBYpYAUN+xYnIAEQSDD5FjQv/xBIMCkW +LluniS0SKiwSK1ul/iwSNPsSLiwBAGLwLhIn/xIpKgBAZvArFi39Ei0iAABbsP0WKCIAAFPwW6d7 +LRIqLBIrW6XxKBIuLxI0LRItD783+P8BDAAgbLD8EigsACBv8A19DCkxgPRTfGjABD5gKhIxCtoo +Cao21aArMnhksyEuQCkoCkAI7gIuRCknEjEoMYGSE5wR/HsoD3QBUDD81+kQBRBQMP93KAl0ATww ++RYEKMAEOiD7S1sGAwBF8PsWAil0ATww+RYAIAYQWDBbpURj9YwX1x4V1x1j9YMpvD8GmQEpJs9j +8yQZ1xlj+ijA4PnuNggBugNg8/plYAAQaDAvQoolRonz+8ZkACAv8AAAKEKOJUaN8/vHZAAgLjAA +ACVGkfP7xWQAIH1wAC42KBrWYS0SEvkSHSIAAGHw+TYnIAgCWrBba5wvQpItMiguMicqQo4rQopj ++swAACoSMCo2JRrXuf42JiIAAGHw+6wEIgAAa/Bba5Bj+xkAGtZOLxYiJTYn+6wEIgAAYfBba4oY +1zIkMPcnMhf9MigkACBBMCRAgGP8UAAs8nn68noqAQBt8As7FCsWJAyqDCoWH1pD2Ssw/Sww/C0w ++CoWJi4w+S8w+yow//oWAigAIG+w+xYBKAAgfnD8FgAoACBmcPzXLhgAIF5w+pkIAAYQWDD5Fjgg +BRBQMPkWAyDAAjswW6T0LxI4LjD0LBImKjD1+hYpIAgQWDAMuwz9vAACYQA2oN2w+AoAKAAgV7D4 +FiEoACB+cPkWICAlALfg/hYaIAEQWDD7FjQgABBQMPoWIy//EFgwKxYuYAA9AAAAKxYb/hYaIAEQ +aDD9FjQv/xBoMP0WLiIAAFPwW6boLRIgLBIhW6VdKBI0LxIuCLg3/RIbLgBAR/AvFiMqEikpEiP5 +FhwiAABbcFum3C0SICwSIVulUi8SNCgSLtMP+RIjLgEAfvD4EiYuAEBH8P4SGigAIEow+PgIAAgQ +aDAI3QwpMYArEhz04aVowAQ+YC4SJA7eKAnuNigyeGSBbylAKcSgCpkCKUQpLhYeKRIkKDGBmxEP +mij7lygCAABh8PsSHyjABDog+BImKgMAQrAqFjn4FgMrdAFQMPoWACt0AVww+xYEK3QBODD6FgIv +dAFwMPoKBSAGEFgwW6SeKRI5ZJEBHtZ3KTYoJxY6/jYnLgAgcnAtEjr5Eh4gDwA3YC02LP42Ky4A +IHNwZJgULjYlKTYmY/gLKDKrZIzjKUApKwqAC5kCKUQpY/zUAAAa1b8vFiL7rAQiAABh8Ftq/C8S +Ii4yJ2P1b9tQ8/JdYAAQaDDA8PP8gWAAEGAwwFD5VTYIATsDYNpQ8/yDYAAQaDAa1xP+NiUiAABj +cPgKACIAAGpw+DYmIAgCWrBbaugc1rEa1aYnMiYtMiVj86UALjYnG9cA/RISIAAQSDD5NigiAABh +MFtq3hzWpiQyFyow9y0yKC4yJ/cyJioAICqwKqCAKhYlY/OmAAAAAAAAAPP3AWAAEGgwHtY3JxY6 +Y/8FLDKrZM6UKEApKQqACYgCKEQpY/6FwKAqFhzz/lVgABB4MMDg+e42CAEDg2Dz/lpgABBoMCoS +GisSFy0SFSwSFC8w9S8WKf3MDAABEEAw+BY0KgAgZvBbplYvEikuEhotEhav7v7dCAAAEGAwW6TI +KBI0+AgGDgEARvAI/wHyFjcqANsT0C0SFfcOBgwAID9w8hI3If4Ca3DyNiYsAEB3cC02JS8w9y4S +KfcyFy4AIC/wL/CALxYl9/8oABUAt6D8CgAhMgA34PAAWGwAIGywAC8WEiwSFPsSFywAIGyw/RYT +IgAAU7D9zAwAARBoMP0WNCoAIGbwW6YuLhIpLRIW/t0IAAAQYDBbpKEuEjQvEhL+DgYMAQBy8A7M +Af0SEyoAbHsQp973CAYB/gJzsP82KC4AQEOw/jYnIgAAa/Ac1kkqMPYH2SwoMhj/EiUkACAusCVQ +gAgoLPk2GSIAIEow+DYaIgAgKLD+nAAEACB3cPIKGC4AIBfwAoosApss/7sIAgAAajD7qggAfgIp +cALyLPoiCAQAQDVw+iIRAAYQWDDyFgAgBRBQMFuj7ywSFCsSF/U2KSoAICiwCswM8jYqKgAgZvBb +8ZHXoB3VwXfbCi5AKcLwD+4CLkQpGNW+8goAK/mEOhDHJNEP2lDz+iNgABBoMAAArS0a1REtNif8 +NigiAABr8PusBCIAAGHwW2pMJzIXKTD3LTIoLjIn8jImKAAgLnApkIApFiVj/w8AAPP8aGAAEGgw +KhIVKjYlGtZk/HwAAgAAaLD/NiYgCAJasFtqOyIyJi0yJSsw9SsWKWP+QAAAAAAAbBAG2iD7HAAA +PRBgMFt58hjWV4kQIoJ/CpI78oZ/IgAAErDRDwAAAGwQBtog+xwAAD0QYDBbeegY1k6JECKCfwqS +O/KGfyIAABKw0Q8AAABsEAof1kiL9Ij28vIHIgAAULCJ9YzzjfKO8Z4RnRIsFgMpFgUiFgcoFgYr +FgT/8gAiAAAZMP8WACA9EFgwW3ss9awAAD4ANqAooAD61jcQgQA2ICYcIPMWCCAAECAw0hCDIAM6 +Alt7MPs8AAIAADqw+lwAAgAAYfBbnhLIp7gidindxirRD6V8K8AAwtz9sQpyAABTMGW/5GAAAbHK ++SIBL+EANqAuoADVoPXvsGQJACJwLwr/f0k0GdYciBgqCoCqmfmICAAAEBAwIoS80Q8ALQqA8xYI +LAAgarD8OwgAABAgMPS0vCAAEBAw0Q8c1g6LGC0KgK3MrLv0tLwgABAQMNEPAGwQBtog+xwAAD0Q +YDBbeZ341gYQAAsuoPISACgAIEEw8oTgIgAAErDRD9Kg0Q8AAGwQBtog+xwAAD0QYDBbeZH51fsQ +AAyuoARICfISACgAIEow8oTeIgAAErDRD9Kg0Q8AAABsEAbaIPscAAA9EGAwW3mE+dXuEAAMrqAE +SAnyEgAoACBKMPKE3SIAABKw0Q/SoNEPAAAAbBAG2iD7HAAAPRBgMFt5d/nV4hAADK6gBEgJ8hIA +KAAgSjDyhOAiAAASsNEP0qDRDwAAAGwQBtog+xwAAD0QYDBbeWr51dYQAAyuoARICfISACgAIEow +8oTdIgAAErDRD9Kg0Q8AAABsEAbaIPscAAA9EGAwW3ld+dXKEAAMrqAESAnyEgAoACBKMPKE4CIA +ABKw0Q/SoNEPAAAAbBAKHtXBi+OI5YnkjOIt4gEtFgEsFgIpFgQoFgX7FgMiAABQsP7iACIAABkw +/hYAID0QWDBbeqD1rAAAjQA2oC+gAGTwhvMWCCAwAjhw8ABTYAAQIDC4InchbYMgDwIADwIA2jBb +eqL7PAACAAAysPpcAAIAAGGwW52EZa/XpWwrwADCnPmxJ3IAAFMwZb/F+SIBIDUANqAroADVoPSw +F2QJACJw8/+yYgAAEHAAAADz/9xgAgJTMB3VlYwYrcz0xOAgABAQMNEPxirRDx/Vj/9PCAAAEHAw +/vTgIAAQEDDRDwAAbBAIJgoAJhYA9hYBIgAAULD2FgIgWxBYMFt6bvOsAAEEADagwLD+HBAgXRBQ +MPgKCyIAACuwbYoco78s8AD6wRxyAABq8PTAL2ACAlrw/OQAIAICc7D9CgsgFgJ48PocECACAhvw +9dkIAgAAWHD2lAAgABBgMFt4/YoQDwIADwIA/wIABABPkqD6PAAAPRBYMFt6UPOsAACMADagHtSF +KOKE/uKKICACYHD0hAgAABBoMPlEEQAsEFAw9OQIAAsQWDBtuhej3yvwAHqxF/Sw8WACAmtw+8QA +IAICYzD9CgsgFgJ48PP8ASAgAlBw+xwELgAgL3D29AAgABBgMFt422agxBLVSgIqAlt6QNyg+ywA +AgAAUPBbnSTMq/AAI2AAEDgwAMYq0Q8S1ULaIFt6N9yg+ywAAgAAUPBbnRtlr+PAcfo8AAAsEFgw +W3oi/QoAL9MANqD4CgsgIAJIcG2KFSugAPSwEWACAlKwK5QA/dwBIAICSnDA2yocEPscCCgAIC9w +9pQAIAAQYDBbeLj+EgIgABsuoIsRjBDw4QQAARBoMADdGvB+EQyABD9gDt0C9MwKCgkAbvD7xhUi +AAASsNEPxqrSoNEP0qDRD2wQDB/VGYv0iPby8gkiAABQsPTyCCIAABkwhfeJ9YzzjfKO8Z4RnRKc +E5kVlRckFggiFgkoFgYrFgQv8gD/FgAgPRBYMFt58PasAABGADagKKAAwJD71NoQrwA2IAmUAvMW +DCBQAhBw0xCFMA8CAA8CAAVaAlt58vtcAAIAADqw+mwAAgAAYfBbnNTIp7gzcjnXxirRD6Z8K8AA +wtz9sQpyAABTMGW/5GAAAbHK+TIBL+EANqAuoADWoPXvqmQJACJwG9S/ihwvCv//QVJ6ACBasBnU +5iytAfzMgCABEFgw9MS8IBoAfTAtkX8L3QItlX9+RyUukX/A9A/uAv6VfyAAEBAw0Q8AACkKgKm4 ++DgIAAAQEDAihLzRD8Ag0Q8AACytAfzMgCAAEFgw+8S8IAAQEDDRDwAAAGwQBNogW3nA/NTMEAEQ +WDD9CgooACAWsP2UACAAEEAw+JQBIAIQUDBbohncIPoKAiABEFgwW6IWwCDRD2wQBtog+xwAAD0Q +YDBbeEcY1LyJECKCfwqSO/KGfyIAABKw0Q8AAABsEAbaIPscAAA9EGAwW3g9GNSziRAign8Kkjvy +hn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt4MxjUqokQIoJ/CpI78oZ/IgAAErDRDwAAAGwQBtog ++xwAAD0QYDBbeCkY1KGJECKCfwqSO/KGfyIAABKw0Q8AAABsEAbaIPscAAA9EGAwW3gfGNSYiRAi +gn8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt4FRjUj4kQIoJ/CpI78oZ/IgAAErDRDwAA +AGwQBtog+xwAAD0QYDBbeAsY1IaJECKCfwqSO/KGfyIAABKw0Q8AAABsEAbaIPscAAA9EGAwW3gB +GNR9iRAign8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt39xjUdIkQIoJ/CpI78oZ/IgAA +ErDRDwAAAGwQBtog+xwAAD0QYDBbd+0Y1GuJECKCfwqSO/KGfyIAABKw0Q8AAABsEAbaIPscAAA9 +EGAwW3fj+NRhEAALLqCJECKCgAkiKPKGfiIAABKw0Q/SoNEPAABsEAbaIPscAAA9EGAwW3fXGNRW +iRAign8Kkjvyhn8iAAASsNEPAAAAbBAG2iD7HAAAPRBgMFt3zRjUTYkQIoJ/CpI78oZ/IgAAErDR +DwAAAGwQBtog+xwAAD0QYDBbd8P2oB9iAAASsPo8AAIAAFkw/QpxIgAAYXD/EgAgARBwMFgdgNEP +AAAAbBAG2iD7HAAAPRBgMFt3tfagH2IAABKw+jwAAgAAWTD9CnAiAABhcP8SACABEHAwWB1y0Q8A +AABsEAbaIPscAAA9EGAwW3en9qAfYgAAErD6PAACAABZMP0KbyIAAGFw/xIAIAEQcDBYHWTRDwAA +AGwQBtog+xwAAD0QYDBbd5n2oB9iAAASsPo8AAIAAFkw/QptIgAAYXD/EgAgARBwMFgdVtEPAAAA +bBAG2iD7HAAAPRBgMFt3i/agH2IAABKw+jwAAgAAWTD9CmwiAABhcP8SACABEHAwWB1I0Q8AAABs +EAbaIPscAAA9EGAwW3d99qAfYgAAErD6PAACAABZMP0KdCIAAGFw/xIAIAIQcDBYHTrRDwAAAGwQ +HBvT8/wKqCIAAFBwW5dB+iwAAD0QWDBbeMbyrAACGgA2oCigACMWLiUWLfQWLCCLADYg9QosIAAQ +SDD5Fi8g/gIgcPAAX2BSAiEwuGb/AgAGAPOlkIdgDwIADwIA2nBbeML7fAACAAAasPosAAIAAGDw +W5ukZa/SojctcAD10TFyAABx8GXfwvliASGsADegKhIvKOAA+poCAgAAE7D6Fi8gHQA2IPP/q2IA +ADBwAAAAAPP/0mACAnHwwLArFi8qEi4sEi37EiwgWBBoMP8SLyAEEHAwWB0DLBIv+tLMEAIQEDAP +AgD/xw1wARBwMC2h0wLdAi2l0y8SL/33DXAIECgwKKHTBYgCKKXTKRIv/JcNcBAQaDArodMNuwIr +pdMsEi/6xw1wIBAYMC+h0wP/Ai+l0ygSL/mHDXBAEDAwKaHUDpkCKaXUKxIv0w/TD3i3DSyh1dMP +DwIADswCLKXVLxIv0w/TD3f3DSih1dMPDwIAAogCKKXVHNOWLxIsKRIvK8J/KBqACJgBCPs5+8Z/ +IB4AWnApodbTDw6ZAiml1isSL9MP0w91twssodYPAgACzAIspdYuEi9+5ggvodYG/wIvpdYoEi90 +hwgpodYNmQIppdYrEi9ztwgsodYDzAIspdYe030f030u4X5/7E0vEi9y9wooodjAlQmIAiil2CsS +Lw8CAHG3Ciyh2MDmDswCLKXYLxIvcPcIKKHYBYgCKKXYKRIvf5YTK6HYDbsC+6XYIAAQEDDRD8Yq +0Q/AINEPAABsEAraIPscAAA9EGAwW3be9qDMYgAAErAb0k4PAgAPAgD7sIAgIAJgcP8KASAEEHAw +/QoIIAIQMDD7B0AAAhBIMPcWCCYAQE7w+RIAIABN/tD/FgQgAF360NrwnxT8rwoAAgJSsPb2ACAI +Anvw/rYBDgAH9tAqrAH+9gAgCAJ78P28AQ4ABm7Q/fYAIAICUrBkoEz/lxRwABB4MIsYiBTA8QuP +OGAAAwAAAAB+lwr4CgIgvgA14Aj/An2XBWRgyA7/AnyXBWTAdw3/Atow+0wAAgAAYXD9Cl0gARBw +MFgccNEPAAAAAAAA/rcMcAAQUDBj/2cAAAAAAP62AQBYAHbw38Dz/29gABBQMAAAAAAAAP62AQCI +AHbw2vDz/1dgKAJ4cAAAAAAAAP28AQFoAHLwLxwQ8/9RYAAQUDAAAAAAAPkKAyAgAlhwCpkuC5kK +iZDz/3duCQB+cP28AQCIAHLw2vDz/yJgKAJ4cAAAAAAAAPsKASAgAkBwCrsuCLsKi7Dz/zVuCQB+ +8P4KAiAgAkBwCu4uCO4KjuDz/yZuCQB/sPP+72IAAFPwbBAG2iD7HAAAPRBgMFt2dPagH2IAABKw ++jwAAgAAWTD9CmgiAABhcP8SACAEEHAwWBwx0Q8AAABsEAbaIPscAAA9EGAwW3Zm9qAfYgAAErD6 +PAACAABZMP0KZiIAAGFw/xIAIAIQcDBYHCPRDwAAAGwQBtog+xwAAD0QYDBbdlj2oB9iAAASsPo8 +AAIAAFkw/QpkIgAAYXD/EgAgAhBwMFgcFdEPAAAAbBAG2iD7HAAAPRBgMFt2SvagH2IAABKw+jwA +AgAAWTD9CmIiAABhcP8SACACEHAwWBwH0Q8AAABsEATAINEPAGwQBtog+xwAAD0QYDBbdjr2oDdi +AAASsPo8AAIAAFkw/QpgIgAAYXD/EgAgAhBwMFgb94gQGtIV+wpAIA8ANiApoX8LmQIppX/RD9EP +AAAAbBAG2iD7HAAAPRBgMFt2JvagH2IAABKw+jwAAgAAWTD8XAAAXBBoMP8SACABEHAwWBvj0Q8A +AABsEAbaIPscAAA9EGAwW3YY9qAfYgAAErD6PAACAABZMP0KeyIAAGFw/xIAIAEQcDBYG9XRDwAA +AGwQBtog+xwAAD0QYDBbdgr2oB9iAAASsPo8AAIAAFkw/FwAAFQQaDD/EgAgAhBwMFgbx9EPAAAA +bBAG2iD7HAAAPRBgMFt1/PagH2IAABKw+jwAAgAAWTD8XAAAUBBoMP8SACABEHAwWBu50Q8AAABs +EAbaIPscAAA9EGAwW3Xu9qAfYgAAErD6PAACAABZMPxcAABAEGgw/xIAIAQQcDBYG6vRDwAAAGwQ +Btog+xwAAD0QYDBbdeD2oB9iAAASsPo8AAIAAFkw/FwAADgQaDD/EgAgBBBwMFgbndEPAAAAbBAG +2iD7HAAAPRBgMFt10vagH2IAABKw+jwAAgAAWTD8XAAALBBoMP8SACAEEHAwWBuP0Q8AAABsEAba +IPscAAA9EGAwW3XE9qAfYgAAErD6PAACAABZMPxcAAAkEGgw/xIAIAIQcDBYG4HRDwAAAGwQBtog ++xwAAD0QYDBbdbb2oB9iAAASsPo8AAIAAFkw/FwAACEQaDD/EgAgARBwMFgbc9EPAAAAbBAG2iD7 +HAAAPRBgMFt1qPagH2IAABKw+jwAAgAAWTD8XAAAIBBoMP8SACABEHAwWBtl0Q8AAABsEAbaIPsc +AAA9EGAwW3Wa9qAfYgAAErD6PAACAABZMPxcAABeEGgw/xIAIAEQcDBYG1cZ0cQokH3AoQqIAiiU +fdEPAGwQBmgxA8Yq0Q/aIPscAAA9EGAwW3WH+NIMEAAPrqD5EgAoACBBMCiNASKAPQkiNvKEPSIA +ABKw0Q/SoNEPAABsEAbaIPscAAA9EGAwW3V5GNH/iRAign8Kkjvyhn8iAAASsNEPAAAAbBAI+iwA +AD0QWDBbdsrzrAAA7AA2oP4KLCAAECAw9RwAAgAAaHD8CgAgCxBAMG2KF6PKK6AAfrEX9LC3YAIC +YzD71AAgAgJrcPwKCyAWAlDw86wBICACWHD6HAAIACAvMPSUACAAEGAwW3VY9qCKYAAQSDD6HAAA +CxBYMNMPbboaKzAA+ZwBIgAAYnD0sA9gAgIY8PukACACAlKwLAoL2hD1zAgAKAJYcPTEACAAEGAw +W3VG+NHNEAAhLqAe0DSNFIkVIuJIL+JK85kQDFAEP2D53QICAEBAsPj/AQIJABNw8uZILAkAf3D9 +5koiAAASsNEPAMaq0qDRD9Kg0Q/RD2wQCPosAABbEFgwW3aK8qwAANcANqDzCgAiAAAgcP8KXSIA +AHBw+woAIAsQQDBtihyiui2gAP/RHHIAAGLw9NAvYAICWvD95AAgAgJzsPwKCyAWAlCw8qwBICAC +WHD6HAAIACAnMPOUACAAEGAwW3UX+iwAAD0QWDBbdm75CgAgaQA2oPscAAALEGAw0w9tyhotoAD5 +nAEiAABicPTQD2ACAlKw/bQAIAICWvAsCgvaEPTNCAAoAlhw89QAIAAQYDBbdQLyEgQgABCuoP/R +iBDMEEAwCCIo/hIFLgAgF/D+9N8gABAQMNEPxirRDwAAAGwQCPosAABbEFgwW3ZO8qwAAOgANqDz +CgAiAAAgcP8KXSIAAHBw+woAIAsQQDBtihyiui2gAP/RHHIAAGLw9NAvYAICWvD95AAgAgJzsPwK +CyAWAlCw8qwBICACWHD6HAAIACAnMPOUACAAEGAwW3Tb+iwAAD0QWDBbdjL5CgAgegA2oPscAAAL +EGAw0w9tyhotoAD5nAEiAABicPTQD2ACAlKw/bQAIAICWvAsCgvaEPTNCAAoAlhw89QAIAAQYDBb +dMb+EgUgABkuoPIKACAGADeg0Q+CFP/RShDMEEAwCCIoov/4/QEgBxAQMCKEPfP2UiAAEBAw0Q/G +KtEPAABsEAbaIPscAAA9EGAwW3SzGNE9iRAigIAKkjvyhIAiAAASsNEPAAAAbBAGAioC+xwAAD0Q +YDBbdKlmoHodz5iLEC/QwS3SMvjQTxQAEEgw/w9AAAEQcDD73SgOBQB/sA+YOfjTJnAAEFgw+AoK +IAwQYDAPjDltCA+xzADBBADpGvnTCHACAlrwY//pAB3P4C/SrMCH8s+9GAMAQvAAgQQA7Bry/wEN +AAQ7IA/MAvzWrCIAABKw0Q/SoNEPAGwQBtog+xwAAD0QYDBbdIQY0Q+JECKBfwqSO/KFfyIAABKw +0Q8AAABsEAbaIPscAAA9EGAwW3R69qAYYgAAErAbz2iNECuyMg26KApKFG+hBlt0YdEPAAAe0P7A +pAvuLPzQ/RABEFgwW543Gs8xY//fAAAAbBAGGND40w/TDymBACkVACiAAigUAlt0WQqDQW8zRNog +/Ao9IAgCWHBbdGD8z58QACYuoCvC8Y0RoT7+4AAj6BB4MA/dKA7dLPsLRw2ABD9gDbsC+8bxIgAA +ErDRDwAAAAAAAPoKAiABEFgw/NDfEgAAaPBbnhfHK9EPANKg0Q9sEAwc0NrH350Ui8GIw4nCKRYC +KBYDKxYB/MIAIgAAULD8FgAgWxBYMFt1mvKsAAFSADagKqAALgpgeutkLwp6evNe0xD3CjogIAIo +cIQw2kBbdZ77TAACAAAysPosAAIAAGGwW5iAyqO4M3U53vIKACAAEBgw+QoBIAAQUDDymjgAABBA +MAqYOM2HYADzomwrwAD6zAAGAPK+0GW/ymAB3ADAMPwKACBdEGgw+xwgIAAQIDD+CgsiAAAq8NMP +beoXos4q4AB9oRf0oC9gAgJjMPq0ACACAlrw/AoLIBYCcLD6HCAgAgITsPXPCABgAlhw9PQAIAAQ +YDBbdAz6LAAAPRBYMFt1Y/KsAAB3ADagK6AA/QovIBwANuAKrAJtCA19sWIrwAH0sAhgAgJjMGP/ +6wCIFGSAUMDA+goLIEACSHBtqhUqIAD0oBFgAgIQsCqUAPzMASACAkpwwMuly/wKACBAAlBw9LQA +IGgCWHBbc+8K/lD9CgEgABBgMA7cOGXAqsYq0Q+UFMDA/woLIEACUHBt+heizivgAH2xF/Swm2AC +AmMw+6QAIAICUrD8CgsgFgJwsPocICACAhOw9cgIAGgCWHD0hAAgABBgMFtz1/r2UAAAZC6gwMD6 +CgsgQAJIcG2qFSogAPSgEWACAhCwKpQA/MwBIAICSnDAy6XL/AoAIEACUHD0tAAgIAJYcFtzx/sK +ASAAEGAwBrw49M9haB8BVDDA0Am9OGTfVMkzaDtVweF+MTTAINEPAPP/kG/qEFAwGM80ghyoIigi +gIkU/xINL/8QUDAKmQMJiAEI/wL/JoAgABAQMNEPABrPF4scjBSNHVtzpMAg0Q+xyoMx8/3yYgAA +ErAazxKLHIwUjR1bc53AINEPAAAAAPoKASAAEEgwBqk4ZZ+CY/7UAAAAbBAK+iwAAD0QWDBbdPbz +rAABDwA2oPUKACIAADBw9woAICACEHD0CiwgABBQMPgKCyAgAnBwbYoco6wrwAD0sRxyAABqsPSw +YmACAlKw++QAIAICc7D9CgsgFgJg8PocECBAAlhw8tkIAAICGzD3lAAgABBgMFtzgWagL/oSCCAC +Ailw+mQAIAICMbD5Up5gABBQMBzQCysQAS0QAC3EfPvEfSAAEBAw0Q8AAPk8AAAAEFAw/goLICAC +WHDTD23qHC6QAN2g+qwBIgAAYnD04BRgAgJKcP60ACACAlrw/QoLIBYCYPD6HBAgQAJYcPLfCAAC +Ahsw9/QAIAAQYDBbc19moCD/AgAB/7oFYLFd/M/tEAQQUDD7CgEgAhBwMFudIcYq0Q/SoNEPAAAA +bBAG+iwAAD0QWDBbdKvzrAAA8gA2oPXP4RAAEDAw8hwAAAAQODD0CiwgCxBAMPoKACIAAGBwbYoc +o60r0AD0sRxyAABysPSwlmACAlKw+8QAIAICYzD+CgsgFgJo8PocAAACAhtw8ukIAgAAWXD3lAAg +ABBgMFtzNvegTmAAEHAw2RD9PAAACxBQMNMPbaoUKtAAyab6lAAgAgJzsP3cASACAkpw/goLIBYC +aPDz3AEiAABQcPLtCAIAAFlw99QAIAAQYDBbcyJmoBz1XAQgAgIxsPgKCyX/q5mg0qDRDwDz/5Vv +6hBQMGhk791g/M+sEAIQUDD7CgEgBBBwMFuc3sYq0Q/AINEPbBAG+iwAAD0QWDBbdGnzrAAA+gA2 +oPXPoRAAEDAw8hwAAAAQODDwABZgLBAgMAAA9mwBIAsQQDD1XAQmAGZFkMCg/hwAAAsQSDBtmhyj +rCvAAPSxHHIAAGqw9LCiYAICUrD75AAgAgJzsP0KCyAWAmDw+hwAAgAAWXDy3QgAAgIbMPfUACAA +EGAwW3Lv96+fYAAQaDDZEPw8AAALEHAw0w9t6hQqwADJpvqUACACAmtw/MwBIAICSnD9CgsgFgJg +8PocAAIAAFlw8t8IAAICGzD39AAgABBgMFty22evUMCLeGEj3WD8z24QAhBQMPsKASALEHAwW5ye +xirRDwAAAPP/iW/qEFAw0qDRD8Ag0Q9sEAb6LAAAPRBYMFt0JfOsAADuADag9c5MEAAQMDDyHAAA +ABA4MPQKLCALEEAw+goAIgAAYHBtihyjrSvQAPSxHHIAAHKw9LCWYAICUrD7xAAgAgJjMP4KCyAW +Amjw+hwAAAICG3Dy6QgCAABZcPeUACAAEGAwW3Kw96BOYAAQcDDZEP08AAALEFAw0w9tqhQq0ADJ +pvqUACACAnOw/dwBIAICSnD+CgsgFgJo8PPcASIAAFBw8u0IAgAAWXD31AAgABBgMFtynGagHPVc +BCACAjGw+AoLI/+rnaDSoNEPAPP/lW/qEFAwaGPv3WD8zykQAhBQMPsKASADEHAwW5xYxirRD2wQ +CB/PJIv0iPby8gciAABQsIn1jPON8o7xnhEtFgIsFgMpFgUiFgcoFgYrFgQv8gD/FgAgPRBYMFtz +2PSsAAA2ADagwnzyHAAAQAIocIMgDwIADwIAAzoCW3Pe+zwAAgAAMrD6TAACAABhsFuWwMinuCJ1 +KdfGKtEPpGwrwAD3sQpyAABTMGW/5mAAAbHK+M8CH+MANqCJIcAgCpI58oSAIAAQEDDRD2wQDPos +AAA9EFgwW3O69KwAAQsANqDzCgAiAAAwcPfO9RAAEBAw8AApYCwQKDAAjhzFp/8CAAoAdvKQ/wIA +CgBy9dD+ZQAgAgIY8PZsAioAd4TgwKD7CgsgQAJocG26HKSsK8AA9bEccgAAcrD0sDJgAgJSsPvU +ACACAmtw/goLIBYCYTD7HDAgQAJQcPTMASwAIFew8tQAIAAQYDBbcjtnr4v5TAAAABBQMP4KCyBA +Alhw0w9t6hwtkADeoPqsASIAAGJw9NAUYAICSnD9tAAgAgJa8P4KCyAWAmEw+xwwIEACUHD0zAEu +ACBXsPL0ACAAEGAwW3Il9qBkYA8QQDD/AgAH/5XE0LE9/M67EAQQUDD7CgEgEBBwMFub5sYq0Q8A +AAD6CgQgARBYMPzOtBIAAGjwW5vfxirRDwAAABrN1vgcAAAQEEgwbZoP+YEAIAQCUrD5pa0gBAJC +MMAg0Q/SoNEPbBAG2iD7HAAAPRBgMFtyBvagF2IAABKwiBAbzqAICUf4tH4kEgC+YGiTAdEPxirR +DwAAAGwQBtog+xwAAD0QYDBbcfkYzpeJECKCfwqSO/KGfyIAABKw0Q8AAABsEAgZzpEPAgAPAgCI +kSgWAfmSACIAAFCw+RYAID0QWDBbc0TzrAAA8AA2oMBQ9xwAACACEHD2CgAgLBAgMPAAD2ALEFgw +ALFV+woLIgBbkWD8HBAgABBQMG26HKOtK9AA9LEccgAAcrD0sI9gAgJSsPvEACACAmMw/goLIBYC +aPDz3AEgIAJQcPdbCgwAIBew9tQAIAAQYDBbccz3r6dgABBwMCkcEP08AAALEHgw0w9t+hQq0ADJ +pvqUACACAnOw/dwBIAICSnD+CgsgFgJo8PdbCgACAhtw8ugIACACUHD2hAAgABBgMFtxuPevV2AC +ECgw0qDRDwAAAADz/5xv6hBQMBvOUokRjBAstID5tUYiAAASsNEPxirRD2wQBtog+xwAAD0QYDBb +caj2oBRiAAASsIkQG85CCQhH+bR/IgwAviDRD8Yq0Q8AAGwQBtog+xwAAD0QYDBbcZwYzj2JECKC +fwqSO/KGfyIAABKw0Q8AAABsEAbaIPscAAA9EGAwW3GSGM40iRAign8Kkjvyhn8iAAASsNEPAAAA +bBAIGc4uDwIAiJGYEfmSACIAAFCw+RYAID0QWDBbct7zrAAA8AA2oMBQ9xwAACACEHD2CgAgLBAg +MPAAD2ALEFgwALFV+woLIgBbkWD8HBAgABBQMG26HKOtK9AA9LEccgAAcrD0sI9gAgJSsPvEACAC +AmMw/goLIBYCaPDz3AEgIAJQcPdbCgwAIBew9tQAIAAQYDBbcWb3r6dgABBwMCkcEP08AAALEHgw +0w9t+hQq0ADJpvqUACACAnOw/dwBIAICSnD+CgsgFgJo8PdbCgACAhtw8ugIACACUHD2hAAgABBg +MFtxUvevV2ACECgw0qDRDwAAAADz/5xv6hBQMBvN6YkRjBAstH35tUUiAAASsNEPxirRD2wQCPos +AAA9EFgwW3Kd86wAAVgANqAXzIz2zAMQABAgMPUKLCAAEGAw/BYFIAAQUDDwADtgCxBIMBnL+wQf +FAf/Ci3yrvoKAC//EHAw/pgDAAICITD43QEACxBIMPgSBSwJAG7w/fauJgB/kSBlgPfdEG2aHKOu +K+AA9bEccgAAerD0sLpgAgJSsPvUACACAmtw/woLIBYCcPDaEPPsASAgAlhw8f4IAAAQaDD95AAg +ABBgMFtxGAqiAvcgWmIAAHDwARkC/woAIAsQQDBtihQr4ADJtvuUACACAnvw/uwBIAICSnD/Cgsg +FgJw8LHj+xwQIgAAUHD5CgAsACAP8PnUACAAEGAwW3EE9qBaYgAAErAuCgEuFgUazMmOFCqifw6r +KCw66Ay7LHtjG/nNnxP/hP0Q8/8EawAEPuAAAAAA8/92b+oQEDAfzZn8zZkQARBYMAr/LP1MAAAE +EFAwW5q4G8uxY//EANEP0Q9sEA4bzZH6HAAASBBgMFuQufosAAA9EFgwW3I+8qwAAHwANqAooADT +D2SAb/cKACCQAjBw8AANYgAAGHAAAAAAuDN2MVaEMNpAW3JA+0wAAgAAKrD6LAACAABhcFuVImWv +3aJcKsAAwtz9oTdyAABbMGWvy/kyASAkADbgLrAA0rD1769mCQA+cC8aDH9wDBLNb/cmfyAAEBAw +0Q/GKtEPAAAAAPP/zGACAlswbBAE+iwAAD0QWDBbchfyrAAAGwA2oBPNY9owW3Ih3KD7PAACAABQ +sFuVBMiixirRDxjNXRrNXiKCfxnMlwoiAQkiAvKGfyAAEBAw0Q8AAABsEBYbzVf8CngiAABQcFuQ +evosAAA9EFgwW3H/9KwAAIAANqAooAAPAgAPAgBkgKn5CgAg8AIwcPkWISAsEDgw8AANYgAAEHAA +AAAAuCJ2IU6DINowW3H++zwAAgAAKrD6TAACAABhcFuU4GWv3aRcKsAA96FZcgAAWzBlr835IgEg +HgA24CwSISqwAPycAgIAACLw/BYhL6gAtqBgAD8AxipmIDIazQUtEiAuoX597AYtpX/RDwAA/qF/ +IAEQWDD8zSkQBBBQMFuaQ8Yq0Q8A8/+qYAICWzDRD8DwLxYhIhIhIhYg8/+5YAAQEDAAAABsEBYb +zRv8CngiAABQcFuQPvosAAA9EFgwW3HD9KwAAIkANqAooAAPAgAPAgBkgJf5CgAg8AIwcPkWICAs +EDgw8AANYgAAEHAAAAAAuCJ2IVeDINowW3HC+zwAAgAAKrD6TAACAABhcFuUpGWv3aRcKsAA96FJ +cgAAWzBlr835IgEgJwA24CwSICqwAPycAgIAACLw/BYgL6gAtqAezMz85X4gABAQMNEPEszILyF+ +/yV+L+oQEDDRDwAAAAAAAADz/7pgAgJbMBnMwcCA+JV+IAAQEDDRDwBsEAYtIAD7PAACAABhMPpc +AAA9EHgw/9EpcAAQMDDFO3PRH94gbQgV9NBIYAICMbAt4AH/0QxwAgJzsHPRBGP/4wAAF8zXmxL8 +FgEgABAYMPoWACAgAiHwJXJ/2yD8bAACAABRcFuUb8isuHf0eehwEAIY8MYq0Q/aUFtxg3ap6RrL +ZBjMyKo6eKHoixIorRQogjmMEf0SACIAAFCwC4AA0qDRD2wQBtxA+iAAIgAAaXDyFgMgABA4MP48 +AAA9EHgw/6EucgAAGLDFK3KhJNswDwIA0w9tCBX0oEhgAgI58CqwAf+hDHACAlrwcqEEY//eAAAW +zK2eEvwWASAAEBAw/RYAIKACKbAkYn/bMPx8AAIAAFEwW5RDyKy4ZvVp6HAQAhCwxirRD9pAW3FX +d6npGss4GMyeqip4oeiLEiitFCiCJYwR/RIAIgAAUPALgADSoNEPbBAG3ED6IAAiAABpcP8KPSIA +AHDw8hYDIgAAGLD/oSxwABAQMMVLdKEi2zAPAgDTD20IFfSgjWACAhCwKrAB/6EKcAICWvB0oQJj +/94VzIGdEpwRnhAWzIHwAA9gABA4MAC4ZvVhXXAQAjnwJGJ/2zD8LAACAABRMFuUFWWv4tpAW3Es +cqnaGssNGMx1qnp4oTKLEGmxFIwRLTr/fckMHsuIL+KALuJ/r+6eEYsQKK0TKIIVjBH9EgIiAABQ +8AuAANKg0Q/GKtEPAAAAbBAG3ED6IAAiAABpcP8KPSIAAHDw8hYDIgAAGLD/oShwABAQMMVLdKEe +AzsCbQgV9KBaYAICELAqsAH/oQpwAgJa8HShAmP/4xXMUZ4SnBGdEBbMUfAAD2AAEDgwALhm9WEq +cBACOfAkYn/bMPwsAAIAAFEwW5PjZa/i2kBbcPpyqdoaytsYzEWqenipBMYq0Q8AixIorRIogh2M +Ef0SACIAAFDwC4AA0qDRDwAAAABsEAQaynUZzDosokj6okogzBBoMA0tKPTKyhA0EFgw8so4CAAg +bnD5kN0odwFQMAuIHPPMORQAIEEw9EIAIMoANmD/AgAAAGEGYP8CAAIAXQJg/wIAAgBZBmD/AgAE +AFUCYBPMJArZQciZaJEKaZINYAAHAAADMxQDQxQDUxQDCklbfZEKDF/7zBwSAABQsFo7BhvLCwPa +FNMPC6oCW32KCgxf+8wWEgAAULBaOv8DqkJbfYUKDF/7zBISAABQsFo6+vvKuRvwBDkgW3443KD7 +zA0SAABQsFo69BvMC/osAAAKEGAwWjrwG8wJ+iwAAAoQYDBaOu0bzAb8zAYSAABQsFo66cAg0Q9j +/1kAbBAIF8oyE8wDKHJIJ3JKFMwAFcwB8oc4AAAQMDD6LAACAABZMFo32QroQfopQQIaALogb5IF +b6ICbrIBwGH6LAACAABY8Fo30SRNAvM9AiGaCCkw+k5CDEABUDD6z0IMSAFUMP/dNwwBAHMwDcw3 ++8vrHAAgYbD8zAMiAABQsFo6x/vL5xIAAFCw/AoHIAEQaDBaN937yhoSAABQsPwKByABEGgw+BwQ +IAoQcDD4FgAgARB4MFo3usmgwKL8y9oQCBBYMFuY28cr0Q8A+8vVEgAAULD8CgcgAhBoMFo3yvvK +CBIAAFCw/AoHIAMQaDD5HBAgChBwMPkWACABEHgwWjeoyabAovzLyBAIEFgwW5jJxyvRDwAAAAAA +AAD7y8QQzBB4MA8vKP0KgCAAEHAw/7sIDDsBODD5vQEqPQE4MPqUPiAhADcg+MFLYAEQeDBowkxp +wxYulDwulD1gAA0AAAAAAAD+lD4iAABTsC8K//28CA+gBDqg+8C8LAMAf7D9xL4iAABQsPzAvSzg +AWwwW3170qDRDy+UPC+UPWP/zC6UPC+UPWP/w2wQCPvLoRIAAFCw/AoBIAEQaDBaN5P7y50SAABQ +sPwKASAAEGgw/gpkICACQHD4FgAgFBB4MFo3cMivwKL8y5QQCBBYMFuYkccr0Q/7y48SAABQsPwK +ASAAEGgwWjeA+8uLEgAAULD8CgEgARBoMP4KZCAgAkhw+RYAIBQQeDBaN17JpsCi/MuDEAgQWDBb +mH/HK9EPAAAAAAAAAPzKnhIAAFCw/clsECACWHD7FgAgyBBwMPvLeRAKEHgwWjdOyK/AovzLdhAI +EFgwW5hwxyvRD8Ag0Q8AAGwQWtMg+8tfEgAAULD9CgAiABBgMFo3XBjLbxrLbBnLbBvLaRzLWx/L +bP8WnSCAAmhwLRafLBaZKxaaKRacKhab+BaNIMACUHD6FqAgBRBAMPgWkiAAEEgw+RaYIP4CcHD7 +y18QYgJzsC4WofzLXBBAAnBwLhaeLBaPKxaOKR0B+RaiIKACSnApFpBgAScAAAAIIjXApfzLUxAI +EFgw/UwAAgAAcLBbmEPdQPoKBSAIEFgw/MtNEAwCELD+LAACAAB4sFuYPCQSjfo8AAIAAFkwWjcO +8gVGAAgQWDD6AkcFgAQ9YPzLQhIJACiw+goFIgAAaLBbmC/cIPo8AAIAAFkwWjoGIhKYJRKOJhKP +9xKQIgAAUPD7Ep0gABBgMFo5/yoSoikSoSwSni4Sny8SoC0SnCsSmygSnSRNAiZtAiVdAvUWjiAC +AhCwIhaY9haPICACOfAnFpAkFo0ojQIrvQL93QIgIAJ78P8WoCAIAnOwLhaf/RacIAgCYzAsFp4r +Fpv4Fp0gIAJKcPkWoSAgAlKwKhaiKRKZKhKaKBKSKZ0CKq0C+haaIf4CQjAoFpL5FpkiWAA2IPsS +mSIAAFDwWjbTJBKOJRKPJhKQJxKiLRKeKx0CLhKgLxKhLxao/hanIUACYvD8FqUhYAJa8CsWpvrW +ACAAEBAw+jwAAgAAWXBaNsMqFqT7TAACAABQ8Fo2vy0SpCgSpy4SqA2fRv+GACzBAWww/eYAKAEA +a/D9+TYOyQFQMP52ACrBAVAw+us3DAMAU7D8EqYoAwBmcPsSpSgBAFowKmYAKMYAKbYAHMrkKRYD +KBYELRYA/hYBIAgQWDD6FgIiAABwsP0SmCAFEFAwW5fM9EwIIBACKXD5EqggCAIxsPgSpyAIAjnw +/xKmIAICELD+EqUgCAJKcPkWqCAIAkIw+BanIAgCe/D/FqYgCAJzsP4WpSX/l5ig+xKaIgAAUPBa +No3SoPsSmyIAAFDwWjaK+xKcJsgBEDD2FnAkwAEUMPUWcSTIAVAw9BZyIsABUDDyFnMiAABQ8Fo2 +f/1sAAIAAHFw/0wAAAQQODDyFgAgCBBYMPzKsxLIAVAw8hYBIAUQUDBbl58qEpjzFowgAhBwMPqs +/CIAAEnw+uk4AP8QMDD3CgAgAFcmYCIWi/QdAiD/EDAw8x0CIUACITD0lAoAABA4MPQWqSFAAijw +9DywIYACGPCPQI1QjjDyEossACB/cA0dFP7YDAAFEFAw+CIIAgAAeLD8ypMSwAEQMPIWACAIEFgw +W5d+KBKp9iY2BgEAPLDzPAQgCAIpcPhZtXAIAiEwIxKM+hKfJAAgMfAEFBT0TPov+hBAMPSmACiA +ASQw8pzgK/5MFmDAJfP8kWIBAJJwY//P2jAqFoxYARdmpAQqEowbynkcynlaOT8qEowbymP8CgEg +ARBoMFo2VhvKYPoSjCABEGAw+B0CIAAQaDD+CmQhoAJCMPgWACAUEHgwWjYyZaPDKhKMG8pU/AoB +IAAQaDBaNkcbylL6EowgARBgMPkdAiABEGgw/gpkIaACSnD5FgAgFBB4MFo2JGWjxhvKShzJaR3I +OCoSjCgdAv4KyCGgAkIw+BYAIAoQeDBaNhplo3QayjUZyjUfylH4ykYQABBoMP0WiiAAEGAwLBaE +KBaVLxaj+RaFIEACWHD7FpQg/gJwcPoWhiCAAlhw+xaTIMACUHD6FpcgYgJzsC4WiSkdAR7KNSkW +iP4WliCgAkpwKRaHIxKHJBKIJRKJJhKXJxKWIhKVjGCNUCoSjA8CAP/dEQ1wBDsg/cwCAgAAWLBa +OPWMQI0wKhKM/90RDXAEOyD9zAICAABZ8Fo47v4SoyAIAhjw9EwEIAgCKXD2bAQgEAIQsP4prXAQ +AjnwJRKGIxKEJBKF+hKMIgAAWXBaNd3WoPoSjCIAAFkwWjXa/MoYEgAAQrD+EpQqSAFUMPsWfSgq +ATQw+RaBJiYBNDD3FoIiLgEwMPIWgCZAAVQw9xZ/IgAAebD+4gAiIgEwMPIWgyZMAVAw9hZ8IkQB +UDDyFn4oKgF0MPkWeSouAXAw+hZ4KCIBdDD5FnsqJgFwMPoWeiIAAGjw+BYAIAUQUDBbluMrEn39 +HQIh+AJA8P8KAiAEEFAwCPo4+qFBYAAQcDD/3PAhwAJLcG2qJYuQ0w/0sRZgCAJKcP8CAAAAlwbg +/wIAAgCcBuCx7v/8BCAIAmtwJxJ/KxJ9IhJ+JhJ8HMni+hKML4AEOuD8LREPQAQ9oP19Ag4JAHuw +/t0CAgAAWTBaNbgtEpON0PzJ2BAgAmtw/RaRLCUBbDD6EowvwAQ/YPTYEQ+ABDtg+O4CDAkAf3D+ +3QICAABZcFo1qiYShycSiCISifzJyhAFEFAw/RKRIAgQWDBblq4pEpQqEpMrEpcoEpYvEpUuEqMl +XQIkTQIkFoX1FoYgIAIxsPYWhyAgAjnw9xaIICACELDyFokgAgIY8CMWhC7tAi/9AviNAiAgAlrw ++xaXIAgCUrD6FpMgCAJKcCkWlCgWli8Wlf4WoyX+2pzgIhKK0Q+G0GhjD/8CAAP/cpmgi/DMtmAA +fwCL8MuysLyc8GP+3YjQ/wIAA/9oHiCL8GW/6WAAMYrQZa7Gi/D/s0BgAgJi8JzwY/63AAAAAAAA +APoKAiAIEFgw/MmVEgAAaPBblnnHK9EP+goCIAgQWDD8yZASAABo8FuWdMcr0Q8AAPoKAiAIEFgw +/MmLEgAAaPBblm3HK9EP+goCIAgQWDD8yYYSAABo8FuWaMcr0Q/SoNEPAMCi/MllEAgQWDBblmJg +AAzAovzJZBAIEFgwW5Ze/Ml7EAIQUDD7Cggv+xBoMP0WiiAFEGgwW5ZXIhKK0Q/AovzJVxAIEFgw +W5ZTY//PbBAs+8ljEgAAULBaNSX7yWASAAAasPzJahIAAFCwWjgkG8loHMc7Hcc68xY+IgAAULBa +NTomCgD5HFAiAABQcPXJYhAAEFgwFMlhE8lhKxY9KhY8KRY72iD7TAACAABhsFo4E9og+zwAAgAA +YbBaOBAkTQLzPQIhvggpMNogW/2P06D2Fk8gBByuoCMSOyQSPBbJTxfJTicWSyYWTBfJTRbJTvsS +SyIAAFCwWjT9mkD7EkwiAABQsFo0+fo2ACIAAFnw/AoAIgAAULBaN/naIPtsAAAAEGAwWjf1Jm0C +KRJMKBJLJ30CKZ0C+I0CICACGPD4FksgIAIhMPkWTCFKCCowJhJP+8k1EgAAULBaNOQrEj0mbSAp +EjsqEjwmbCD5nAQgAgJa8PqsBCX/jprgIRZFHMkmG8km/8kqEAAQQDAoFkYvFkf7FkEgoAJQcPoW +RCCAAmhwLRY/LBZDLRY4LBZILhJEKBJFieOK4o3hj4CMgyuCASiCAv7iACbIAXgw9hYwLsABfDD/ +FigkyAFcMPUWMSrAAVwwKxYp/otGDsABcDD+FkkkyAFAMPQWMijAAUAw+BYqLsgBZDD/FjMswAFg +MPwWKyjIAWgwKBYtKxYs/hY0LMABbDD9FjUqyAFMMPsWLyzIAVAw/BYuKsABUDD6FjYowAFMMPkW +NygDJBGgKAp4+goBKgMkNhDA4G9YAbGqKQp4dZsBse5vSAGxqisKeHS7AbHub/gBsaosCnh/ywGx +7sugyu5vaAgtbQEt3IAtFjBvWAguXQEu7IAuFjFvSAgoTQEojIAoFjJv+Agp/QEpnIApFjP2CgAg +ABAoMPMaACAAECAw/2z/IAAQODD+HH8gABBQMP7sQSAEEFgwbbobyGF/oQ6I4NMPCDM2+FUIBAEA +QTD6rAEgCAJzsPNJDAAIEFgwebohsWb/AgAEAvWVoPUKACABEFAw+hY5IAAQIDDwAbdhABAYMCcW +OfkKASIAAEGw9pg5AAQQIDAIRAwEVCz0YCdkwAEgMP4d/yH+Anmw/BJHIYACc7D+bgoABRBQMP7i +fyIAAGkwW5WKLxIoKgoA//gHYAAQcDAqCgEpEigoCnh5iwHA4SsSKW+4AbGqLRIpLAp4fcsBse4v +Eipv+AGxqikSKigKeHmLAbHuKxIrb7gBsaotEissCnh9ywGx7suuy+wuEijTD2/oCC/tAS/8gC8W +KCkSKW+YCCqdASqsgCoWKSwSKm/ICC3NAS3cgC0WKi8SK2/4CCj9ASiMgCgWK/YKACAAECgw8xoA +K4AEOSD6FkAgABAgMP9s/yAAEDgw/hx/IAAQUDD+7CEgBBBYMNMPbboayGF/oQ0o4gAIMzb4VQgE +AQBBMPqsASAIAnOw80kMAAgQWDD/AgAIAGpO0LFm/wIABAI+FaDAkfUKACAAECAw8ABdYQAQGDAA +LRJALBJC2iD7EkMsCQBrMFo3Gi4SRtMP0w//AgAEAgujoCkSTS8SRSgSRCoSQywSQSsSRiqtAizN +AvwWQSACAlrwKxZG+hZDICACQjD4FkQgIAJ78C8WRWWfPy0SOQ8CAGXd3y8SRS4SP/8CAAv+X/uQ +G8hHHMYZ+iwAAAAQaDBaNBn7yEkSAABQsFoz9xvIM/wSPiIAAFCwWjb4G8gvHMeJ+iwAAAAQaDBa +NA/AINEPAAD6CgEiAABJsPapOQAEEEAwCYgMCFgs9xZNKMABQDD4FkIgJwA1oP4d/yAFEFAw/BJH +IUACc7D+bgoB/gJ5sP7ifyIAAGowW5UGLxJG/wIABf9+i+AoEiwqCgD/iAdgABBwMCoKASsSLCkK +eNMPe5sBwOEsEi1vyAGxqi8SLS0KeH/bAbHuKBIub4gBsaorEi4pCngPAgB7mwGx7iwSL2/IAbGq +LxIvLQp4f9sBse7LrsvsKBIs0w9viAgpjQEpnIApFiwrEi1vuAgsvQEszIAsFi0uEi5v6Agv7QEv +/IAvFi4pEi9vmAgqnQEqrIAqFi/2CgAgABAoMPMaACAAECAw8AAkYAAQODD2bAEgARBIMPUKACQB +RhWg9AoAIQAQGDD3CgAuKQA2YP9s/yAAEFAw/hx/IAgQWDD+7DEgBBBgMNMPbcoayGF/oQ0o4gAI +Mzb4VQgEAQBBMPqsASAIAnOw80kMAgAAQbD5spxwBBAYMMCR9xZOKAUAMnAIMwwDUyz0YCdiwAEc +MP4d/yH+Anmw/BJHIWACc7D+bgoABRBQMP7ifyIAAGjwW5StKBJJ/zwAAAAQUDD7EkkgABBwMPkK +eCgMALogwKH8EjUqAAPaUC4KASgSNf0KeCgMALsgsar5EjYqAAPDUC7sASwSNvsKeCgMALpgsar9 +EjcqAAPi0C7sASkSN/gKeCgMALtgsap5iwGx7mSgTyoSSWTgSW+oCyutASu8gCsWSSsWNC0SNdMP +/t0BKBQAu2Au7IAuFjUpEjb6nQEoFAC6YCqsgCoWNiwSNw8CAA8CAP3NASgUALsgLdyALRY3/xY4 +IQAQGDD4Hf8gABAgMPiM0CAAECgw+BZKIAAQMDD4YRRgABA4MCkSSdMPCTM2+VUIBAEASTD6EjUi +HgA5oAozNvpVCAQBAFEwaGMRKxI20w/TDwszNvtVCAQBAFkw+GQUYAgQWDAsEjfTDwwzNvxVCAQB +AGEwLhJKA00Mfbom9mwBIAgCc7D+Fkok9gC9oCMaAPQKACAAECgw8ABHYAEQODAAAAAA+goBIgAA +QbD2qDkABBB4MAj/DA9fLA8PRv8WOiAcADWgLhJKwKX8EkciAABr8P7ifyH+AnmwW5RGLxI6LxZI +ZX81KRJOY/3HAAAAAAAoCnj6CgAr/OAyEPP5umABEHAwHMdj/RJGIAIQUDBblDnHK9EPLRI4LBJI ++N0RAgAAULD7EkEsCQBrMFo2C2P70AAAHMdY/RJGIAIQUDBblC3HK9EPHMdU/RJGIAIQUDBblCjH +K9EPHMdR/RJGIAIQUDBblCTHK9EPAAD8x00QAhBQMP0SPSAIEFgwW5Qd0jDRDwBsEAoTxUHTDygy +SCcyShvHRBbFlwKHOPd3UwA0EEAwCHgcHMVl/cc/FgAgQbD2YgAiAABQsFozAxvHPBzFX/osAAAy +EGgwWjL/G8c4HMVb/cbpEgAAULBaMvv7xzUSAABQsPwKAiACEGgwWjL2G8cS/McxEgAAULBaNdcb +xy/6LAAAABBgMFo11PkyhSPrADSg+atSCl0BSDALqgwqrAUbxyccxyf0rREABhBwMP7dAgIAAFCw +WjLjE8cSFMcQFcch2iD8CgAiAABZMFo1w9og+zwAAAAQYDBaNb8kTQLzPQIhvggpMBvHGPzE2xIA +AFCwWjW5bncY+8cVEgAAUbBbePfwABdiAAAasAAAAAAAAPvHEBIAAFGwW3jw06D7xw0SAABQsFoy +qNWg+8cLEgAAULBaMqXUoPvHCRIAAFCwWjKiG8cH/MTJEBkQaDAF3Qz9PTcOACBRMP7sBiAcAmtw +/+4RDYAEP2D+3QICAABQsFoytPvG+RIAAFCwWjKTwLJbeNbToPvG9xIAAFCwWjKOwLJbeNL+xS4c +ACBU8PvG8hAEAmtw/MbxHdAEP2D+3QICAABQsFoyo/vG6RIAAFCwWjKB1KD7xuoSAABQsFoyftOg ++8boEgAAULBaMnv6PggAEAJpMP7ElBwBAHdwG8bi/MbjHaAEP2D+3QICAABQsFoykBvG3/zG3xIA +AFCwWjVyG8bd/MbcEgAAULBaNW77xs0SAABQsFoyaCsKAlt4q1t4kluRotWg+8bVEgAAULBaMmHA +slt4pVt4jFuRnNSg+8bQEgAAULBaMlvAslt4n1t4hluRltOg+8bLEgAAULBaMlXAslt4mVt4gFuR +kPvGxh3ABDjg+E4RDUAEPWD+3QIMCQBisP3MAgIAAFCwWjVO+8a+EgAAULBaMkcrCgJbeItbeHJb +kYHVoPvGuRIAAFCwWjJBwLJbeIVbeGxbkXvUoPsKAiAZEFAwW3iAW3hnW5F206D7CgIgKBBQMFt4 +e1t4YluRcvhNEQ1ABDlg/cwCDcAEPOD7xqccCQBrMPosAAwJAGKwWjUw+8aPEgAAULBaMinToPvG +kxIAAFCwWjImqjr6rP4gAhBYMFt4aFt4T1uRXtOg+8aYEgAAULBaMh7Aslt4Ylt4SVuRWBvGlPQ9 +EQ2ABDqg/cwCAgAAULBaNRr7xo8SAABQsPwKYCBgEGgwWjIwG8aLHMSy/cSxEgAAULBaMiwUxj4T +xocVxj4WxofaIPzFExIAAFlwWjUK2iD7TAAAABBgMFo1B9og/MSVEgAAWPBaNQQjPQIlXQL0TQIh +nggxcNogW/zTZqJ8+8YjEgAAULD8CgEgARBoMFoyFfvGHxIAAFCw/AoBIAAQaDD+HBAgFBB4MP4W +ACBkEHAwWjHyyK/AovzGaRAIEFgwW5MTxyvRD/vGERIAAFCw/AoBIAAQaDBaMgL7xg0SAABQsPwK +ASABEGgw/gpkICACeHD/FgAgFBB4MFox4MquwKL8xlgQCBBYMFuTAccr0Q8AAAAAAAAA+UtSClcB +SDALqgzz/BhgCgJSsAAAAAAA+8X7EgAAULD9w+gQIAJgcPwWACDIEHAw/MUUEAoQeDBaMcrJocCi +/MZEEAgQWDBbkuzHK9EPAAAXxkIexA/7xj8QGBBoMP0WCSAVEGAw/BYKIAAQIDD7FgsgABAYMP4u +CgAIEDAw/hYHIAUQcDD+FgggBxAoMIsXjBkrsogAwAT7CxkP/xBgMPzGLxoRAGbwCwtC+xYFKgAg +XPD8uwoCAABQsFoxpdyg+xILIgAAULBaNKX6CgUgCBBYMPzGIxIAAGkw/xIFIgAAcbBbksWLF4wa +K7KIAMAE+wsZD/8QYDD8xhsaEQBm8AsLQvsWBioAIFzw/LsKAgAAULBaMY/coPt8AAIAAFCwWjSP ++goFIAgQWDD8xg8SAABpMP8SBiIAAHFwW5KvJ30CjRmMGPgSCyACAiEw/hIKIfwCKXDzPQEh/AIx +sPiNAiEAAhjw+BYLIfQCc7D+Fgoh/gJjMPwWCCH0Amtw/RYJLw0AtyAbxa76LAAACBBgMFo0c/vF +9hIAAFCwWjFtyKz7xfQSAABQsFoxaWWt/fvF8RIAAFCwWjFm+8XvEgAAULBaMWP7xbISAABQsFox +YPvF6xIAAFCwWjFeAioCW/oZ0qDRD9Kg0Q9sEAoXw6kPAgApckgockoUxAACmDj4eFMANBBIMAmI +HKhEJEIA+8OMEgAAUTBbd5P8xdoQBRAwMPpmNwAKEEAwCGY2CGMQ+8OaHAkAYPD8FgQiAABQsFo0 +SBvDlRzDlvosAAAAEGgw+BwQIAoQcDD4FgAgARB4MFoxQfzFyBJCALag+8WdEgAAULBaMTf9w4oR +9gIqsAlcEQw8AvvDhRwJAGsw/BYEIgAAULBaNDMbw4Acw4D6LAAAABBoMPgcECAKEHAw+BYAIAEQ +eDBaMStlog0cw3r7w3YcCQBg8PwWBCIAAFCwWjQkG8NyHMNy+iwAAAAQaDD4HBAgChBwMPgWACAB +EHgwWjEdZaH0ZCGYK3JJKnJKHMMwHcWi+RwgKD4BWDAJiAqF047Rj9Kfkp6R9ZYDKgBAZrD90gAg +ABBQMP2WACAAECgw+IIAIAEQSDD7mjgAAhBIMAqVOf3FkhQJAEVwDFwRDDwC+8NRHAkAazD8FgQi +AABQsFoz/xvDTRzDTfosAAAAEGgw+BwQIAoQcDD4FgAgARB4MFow+GWhgvvFghIAAFCwWjDv1aD7 +xVUSAABQsFow7P8CAAoAY5FgJVz8/FURBABnlqDAiHqLB/8CAAoAb8agwKAHqRH4GgAkCQBNcP3F +cRQJAEVwDFwRDDwC+8MvHAkAazD8FgQiAABQsFoz3RvDKhzDKvosAAAAEGgw+BwQIAoQcDD4FgAg +ARB4MFow1WWhGftqGiIAAFEwW3cS+8VBEgAQYDD6LAAMAQBTMFozzBvDGhzDH/wWBCIAAFCwWjPI ++8MVEgAAULD8wxQQChBwMP0cECABEHgw/RYAIAAQaDBaMMBloOjAINEPJVz0/FURAAQQQDD4VQIF +/5zGoMCI/wIAC/+aVhDz/zZh+AJSsCtyRypySGP+YwAAwLJbdvFj/x4AAAAAAPYWACACEFAw+woI +IAAQaDD+CgAgABB4MFuRzccr0Q8AlhD8xS4f0AQ5YPoKAiAIEFgw/QoDIAIQeDBbkcXHK9EPlhD8 +xSYQAhBQMPsKCCADEGgw/goAIAMQeDBbkb3HK9EPAJYQ/MUdEgAAcXD6CgIgCBBYMP0KAyABEHgw +W5G0xyvRD5YQ/MUVEgAAcXD6CgIgCBBYMP0KAyAAEHgwW5GsxyvRDwAAAPzFDRACEFAw+woIIAUQ +aDD+CgAgABBIMPkWACAAEHgwW5GhxyvRDwBsEAoVwsXTD9MPKlJIJVJKFsMc0w8CpTj1eFMANBBI +MAmIHPvElhABEGAw+GYIAAAQaDDzYgAiAABQsPUHQgSFASgwWjCE2jD2FgYn0BBYMFt2ptyg+8Ty +EgAAULBaM2IcxPH7xPESAABQsA8CAAw8LFozXRvE7vwKyCIAAFCwWjNaG8Tr/CoAIgAAULBaM1b7 +xOUSAABQ8Ft2lBvE5gqsCv/MEQIAAFCwWjNPGMTjBEQL0w8IRAr7Qn8iAABQ8Ft2ixvE3vt7CQIA +ADKw+7F+IgAAUPBbdoXXoPtChSIAAFDwW3aCK0KDmhn3FgUiAABQ8Ft2fixChxvCaPesAAIAAFDw +DLssW3Z5wNQH1zfbcFt2dvW4UQQcAL6g8AATYAAQUDAAAMDh+goCIfYCerAP6jj+qhACAdEGIBvE +wdMPC6oCG8TA/MTAEAgQaDD6LAAMCQBusFowP/vEvRIAAFCw/AoBIAEQaDBaMDr7wmgSAABQsPwK +ASABEGgw/hwQIBQQeDD+FgAgMhBwMFowGMmjwKL8xK8QCBBYMFuROccr0Q8AAAAAG8Sr+iwAAAgQ +YDBaMw0bxKn6LAAAARBgMFozChvDI/w6ACIAAFCwWjMG+8QrEgAAULD8CgIgAhBoMFowHYwWLMIB +HcSeLBYHDGwM+8SbHYAEOyD9zAICAABQsFoy+RvEmPosAAAAEGAwWjL2HsSV/MSWHFQBLDAO3Rwb +xJT9zAwD6BBoMA3MLPwWCCIAAFCwWjLshRcbxI76LAAABBBgMFoy6I0V+iwAACQQYDD7xFQcAQBr +MFoy49og+8RQEgAAYbBaMuAbw//6LAAAAhBgMFoy3BvEQ/osAAAAEGAwWjLZ2iD7xGQSAABhsFoy +1dog+8Q0EgAAYXBaMtL7QoAiAABQ8Ft2EPvEcxAPEGAw+iwADAEAUzBaMsv7QoEiAABQ8Ft2CfvE +OxAUEGAw+iwADAEAUzBaMsPcYPvELxIAAFCwWjLA3HD7xGQSAABQsFoyvfvEYhIAAFDwW3X7+8Qf +EAQQMDD6LAAMAQBRsFoytfvEXBIAAFDwW3Xz+8QVEAYQYDD6LAAMAQBTMFoyrvvEUxIAAFDwW3Xs +Cmw3+8QLEgAAULBaMqcbxE/8KgAiAABQsFoypPtChCIAAFDwW3Xi+8RKEAMQYDD6LAAMAQBTMFoy +nPvERhIAAFDwW3Xb+8REEAoQYDD6LAAMAQBTMFoylfvB0RIAAFDwW3XThBj7xAMQQBBgMPosAAwB +AFMwWjKN+sG4EgAAWTBbdcsbxDb8rAACAABQsFoyh/vBvhIAAFDwW3XF+8QwEAUQIDD6LAAMAQBR +MFoyf/vBtxIAAFDwW3W9Ckw3+8QpEgAAULBaMnmIGSQKAw8CAPhENwIAAFCw+8QjEgAAYTBaMnL7 +xBkSAABQ8Ft1sPvDzhAMEGAw+iwADAEAUzBaMmuxTPvEGhIAAFCwWjJnG8QY+iwAAAAQYDBaMmT7 +xBUSAABQsPwKHyAAEGgwWi96E8GrG8QR+iwAAAEQYDBaMlv5MoUgZwA0oPmqUgpdAUwwCrsMtbsF +uwgLqgj6rP8gAhBYMFt1ktyg+8QEEgAAULBaMk4bxAP6LAAAABBgMFoyS9og+8QAEf4CaXD9HRQA +HxBgMFovYMAg0Q8AHsP78/xjagkAcrAAAAAAAPlKUgpXAUwwCrsM8/+bYAoCWvAAbBAE+8PyEgAA +ULBbknD9PAAAABBgMFuQ5fvBYBACAlLwW3VzwIEIqjdbdWbRDwAAbBAQ+sF6EhkANKAmokoookko +FhIGdVNkUcn/AgAAAOMFYG5SDMCddZMH8AAGYAEQODDAchPD2xTD29og/MPbEgAAWPBaMh0jPQJ0 +OewZwar9w9cSDAE9YN2QG8PV/MPTEgAAULBaLzAaw9PTD9MPCnoKJ6CAKaCBKKCCKqCDG8PO/IgR +CWAEPmDzdxEL4AQ6oPl3AggJAFIw/MEoFgkARfD6LAACAABp8FovHy4SEv/BGxABEFAw/m5RBgwA +vWDAoBvDvhzBHvnBoxA0EGgwDVUclh/4w7sUACBNcCUWEIVS+O0RDgUAVjD+Fg4sCQB/cP0WES/w +BDlg/gpALAkAd3D+3QICAABQsFovBykSEtMPDwIA88OsGGMBTDD0WBEFgAQ+YPbDqRQJAEVw/VwA +AgAAULD8wQoSAABY8Fou+iM9AnY55hPDoRXDotxw+iwAAgAAWPBaMdkjPQJ1OewnEhHzw5wQQBBA +MPXDmxYJAEXw3HD6LAACAABY8Fox0CM9AnU57MCiW4fsG8OUHMDk+iwAAAAQaDBaLuTzCgAgMhAo +MMGkW4fksTN1OfUbw40cwOj9wmkSAABQsP4KZCAgAkhw+RYAIBQQeDBaLr3Jq8Ci/MOEEAgQWDBb +j97HK9EPAAAAAPP+TGAAEDgwG8N/HMHM/cDKEGQQcDD6HBAgFBB4MPoWACIAAFCwWi6tya3AovzD +dhAIEFgwW4/OxyvRDyaiSCuiRysWEmP95wAAG8Nw/MNwEgAAULBaMaAjEhCDMPoKICIAAFjwW/9h +FcNrF8Nr2iD8w2gSAABZcFoxl/oKICIAAFjwW/9aJV0Cd1nh+sNkEgAAWPBb/1Ubw1gcwS36LAAA +ABBoMFoup/oKICIAAFjwW/9OG8NW/MNDEgAAULBaMYb6CiAiAABY8Fv/SBXDUvzAohIAAFCw/cM7 +EgAAWXBaLpn6CiAiAABY8Fv/QCVdAndZ2/oKICIAAFjwW/88FcMv2iD8CgAiAABZcFoxcyVdAnRZ +7PoKICIAAFjwW/8zGcC6JxISKZKFB3dD+ShRCDABTDD0cYJoBQAWMIoeZKWp/wIAAAL7hqD/AgAC +AyACoCsSEgsNQv3aCQ4+AVgw/hIOKgAgcrALvEL8zwkKcgFcMPn5CAIDJIegnRycGysWCikWCfzD +JxAIEFgw/Xz/If4CcrD/XAAABRBQMFuPdY0a/MMhEAUQUDD+EgkgCBBYMP3c/yIAAHkwW49tH8Mb ++BwWIB8QcDD4FgcpkAQ84AT6LAX/LA+fLAqZLPgWDSgBAM+w+RQULgEA+7D+FBUgKAIgcBzAUSVA +ABvDDdMP/FwCAgAAULBaMTT7PAAH0BBQMFv+9hzBStog+8MFHAkAYXBaMS37wwMSAABQsPwKMCAy +EHAw/RwQIBQQeDD9FgAgMBBoMFouJmWgXhvC+PosAAAAEGAwWjEg+8L2EgAAULBaLhr+HBQqYAFQ +MPoWBCAUCHEwmh1gAB4AFcLajRT8CvAiAABQsPzdEQIAAFlwWi4tJV0CdlnkjhexRP8CAA//qnEQ +JxYTYAAowKL8wuIQCBBYMFuPL8cr0Q8oEhInFhP/Fg0oQAFEMPkWDChLAUAwmBuEHxjC3RrC2PfC +2BQjASAwCEQKJEJ/FsLW9cLWEAAQWDD0DEMADxBAMCsWFPoWCCYBwUcQKxYU+hYIIgHRByAlXQIm +bQInfQL6rQIgDxBAMPREFAACAlrw9AxDBZoCPuD6CiAiAABY8Fv+rBTCwBXCw/osAAIAAFkw/AqA +IIAQaDBaLfwkTQJ1Seb6CiAiAABY8Fv+ohTCtfwKgCIAAFCw/QoAIgAAWTBaLfIkTQJ1Seb6CiAi +AABY8Fv+mB3AHi3ShRvCr/2uUgxUAWww/L/2HAUAF7D43RECAABQsFot5RvCqBzBEf3AzhIAAFCw +Wi3hFMKlFcKl2iD8v+MSAABZMFowwSRNAnVJ7Ps8AAAgEFAwW/6CLRIQLdILG8KdHMKd+N0RAgAA +ULBaLdIlEhAPAgCFXBPCmPTCmRWABD1g/VwAAgAAULD8wpMSAABY8FotyCM9AnQ55iUSE/vCkRIA +AFCw/AoQIBAQaDBaLcEUwo0Two0awo6JG/wKAiABEGgw9dU5AAAQWDD2wogaBQAvMAuZCvoSDSgA +IFZwKxYGKJCA+ZCBJ8AEPqD0rBELgAQ+oPyIEQoJAGbw+JkRBgkAXfD5hQIGCQBV8Nxw+iwAAgAA +WTBaMIzaIPs8AAIAAGFwWjCJJE0C8z0CIb4IMTATwnEUwnHcUPosAAIAAFjwWjCBIz0CdDnsG8Js +/MJtEgAAULBaMHwbwmv8wmkSAABQsFoweRvCaPzCZhIAAFCwWjB1E8JmFMJm2iD8v48SAABY8Fow +cSM9AnQ57BvCYfzAhxIAAFCwWjBsE8JeFMJe2iD8v4USAABY8FowZyM9AnQ57IMWG8JY/MB9EgAA +ULBaMGGIHBnCVQOICqmIJYCAKICBE8JT/FURCYAEOiD0wlEUCQBFcNog+zwAAgAAYXBaMFUjPQJ0 +OewoEhIZwksIiFIJiAokgHwogH0Twkj8RBEJgAQ6IPbCRhQJAEEw2iD7PAACAABhMFowRyM9AnY5 +7BPCQBbCQdxQ+iwAAgAAWPBaMEEjPQJ2OewTwjwVwjzcQPosAAIAAFjwWjA7Iz0CdTnswaRbhlfB +pFuGVsCiW4ZVKBIShR8TwjP1BVMIWwFAMPOJEQlwBDog/FURCAkASjD0wi0UCQBFcP1cAAIAAFCw +/MIqEgAAWPBaLUIjPQJ0OeYqEhLTDwrqQ/PCJRlABD6g+KURCcAEOqD6iAIECQBNcPTCIBQJAEVw +2iD8XAACAABY8FowGCM9AnQ57MAg0Q8Aixj8vzQSAABQsFowEtog/MIVEgAAWfBaMA/aIPzCExIA +AFmwWjAMYAAnAIsY/L8yEgAAULBaMAfaIPzADBIAAFnwWjAE2iD8wgkSAABZsFowAdog+1wAAAAQ +YDBaL/6KGCsSFGP8JSQSEvjCAhpAASAwmhz6qgkKPgEkMPvB/RoAIFqwB3UJClUKC1UJ9VF/KksB +JDD7FgskcgEgMJQaC7sJ9EQJCgAgXnCbGQtECghECSRBfmP6RyQSEvjB8BpAASAwmhz6qgkKPgEk +MPvB6xoAIFqwB3UJClUKC1UJ9VF/KksBJDD7FgskcgEgMJQaC7sJ9EQJCgAgXnCbGQtECghECSRB +fmP59isSEvvsUQpAAVgw+hYMLEsBXDD9FgsqcgFcMJsaDd0J+qoJDAAgT3CdGfP5yWoAIGKwmRmb +Gp0cnBsYwc8HdQkLtAkKVQoIVQkYwcwJRAolUX8IRAkkQX5j+ZsAbBAEyCZoIQTGKtEPABzAnPa/ +BBDMEGgwDS0oG8HC/mJILAAgazD8wN8gARB4MPViSiAAEBgw8vM4AgAAULD/zBEABRBoMPPlOQwJ +AGswWi+oKWJIKGJK9L9MGAUAGnD4eFMANBBIMAmIHKhEhEH6TPwgAhBYMFty3yliSChiSve/QhgF +ABpw+HhTADQQSDAJiBwbwaX+waYWACBF8PdyASH+Akkw9T9BCAABTDD1rREI8AQ+YPUIQgYAATww ++ogRB2AEPeD53QIGCQBF8P//EQwJAD9w/MGVHAkAf3D+3QICAABQsFosncAg0Q8AAAAAbBAEHb7e +0w/TD/u+3RwAIGyw/goBIgAAe3DTDyqydg8CAH+nEiyycPzMEAAAEEgw/N8MCgA06xD+pxBwAhAQ +MCyycQzMEH/LXwz/DH2nISyycgzMEH/LV/z/DAAwAO6wwKH8vsgQABBYMFuNi8Yq0Q97p+susoIM +7hD/4+JwBhBAMJgwn0D+MgAgBRBQMPy+vhAAEFgwW42AwCDRD5kwnUDz/+FiAAB7cJ4wn0Bj/9WS +MJ9AY//OAGwQBBy+rv764CA+Amiw+sKCID4CWPD4wnwqAEB28PsLBgwAQHdwDaoM9IAQYgBAUvAv +woEuwn0P/jl+KxLAIMCg/L6fEAYQWDBbjWXRDwAA8saCKf/8UtBj/+MAbBAEFcFLJFI3+L/RElgB +JDD4N1NgDhBQMBfBRiRRkRbBRgdEAXZBICRSN/S5Ug/vEGAw+wrgJqoAPmAqUZEHqgF2qQJkIHHR +D2Qv2wIsEajMLcKCwOEO3QItxoIrwoIKuwIrxoJj/78Eb1ACLhGo7iPigsduBjMBA/8CL+aCLeKC +Ct0CLeaCY/+OAAAdwSzBoP1NAQAAEEgwDak5AiMRqDMqMoIMqgEKmQIpNoIvMoIL/wIvNoJj/4EC +LxGo/yPyggwzASP2gi7yggvuAi72gtEPAABsEAQUvw3zwRkQABAQMNogW4dSCghBaYEh/K8ecgAA +SPAABIsASWEASWEASWEASWH6LAAAABBYMFuHQ7Ei8z0EKZoCOKDAINEPAAAAbBAE+cEIG7AEOWD2 +TBEKUAQ84Py7AgoJAFHw+SkLCgkAWrAqlkAoHCCIgABqEQqIAiiWQdEPAABsEARuLgHRDxa+ewYm +CyVi4BjA9wQ3EfdHAgQAQEVwB1UCJWbg0Q8AbBAEFr5yBiYLJWLQGMDvBDcR90cCBABARXAHVQIl +ZtDRDwAAbBASFr5pJmKFW4c2E8DoFcDm9L70EAAQEDD6NEAgARA4MAAgBAoIG/+HDHACAkiwKUZ/ +YAAKAAAqUC1/pxQqMECxImko2/IKACAAEn6QYAFiAADAoPsKAiIAAGCw/goAIHgQaDBbhw1j/9IA +AADAcPQKAiIA8HqQ9AoEIgEw9pD/AgACAXRykP8CAAIBuO6Q/wIAAgH7apD/AgACAjzmkHinfSoa +JPy9yBASEFgwW4duHL3F+woaIgAAarD9VeYhkBBQMFuHaMG1+jRIJ/8QYDD3NFAu4AFQMPoaNCYA +IHXwW4dh+hYJICAQeDD/ZAEOAlT9kMCw+KwCJ/8QYDD4IggBnBBQMFuHWPasAASgADUgKVBI0w8J +CUT/AgAKAmWCYPy9qRCUEFAw8r6uEB0QWDBbh00qNFEpMEQrMEEuMEMvMEInJoD9In8vgAQ7oPD/ +EQqABD7g/7sCCAkAdnD+MEAoCQBecCkWAPowSCIAAGKwLzBHKDBGKzBFDAxH8IgRD4AEP+D4uxAK +CQB6sPi7AgIAAHnw/BYCKgkAWrD8wIQQABBYMPoWASAEEFAwW4yM0Q8AACoKnPy9hBAOEFgwW4cq +HL2B+woeIgAAerD/Vd8hOBBQMFuHJPc0USAREFgw+jRBIAAQODD3NEkn/xBgMPoHRwCsEFAwW4cb +KAog+GQBCAAPQZAbvegpstAdwGYsrAH0zBEIAEBucAyZAim20PKsAiAEEFgw/Hr/IUQQUDBbhw36 +FhIkOgA1IC5QSA4ORP8CAAoC3AOgKjBAY/4gAAAAAAAqCrD8vVwQChBYMFuHAhy9WfsKAiIAAHqw +/1XgIUgQUDBbhvz0NFEgDRBYMPc0Sif/EGAw+jRCKOABUDD4dwgAwBBQMFuG8/oWBCAgEEgw+WQB +DgGnzZDAuPqsAif/EGAw+hpQIgAgULBbhur6FhMj5QA1ICtQSAsLRP8CAAoCnILgKjBAY/2bACoK +xPy9OhAGEFgwW4bfHL03+woGIgAAarD9VeEhVBBQMFuG2sC5+jRDJ/8QYDD3NEsu4AFUMP93CAAD +EHAw/jRRINQQUDBbhtH6FgogIBBAMPhkAQ4BbEWQwLz5rAIn/xBgMPkiCAFcEFAwW4bH+hYUI5IA +NSAqUEgKCkT/AgAKAl4CoCowQPQKBCH+kHKQKgrY/L0WEAIQWDBbhrwcvRP7CgoiAABqsP1V4iFg +EFAwW4a29DRRIAUQWDD6NEQn/xBgMPc0TC7gAVAw+groJgAgdfBbhq36FgUgIBB4MP9kAQ4BL/2Q +wbD4rAIn/xBgMPgiCAFoEFAwW4ak+hYVIz0ANSApUEjTD9MPCQlE/wIACgIdAmAqMED/AgAB/kvu +kCoK6Py88hAeEFgwW4aXHLzv+woOIgAAarD9VeMhbBBQMFuGksCx+jRFJ/8QYDD3NE0u4AFQMPoK +/CYAIHXwW4aL+hYGICAQeDD/ZAEOAPR9kMG0+KwCJ/8QYDD4IggBdBBQMFuGgfoWFiLqADUgKVBI +0w/TDwkJRP8CAAoB34JgKjBA0w//AgAB/glqkCoK/Py8zhAaEFgwW4Z0HLzM+woSIgAAarD9VeQh +eBBQMFuGb8G9+jRGJ/8QYDD3NE4u4AFQMPoaDCYAIHXwW4Zn+hYHICAQeDD/ZAEOALf9kMG4+KwC +J/8QYDD4IggBgBBQMFuGXvoWFyKVADUgKVBI0w/TDwkJRP8CAAoBoQJgKjBA/wIAAf3H5pAqGhD8 +vKwQFhBYMFuGURy8qfsKFiIAAGqw/VXlIYQQUDBbhkzBufo0Ryf/EGAw9zRPLuABUDD6GiAmACB1 +8FuGRfoWCCAgEHgw/2QBDgB8fZDBvPisAif/EGAw+CIIAYwQUDBbhjv6FhgiRAA1IClQSAkJRP8C +AAoBZYJgKjBAY/sIAACLGcCn+7wBIgAAYLBb/o2KGWP7RiwwSPQKACtdADcgsa0tFhkqMFArEhnT +D/pKCAIAAGCwW/55KzBI9EwBIAQCYbD7Q95yACBgsGP7KgAALVAtwOgO3QItVC1j+yqLFMCh+7wB +IgAAYLBb/naKFGP8oIsawKL7vAEiAABgsFv+cYoaY/0XixXAo/u8ASIAAGCwW/5sihVj/ZCLFsCk ++7wBIgAAYLBb/meKFmP+B4sXwKX7vAEiAABgsFv+YooXY/6AixjApvu8ASIAAGCwW/5dihhj/vcA +AAAsMEH0CgArwwA3ILGtnRsqMEmLG/pKCAIAAGCwW/5JLBISKzBB9EwBIAQCYzD7Q95yACBgsGP7 +kS0wQvQKACwYADdgsa6eHCowSosc+koIAgAAYLBb/jssEhMrMEL0TAEgBAJjMPtD3nIAIGCwY/vm +LTBD9AoALGsAN2Cxrp4dKjBLix36SggCAABgsFv+LSwSFCswQ/RMASAEAmMw+0PecgAgYLBj/Dkt +MET0CgAswAA3YLGunh4qMEyLHvpKCAIAAGCwW/4fLBIVKzBE9EwBIAQCYzD7Q95yACBgsGP8ji0w +RfQKAC0TADdgsa6eHyowTYsf+koIAgAAYLBb/hEsEhYrMEX0TAEgBAJjMPtD3nIAIGCwY/zhLTBG +9AoALWgAN2Cxri4WECowTisSEPpKCAIAAGCwW/4DLBIXKzBG9EwBIAQCYzD7Q91yACBgsGP9NC0w +R/QKAC25ADdgsa4uFhEqME8rEhH6SggCAABgsFv99CwSGCswR/RMASAEAmMw+0PdcgAgYLBj/YUt +UC3A6A7dAi1ULWP6PS9QLcCICP8CL1QtY/q8KVAtwKgKmQIpVC1j+zkAK1AtwMgMuwIrVC1j+7sA +AC1QLcDoDt0CLVQtY/w2AAAvUC3AiAj/Ai9ULWP8swAAKVAtwKgKmQIpVC1j/SoAAGwQBBe8hBW8 +2ihyfxq9TvS+zxAAEBAw9rzXEGAANiDToCmigNMP0w8FmQL5poAiAABQsFuCmwIqAlv9bh2+xihi +fx+70/y+whPoEHAwDogsCP8sD8wsD90sDt0oDswoBN02LTaDK3J/Kj1A9Mw2AAICELD8NoQr/9Rc +kBq75Smg3PkJRAANEGAw/JFHcB8QWDAroMH9vSwQQAB+8CzSgS66/g7MAfzWgSAAEBAwW4IhZiBU +wCDRDwBbgiMK+VD4CgEgABB4MAmPOPKsAA/gALfgY//bLaDdLqDeDQ1E/g5EAWAIW3B76agvoN8P +D0R8+Z8ZvpkokoAbvDoLiAIoloBj/40AAAAAAP0sAAAAEFAw/L6TEAgQWDBbipfAINEPAGwQBhW+ +j/a+KBAAEBgw976NEfQQIDDaMFuCsfagS2IAABKwH7uz0w/TDy/wwfK+HRB4AH/wZDBv8goAIgAA +UPBbgqL4UpcgEwA2oMiL2jBbgob2oBRiAAASsPVdASACAhjw9VzMI2ACOODRDwDaMPy+dhIAAFiw +WixSIi0CdinsEr5z2jD8CgAiAABYsFosTCItAncp7Bu+bvy+bhIAAFDwWixHY/+QABy7iizCwJwQ +G7uKwK76tkAgARBQMFuCXx67hsDf/eZAIAAQEDDBpFuCW7EidCn1jxAP71H/AgAD/6wf4Bm7fRi7 +jSiWQGP/R2wQBBm7fxy+WBa7YfiQwSABEFAw8woAIBQQKDD0Chgv/xA4MPKcAAIA7P4QI8YfI8Ye +88SAIDAQcDArIXAfvksLXEb/zwoKACjzEI/wCvAAAABvOxopYoQAMQQAqBoAiBEHjAMMmQEJiAIo +ZoRgACQsYsDB5wPuDADhBACpGgedAw3MAQyZAilmwGAABwDaMFuEScChKyFwB7wD9MsBDgAJJxBo +uFJouzf/AgAEAK2jEPIsAiACAhjw/wIAADAQcDD/AgAP/7qo0PcKhyAAEBgw8rtLEA4QWDDwAFVg +FBAgMC9ixwAxBACrGge5Aw+fAQ+/Ai9mx2AACAAxBACrGge5AyhixgmIAQi4AihmxmP/nwB7qQz6 +PAAAARBYMFuD2cC+8zwBIf4CITD0QLdgBAIQsCohcHehFwpaRmiiLGiqWf8CAAYARa6QaaHFYACA +AC1ilh6+B/67iBwAQHdwDt0CLWaWY/+6AAAAANowW4QE+woOL64AtqAtIXAZvfv4kIAsQAFsMPDR +BAABEGAwAMwaDIgCKJSAY/+HANowW4P4+woOL34AtqAqIXAbuxUKCkKrqyuw3AsLRFuDxh2968DP +LNSA8/9aYA4QWDAAAAAA+jwAAAEQWDBbg6rz/0NgDhBYMAAAW4U1W4UrH73fKvYeK/YfLmKH/maH +IAAQEDDRD8Ag0Q8AAAD8vdsQABBQMPsKAC/qEGgwW4nTxirRDwBsEAYau8obu2sUu6Qcux31u6IQ +ABBAMPRBwiAQEEgw0w9tmhULiQIpxvn5wvkgBAJSsLGICQlNKaWt973HFXAEOSDzu08UACApMPQW +ACAAECgwi0KKQSs2OylCACo2PCk2PRq9vvsKASABEGAw/1YRAAoQaDD3aAIAABBwMPg2OiAAEHgw +WiuQ9qBEYgAAErAcvbT6vbIQARBYMP0KCiAAEHAw/GwCAAAQeDD8NjogARBgMForhfagFmIAABKw +sVX5Xo5gGAIhMI0QLd0GLRYA/L2lEAEYrKAXvaT0EgAgABAoMItCikErNjuJQCo2PCk2PRq9mvsK +ASABEGAw/1YRAAoQaDD3aAIAABBwMPg2OiAAEHgwWits9qGMYgAAErAcvZP6vY4QARBYMP0KCiAA +EHAw/GwCAAAQeDD8NjogARBgMForYfahXmIAABKwsVX5Xo9gGAIhMI0QFrqkF72E/d0GIAAQKDD9 +FgAgAJ4uoNTQjUSMQy02O4tCLDY8ikErNj2JQCo2Pik2Pxq9dPsKASABEGAw/1gRAAoQaDD2iAIA +ABBwMPg2OiAAEHgwWitG9qELYgAAErCxVfRMFC9mAjlghBD2vWsQABAoMC1NCi3SBCxNCizCAy02 +OytNCiuyAiw2PCpNCiqiASs2PSlNCimSACo2Pik2Pxq9WPsKASABEGAw/1gRAAoQaDD2iAIAABBw +MPg2OiAAEHgwWisq9qC0YgAAErCxVfRMFC0+AjlgJBIA9r1QEAAQKDAtTQwt0iQsTQwswiMtNjsr +TQwrsiIsNjwqTQwqoiErNj0pTQwpkiAqNj4pNj8avTz7CgEgARBgMP9YEQAKEGgw9ogCAAAQcDD4 +NjogABB4MForDvagXGIAABKwsVX0TBQtPgI5YNEPAAAAAPoKACAIEFgw/L0tEgAAaLBbiSPRDwAA +APoKACAIEFgw/HwAAgAAaLBbiR3RDwAAAPoKACAIEFgw/HwAAgAAaLBbiRfRDwAAAPoKACAIEFgw +/HwAAgAAaLBbiRHRD8Cg+woIIgAAaLBbiQ7RD2wQCNogW0/elBAcu0D7CggiAABosP88AAIAADKw ++goFIgAAcbBbiQPAgBW6sqVlI1aBJFaCKFaDCOQWmBQBAgAjUoMD6jD6bAAAAhBYMFtPyArqMCdS +iMh8BmoCA+owwLJbT8MK6jCIFAjkFgOqDFtPuNig/SwAAgAAcfD8uyYQBRBQMP9SiCnQBD0gCJks ++RYAIAgQWDBbiOfAINEPAABsEAYZvPEokBj6kOQgBwC2IGSgB8Ag0Q8AAAAA9AoAISwANKDAPxK5 +5cdfKyKzw8AMPAIFzAMMuwH7JrMgARBQMFuAzS0is8HgDt0C/SazIAEQUDBbgMguIrIfvLwP7gIu +JrItCoAtJq4rIq8cvNgMuwErJq/5ukcQNBBQMApKKPy6LBgAIFZwjZmPmo6Xi5b2/xEMIAQ/YPvu +EQwJAH9wDt0CDbsCDLsCKyawGrzJKiaxLyKyipWMmBu8x4mU88wRC4AEOqD4vMMaCQBisPv/AQgJ +AFZwCf8CCP8C/yayIAEQUDBbgKQoIrIZvL36vLsQEBBYMP0KeCAQEGAw+YgBABQQcDD4JrIiAAB4 +cFoqgcmgwKL8vLQQCBBYMFuInccr0Q8AwaRbgJTCsCoisws8AgXMAwyqAQo6AguqAvomsyAUEFAw +W4CMwCDRDxu5uCyySCuySsDs/AlCAAAQGDD7DUIB8gJ6cP/jOQZCAD9g+goBIAMQcDD+MwIGMgA+ +YArLOPP+oGR3AVgwAAD5l+9gABBQMGnX52P+eQAAbBAEE7yS/LokEAEQaDD4CiAiAABQ8G2KCiug +BXsgAn+3YbyqGrmbLsCAKaDcLaTU/6DdIB4QWDD97gIKAAPO0C7EgC2g3n+zCijAgMCSCYgCKMSA +KKDffbMNLsCAwPQPAgAP7gIuxID5wIAqAApG0MCoCpkC+cSAIAAQEDDRDwDAINEPjqAcvHL94AAg +CBBYMP7gASACEFAwW4hX2TD/CiAg/hBQMG36DSiQBQqIAfiUBSAYAkpwxyvRDwBsEAYoIAD5CoIi +AABQsPItBCAUBEowxy7RDwAAJ6wW/wIACgC3kdAUvF2aEBO8W5MRE7xb8AB2YEAQKDAAAAAAALwz +dDFVKDAFBYgB+TAELeICPiB2menacPsyACACEGAwW4K7/AoAL+oQWDAKyzhmv86NESwxA4gy2mD7 +fAMsACBrMAuAAPahGmABEHgwLjAF0w8P7gIuNAUmcALzvEEQBgJBsKh3/wIACgB0EdADOwL6fAAA +AhBgMFuCpfO8NRAKADagJnACY/97iRC0ev8CAAoAZVJQCXoMtKr6C0IAABBIMG25DosQLLAAsbv7 +FgAoACBPMAo7FGSwkIgQDwIADwIALIAAKoABL4AC/oADKAAgTzD9gAQoACBOsPyABS4AIE/wr+4O +3Qj9gAcsACBrMPmABiH+AlLw+9wAABACQjBtqUL5gAAsACBicPyAASoAIGLwLYAC+YADKgAgVnD8 +gAQqACBfMP2ABSoAIF9w+YAGKgAgXnD7gAcsACBbMPzcCAAQAkIwDJkIqbkauRIppNXEoFv/a9Kg +0Q8A8//sYAAQSDCOMBy7//3gACACEFAw/uABIAgQWDBbh+Bj/tkAbBAEGbv5KpJ/KZKACasRq5n6 +lgAgGxBAMCiUBFt/HFt+5MAg0Q8AAGwQBBO6m/q77xCqEEgw9AoGIAAQEDACCj8CBz8CCD8CCz8E +CT8KBD8JBT8CBj/4MlkggBBQMAgAP1uF2f+74xAAEGAwCgE/+rvhEA0QWDDy9H4gARBwMP70fSAI +EEAw+PR8IgAAcLD4ujoQGAJKsP8KgCAfEBAw0w9tukD+hlEqACB+MPmGUCAsAmsw+YZPLAMAF3D+ +hk4gAgJjMPqGTSBAAkpw+oZMIEACUrD+tMkgQAJCMC60yC20yi6GS/Q0fCACEEgwKTR9W37rHLjI +G7vAGrn8AAyLAEthAEthAEphAEph0Q8AAABsEAQZuX3TDyKSHfu4khAAEGAw//r/IVUANKDWwPgK +ACAAECAw+goBIA0QODBtCE4lktcikuGlZQlTEaMijiuVIC8keCslKZgsJCUTLCR6JiQiKiQgKiQh +LCQN9iQMIAgQGDAjJAUnJAQtkh0iIRL2bAEoACByMP1rCXQAIBEwY/+qAAAqkh5koJn1u5gf/xAg +MPoKACAAEDAw8AA6YIAQODCC6yTkDC3kDSbkIizkepjsK+Up/+R4L4AQGDAj5CAj5CEjkh6wqv3c +ASACAjGw82tQeAAgEjAjktgukuGjYwkyEfIKDi4AIBOwIuQE8+YAIAgQGDDz5AUvqAC2oLFVp1oq +oL2xRP0KAS+XALagbQgOsVWnWiqgvfWvhWACAiEwY//qK5Ify7f1uHkQABAwMPgKByAPEDgwbQgi +L5LZLpLhr28J8hGi7p/gJeYWLOQNKOQMJ+QELZIfsWZ9awJj/9bAINEPAAAAAAAA8/8UYgAAQzBs +EAQTuRkcuJ4Su1wbu14fu1wvJvH7JvAgARBQMCom+Csm8ism9iwm+fMm8yABEHAw8yb3IAAQGDDz +JvUiAABo8FtNySMmyIYvJyIQiC4pIhGKLYssjCuNKo4phCeFJo8oJSbJ9SIaJAAgKTAkJsr0Ihsu +ACAn8C8my/8iHC4AIHuwLibM/iIdLAAgd3AtJs39Ih4sACBrMCwmzvwiHyoAIGbwKybP+yIgKgAg +WrAqJtD6IiEoACBWcCkm0fkiIigAIEowKCbS+CIkJgAgRfAnJtP3IiUmACA5sCYm1PYiKCQAIDVw +JSbV9SIpJAAgKTD0JtYuACAn8C8m1/QiKi4AIHuw/ibYLAAgd3D9JtksACBrMPwm2ioAIGbw+ybb +KgAgWrD6JtwoACBWcPkm3SgAIEow+CbeJgAgRfD3Jt8mACA5sPYm4CQAIDVw9SbhJAAgKTAkJuJb +flMoIvH6IvctkAQ+oP3cHy/gEHAw/yL2LABAd3D9qgwPgBBYMPSADWIAQF6wLiLyD/45fjsawDDA +oPy4ERAGEFgwW4bXyTPzJuMgABAQMNEP8yb3Kf/5WpBj/9sjJuP8uvQQABBQMPsKAC/0EGgwW4bM +xyTRDwAAAGwQBBW37xa3/hK4bhi5PPe5OhCAEHAw8iJ/IAkQWDD5jKwgDhBgMPqMVCFYAmnw0w9t +KRskUNzTDw8CAAQERGtCFWZAEidmmPVcASAoAjGwwCDRDwAAAAD4Qi1iACB1cPhDGW4AIHVwa0cC +a0UIe0EFdMLTakrQLWaYY//KL/CUaffEKmaYY/++IzCUaDYIaTi1KWaYY/+vKGaYY/+pAABsEAYW +uGn6t6oQABAoMPS3xBABEBgwJaaBJaaDJaaAJaaCKEDBwZD5pooghAD+MBu6vC1iTRi6uf+3mhBq +EHAwDt0oHrlrD90sL4KAwMzTD/3MNw4AQHfwD88CL4aALbKADt0BDcwCLLaAK7KAG7fo/LqtEAAQ +UDBb/XYqCgH8uFwQABBYMFv9c1v5hxm38cJwKJKFL0DAB4gC+JaFIlQAu+AYuqH7+v0gBBBIMG2a +FymCkCqNBAuZASmGkCmikCiNCAuZASmmkBq6mSn6/ymmICmmISmmIimmIymmJFuA4hy36y0KCC7C +jy5EwCvCig27AivGivu6jhAAEEAw/LpSEIgQSDBtmg0MiQsrlkD1lkEgAgJCMB+3qhK6hiX2kCP2 +kS/ykSMmgFv5KygigBm6gg8CAA8CAAmIAigmgFv8gPtAwSAAo66gf7cO9WV6JAAQUDAqZXhgAAkA +JWV6Gri2KmV4J2V9JWV6JWV7JWWHCiwULGV5W/vq/WJNIACHrqAXt+b/CmQgAxBwMA/dLA7dLClC +hP12vCJAAUgw9CFoYkoBTDD/AgAAANWEoP8CAAQA0YSg/wIAAADNhOD/AgAEAMmE4G4kBAk4RpgQ +bjQECdpHmhEZtzf5ko8iAMqYoP8CAAIAloDgZTGd+WtTAAAQUDD6FgIr8AQ+4CpChwCwBAoKGQoK +QVt/bsow/wIAAgCOAOD/AgAGAMSY4PsSASQAXkCgjBD/AgAOAFlbEMCgZqBYW/t/ZqBS/QqAIgAA +UTD+CgQg/xBgMNMPbeoMrasrsIz8uTpwAgJSsMCQLEDB0w9/xzMdujYtdsAtdsBb+yhmoBcftz8l +9nIu8nbHKwLuAf72diAAEBAw0Q/SoNEPAAt5FGP/xQAAGroqKGJNCogsKIz+CBgU+kDcKbAEOiD4 +mAIACBBYMAuIAvh2wCQOADqgaaOnK3LAxsL8CgUqAEBm8Ay7Ait2wGP/kY0S/AoBIOAQUDD/shEN +wAQ/YP0NRwIAAFiwW36d+awAADwANqBnnymZE2AAtGQ/IWAA0QAA/kKGKnYBTDAPuxEAsAQODhkO +DkGeEmP+0AAAihJbfhVj/vkAAAAAAPoK4CIAAFiw/AoDIDAQaDBbfojz/7FiAABKsN0g/jwAAAIQ +UDD8ufkQCBBYMFuFyPP+w2/aEFAw/SwAAAIQUDD8ufQQCBBYMFuFwWP/4QAA/TwAAAIQUDD8ue8Q +CBBYMFuFu2P/yQAA/TwAAAIQUDD8ueoQCBBYMFuFtWP/sQAAAAAAAAD9PAAAAhBQMPy54xAIEFgw ++Q4GAgAAEnBbhazz/lNiAABQsP08AAACEFAw/LncEAgQWDBbhaVj/jYAAGwQChO2ySgwwRK51vUy +QiBqAH4wJSZ+HLbsGbnTKiJ+KSaA/MKOI+gQWDALqiwqNjILzCgsJn8LzCz8NjEgABAQMNEPACoa +yPwK/yAPEFgwW4Ax+woXIgAAIrD6GsggAxBgMFuALcG5/AofIgAAMrD0FgchyBBQMFuAJ8G+/Aof +IgAAIrD2FgYhyBBQMFuAIsC4/AoDIgAAMrD0FgUhzBBQMFuAHfsKFSIAACKw+hrUIAEQYDBbgBjB +tvwKASIAADqw9BYEIdQQUDBbgBMduagcuaf0oUZhgAIjcARuCS7hftMPDl4s9TJCIbQQUDD+HxQA +HhBYMP8mfiD/EGAwW4AG+woGIgAAOrD6GrggAxBgMFuAAcC4/AofIgAAMrD3FgMhuBBQMFt//MC9 +/AofIgAAOrD2FgIhuBBQMFt/98G3/AoDIgAAMrD3FgEhuBBQMFt/8foWCCAEEFgw+hrEIAEQYDBb +f+37CgUiAAA6sPoaxCABEGAwW3/o9GsJAMAANqArsX4LWyz7Jn8gARBQMFv8Wxq2SRu5dymiryyi +sPqisiB0AKJw/SJ/IABbPlAvIn4oMkL6aVAD6BBwMA7dLP02MSoFAE4wDv8s/zYyLeAEOuD8JoAg +ABAQMNEPKTJC/iJ+KIABYDD0iAkMFgFUMPiBfioFAG5w+SJ/I+gQaDAN7iwIuCwNmSz+NjIp4AQ6 +ICgmgPk2MSAAEBAw0Q/AsQe3OWRxT/8CAAAAa4Xg/wIAA/9VAeDz/q1gABBwMMDBB8c5ZHDM/wIA +AABfheD/AgAD/5gB4PP/LmAAEFgwAAAA/rlDFioBYDD/MkImiAFUMPplUAzgAVQw/t0JDj4BYDD+ +FgAqBQAv8PrRfiSAAWQwW4cRHrk5jRDTDw7dCf3RfiAAEGAwW4V2Hbk1DX0J/dF+IAAQYDBbhYAd +uS0NbQn90X4gABBgMFuFfARdCf3RfiAAEGAwW4V4LiJ//yJ+KeAEOuD4JoAj6BAQMALuLAL/LC82 +Mv42MSAAEBAw0Q8AAPP94mIAAHFw8/57YgAAWXAAABu5FooTC6oJ+qF+IgAAWXBbhu0euRSNEtMP +Dt0J/dF+IAAQYDBbhVIeuRCNEQ7dCf3RfiAAEGAwW4VbHrkIjRjTDw7dCf3RfiAAEGAwW4VWBG0J +/dF+IAAQYDBbhVJj/hMAAIoXDKoJ+qF+IgAAWXBbhtUeuPyNFtMPDt0J/dF+IAAQYDBbhToeuPiN +FQ7dCf3RfiAAEGAwW4VDHrjwjRTTDw7dCf3RfiAAEGAwW4U+BG0J/dF+IAAQYDBbhTrz/RJiAABy +8GwQBsCg+woIIA8QYDBbf0wYuOYBogqLgYyAnBArFgH4ggIiAABqsPgWAiIASRagIiIAKEoA87XG +EAAwrKD/AgAMAEiSEPIyNCQAEFAwW385/KwAAQAQSDD6LAACAABDMA8CANMPbZoS+YIAIAgCQjAE +mY75pgAgCAJSsPtKACIAAFMwW4bl8jY0IBwANKDaIFv8OtKgx555IX1mIArRDwAAAAAAAADHK/oK +ACAAEFgw/Li/EgAAaLBbhH/RD8Ci/Li8EAgQWDBbhHzz/8xv+xAQMCIyNBq14Vt/Ftgg/BoAIgAA +WrBtyhGNsPLdGgAIAlrw/YYAIAgCQjArSgBbhsbyNjQvogA0oNogW/wc96+EYgAAErBj/yzAovy4 +phAAEFgwW4RlY/9xbBAEE7WJ8jIzIAAQUDBbfv/8rAAAgBBIMPosAAIAAEMw0w/TD22aD/mCACAI +AkIw+aYAIAgCUrD7KgAiAABTMFuGq/I2MyAJADSgwCDRDwD8uJAQABBQMPsKAC/7EGgwW4RLxyvR +DwBsEAYYtbsZuIketTkduIktlhf+lhggABBYMPuVMiAEEFAwKpRmHLiDx/APzAEshrYcuIIogq4p +kueZEPgWASCAEHgwW4Q5wCDRDwAAbBAEGrh7GLh7K6KBK4bX+qKCIAQQWDArhjQrhjMrhjcrhkMr +hkErhkIrhj36htggABBIMPmGVCAIEBgw84YmIAEQSDAphj8phjoYtUoStWMcto8jgMEiIoH9tk4U +ABBwMPk3Ag4AARww/+w5ABIAvLAs1X7RDxK2hRS2RvcGQAQAECgw94TBIgUAMXAiRX7RDwAAAGwQ +BBy4WRq4WRi4VirGf/qtQCCIEFgw+oZ/IAAQYDBbev4etS8duFIt5jMt3QIt5jTRDwAAAAAAbBAE +GrXp+6zoIKIAPKBoImj0kH5gAgIScP8iE2ABECAwYAA0aWQFBagIJ4YAckso+jwAAgAAWTBbgRn4 +YRJgAgIhMPli3WgAIC6wJ5UAY//bpasntABj/9PRDyuieimihKO7CbsR+GFvaAAgXnBoYm5pZOSl +nJfA0Q8ZteepOSmQ3WhANvSQX2ACAhEwckOfY//FLLKAKaKEo8z5zBEAAgIhMPhhG2gAIGZwaGIb +aGQg/wIAC/+9lRBj/5xln1Fj/5almCeEAGP/5qWaJ6UAY//epZuXsGP/16WcJ8QA0Q+lnSfVANEP +ANEPAAAAAAAAAAAAAAAAAAAgAxBADMAABiAHLFwgAxBECMAADCAHLFwgAxBIPMAAECAHK6wgAxBM +BsAAOCAHLcAgAxBQCMAAPCAHLFwgAxBUAsAAQCAHLcAgAxBYCMAARCAHLFwgAxBcCEAATCAHLOwg +AxBgCEAAUCAHLOwgAxBkCEAAVCAHLOwgAxBoCEAAWCAHLOwgAxBsIEAAXCAHLOwgAxBwIEAAbCAH +LOwgAxB0IEAAfCAHLOwgAxB4IEAAjCAHLOwgAxB8IEAAnCAHLOwgAxCAIEAArCAHLOwgAxCEIEAA +vCAHLOwgAxCIIEAAzCAHLOwgAxCMDEAA3CAHKrwgAxCQDEAA6CAHKrwgAxCUDEAA9CAHKrwgAxCY +DEABACAHKrwgAxCcDEABDCAHKrwgAxCgDEABGCAHKrwgAxCkDEABJCAHKrwgAxCoDEABMCAHKrwg +AxCsCEABPCAHLOwgAxCwCEABQCAHLOwgAxC0CEABRCAHLOwgAxC4EEABSCAHLOwgAxC8EEABUCAH +LOxiY204NDg1Nl9sb2Fkc2VxdWVuY2U6IFN0YXJ0ZWQKAGJjbTg0ODU2X2xvYWRzZXF1ZW5jZTog +VXBsb2FkIGltYWdlIHRvIFBIWSBvbi1jaGlwIG1lbW9yeQoAAAAAAABiY204NDg1Nl9sb2Fkc2Vx +dWVuY2U6IGRvbmUgbG9hZGluZyBpbWFnZSAoaSA9ICV1KQoAAAAAAAAAAAAAAAAAYmNtODQ4NTZf +bG9hZHNlcXVlbmNlOiBET1dOTE9BRCBGQUlMRUQgKGxvID0gJSN4LCBoaT0lI3gsIGNudD0ldSkK +AAAAAAAAAAAAAAAAAABiY204NDg1Nl9sb2Fkc2VxdWVuY2U6IERvd25sb2FkIGNvbXBsZXRlZCBh +ZnRlciAldSBsb29wcwoAAAAAAAAAaHdfY2w0NV9pbml0WyV1XSBhY2FwcyAlI3gKAAAAAABiY204 +NDg1Nl9pbml0WyV1XQoAAAAAAAAAAAAAAAAAAGh3X2JjbTg0ODU2X2xvd3Bvd2VyWyV1XTogZW5h +YmxlPSVkCgAAAAAAAAAAAAAAAGh3X2JjbTg0ODU2X2xvd3Bvd2VyWyV1XSwgZmFpbGVkIHRvIHNl +dCAzMC4weDQwMEEgYml0IDc7IDMwLjB4NDAwRSBiaXQ9MSBhZnRlciA1bXMsIHJlZz0leAoAAAAA +AGh3X2JjbTU0ODJfY2ZnbWRpWyV1XSBzZXR0aW5nIHR5cGUgJXUKAAAAAAAAAAAAAHJlbW92ZSBt +dWx0aWNhc3QgbWFjIFsleDoleDoleDoleDoleDoleF0gZnJvbSBUQ0FNCgAAAAAAAAAAAAAAAABp +cHY2X2pvaW5fZ3JwOiBpZCAldSwgcmVmX2NudCAldQoAAAAAAAAAAAAAAAAAAABkaGNwNl90aW1l +cl9jYjogcmVzZW5kaW5nIERIQ1A2U09MSUNJVCBhZ2FpbgoAAABkaGNwNl90aW1lcl9jYjogc2Vu +ZGluZyBESENQNlJFUVVFU1QKAAAAAAAAAAAAAABkaGNwNl90aW1lcl9jYjogc2VuZGluZyBESENQ +NlJFTkVXIHJlcXVlc3QKAAAAAABkaGNwNl90aW1lcl9jYjogc2VuZGluZyBESENQNlJFQklORCBy +ZXF1ZXN0CgAAAABkaGNwNl90aW1lcl9jYjogREhDUDZSRVBMWSByY3ZkLCBzdGF0ZSAldQoAAAAA +AABmYWlsZWQgdG8gcmVuZXcvcmViaW5kIGRoY3B2NiBhZGRyZXNzCgAAAAAAAAAAAAB0eXBlICV4 +LCB4aWQgJXgsIHR5cGVfeGlkICV4CgAAAGlwdjZfbXVsdGljYXN0X3F1ZXJ5X3RpbWVyX2NiIG5v +ZGVfaWQgJWQKAAAAAAAAAGlwdjZfZ2VuZXJhbF9xdWVyeV90aW1lcl9jYgoAAAAAYWRkIG11bHRp +Y2FzdCBtYWMgWyV4OiV4OiV4OiV4OiV4OiV4XSBpbiBUQ0FNCgAAY2huZXRfaXB2Nl9kYWRfY2I6 +IGhhbmRsZSAldSwgYWRkcl9pZCAldSwgYWRkciBzdGF0ZSAldQoAAAAAAAAAAGlwdjYgcHJlZmVy +cmVkIGFkZHIgWyUwNHggJTA0eCAlMDR4ICUwNHhdCgAAAAAAAFN0YXJ0aW5nIGFkZHIgdmFsaWRp +dHkgdGltZXIgZm9yICV1IHNlY29uZHMKAAAAAFZhbGlkaXR5IGV4cGlyZWQgZm9yIGFkZHJfaWQg +JXUKAAAAAAAAAAAAAAAAAAAAAHNlbmRpbmcgaXB2NiBlY2hvIHJlcGx5CgAAAAAAAAAAY2huZXRf +aXB2Nl9yc19vdXRwdXQ6IGwyZGV2X2ZjIDB4JXgKAAAAAAAAAAAAAAAAY2huZXRfaXB2Nl9uc19v +dXRwdXQ6IGwyZGV2X2ZjIDB4JXgsIGZsb3djX2lkIDB4JXgsIHZsYW4gZmxhZyAweCV4CgAAAAAA +AAAAAAAAAABjaG5ldF9pcHY2X25hX291dHB1dDogbDJkZXZfZmMgMHgleAoAAAAAAAAAAAAAAABj +aG5ldF9pcHY2X21sZHYyX3JlcG9ydF9vdXRwdXQ6IGwyZGV2X2ZjIDB4JXgKAABnYXRoZXJfdGFz +a3NfdG9fdHhfbGlzdDogdGFzayBpbiB1c2UgWyV1XQoAAAAAAABnYXRoZXJfdGFza3NfdG9fdHhf +bGlzdDogaWR4IFsldV0sIHRhc2sgZmlkIFsweCV4XSwgdGFzayBzdGF0ZSBbMHgleF0sIHRhc2sg +Y29ubiBbMHgleF0sIHRhc2sgZmZsYWdzIFsweCV4XSwgY29ubiBmaWQgWzB4JXhdLCBkZHAgWyVk +XQoAAAAAAAAAAABnYXRoZXJfdGFza3NfdG9fdHhfbGlzdDogdGFzayBbMHgleF0sIHN0YXRlIFsw +eCV4XSBvbiBjb25uIFsweCV4XSBub3QgdmFsaWQgdG8gZ2F0aGVyLCBza2lwcGluZwoAAAAAAAAA +AAAAAAAAAAAAZ2F0aGVyX3Rhc2tzX3RvX3R4X2xpc3Q6IHRhc2sgWzB4JXhdLCBzdGlsbCBxdWV1 +ZWQgb24gdHggcGVuZGluZyBsaXN0LiBSZW1vdmluZyBpdC4KAAAAAAAAAAAAAAAAZ2F0aGVyX3Rh +c2tzX3RvX3R4X2xpc3Q6IGNvbm5fZmMtPmZsb3djX2ZsYWdzIFsweCV4XSwgbGlzdF9lbXB0eSBb +MHgleF0sIGFkZF90YXNrX2NvdW50IFsweCV4XQoAdG9fdHhfbGlzdDogbm8gdGFzayB0byBjbG9z +ZSBmb3IgY29ubiBbMHgleF0sIGJhaWxpbmcgdG8gcmVjb3Zlcnkgc3RhdGUgWzB4JXhdCgBzZXRf +ZGlnZXN0OiB1cGxzdWJtb2RlOiV4CgAAAAAAAGF1dGhlbnRpY2F0ZV90YXJnZXQ6IEtFWV9DSEFQ +X1JFU1AgLSBbMHgleCV4JXgleCV4JXgleCV4XQoAAAAAAABhdXRoZW50aWNhdGVfdGFyZ2V0OiBL +RVlfQ0hBUF9SRVNQIC0gWzB4JXgleCV4JXgleCV4JXgleF0KAAAAAAAAYXV0aGVudGljYXRlX3Rh +cmdldDogSW5jb3JyZWN0IHBhc3N3b3JkCgAAAAAAAAAAQ0hBUF9DOiBkaWdlc3QgZXhwYW5zaW9u +IGVycm9yCgBDSEFQX046IFRhcmdldCB1c2VyaWQgbWlzbWF0Y2gKAENIQVBfUjogZGlnZXN0IGV4 +cGFuc2lvbiBlcnJvcgoAaVNDU0kgU2VjLXBhcmFtcyByZWNlaXZlZCBoYXZlIGVycm9ycyEhCgAA +AAAAAAAAVGFyZ2V0IG1vdmVkIHRlbXAuIGNvbm4gJXgsIHNlc3MgJXgKAAAAAAAAAAAAAAAATG9n +aW4gRmFpbGVkISEuIGNvbm5fZmMgWzB4JXhdLCBzZXNzX2ZjIFsweCV4XSwgc3RhdHVzX2NsYXNz +IFsweCV4XQoAAAAAAAAAAAAAAABQcm90b2NvbCBFcnJvciBjYml0ICVkIHRiaXQgJWQgY3NnICVk +IG5zZyAlZAoAAAByZWN2X25vcGluOiBjdHJsIHRhc2sgYWxyZWFkeSBwZW5kaW5nCgAAAAAAAAAA +AABvZmxkX3J4X2RhdGE6IGFpZWUsIGlzY3NpIGNvbm4gWzB4JXhdIGZvciBzZXNzIFsweCV4XSwg +dHlwZSBbMHgleF0gdHJhbnNpdGVkIGluIHRvZSBtb2RlLiBLaWNraW5nIHJlY292ZXJ5IAoAAAAA +b2ZsZF9yeF9kYXRhOiBjb25uIHRpZCBbMHgleF0sIHJ4X2RhdGEtPnNlcSBbMHgleF0sIHJ4X2Rh +dGEtPmxlbiBbMHgleF0sIHJ4X2RhdGEtPnN0YXR1cyBbMHgleF0KAAAAAAAAAAAAAAAAAAAAAG9m +bGRfcnhfZGF0YTogY3NrIHsgaWQgWzB4JXhdLCBjc29ja19vZmZzZXQgWzB4JXhdLCBkbGVuIFsw +eCV4XSB9CgAAAAAAAAAAAAAAAAAAYWN0X2VzdDogdGNiX2ZjIFsweCV4XSwgZmxvd2NfaWNvbm5f +ZmxhZ3MgWzB4JXhdCgAAAAAAAAAAAAAAAAAAAGFjdF9lc3RhYjogdGNiX2ZjLT5mbG93Y19idWYg +WzB4JXhdLCB0Y2JfZmMtPmZsb3djX3R5cGUgWzB4JXhdIHRjYl9mYy0+Zmxvd2Nfc3RhdGUgWzB4 +JXhdLCBucGFnZXMgWzB4JXhdLCBmbG93Y190cF9zbmRfbWF4IFsweCV4XQoAAAAAAAAAAAAAAAAA +AGFjdF9lc3RhYjogYXRpZCBbMHgleF0sIHRpZCBbMHgleF0sIG9wIFsweCV4XSwgcmN2X2lzbiBb +MHgleF0sIHNuZF9pc24gWzB4JXhdLCBjc29jay0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCB0Y3Bfb3B0 +IFsweCV4XSwgdGNiX2ZjLT5mbG93Y19pZCBbMHgleF0gCgAAAAAAAAAAAAAAAABjc2tfZmMtPmZs +b3djX2Nzb2NrX2Nvb2tpZSBbMHgleF0gCgAAAAAAAAAAAAAAAABuZXRfbDJkZXZfZmluZF9ieV9h +ZGRyNjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgbDJkYy0+bHBvcnQgWyV1XSwgbDJkX2Zj +LT5mbG93Y19pZCBbMHgleF0sIGFkZHIgWyUwNHg6JTA0eDolMDR4OiUwNHhdCgAAAAAAAGNobmV0 +X3F1ZXVlX3htaXQ6IGZjLT5mbG93Y19pZCBbMHgleF0sIGJ1Zl9sZW4gWzB4JXhdLCBidWZmZXJl +ZCBbMHgleF0sIGZpZm8ubnVtX2J5dGVzIFslMHhdCgAAAG5ldGlmX2RvX2RoY3B2Njogd3ItPnBh +cmFtLnZsYW5pZCBbJXVdLCBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X3ZsYW5kZXYgWzB4JXhd +CgAAAAAAAAAAAAAAAAAAAG5ldGlmX2RvX2RoY3B2NjogaXB2NiBub3QgZW5hYmxlZAoAAAAAAAAA +AAAAAAAAAGRoY3AgcmVzcCB0byBkcml2ZXIKAAAAAAAAAAAAAAAAbDNpbjZfZGV2X2NvbmZpZzog +d3ItPnBhcmFtLnZsYW5pZCBbJXVdLCBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X3ZsYW5kZXYg +WzB4JXhdCgAAAAAAAAAAAAAAAAAAbDNpbjZfZGV2X2NvbmZpZzogaXB2NiBub3QgZW5hYmxlZAoA +AAAAAAAAAAAAAAAAbmV0X2wzaW42X2Rldl9jb25maWc6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgl +eF0sIGFkZHJlc3MgYWxyZWFkeSB1c2VkIGJ5IHBvcnQgJWQsIGFkZHJfaWQgJWQKAAAAbmV0X2wz +aW42X2Rldl9jb25maWc6ICBhZGRyIFsweCUwNHglMDR4JTA0eCUwNHhdLCByZWZfY250IFsweCV4 +XSBpbiB1c2UKAAAAAAAAAABsM2luNF9kZXZfY29uZmlnOiB3ci0+cGFyYW0udmxhbmlkIFsldV0s +IGwyZGV2X2ZjLT5mbG93Y19uZXRfbDJkZXZfdmxhbmRldiBbMHgleF0KAAAAAAAAAAAAAAAAAABu +ZXRfbDNpbjRfZGV2X2NvbmZpZzogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgYWRkcmVzcyBh +bHJlYWR5IHVzZWQgYnkgcG9ydCAlZAoAAAAAAAAAAAAAAAAAAABuZXRfbDNpbjRfZGV2X2NvbmZp +ZzogIGFkZHIgWzB4JXhdLCBtYXNrIFsweCV4XSwgZ3cgWzB4JXhdLCByZWZfY250IFsweCV4XSBp +biB1c2UKAAAAAAAAAAAAAAAAAABsMmRldl9mYyBbMHgleCBdIEZhaWxlZCB0byBzdGFydCB0aW1l +ciBmb3IgaXB2NCBkYWQKAAAAAAAAAAAAAAAAd3JoX2NobmV0X2lmY29uZjogbDJkZXZfZmMtPmZs +b3djX2lkIFsweCV4XSwgbDJkZXZfZmMtPmZsb3djX3R5cGUgWyUweF0sIGlmY29uZl93ci0+c3Vi +b3AgWzB4JXhdCgAAAAAAAAAAAAAAAAAAAHdyaF9jaG5ldF9pZmNvbmY6IGwyZGV2X2ZjLT5mbG93 +Y19pZCBbMHgleF0sIHVua25vd24gc3Vib3AgWzB4JXhdCgAAAAAAAAAAAAAAAAAAd3JoX2NobmV0 +X2lmY29uZjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgcmMgJWQKAAAAAAAAAAAAAAAAAG5l +dGlmX2lwX2NvbmZsaWN0X3RpbWVyX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBleHBl +Y3RpbmcgdGltZXIgaGFuZGxlIFslZF0sIGJ1dCBnb3QgaGFuZGxlIFslZF0gZXhwaXJ5CgBuZXRp +Zl9pcF9jb25mbGljdF90aW1lcl9jYjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgaW5kZXZj +dHh0LT5zdGF0ZSBbJWRdLCBpbmRldmN0eHQtPnJldHJ5X2NudCBbJWRdCgAAAAAAAAAAbmV0aWZf +aXBfY29uZmxpY3RfdGltZXJfY2I6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGluZGV2Y3R4 +dCBbMHgleF0sIGluIGZyZWUgc3RhdGUKAAAAAAAAAAAAY21kaF9jaG5ldF9pZmFjZTogZmMgWzB4 +JXhdLCBmYy0+Zmxvd2NfaWQgWzB4JXhdLCBmYy0+Zmxvd2NfdHlwZSBbMHgleF0sIHAgWzB4JXhd +LCBsZW4xNiBbJXVdLCBsb2MgWzB4JXhdCgAAAAAAAGNtZGhfY2huZXRfaWZhY2U6bDJkZXZfZmMg +WzB4JXhdLCBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBsMmRldi0+Zmxvd2NfdHlwZSBbJXVd +LCBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X2ZsYWdzIFslMHhdCgAAAAAAY21kaF9jaG5ldF9p +ZmFjZTogcjJbMF06JXUgcjJbMV06JXUsIHN1Ym9wOjB4JXgKAAAAAAAAAAAAAAAAAAAAAGNtZGhf +Y2huZXRfaWZhY2U6IGwyZGV2X2ZjLT5mbG93Y19uZXRfbDJkZXZfZmxhZ3MgY2hhbmdlZCBmcm9t +IFslMHhdIHRvIFslMHhdLCByYyBbJWRdCgAAAAAAAAAAAGNobmV0X2wyZGV2X3VwX21iX2NiOiBy +YyBbJWRdLCBwb3J0IFsldV0sIHN0YXRlIFsldV0sIGNvb2tpZSBbMHgleF0KAAAAAAAAAAAAAAAA +ZGhjcF9wcm9jZXNzX2NiOiBsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBkaGN0eHQtPnN0YXRl +IFslMHhdLCBkaGN0eHQtPnJ0cnlfY250IFsldV0KAAAAAAAAAAAAZGhjcF90aW1lcl9jYjogREhD +UERJU0NPVkVSIHNlbnQsIGJ1dCBubyByZXBseSBmcm9tIGFueSBwb3NzaWJsZSBzZXJ2ZXIgb24g +dGhlIG5ldHdvcmsuIFJldHJ5aW5nIGFnYWluCgAAAAAAAAAAAGRoY3BfdGltZXJfY2I6IGwyZGV2 +X2ZjLT5mbG93Y19pZCBbMHgleF0sIHNlbmRpbmcgREhDUERJU0NPVkVSIGZvciBkaGN0eHQgWzB4 +JXhdIG9uIHBpZCBbJWRdCgAAAGRoY3BfdGltZXJfY2I6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgl +eF0sIERIQ1BPRkZFUiByZWNlaXZlZCBmb3IgZGhjdHh0IFsleF0gcGlkIFslZF0KAAAAAAAAAAAA +AGRoY3BfdGltZXJfY2I6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sICBESENQQUNLIHJlY2Vp +dmVkIGZvciBkaGN0eHQgWyV4XSwgcGlkIFslZF0KAAAAAAAAAAAAAGRoY3BfdGltZXJfY2I6IGwy +ZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGRoY3R4dC0+aXBhZGRyIFsweCV4XQoAAAAAAAAAAAAA +AAAAAAAAZGhjcF90aW1lcl9jYjogc3RhcnRpbmcgdGltZXIgZm9yIGxlYXNlIFsldV0gc2Vjb25k +cwoAAAAAAAAAAAAAAGRoY3BfdGltZXJfY2I6IGxlYXNlIHRpbWUgb2YgWyV1XSBzZWNvbmRzIGV4 +cGlyZWQsIHNlbmRpbmcgcmVuZXcgcmVxdWVzdAoAAAAAAAAAZGhjcF90aW1lcl9jYjogbDJkZXZf +ZmMtPmZsb3djX2lkIFsweCV4XSwgbm8gcmVwbHkgZnJvbSBkaGNwIHNlcnZlciwgdGltaW5nIG91 +dAoAAAAAAAAAAAAAAAAAAAAAYXV0aF9uZWdvX3NlY3VyaXR5OiBzZW5kX2ZsYWcgWzB4JXhdLCBh +dXRoX3BvbGljeSBbMHgleF0KAAAAAAAAAGF1dGhfbmVnb19zZWN1cml0eTogS0VZX0NIQVBfUkVT +UCAtIGhhc2hbMHgleCV4JXgleCV4JXgleCV4XQoAAABhdXRoX25lZ29fc2VjdXJpdHk6IEtFWV9D +SEFQX1JFU1AgLSBoYXNoWzB4JXgleCV4JXgleCV4JXgleF0KAAAAYXV0aF9uZWdvX3NlY3VyaXR5 +OiBLRVlfQ0hBUF9SRVNQIC0gZXJyb3IgZW5jb2RpbmcgdG8gaGV4CgAAAAAAAGF1dGhfbmVnb19z +ZWN1cml0eTogS0VZX0NIQVBfUkVTUCAtIGVsZW4gWzB4JXhdCgAAAAAAAAAAAAAAAAAAAABhdXRo +X25lZ29fc2VjdXJpdHk6IEtFWV9DSEFQX0NIQUwgLSBlcnJvciBlbmNvZGluZyB0byBoZXgKAAAA +AAAAYXV0aF9uZWdvX3NlY3VyaXR5OiBLRVlfQ0hBUF9DSEFMIC0gZWxlbiBbMHgleF0KAAAAAAAA +AAAAAAAAAAAAAGxvZ291dF90aW1lZG91dDogbG9nb3V0IHJlcXVlc3QgdGltZWRvdXQsIHBvc3Np +YmxlIG5ldHdvcmsgaXNzdWVzLiBGb3JjZWZ1bGx5IGJyZWFraW5nIHBhdGggZm9yIHNlc3MgWzB4 +JXhdCgAAAABwaW5nX3RhcmdldDogcGluZyB0aW1lb3V0LCBraWNraW5nIHJlY292ZXJ5IGZvciBz +ZXNzIFsweCV4XQoAAAAAY3NvY2tfZmFpbGVkOiBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgY3Nr +X2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIHNlc3NfZmMtPmZsb3djX2lkIFsweCV4XSwgc2Vzc19m +Yy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBldnQgWzB4JXhdCgAAAAAAAAAAAAAAY2huZXRfZmluZF9p +cDZfbDJ0X2VudHJ5OiBmaW5kIHByZWZpeCBtYXRjaCBbJTA0eCAlMDR4ICUwNHggJTA0eF0KAAAA +AAAAAAAAAAAAAABObyByb3V0ZXIgY29uZmlndXJlZCwgbDJkZXZfZmMtPmZsb3djX2lkIDB4JXgK +AAAKUm91dGVyIGxpZmUgJXUgZXhwaXJlZC4gZGVsZXRpbmcgcm91dGVyIFslMDR4ICUwNHggJTA0 +eCAlMDR4XQoAVXNpbmcgcm91dGVyIFslMDR4ICUwNHggJTA0eCAlMDR4XSB0byByZWFjaCBbJTA0 +eCAlMDR4ICUwNHggJTA0eF0KAAAAAAAAAAAAAAAAAABsMnRlbnQgWyUweF0sIGwydGVudC0+aWR4 +IFslZF0KAHJjIFslZF0sIGNza19mYyBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdCgAA +AAAAAAAAAAAAAAAAAABjc29ja19hbGxvYzogc3JjX2FkZHI6MHgleCwgZHN0X2FkZHI6MHgleAoA +AAAAAABjb25uZWN0aW9uIG92ZXIgaXB2NiwgbDJkZXYgZmxvd2NfaWQgMHgleAoAAAAAAABjc2tf +YWxrOiBJbnZhbGlkIGwyZGV2OjB4JXgKAAAAAGNza19hbGs6IG5leHQ6JXUsIGlkeDoldSwgdXNl +ZDoldSwgc3BvcnQ6JXUKAAAAAGNzb2NrX2FsbG9jOiBjc29ja19zcmNfYWRkcjoweCV4LCBjc29j +a19kc3RfYWRkcjoweCV4CgAAAAAAAAAAAABjc29ja19hbGxvYzogdHhfY2ggWzB4JXhdLCBscG9y +dCBbMHgleF0sIGNvb2tpZSBbJTA4eF0KAAAAAAAAAAAAY3NvY2tfYWxsb2M6IGF2YWlsYWJsZSBb +JXVdLCBuY3NvY2sgWyV1XSwgcG9zOmF0aWQgWzB4JXhdLCBjc2tfZmMgWzB4JXhdLCBjc2tfZmMt +PmZsb3djX2lkIFsweCV4XSwgc3BvcnQgWyV1XQoAAHJlY292ZXJ5X3RpbWVvdXQ6IHNlc3MgaWQg +WzB4JXhdIHN0YXRlIFsweCV4XSwgcmNvdW50IFslZF0sIGZsYWdzIFsweCV4XQoAAAAAAAAAcmVj +b3ZlcnlfdGltZW91dDogc2VzcyBpZCBbMHgleF0gaW4gbG9nb3V0LCBhYm9ydCB0aGUgY29ubmVj +dGlvbgoAAAAAAAAAAAAAAAAAAAByZWNvdmVyeV90aW1lb3V0OiBzZXNzX2ZjLT5mbG93Y19mb2lz +Y3NpX3Nlc3NfZmxhZ3MgWzB4JXhdLCBjb25uZWN0aW9uIHJlcXVlc3QgcGVuZGluZywgYmFpbGlu +ZyBvdXQKAAAAAAAAAAAAAAAAZm9pc2NzaTogUmVjb3ZlcnkgdGltZWQgb3V0IGFmdGVyIFsldV0g +cmV0cnksIGJhaWxpbmcgb3V0CgAAAAAAAFRDUCBjb25uIGVzdGFibGlzaG1lbnQgZmFpbGVkICVk +CgAAAAAAAAAAAAAAAAAAAGRpc2NvdmVyeV9kYXRhOiBzZXNzIHsgaWQgWzB4JXhdLCBmbGFncyBb +MHgleF0sIGJ1ZmZlcmVkIFsldV0uIH0KAAAAAAAAAAAAAAAAAAAAZGlzY292ZXJ5X2RhdGE6IHNl +c3MgeyBpZCBbMHgleF0gfSwgdWxwdHhjaCBbJXVdIG5vIGNyZWRpdHMgYXZhaWxhYmxlLCByZXNj +aGVkdWxpbmcgcmVxdWVzdC4KAAAASW52YWxpZCBvcGNvZGUgMHgleCBpbiBjdHJsIHBhdGgKAAAA +AAAAAAAAAAAAAAAARERQIGVycm9yIFsweCV4XSwgYWJvcnRpbmcgY29ubm4gWzB4JXhdCgAAAAAA +AAAAcnhfZGF0YV9kZHA6IFJlc3BvbmNlIHJlY2lldmVkIGZvciB0YXNrIFsweCV4XSB3aGlsZSBp +bnZhbGlkIHRhc2sgb3IgY29ubmVjdGlvbiBzdGF0ZS4gdGFzayBzdGF0ZSBbMHgleF0sIGNvbm4g +c3RhdGUgWzB4JXhdLCBjb25uIGZsYWdzIFsweCV4XQoAaWhkcjogUmVzcG9uY2UgcmVjaWV2ZWQg +Zm9yIHRhc2sgWzB4JXhdIHdoaWxlIGludmFsaWQgdGFzayBvciBjb25uZWN0aW9uIHN0YXRlLiB0 +YXNrIHN0YXRlIFsweCV4XSwgY29ubiBzdGF0ZSBbMHgleF0sIGNvbm4gZmxhZ3MgWzB4JXhdCgAA +AAAAAAAAaWhkcjogSW52YWxpZCB0YXNrIHN0YXRlIDB4JXggZm9yIHRhc2sgMHgleCwgaXR0IFsw +eCV4XSwgb3BjIFsweCV4XQoAAAAAAAAAAAAAAABwcm9jZXNzX3RtZl9yZXNwb25zZTogYnVmZmVy +ZWQgWzB4JXhdLCBpc3Rhc2tfZmMtPmZsb3djX2J1Zi0+c2NoZWRfbm9kZS5uZXh0IFsweCV4XSwg +aXN0YXNrX2ZjIFsweCV4XSwgaXN0YXNrX2ZjLT5mbG93Y19pZCBbMHgleF0KAAAAAAAAAAAAAAAA +AABwcm9jZXNzX3RtZl9yZXNwb25zZTogd3Igb3AgWzB4JXhdLCB0bWYgb3AgWzB4JXhdCgAAAAAA +AAAAAAAAAAAAdG1mX3Jlc3A6IGZsb3djOjB4JXgsIGlkOjB4JXgsIHN0YXRlOjB4JXgsIHlpZWxk +OjB4JXgKAAAAAAAAAAAAAHRtZl9yZXNwOiB0X3Rhc2s6MHgleCBmcmVlZC4KAAAAc2NzaV9jbWRf +cmVzcDogZmxvd2M6MHgleCwgaWQ6MHgleCwgc3RhdGU6MHgleCwgeWllbGQ6MHgleAoAAAAAAGRh +dGFfaW5fcmVzcDogZmxvd2M6MHgleCwgaWQ6MHgleCwgc3RhdGU6MHgleCwgeWllbGQ6MHgleAoA +AAAAAAByZXR1cm5fcGVuZGluZ190YXNrOiBjb29raWUgWzB4JTA4eF0sIFsweCUwOHhdCgByZXR1 +cm5fcGVuZGluZ190YXNrOiBkZWxheSBwcm9jZXNzaW5nLCBjb25uIGZsYWdzIFsweCV4XQoAAAAA +AAAAcmV0dXJuX3BlbmRpbmdfdGFzazogRG9uZSBzZW5kaW5nIHRhc2sgZXJyb3IgdG8gaG9zdCwg +dWxwdHhsZW4xNiBbJXVdCgAAAAAAAAAAAAByZXR1cm5fcGVuZGluZ190YXNrOiBkZXF1ZXVlIHRh +c2sgWzB4JXhdLCBzdGF0ZSBbMHgleF0gZnJvbSB0eF9saXN0CgAAAAAAAAAAAAAAAHJldHVybl9w +ZW5kaW5nX3Rhc2s6IGFsbCB0YXNrcyByZXR1cm5lZCwgcmVjb3Zlcnkgc3RhdGUgdHJhbnMgdG8g +WzB4JXhdCgAAAAAAAAAAY2xlYXJfZGRwX21hcDogaXN0YXNrX2ZjIFsweCV4XSwgaXN0YXNrX2Zj +LT5mbG93Y19pZCBbMHgleF0gYnVmZmVyZWQgJXUKAAAAAAAAAABjbGVhcl9kZHBfbWFwOiBpc3Rh +c2tfZmMtPmZsb3djX2l0YXNrX25wcG9kICV1LCBucHBvZCAldSwgcHBkYWRkciBbMHgleF0KAAAA +AAAAAGNsZWFyX2RkcF9tYXA6IGFsbCByZXR1cm5lZCB0YXNrcyBkZHAgY2xlYXJlZCwgcmVjb3Zl +cnkgc3RhdGUgdHJhbnMgdG8gWzB4JXhdCgAAd3JoX2ZvaXNjc2lfbm9kZTogbm9kZV93ci0+Zmxv +d2lkX2xlbjE2IDIgWyV4XQoAd3JoX2ZvaXNjc2lfY2hhcDogaWRfbGVuIFsleF0sIHNlY19sZW4g +WyV4XQoAAAAAd3JoX2ZvaXNjc2lfY2hhcDogdGd0X2lkX2xlbiBbJXhdLCB0Z3Rfc2VjX2xlbiBb +JXhdCgAAAAAAAAAAAAAAAHNlc3Npb25fYmxvY2s6IHNlc3NfZmMtPmZsb3djX2lkIFsweCV4XSwg +c2Vzc19mYy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBjb25uX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNv +bm5fZmMtPmZsb3djX3N0YXRlIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0sIGNza19m +Yy0+Zmxvd2Nfc3RhdGUgWzB4JXhdCgAAAAAAAAAAAAAAAAAAAHNlc3Npb25fdW5ibG9jazogc2Vz +c19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBzZXNzX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0sIGNvbm5f +ZmMtPmZsb3djX2lkIFsweCV4XSwgY29ubl9mYy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBjc2tfZmMt +PmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0KAAAAAAAAAAAAAAAA +AHN0YXJ0X2xvZ291dDogU2Vzcy1pZCBbMHgleF0gYWxyZWFkeSBsb2dnaW4gb3V0LgoAAAAAAAAA +AAAAAAAAAABwZWVyX2NvbjogY3NrX2ZjID0+IGZsb3dpZCBbMHgleF0sIGZsb3djX2J1ZiBbMHgl +eF0KAAAAAAAAAAAAAAAAYWxsb2Nfc2VzczogbG9naW5fcmV0cnkgWyVkXSwgcmVjb3ZfdGltZW91 +dCBbJWRdCgAAAAAAAAAAAAAAAAAAAGZvaXNjc2lfY3RybDogc3Vib3AgWzB4JXhdLCBzZXNzX3R5 +cGVfdG9fZXJsIFsweCV4XSwgc2Vzc190eXBlIFsweCV4XQoAAAAAAAAAAAAAZm9pc2NzaV9jdHJs +OiByZWNlaXZlZCBibG9ja2VkIGZyb20gZHJpdmVyLCB0cmlnZ2VyaW5nIHJldHVybiB0YXNrcyBu +b3cuCgAAAAAAAABXQVRDSERPR19kaXNwYXRjaCBjYXNlIHRvIGF2b2lkOiBDb3VudGVyX3dhdGNo +ZG9nICV1IENvdW50ZXJfc2NoZWQgJXUgCgAAAAAAAAAAAFdBVENIRE9HOiBkZXZpY2Ugc2h1dGRv +d24KAAAAAAAAV0FUQ0hET0c6IHBvcnRbJXVdIHBhdXNlIHdhdGNoZG9nIHRpbWVvdXQKAAAAAAAA +V0FUQ0hET0c6IGJ5cGFzcyB0aW1lb3V0CgAAAAAAAABXQVRDSERPRzogRkxSIC0gbm90IGltcGxl +bWVudGVkIHlldAoAAAAAAAAAAAAAAABXQVRDSERPRzogdGVtcGVyYXR1cmUgb2YgJWRDIGV4Y2Vl +ZHMgdGhyZXNob2xkIG9mICVkQwoAAAAAAAAAAAAAZmlsdGVyOiBwb3JncmFtbWluZyB0aWQgJXUg +KGxlIHRjYW0gaW5kZXggJXUpLi4uCgAAAAAAAAAAAAAAAAAAAGZpbHRlcjogcmVxdWVzdGluZyBj +b21wbGV0aW9uLi4uCgAAAAAAAAAAAAAAAAAAAGNobmV0X2lwdjZfbGlua19jaGFuZ2Vfbm90aWZ5 +OiBsMmRldl9mYyBbMHgleF0sIHN0YXR1cyAldQoAAAAAAABsMmRldl9zZW5kX3BvcnRfZXZlbnQ6 +IHdyIFsweCV4XSBwZW5kaW5nIG9uIHBvcnQgWyVkXSwgY3VycmVudCB0cnkgWyVkXQoAAAAAAAAA +AEZDT0UgRnJlZTogc3RpbGwgeWllbGRlZCB3aGVuIGZyZWVpbmcuLi5mbG93Y19pZCAleCBmbG93 +Y19mbGFncyAleCAKAAAAAAAAAAAAAAAARkMgeGNoZyBhbGxvYyBmYWlsZWQ6IGF2YWlsICVkCgBk +Y2J4X2llZWVfY21kaFsldV0gd3JpdGUgb25seSBwZXJtaXR0ZWQgb24gbG9jYWwgY29uZgoAAAAA +AAAAAAAAZGNieF9pZWVlX2NtZGhbJXVdIGNhbm5vdCB3cml0ZSAldSBhcHBzIChNQVg6ICV1KQoA +AAAAAAAAAAAAAAAAAGRjYnhfaWVlZV9jbWRoWyV1XSByZXF1ZXN0aW5nIERDQl9JRUVFX0NNRAoA +AAAAAGRjYnhfaWVlZV9jbWRoWyV1XSB1bmtub3duIGZlYXR1cmUgd3JpdGU6ICV1CgAAAGRjYnhf +aWVlZV9jbWRoWyV1XSB1bmtub3duIGZlYXR1cmUgcmVhZDogJXUKAAAAAEZDb0UgRERQIGZhaWxl +ZCA6IG94X2lkIDB4JXggcnhfaWQgMHgleAoAAAAAAAAAAEZDb0UgRERQIGZhaWxlZCA6IERkcFJl +cG9ydCAweCV4IERkcFZhbGlkIDB4JXgKAFBSTEkgUnNwIHRpbWVkb3V0IDogZmxvd2NfaWQgMHgl +eCBveF9pZCAweCV4IHJ4X2lkIDB4JXggCgAAAAAAAABjYW5ub3QgYWxsb2NhdGUgb2ZmbG9hZGVk +IGZpbHRlciBjb25uZWN0aW9uCgAAAABjYW5ub3QgYWxsb2NhdGUgb2ZmbG9hZGVkIGZpbHRlciBJ +UHY2IGNvbm5lY3Rpb24KAAAAAAAAAAAAAAAAAAAAZGlzcGF0Y2hfZGVmZXJyZWRfY2xhc3NfY2xh +c3Nfc2hhcGluZ1sldToldV06IGxpc3RfZW1wdHkKAAAAAAAAAGxvb3BiYWNrIGJ1ZmZlciBncm91 +cFsldV0gaXMgZGlzYWJsZWQKAAAAAAAAAAAAAGludmFsaWQgYnVmZmVyIGdyb3VwWyV1XSBjb25m +aWd1cmF0aW9uOiBtdHUgJXUgbHdtICV1IGh3bSAldSBkd20gJXUKAAAAAAAAAAAAAAAAZmMgJXUg +dmYgJXUgZ290IGl2Zj0weCV4LHJhbmdlOiAlI3gtJSN4ICgldS8ldSB1c2VkKQoAAAAAAAAAAAAA +AFZJICV1IGNhbm5vdCBnZXQgUlNTIHNsaWNlOiBObyBtb3JlIHNsaWNlcyBhdmFpbGFibGUgKHVz +ZWQgJXUvJXUpCgAAAAAAAAAAAAAAAAAAcGZuICV1IHZmbiAldSB3aXRoIHBvcnQgbWFzayAweCV4 +IGNhbm5vdCBhY2Nlc3MgcG9ydCAldSwgcmV0ICVkCgAAAAAAAAAAAAAAAAAAAABwZm4gJXUgdmZu +ICV1IGNvdWxkIG5vdCBhbGxvY2F0ZSB2aWlkLCByZXQgJWQKAABwZm4gJXUgdmZuICV1IGNvdWxk +IG1hcCB2aWlkICAweCV4IHRvIGZsb3djLCByZXQgJWQKAAAAAAAAAAAAAAAAcGZuICV1IHZmbiAl +dSBjb3VsZCBub3QgYWxsb2NhdGUgdXdpcmUgZnVuYyAlZCBtYWMgYWRkciwgcmV0ICVkCgAAAAAA +AAAAAAAAAAAAAABtaWlfaW5pdFsldV06IGFjYXBzIDB4JXgKAAAAAAAAAG1paV9mb3JjZV9zcGVl +ZFsldV06IHJjYXBzIDB4JXgKAAAAAAAAAAAAAAAAAAAAAG1paV9wZG93blsldV06IHBvd2VyZG93 +biBlbiAldQoAcG9ydF9jbWRfaGFuZGxlcjogdW5rbm93biB1LmRjYi50eXBlIDB4JXgKAAAAAAAA +cG9ydFsldToweCUwMng6MHglMDJ4XTogdW5rbm93biBhY3Rpb24gMHgleAoAAAAAcG9ydFsldTow +eCUwMng6MHglMDJ4XTogdW5rbm93biByZWFkIGFjdGlvbiAweCV4CgAAAAAAAAAAAAAAAAAAAGNw +bF9lcnJfbm90aWZ5OiB0aWQgJXUgY3BsIDB4JTA4eCUwOHgKAAAAAAAAAAAAAGNwbF9lcnJfbm90 +aWZ5OiB0aWQgJXUgY3BsIDB4JTA4eCUwOHggMHglMDh4JTA4eAoAAAAAAAAAAAAAAAAAAABjcGxf +ZXJyX25vdGlmeTogdGlkICV1IGxlbiAldQoAAEZDT0UgRnJlZTogc3RpbGwgeWllbGRlZCB3aGVu +IGZyZWVpbmcuLi5mbG93Y19pZCAleCBmbG93Y19mbGFncyAleCAKAAAAAAAAAAAAAAAARkNPRSBC +UCBXUiBFUlI6IFdSIHdpdGggY29va2llICV4JXggZXJyb3JlZCBiYWNrIAoAAAAAAAAAAAAAAAAA +AHNjc2lfYWJvcnQ6IEVudGVyaW5nIEFib3J0X3Rhc2ssIGJ1ZmZlcmVkIFsldV0KAHNjc2lfYWJv +cnQ6IHJjIFsweCV4XSByZWYgdGFzayBub3Qgb3V0c3RhbmRpbmcKAHNjc2lfYWJvcnQ6IGlkYXRh +LT5vcCBbMHgleF0sIGZsYWdzIFsweCV4XSwgZnVuYyBbMHgleF0sIGx1bl9pZHggWzB4JXhdCgAA +AAAAAAAAc2NzaV9hYm9ydDogd3ItPmlxaWQgWzB4JXhdLCBpc3Rhc2tfZmMtPmZsb3djX3NnZV9p +cWlkIFsweCV4XSwgaXN0YXNrX2ZjIHRhc2sgZmxhZ3MgWzB4JXhdCgAAAAAAc2NzaV9hYnJ0OnRh +c2sgZmxvd2NbMHgleF0sIHRtZl9saXN0X2VtcHR5OgoAAAAAc2NzaV9hYm9ydDogY29ubiBbMHgl +eF0sIGNtZHNuIFsweCV4XSwgc2VudF9jbWRzbiBbMHgleF0sIG1heF9jbWRzbiBbMHgleF0sIGl0 +dCBbMHgleF0KAAAAAAAAAAAAYWJvcnQvY2xvc2UgV1Igd2l0aCBjb29raWUgMHglbHggd2FzIGlz +c3VlZCBvbiBzc24gMHgleCBpbiB3cm9uZyBzdGF0ZSAweCV4CgAAAABhYm9ydCBXUiBvbiBzc24g +MHgleCBkaWQgbm90IGZpbmQgV1Igd2l0aCBjb29raWUgMHgleCV4CgAAAAAAAAAAY2xvc2UgV1Ig +d2l0aCBjb29raWUgMHglbHggb24gc3NuIDB4JXg7ZGlkIG5vdCBmaW5kIFdSIHdpdGggY29va2ll +IDB4JWx4CgAAAAAAAABhYm9ydCBXUiBvbiBzc24gMHgleCB3YXMgaXNzdWVkIG9uIHhjaGcgMHgl +eCB3aXRoIHJ4X2lkIDB4JXggaW4gd3Jvbmcgc3RhdGUgMHgleAoAAAAAAAAAAAAAAAAAAABzY3Np +X2x1cjogRW50ZXJpbmcgTFVSIGhhbmRsZXIsIGJ1ZmZlcmVkIFsldV0KAABzY3NpX2x1cjogaWRh +dGEtPm9wIFsweCV4XSwgZmxhZ3MgWzB4JXhdLCBmdW5jIFsweCV4XSwgbHVuX2lkeCBbMHgleF0K +AAAAAAAAAAAAAHNjc2lfbHVyOiB3ci0+aXFpZCBbMHgleF0sIGlzdGFza19mYy0+Zmxvd2Nfc2dl +X2lxaWQgWzB4JXhdLCBpc3Rhc2tfZmMgdGFzayBmbGFncyBbMHgleF0KAAAAAAAAAHNjc2lfbHVy +OiBjb25uIFsweCV4XSwgY21kc24gWzB4JXhdLCBzZW50X2NtZHNuIFsweCV4XSwgbWF4X2NtZHNu +IFsweCV4XSwgaXR0IFsweCV4XQoAAAAAAAAAAAAAAGRjYnhfYXBwbHlfYXBwX2NmZ1sldV1ORVcg +QVBQIFRMViAKAAAAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVB +VFVSRV9MSU5LVVAKAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gU0VUX0xPQ0FMX1BB +UkFNRVRFUlMKAAAAAAAAAAAAAABkY2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRV +UkVfTk9fQURWRVJUSVNFCgAAAAAAAAAAAAAAZGNieF9jZWVfZmVhX3NtWyV1XSBGZWF0dXJlWyV1 +XSBGRUFUVVJFX1BFRVJfTk9UX0FEVkVSVElTRV9EQ0JYCgAAAAAAAAAAAAAAAAAAAABkY2J4X2Nl +ZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfUEVFUl9OT1RfQURWRVJUSVNFX0ZFQVRV +UkUKAAAAAAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9V +UERBVEVfT1BFUl9WRVJTSU9OCgAAAABkY2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZF +QVRVUkVfUEVFUl9VUERBVEVfT1BFUl9WRVJTSU9OCgAAAAAAAAAAAAAAAAAAAGRjYnhfY2VlX2Zl +YV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9HRVRfUEVFUl9DRkcKAAAAAAAAAAAAAABkY2J4 +X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVfQ0ZHX05PVF9DT01QQVRJQkxFCgAA +AAAAZGNieF9jZWVfZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBGRUFUVVJFX1VTRV9MT0NBTF9DRkcK +AAAAAAAAAAAAAGRjYnhfY2VlX2ZlYV9zbVsldV0gRmVhdHVyZVsldV0gRkVBVFVSRV9VU0VfUEVF +Ul9DRkcKAAAAAAAAAAAAAABkY2J4X2NlZV9mZWFfc21bJXVdIEZlYXR1cmVbJXVdIEZFQVRVUkVf +RkVBVFVSRV9ESVNBQkxFRAoAAAAAAAAAZGNieF9jZWVfZmVhX3NtWyV1XSBGZWF0dXJlWyV1XSBG +RUFUVVJFX0VSUk9SX0NIQU5HRQoAAAAAAAAAAAAAAGRjYnhfaWVlZV9wcm9jZXNzWyV1XSByZWNl +aXZlZCBCV3MgZG8gbm90IGFkZCB1cCB0byAxMDAhCgAAAAAAAABXQVJOSU5HOiByZWNlaXZlZCBB +cHAgVExWIGNvbnRhaW5zIG1vcmUgdGhhbkZXIGNhbiBoYW5kbGUgKG1heDogJXU7IHRsdiBjb250 +YWluczogJXUKAAAAAAAAAAAAAABkY2J4X2llZWVfcHJvY2Vzc1sldV0gc3VidHlwZSAlI3ggcmVt +X2Z0X2NoYW5nZWQgJXUgc21fY2hhbmdlICV1CgAAAAAAAAAAAAAAAAAAAGRjYnhfaWVlZV9wcm9j +ZXNzWyV1XSBzdWJ0eXBlIHVua25vd24KAAAAAAAAAAAAAGRjYnhfcGFyc2VfcGt0WyV1XSBlcnJv +ciAlZAoAAAAAaXB2Nl9hZGRfcHJlZml4X2luX2xpc3Q6IG5vZGUgZm91bmQgMHgleAoAAAAAAAAA +aXB2Nl9hZGRfcHJlZml4X2luX2xpc3QsIG5vZGUgbm90IGZvdW5kCgAAAAAAAAAAY2huZXRfYXJw +X3VwZGF0ZV9jYWNoZTogYXJwIGlwNCBlbnRyeSBmb3VuZCAKAAAAY2huZXRfYXJwX3VwZGF0ZV9j +YWNoZTogYXJwIGlwNiBlbnRyeSBmb3VuZCAKAAAAY2huZXRfYXJwX3VwZGF0ZV9jYWNoZTogYm90 +aCBpcDQgYW5kIGlwNiBhZGRyIGNhbm5vdCBiZSBudWxsCgAAAGNobmV0X2wydF91cGRhdGU6IGwy +dF91cGRhdGUgcmVxdWVzdCBzZW50IGwydGVudCBbJTA4eF0sIGwydGVudC0+aWR4IFslZF0sIGwy +dGVudC0+dmxhbiBbJWRdCgAAAGNobmV0X2lwdjZfcmFfaW5wdXQ6IEludmFsaWQgUkEKAAAAAAAA +AAAAAAAAAAAAAGlwdjYgUkEgcmN2ZAoAAAByb3V0ZXIgbm90IHByZXNlbnQgaW4gb3VyIGxpc3Qu +IGFkZGluZyBpdAoAAAAAAABJbnZhbGlkIG9wdGlvbiBsZW5ndGggJXUgaW4gU0xMQSBvcHRpb24K +AAAAAAAAAABHT1QgU0xMQSBvcHRpb24gaW4gUkEsIGxlbiAldQoAAEludmFsaWQgb3B0aW9uIGxl +bmd0aCAldSBpbiBwcmVmaXggb3B0aW9uCgAAAAAAAEludmFsaWQgb3B0aW9uIGxlbmd0aCAldSBp +biBtdHUgb3B0aW9uCgAAAAAAAAAAAEludmFsaWQgcGFja2V0IHdpdGggJXUgZXh0cmEgYnl0ZXMK +AAAAAAAAAAAAAAAAAG1sZDYgcXVlcnkgcmN2ZAoAAAAAAAAAAAAAAAAAAAAAY2huZXRfaXB2Nl9t +bGQ2X3F1ZXJ5X2lucHV0OiBJbnZhbGlkIE1MRCBxdWVyeQoAVW5zdXBwb3J0ZWQgcXVlcnkgdmVy +c2lvbi4gb25seSBtbGR2MiBxdWVyeSBzdXBwb3J0ZWQKAAAAAAAAAAAAAHF1ZXJ5IHJlc3BvbnNl +IGRlbGF5ICV1IChpbiAxMG1zIHVuaXQpIAoAAAAAAAAAAGFscmVhZHkgYSBnZW5lcmFsIHF1ZXJ5 +IHBlbmRpbmcgaW4gJXUgKDEwbXMpCgAAAERlYnVnIHRoZSBjb2RlLiBncnBfbm9kZSBtdXN0IGJl +IHByZXNlbnQKAAAAAAAAAGFscmVhZHkgYSBtdWx0aWNhc3QgcXVlcnkgcGVuZGluZyBpbiAldSAo +MTBtcykKAGlwdjYgZWNobyByZXEgcmN2ZAoAAAAAAAAAAAAAAAAAREhDUHY2IHBhY2tldCB0eXBl +ICV1LCBvcHRzbGVuICV1IHJlY2VpdmVkCgAAAAAASW52YWxpZCBkaGNwIHN0YXRlICVkCgAAAAAA +AAAAAABJZ25vcmUgREhDUHY2IG1zZyB4aWQgJXgsICBkaDZjdHh0LT54aWQgJXgKAAAAAABFcnJv +ciBpbiBESENQdjYgb3B0aW9ucyBwYXJzaW5nLiBJZ25vcmluZyBtc2csIGkgJWQsIG9wdHNsZW4g +JWQKAAAAAAAAAAAAAAAAAAAAAERIQ1AgZmFpbGVkLCBzdGF0dXNjb2RlICVkLiBJZ25vcmluZyBh +ZHZlcnRpc2UKAGljbXA2IGNoZWNrc3VtIHZhbGlkYXRpb24gZmFpbGVkLCBvciBlcnIgcmN2ZGln +bm9yaW5nIGljbXA2IG1zZyAldSwgZGxlbiAldQoAAAAAbmV0aWZfcHJvY2Vzc19kaGNwOiBsMmRl +dl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBwcm9jZXNzaW5nLCBvcHRfbGVuICV1CgAAAAAAAAAAAABj +aG5ldF9kaGNwX3JlY3Y6IHZsYW5pZCBbJXVdLCBsMmRldl9waWRfZmMtPmZsb3djX25ldF9sMmRl +dl92bGFuZGV2IFsweCV4XSwgbDJkZXZfZmMgWzB4JXhdCgAAAABjaG5ldF9kaGNwX3JlY3Y6IGwy +ZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIGRoY3R4dC0+c3RhdGUgWyVkXSwgbWFsYWNpb3VzIGRo +Y3AgcmVjdiBmb3Igbm8gcmVxdWVzdAoAAAAAAAAAAAAAAAAAZGhjdHh0LT5zdGF0ZSA6ICVkCgAA +AAAAAAAAAAAAAABsMmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBCYWQgREhDUCBjb29raWUgcmVj +aWV2ZWQsIGFib3J0aW5nCgAAQ291bGQgbm8gYWxsb2NhdGUgcGNiISEgRnJlZWluZyBmY2YgISEh +CgAAAAAAAAAAdm5fcGFyc2UgdW5rbm93biBzdWJjb2RlICV1CgAAAAB2bl9wYXJzZSB1bmtub3du +IGR0eXBlICV1CgAAAAAAAGlnbm9yaW5nIGZpcCByZWN2IGZvciBwY2IgZmxvdzoleCBpbiBvZmZs +aW5lIHN0YXRlCgAAAAAAAAAAAAAAAABmaXBfdm4ydm5fcmVjdl9lcnIgCgAAAAAAAAAAAAAAAENv +dWxkIG5vdCBhbGxvY2F0ZSBmbG93YyEhISEKAAAAQ291bGQgbm90IGFsbG9jYXRlIFNDQiBmbG93 +YyEhISEKAAAAAAAAAAAAAAAAAAAAQ291bGQgbm90IGZpbmQgcmlnaHQgc2NiIGZvciBsb2dvCgAA +AAAAAAAAAAAAAAAAaWdub3JpbmcgZmlwIHJlY3YgZm9yIGZjZiBmbG93OiV4IGluIG9mZmxpbmUg +c3RhdGUKAAAAAAAAAAAAAAAAAENvdWxkIG5vdCBmaW5kIHJpZ2h0IHNjYiBmb3IgZmxvZ2kKAAAA +AAAAAAAAAAAAAHBvcnQgMHgleCwgc3RhdGUgMHgleCwgcmV0cnkgbm90IHN1cHBvcnRlZAoAAAAA +AEZsb2dpIHJlc3AgcmN2IHdpdGggdW5rbm93biB4Y2hnIG94X2lkJXggc2lkICUyeCUyeCUyeCBk +aWQgJTJ4JTJ4JTJ4CgAAAAAAAAAAAAAATl9QT1JUIDB4JXgleCV4IHJlamVjdGVkIFBMT0dJIHdp +dGggcmVhc29uIGNvZGUgJXgKAAAAAAAAAAAAAAAAAEFCVFMgd2hpbGUgYXdhaXRpbmcgUFJMSSBS +c3A6IGZsb3djX2lkIDB4JXggb3hfaWQgMHgleCByeF9pZCAweCV4IAoAAAAAAAAAAAAAAAAAQUJU +UyBmYWtlIFJzcDogbG9jIDB4JXggb3hfaWQgMHgleCByeF9pZCAweCV4CgAAbGxkcF9yeF9wa3Rf +aGFuZGxlclsldV0gZHJvcCBwcmUtaW5pdCAoY291bnQgPSAldSkKAAAAAAAAAAAAAAAAACV4JXgl +eCBSZWNpZXZlZCBMT0dPIGZyb20gJXgleCV4IAoAAAAAAAAAAAAAAAAAAEZhaWxlZCB0byBwb3N0 +IHhjaGcgZXJyOiBzc25pIDB4JXggY29va2llIDB4JWx4IHJ2YWwgJXggCgAAAAAAAAB0Y3BfcmVs +ZWFzZV90aWQ6IHRpZCBbMHgleF0sIGZsb3djIGZsYWdzIFsweCV4XSwgYnVmZmVyZWQgWzB4JXhd +CgAAAAAAAAAAAAAAAAAAAHRjcF9yZWxlYXNlX3RpZDogc2l6ZW9mKHRjYl9mYy0+Zmxvd2NfaWNv +bm4pIFsldV0sIGJ5dGVzCgAAAAAAAABhY3Rfb3Blbl9ycGw6IGF0aWQgWzB4JXhdLCB0aWQgWzB4 +JXhdLCB0Y2JfZmMtPnsgaWQgWzB4JXhdLCBzdGF0ZSBbMHgleF0sIHR5cGUgWzB4JXhdIH0sIGNw +bF9vcCBbMHgleF0sIHN0YXR1cyBbMHgleF0KAAAAAAAAAAAAAGFjdF9vcGVuX3JwbDogY3NrX2Zj +LT57IGlkIFsweCV4XSwgc3RhdGUgWzB4JXhdLCBjc29ja19mbGFncyBbMHgleF0gfSAKAAAAAAAA +AAAAYWN0X29wZW5fcnBsOiByZWN2ZCBuZWcgYWR2aWNlIFsweCV4XQoAAAAAAAAAAAAAc2VuZF9h +Ym9ydF9ycGw6IGNza19mYy0+Zmxvd2NfdHlwZSBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4 +JXhdLCB0aWQgWzB4JXhdLCB1bHB0eGNoIFsldV0sIGJ1ZmZlcmVkIFsldV0KAAAAAHdyaF9vZmxk +X3RjcF9jbG9zZV9jb25fcmVwbHk6IHRjYl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCB0Y2JfZmMtPmZs +b3djX3R5cGUgWzB4JXhdLCBsZW4xNiBbJXVdLCBsb2MgWyV1XQoAAAAAAAAAAAB3cmhfb2ZsZF90 +Y3BfY2xvc2VfY29uX3JlcGx5OiBycGwtPm9wX1RpZCBbMHgleF0sIHJwbD5zdGF0dXMgWzB4JXhd +LCBycGwtPnNuZF9ueHQgWzB4JXhdLCBycGwtPnJjdl9ueHQgWzB4JXhdCgAAdGNwX2Fib3J0X3Jw +bF9yc3M6IHRpZCBbMHgleF0sIHN0YXR1cyBbMHgleF0KAAAAdGNwX2Fib3J0X3JlcV9yc3M6IHRp +ZCBbMHgleF0sIHN0YXR1cyBbMHgleF0KAAAAb2ZsZF9hYm9ydF9yZXFfbmVnYWR2WyV1XTogd3Ig +MHglMDh4IGNwbF9hYm9ydF9yZXEgREVMSVZFUkVECgAAAGhvc3Rfd3JbJXVdOiB3ciAweCUwOHgg +Y3BsX2Fib3J0X3JlcSBzdGF0dXMgMHgleAoAAAAAAAAAAAAAAAAAAABwa3RzY2hlZF9jbF9ybFsl +dToldV06IG1vZGUgfCB1bml0IHwgcmF0ZSAweCUwNnggbWluICV1IG1heCAldSBwa3RzaXplICV1 +CgAAAAAAAHBhcmFtX2NobmV0WzB4JXg6MHgleF06IGNobmV0IDB4JXggcmVhZCAldSBwZiAldSBy +ZXQgJWQKAAAAAAAAAABwYXJhbV9kbWFxWzB4JXg6MHgleF06IGRtYXEgMHgleCByZWFkICV1IHBm +ICV1IHJldCAlZAoAAAAAAAAAAAAATUNbJXVdIGluaXRfc3RhdGVfbWFjaGluZSAweCUwMngKAAAA +AAAAAAAAAAAAAAAATUMgaW5pdGlhbGl6YXRpb24gbm90IGNvbXBsZXRpbmcsIE1DIGN1cnJlbnQg +aW5pdCBzdGF0ZSBpcyAweCUwMngKAAAAAAAAAAAAAAAAAABNQ1sldV0gX2h3X21jX2luaXRfbWMK +AAAAAAAAAAAAAF9od19tY19pbml0X21jOiBlcnJvciwgcmV0ICVkCgAAX2h3X21jX2luaXRfbWNf +ZnBnYVsldV06IGVycm9yICVkCgAAAAAAAAAAAAAAAAAAcGh5OiBmYWlsZWQgdG8gYWxsb2NhdGVk +IG1lbW9yeSBmb3IgcGh5IGZ3IGZpbGUsIHJldCAlZAoAAAAAAAAAAGh3X2xlX2ZpbHRlcl9jdHVw +bGU6IHR1cGxlICV1IG5vdCBzcGVjaWZpZWQgYnV0IHJlcXVpcmVkIGZvciBtYXNrIDB4JXgKAAAA +AAAAAAAAbGUgY29uZmlndXJhdGlvbjogaGFzaCByZWdpb24gdG9vIGxhcmdlIHRvIGVuYWJsZSBz +ZXJ2ZXIgc3JhbQoAAGxlIGNvbmZpZ3VyYXRpb246IGNhbm5vdCBlbmFibGUgc2VydmVyIHNyYW0g +d2hlbiBoYXNoIHJlZ2lvbiBpcyBkaXNhYmxlZAoAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6 +IHRpbWVyX3JzICV1dXMgdGltZXN0YW1wX3JlcyAldXVzIGRlbGF5ZWRhY2tfcmVzICV1dXMKAAAA +AABod190cF90Y3Bfc2V0dGluZ3NfdzogZGFja190aW1lciAldXVzIG1zbCAldXVzIHJ4dF9taW4s +bWF4ICV1LCV1dXMgcGVyc19taW4sbWF4ICV1LCV1dXMKAAAAAAAAAABod190cF90Y3Bfc2V0dGlu +Z3Nfdzoga2VlcF9pZGxlLGludHZsICV1LCV1cyBtYXhydHQgJXV1cyBpbml0c3J0dCAldXVzIGZp +bndhaXQyX3RpbWVyICV1dXMKAAAAAABod190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyBkYWNr +X3RpbWVyIGZyb20gJXUgdG8gJXUAAAAAAAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBp +bmcgbXNsIGZyb20gJXUgdG8gJXUAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgcnh0X21p +biBmcm9tICV1IHRvICV1AAAAAAAAAAAAAAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5n +IHJ4dF9tYXggZnJvbSAldSB0byAldQAAAAAAAAAAAAAAAABod190cF90Y3Bfc2V0dGluZ3Nfdzog +Y2FwcGluZyBwZXJzX21pbiBmcm9tICV1IHRvICV1AAAAAAAAAAAAAAAAaHdfdHBfdGNwX3NldHRp +bmdzX3c6IGNhcHBpbmcgcGVyc19tYXggZnJvbSAldSB0byAldQAAAAAAAAAAAAAAAGh3X3RwX3Rj +cF9zZXR0aW5nc193OiBjYXBwaW5nIGtlZXBfaWRsZSBmcm9tICV1IHRvICV1AAAAAAAAAAAAAABo +d190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyBrZWVwX2ludHZsIGZyb20gJXUgdG8gJXUAAAAA +AAAAAAAAaHdfdHBfdGNwX3NldHRpbmdzX3c6IGNhcHBpbmcgaW5pdF9zcnR0X21heHJ0dCBmcm9t +ICV1IHRvICV1AAAAAGh3X3RwX3RjcF9zZXR0aW5nc193OiBjYXBwaW5nIGluaXRfc3J0dF9pbml0 +c3J0dCBmcm9tICV1IHRvICV1AABod190cF90Y3Bfc2V0dGluZ3NfdzogY2FwcGluZyBmaW53YWl0 +Ml90aW1lciBmcm9tICV1IHRvICV1AAAAAAAAbGUgY29uZmlndXJhdGlvbjogbmVudHJpZXMgJXUg +cm91dGUgJXUgY2xpcCAldSBmaWx0ZXIgJXUgYWN0aXZlICV1IHNlcnZlciAldSBoYXNoICV1CgAA +AAAAAAAAAAAAbGUgY29uZmlndXJhdGlvbjogbmVudHJpZXMgJXUgcm91dGUgJXUgY2xpcCAldSBm +aWx0ZXIgJXUgc2VydmVyICV1IGFjdGl2ZSAldSBoYXNoICV1IG5zZXJ2ZXJzcmFtICV1CgAAAAAA +AAAAAAAAAGh3X3NnZV9xdWV1ZV9iYXNlX21hcFsldV06IGV4Y2VlZGVkIG51bWJlciBvZiBlZ3Jl +c3MgcXVldWVzLCAldQoAAAAAAAAAAAAAAAAAAAAAaHdfc2dlX3F1ZXVlX2Jhc2VfbWFwWyV1XTog +ZXhjZWVkZWQgbnVtYmVyIG9mIGluZ3Jlc3MgcXVldWVzIHdpdGggZnJlZWxpc3QgYW5kIGludGVy +cnVwdCwgJXUKAAAAaHdfc2dlX3F1ZXVlX2Jhc2VfbWFwWyV1XTogZXhjZWVkZWQgbnVtYmVyIG9m +IGluZ3Jlc3MgcXVldWVzLCAldQoAAAAAAAAAAAAAAAAAAABza3UoMHgleCk6IGN1c3RvbSBza3Ug +NDBHX1NPIGRvZXMgbm90IHN1cHBvcnQgZXh0bWVtCgAAAAAAAAAAAAAAc2t1KDB4JXgpOiBjdXN0 +b20gc2t1IDEwRyBkb2VzIG5vdCBzdXBwb3J0IDQwRyBwb3J0cwoAAAAAAAAAAAAAAHNrdSgweCV4 +KTogY3VzdG9tIHNrdSAxMEdfU08gZG9lcyBub3Qgc3VwcG9ydCA0MEcgcG9ydHMoJXUpIG9yIGV4 +dG1lbSgldSkKAAAAAAAAc2t1OiBjdXN0b20gc2t1KDB4JXgpIDQwRyBwb3J0cygldSkgZXh0bWVt +KCV1KSBzdXBwb3J0ZWQKAAAAAAAAAGNmX3BhcnNlOiBmaWxlIG1lbXR5cGUgMHgleCBtZW1hZGRy +IDB4JXggbWFwcGVkIEAgJXA6CgAAAAAAAAAAAABjb25maWd1cmVkIHdpdGggY2FwcyBuYm18bGlu +ayAweCUwOHggc3dpdGNofG5pYyAweCUwOHggdG9lfHJkbWEgMHglMDh4IGlzY3NpfGZjb2UgMHgl +MDh4CgAAAAAAAABuZXQgVkkgYWxsb2NhdGlvbiBmYWlsZWQgZm9yIGZjX2lkICV1IHdpdGggZXJy +b3IgJWQKAAAAAAAAAAAAAAAAbmV0IFZJIG1hYyBhZGRyZXNzIHByb2dyYW1taW5nIGZhaWxlZCBm +b3IgZmNfaWQgJXUgd2l0aCBlcnJvciAlZAoAAAAAAAAAAAAAAAAAAABuZXQgVkkgcnhtb2RlIHBy +b2dyYW1taW5nIGZhaWxlZCBmb3IgZmNfaWQgJXUgd2l0aCBlcnJvciAlZAoAAAAAbmV0IFZJIHJz +cyBpbmRpcmVjdGlvbiB0YWJsZSBwcm9ncmFtbWluZyBmb3IgZmNfaWQgJXUgZmFpbGVkIHdpdGgg +ZXJyb3IgJWQKAAAAAABuZXQgVkkgcnNzIGNvbmZpZyBjb21tYW5kIGZhaWxlZCBmb3IgZmNfaWQg +JXUgd2l0aCBlcnJvciAlZAoAAAAAbmV0IFZJIGNvbW1hbmQgZmFpbGVkIGZvciBmY19pZCAldSB3 +aXRoIGVycm9yICVkCgAAAAAAAAAAAAAAAAAAAHByb2dyYW1tZWQgSFcgdGFnbSBbMHglMDh4XSwg +SFcgcGdzeiBmYWN0b3IgWzB4JTA4eF0sIEZPaVNDU0kgdGFnbSBbMHglMDh4XSwgcnRhZ20gWzB4 +JTA4eF0sIG1heHN6X2JpdHMgWyV1XSwgc3pfYml0cyBbJXVdLgoAAAAAYmFzZSBbIDB4JTA4eF0s +IGxsaW1pdCBbMHglMDh4XSwgdWxpbWl0IFsweCUwOHhdLCBzaXplIFsldV0sIG1heF90eHN6IFsl +dV0sIG1heF9yeHN6IFsldV0sIGlvc2l6ZSBbJXVdCgAAAAAAAAAAAG5wcG9kcyBbJXVdLCBpZHhf +bWFzayBbMHglMDh4XSwgaWR4X2ZpcnN0IFsldV0sIGlkeF9sYXN0IFsldV0sIHNjc2lfcGxkX3Np +emUgWyV1XSwgQUxJR04oc2NzaV9wbGRfc2l6ZSwgMTYpIFsldV0sIHBwZF96b25lcyBbJXVdLgoA +AAAAAAAAAAAAAAAAAGZvaXNjc2lfaW5pdDogaW5pdF9kb25lOiV1LCBmb2lzY3NpX250YXNrczol +dSwgZm9pc2NzaV9uc2VzczoldSwgbmNzb2NrOiV1LCBuc3BvcnRzOiV1LCBmb2lzY3NpX25pbml0 +OiV1LCByYzolZAoAAAAAAAAAAAAAAAAAAAAAY2hfY2xfcmF0ZVsldS8ldV06IGNhcHBlZCBjbGFz +cyByYXRlIGZyb20gcmVxdWVzdGVkICV1IHRvIGNvbmZpZ3VyZWQgKGVmZmVjdGl2ZSkgY2hhbm5l +bCByYXRlICV1CgAAAAAAAAAAAAAAAAAAAGNoX2NsX3JhdGVbJXUvJXVdOiBpbmNyZWFzZWQgZGVm +aWNpdF9pbmNyIGZyb20gcmVxdWVzdGVkICV1IHRvIHJlcXVpcmVkIG1pbiBvZiAldTsgcmF0ZSAl +dSAoZWZmICV1KSBkZWZpY2l0X21heCAldQoAAAAAAAAAAAAAAAAAcGt0c2NoZWQgY2hhbm5lbCAl +dSBzZXRzIHNwZWVkIChmcm9tICV1KSB0byAldSBrYnBzCgAAAAAAAAAAAAAAAG5ldF9sMmRldl9u +b3RpZnk6IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIHBvcnQgWyVkXSwgZXZlbnQgWzB4JXhd +LCB1bHB0eGNoIFsldV0sIGNsYXNzIFsweCV4XSwgdnByaW8gWzB4JXhdLCB2aWQgWzB4JXhdLCB2 +aV9yZWFkeSBbJXVdCgAAAAAAAG5ldF9sMmRldl9ub3RpZnk6IHBnaWQgWzB4JXhdLCBwcmlvIFsw +eCV4XSwgY2ggWzB4JXhdCgAAAAAAAAAAAABbJXVdIHVuYWJsZSB0byBleGVjdXRlIGludGVybmFs +IERDQl9JRUVFX0NNRAoAAABkY2J4IHVwZGF0ZVsldV0gc2VudCB0byBkcml2ZXIgKHR5cGUgJSN4 +IHN1YnR5cGUgJSN4IGxvY2F0aW9uICV1IGZsb3djaWQgJXUpCgAAAHBvcnRbJXVdIHB0eXBlICV1 +IGxhbmUgJXU6IHJ4Y2ZnID0gJSN4CgAAAAAAAAAAAHBvcnRbJXVdIHB0eXBlICV1IGxhbmUgJXU6 +IHR4Y2ZnID0gJSN4CgAAAAAAAAAAAHBvcnRbJXVdIGxpbmsgZG93biAoJXUpIChsc3RhdHVzICUj +eCkKAAAAAAAAAAAAAGh3X2kyY190cmFuc2FjdGlvbjogbmRhdGEgJXUgYWRkcl9vcCAweCV4IGRh +dGFbMF0gMHgleCBkaWZmICV1CgBod19pMmNfdHJhbnNhY3Rpb246IG5kYXRhICV1IGFkZHJfb3Ag +MHgleCBkYXRhWzBdIDB4JXggZGlmZiAldSBkcG9zICV1IGNvbnQgJXUgZmFpbGVkIHdpdGggZXJy +ICVkCgAAAAAAAAAAAAAAAAAAaTJjIHRyYW5zYWN0aW9uIGZhaWxlZCB0byBjb21wbGV0ZQoAAAAA +AAAAAAAAAAAAaTJjIGVycm9yIGNhdXNlZCBieSBtb2R1bGUgdW5wbHVnCgAAAAAAAAAAAAAAAAAA +c2VuZHRvIHBlbmRpbmc6IHdyX3BlbmQgJXAgZm9yIHBvcnQgJXUsIHdhbnQgdG8gc2VuZCB0byBw +b3J0ICV1CgAAAAAAAAAAAAAAAAAAAABwb3J0WyV1XSB1cGRhdGUgKGZsb3djaWQgJXUgcmMgJXUp +CgAAAAAAAAAAAAAAAABwb3J0X3NldF9sb29wYmFjayBwb3J0ICUjeCBjdXJyZW50ICUjeCBtb2Rl +ICUjeAoAAAAAAAAAAAAAAAAAAAAAcG9ydFsldV0gc3BlZWQgdXBkYXRlOiAlI3gKAAAAAABwb3J0 +WyV1XSBiZWdpbm5pbmcgZGVib3VuY2UKAAAAAFFTRlAgbW9kdWxlIHVucGx1ZyAtIHJlaW5pdGlh +bGl6aW5nIHJ4X2xvcyAgdG8gMHhmZgoAAAAAAAAAAAAAAABncGlvX3FzZnBfbW9kdWxlX3VwZGF0 +ZTogY2hhbmdlZCByeF9sb3MgZnJvbSAweCV4IHRvIDB4JXgKAAAAAAAAZ3Bpb19xc2ZwX21vZHVs +ZV91cGRhdGU6IGNoYW5nZWQgdHhfZGlzIGZyb20gMHgleCB0byAweCV4CgAAAAAAAHBvcnRfbGlu +a19zdGF0ZV9oYW5kbGVyWyV1XSBwb3dlcmluZyBkb3duCgAAAAAAAHBvcnRfbGlua19zdGF0ZV9o +YW5kbGVyWyV1XSBwb3dlcmluZyB1cAoAAAAAAAAAAHBvcnRfbGlua19zdGF0ZV9oYW5kbGVyWyV1 +XSB1bmtub3duIHN0YXRlIChzdGF0ZSA9ICUjeCkKAAAAAAAAAABwb3J0X2xpbmtfc3RhdGVfaGFu +ZGxlcjogU29tZXRoaW5nIHdlbnQgdGVycmlibHkgd3JvbmcuIHJldCA9ICVkCgAAAAAAAAAAAAAA +AAAAAGh3X3NnZV9tYW1lbV9pbml0OiBlbmNvdW50ZXJlZCBlcnJvciAlZAoAAAAAAAAAAGxlIGlu +aXRpYWxpemF0aW9uOiBuZW50cmllcyAldSByb3V0ZSAldSBjbGlwICV1IGZpbHRlciAldSBhY3Rp +dmUgJXUgc2VydmVyICV1IGhhc2ggJXUKAAAAAAAAAAAAAGxlIGluaXRpYWxpemF0aW9uOiBuZW50 +cmllcyAldSByb3V0ZSAldSBjbGlwICV1IGZpbHRlciAldSBzZXJ2ZXIgJXUgYWN0aXZlICV1IGhh +c2ggJXUgbnNlcnZlcnNyYW0gJXUKAAAAAAAAAAAAAABod190cF9pbml0OiB0Y2IgcmVnaW9uIChz +dGFydCAweCUwOHMgc2l6ZSAldSkgbXVzdCBiZSBpbiBmaXJzdCAyNTZNQiBvZiBNQSBtZW1vcnkK +AAAAAAAAAAAAAAAAAABod190cF9pbml0OiBwZ21uZ3QgcmVnaW9uIChzdGFydCAweCUwOHMgc2l6 +ZSAldSkgbXVzdCBiZSBpbiBmaXJzdCAyNTZNQiBvZiBNQSBtZW1vcnkKAAAAAAAAAAAAAABod190 +cF9pbml0OiBUUCBwZ21uZ3QgaW5pdGlhbGl6YXRpb24gZGlkIG5vdCBjb21wbGV0ZQoAAAAAAAAA +AAAAYnVmbV9pbml0OiBuICV1IGJ1ZmxsNjRpbnRfc2l6ZSAweCV4CgAAAAAAAAAAAAAAYnVmbV9p +bml0OiBub3QgZW5vdWdoIG1lbW9yeSB0byBhbGxvY2F0ZSBpbnRlcm5hbCBidWZsbDY0IGJ1ZmZl +cnMKAAAAAAAAAAAAAAAAAABidWZtX2luaXQ6IG5vdCBlbm91Z2ggbWVtb3J5IHRvIGFsbG9jYXRl +IGJ1ZmxsNjQgYnVmZmVycwoAAAAAAAAAbWVtX2luaXRfYnVmOiBub3QgZW5vdWdoIG1lbW9yeSB0 +byBhbGxvY2F0ZSBmbG93IGJ1ZmZlcnMKAAAAAAAAAG1lbV9pbml0X2J1Zjogbm90IGVub3VnaCBt +ZW1vcnkgdG8gYWxsb2NhdGUgdGNiX2NhY2hlIChvZmZlcmVkICV1IHRyeWluZyB0byB1c2UgJXUg +YXZhaWxhYmxlICV1KQoAAAAAAAAAAAAAAAAAAABtcGFydGl0aW9uX290aGVyczogc3RhcnQgMHgl +MDh4IHNpemUgJXUgKHVudXNlZCAldSkKAAAAAAAAAAAAAAAAbXBhcnRpdGlvbl9vdGhlcnM6IHN0 +YXJ0IDB4JTA4eCBzaXplICV1ICh1bnVzZWQgJXUpCgAAAAAAAAAAAAAAAG1lbV9pbml0OiBFREMg +b3ZlcmNvbW1pdHRlZCBieSAlZCBieXRlcwoAAAAAAAAAAG1lbV9pbml0OiBub3QgZW5vdWdoIG1l +bW9yeSB0byBhbGxvY2F0ZSBmbG93IHRhYmxlCgAAAAAAAAAAAAAAAABjeGNuaWNfZGV2aWNlX2lu +aXQ6IGN4Y25pYyBbMHglMHhdLCBjeGNuaWMtPmZpbHRlciBbJTB4XQoAAAAAAAAAcG9mY29lIGlu +aXQgZG9uZQoAAAAAAAAAAAAAAAAAAABQb3J0WyV1XTogVW5rbm93biBTR01JSSBzdWItdHlwZSAl +I3gKAAAAAAAAAAAAAABQb3J0WyV1XTogVW5rbm93biBCVF9YRkkgc3ViLXR5cGUgJSN4CgAAAAAA +AAAAAABwb3J0X2luaXRbJXVdOiBwb3J0IHR5cGUgMHgleCBpcyBub3Qgc3VwcG9ydGVkCgBtcGFy +dGl0aW9uX2luaXQ6IG1vdmVkIHBtcnhfc3RhcnQgZnJvbSAweCUwOHggdG8gMHglMDh4IHRvIG1h +a2Ugcm9vbSBmb3IgTEUgSEFTSCBhbmQvb3IgVFAgVENCcwoAAAAAAAAAAAAAAAAAAAAAbXBhcnRp +dGlvbl9pbml0OiBtb3ZlZCBwbXJ4X3N0YXJ0IGZyb20gMHglMDh4IHRvIDB4JTA4eCAoRURSQU0p +CgAAAAAAAAAAAAAAAAAAAABFUSBwZm4gJXUgdmZuICV1OiBkZXN0cm95aW5nIGVxaWQgJXUgd2l0 +aCBwZW5kaW5nIFdSKHMpIChudW1fYnl0ZXMgJXUgYW5kIGZsYWdzIDB4JTA4eAoAAAAAAAAAAABs +MmRldl9mYy0+Zmxvd2NfaWQgWyV1XSwgbDJkYy0+cGZuIFsldV0sIGwyZGMtPnZmbiBbJXVdLCBs +MmRjLT5scG9ydCBbJXVdLCBsMmRldl9mYy0+Zmxvd2lkIFsldV0gbDJkYy0+dHhfY2ggWyV1XSwg +ZGV2LnZwZC5wb3J0dmVjIFsleF0KAAAAAAAAAABwb3J0dmVjIFsldV0KAAAAbDJkZXZfdmlfZnNt +OiBtYiBbMHgleF0sIGRlZmVycmVkLCBzdGF0ZSBbMHgleF0sIHBvcnQgWzB4JXhdCgAAAGwyZGV2 +X3ZpX2ZzbTogdmlpZCBbMHgleF0gcG9ydCBbMHgleF0sIG1hYy1pZCBbJTAyeDolMDJ4OiUwMng6 +JTAyeDolMDJ4OiUwMnhdLiAKAAAAAAAAAAAAAAAAAAAAAGwyZGV2X3ZpX2ZzbTogc2dlX2VxaWQg +WzB4JXhdLCBzZ2VfaXFpZCBbMHgleF0sIHNnZV9lcWNyIFsweCV4XSwgcnNzX3N6IFsweCV4XQoA +bDJkZXZfdmlfZnNtOiBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X210dSBbJXVdLCBtYl9zY3Jh +dGNoIFsweCV4XSwgcG9ydCBbMHgleF0KAAAAAAAAAAAAAAAAAAAAbDJkZXZfdmlfZnNtOiB2aWlk +IFslZF0sIHZpX2ZjLT5mbG93Y192aV9mbGFncyBbMHgleF0KAAAAAAAAAAAAAGwyZGV2X3ZpX2Zz +bTogcGZuIFsweCV4XSwgdmZuIFsweCV4XSwgbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgbHBv +cnQgWzB4JXhdLCB2aWlkIFsweCV4XSwgZmxhZ3MgWzB4JXhdCgAAAAAAAABsMmRldl92aV9mc206 +IEVycm9yIGZyZWVpbmcgVkksIHJjIFsweCV4XQoAAAAAAABsMmRldl92aV9mc206IHBpZCBbMHgl +eF0sIHZpaWQgWzB4JXhdLCBtYl9sb2MgWzB4JXhdLCBtYl9vcmlnWzB4JXhdLCBsMmRldl9mbGFn +cyBbMHgleF0sIHJjIFsweCV4XQoAAAAAAAAAAAAAAAAAQWggaGEuLi5kb3VibGUgZnJlZSBveF9p +ZCAweCV4LCByeF9pZCAweCV4CgAAAAAASG9zdCBQUkxJIFJlc3BvbnNlIHRpbWVkb3V0OiBveF9p +ZCAweCV4IHJ4X2lkIDB4JXgKAAAAAAAAAAAAAAAAAHBmbiAldSB2Zm4gJXUgdmlhIGNvbW1hbmQK +AAAAAAAARGVwcmVjYXRlZCBjb25maWcgb3B0aW9uIGZvdW5kIGluIGNvbmZpZyBmaWxlLiBJZ25v +cmluZy4uCgAAAAAAAGNvbmZpZ3VyYXRpb24gZmlsZSBwYXJzZXI6IHBsIHRpbWVvdXQgdmFsdWUg +aXMgdG9vIGxhcmdlLCBjaGFuZ2luZyBmcm9tICV1IHRvICV1dXNlY3MKAAAAAAAAAAAAAFBMX1BD +SUVfTElOSy5zcGVlZCBvZiAldSBpcyBub3Qgc3VwcG9ydGVkCmZpbGUsIHJldCBGV19FSU8KAAAA +AABzY2hlZF9pb3F0eF9icF9wcmlvcml0eTogaGFzICV1IGVudHJpZXMgb25seSwgcmVxdWlyZXMg +JXUgZW50cmllcwoAAAAAAAAAAAAAAAAAAHRwX2JhY2tvZmY6IHBhcnNlZCAlZCBpbnN0ZWFkIG9m +ICV1IGVudHJpZXMKAAAAAHRwX3RpbWVydmFsczogcGFyc2VkICVkIGluc3RlYWQgb2YgJXUgZW50 +cmllcwoAAHRwX3RpbWVycmVzOiBwYXJzZWQgJWQgaW5zdGVhZCBvZiAldSBlbnRyaWVzCgAAAHRw +X210dXMgaGFzICV1IGVudHJpZXMgb25seSwgcmVxdWlyZXMgJXUgZW50cmllcwoAAAAAAAAAAAAA +AAAAAAB0cF9tdHVzWyV1XSBpcyAldSBieXRlcyB3aGljaCBpcyBub3Qgc3VwcG9ydGVkCgBjb25m +aWd1cmF0aW9uIGZpbGUgcGFyc2VyOiBzZ2UgdGltZXIgdmFsdWVbJWRdIGlzIHRvbyBsYXJnZSwg +Y2hhbmdpbmcgZnJvbSAldSB0byAldXVzZWNzCgAAAAAAAABmaWx0ZXJtYXNrIDB4JXggaXMgbm90 +IGVxdWFsL3N1YnNldCB0by9vZiBmaWx0ZXJtb2RlCgAAAAAAAAAAAAAAaHdfbGVfY2xpcF9oYW5k +bGVyOiByZW1vdmVkIHBvcz0ldSAoPWlkeCAldSkKAAAAaHdfbGVfY2xpcF9oYW5kbGVyOiBhZGRp +bmcgdG8gcG9zPSV1ICg9aWR4ICV1KQoAbW9kdWxlWyV1XTogcG9ydCBtb2R1bGUgaW5zZXJ0ZWQg +YW5kIHJlYWR5CgAAAAAAbW9kdWxlWyV1XTogcG9ydCBtb2R1bGUgcmVtb3ZlZAoAAAAAAAAAAAAA +AAAAAAAAbW9kdWxlWyV1XTogdW5rbm93biBtb2R1bGUgaWRlbnRpZmllciAweCUwMngKAAAAbW9k +dWxlWyV1XTogZ3BpbyAldSB0cmFucyAxMEcgMHglMDJ4IDFHIDB4JTAyeCAobGVuZ3RoICV1KSBj +YWJsZSAweCUwMnggKGxlbmd0aCAldSkgbW9kdWxlX3R5cGUgMHglMDJ4CgAAAAAAAAAAAG1vZHVs +ZVsldV06IGdwaW8gJXUgdHJhbnMgMTBHIDB4JTAyeCAxRyAweCUwMnggKGxlbmd0aCAldSkgY2Fi +bGUgMHglMDJ4IChsZW5ndGggJXUpIG1vZHVsZV90eXBlIDB4JTAyeAoAAAAAAAAAAABjcl9tb2R1 +bGVfcnhfbG9zWyV1XTogcnhfbG9zIGNoYW5nZWQgdG8gJXUKAAAAAABNQzogZXhwZWN0ZWQgc3Rh +dGUgdG8gc3dpdGNoIHRvIENGRy4AAAAAAAAAAAAAAABNQzogZXhwZWN0ZWQgc3RhdGUgdG8gc3dp +dGNoIHRvIEFjY2Vzcy4AAAAAAAAAAABNQzogZXhwZWN0ZWQgc3RhdGUgdG8gc3dpdGNoIHRvIENG +Ry4AAAAAAAAAAAAAAABNQzogZXhwZWN0ZWQgc3RhdGUgdG8gc3dpdGNoIHRvIEFjY2Vzcy4AAAAA +AAAAAABJSS4xLmJ4IGRwMThbJXVdIHFbJXVdICUjeCAlI3ggJSN4ICUjeCBtaW4gJSN4IG1heCAl +I3gKAAAAAAAAAAAASUkuMS5jLWQuICUjeCAlI3ggJSN4ICUjeCBhbGwgICUjeAoAAAAAAAAAAAAA +AAAASUkuMi5iICglI3ggLSAlI3ggKyAlI3gpICUgMTI4ID0gJSN4CgAAAAAAAAAAAAAASUkuMyBp +bmV3XzFlIGFmdGVyIGxpbWl0IGNvbXB1dGUgaXRlbXBfMWUgJXgsIGluZXdfMWUgJXgKAAAAAAAA +AElJLjMuIGl0ZW1wXzFlICUjeCBpbmV3XzFlICUjeCBpbmV3XzFlICVkCgAAAAAAAElJLjQuIHNl +dF8xZSAlI3gKAAAAAAAAAAAAAAAAAAAATUM6IGNhbGlicmF0aW9uIGZhaWxlZCBmb3IgZXJyYXRh +MjkgZHAxOCAldQoAAAAASVYuMS4gZHAxOFsldV0gcGhhc2Vfc2VsIGJlZm9yZSAlI3ggYWZ0ZXIg +JSN4LCBnYXRlX2RlbGF5ICUjeAoAAE1DIGVycmF0YTI5IGlzc3VlOiBkcDE4ICV1IHF1YWQgJXUg +Y2Fubm90IGJlIGRlY3JlYXNlZAoAAAAAAAAAAABNQyBlcnJhdGEyOSBpc3N1ZTogZHAxOCAldSBx +dWFkICV1IGNhbm5vdCBiZSBkZWNyZWFzZWQKAAAAAAAAAAAATUMgZXJyYXRhMjkgaXNzdWU6IGRw +MTggJXUgcXVhZCAldSBjYW5ub3QgYmUgZGVjcmVhc2VkCgAAAAAAAAAAAE1DIGVycmF0YTI5IGlz +c3VlOiBkcDE4ICV1IHF1YWQgJXUgY2Fubm90IGJlIGRlY3JlYXNlZAoAAAAAAAAAAAB0ZW1wMl8x +ZSsweDEwID0gJSN4CgAAAAAAAAAAAAAAAE1DOiBjYWxpYnJhdGlvbiBmYWlsZWQgZm9yIGVycmF0 +YTIxIGl0ZXJhdGlvbiAldQoAAAAAAAAAAAAAAAAAAABNQyBlcnJhdGEgMjE6IGRwMThbJXVdIHBy +MCBuMDIgZmFpbGVkIHRvIGdldCBhdmVyYWdlCgAAAAAAAAAAAAAATUMgZXJyYXRhIDIxOiBkcDE4 +WyV1XSBwcjAgbjEzIGZhaWxlZCB0byBnZXQgYXZlcmFnZQoAAAAAAAAAAAAAAE1DIGVycmF0YSAy +MTogZHAxOFsldV0gcHIxIG4wMiBmYWlsZWQgdG8gZ2V0IGF2ZXJhZ2UKAAAAAAAAAAAAAABNQyBl +cnJhdGEgMjE6IGRwMThbJXVdIHByMSBuMTMgZmFpbGVkIHRvIGdldCBhdmVyYWdlCgAAAAAAAAAA +AAAATUMgaW5pdGlhbGl6YXRpb24gZmFpbGVkOiBERkkgaW5pdCBub3QgZ29pbmcgdG8gMAoAAAAA +AAAAAAAAAAAAAE1DIGluaXRpYWxpemF0aW9uIGZhaWxlZDogREZJIGluaXQgbm90IGNvbXBsZXRp +bmcKAAAAAAAAAAAAAAAAAABNQyBpbml0aWFsaXphdGlvbiBmYWlsZWQ6IENhbGlicmF0aW9uIGRp +ZG4ndCBjb21wbGV0ZS4KAAAAAAAAAAAARFAxOCAldSwgYnl0ZV9sYW5lICV1LCBiaXRfc2VsZWN0 +ICV1CgAAAAAAAAAAAAAARFAxOCAldSwgYnl0ZV9sYW5lICV1LCBiaXRfc2VsZWN0ICV1CgAAAAAA +AAAAAAAATUMgZmFpbGVkIHRvIGdldCBVUENUTCBwb3dlciB1cCBkb25lCgAAAAAAAAAAAAAATUMg +aW5pdGlhbGl6YXRpb24gZmFpbGVkOiBEaWRuJ3QgZ2V0IGFsbCBEUDE4cyBsb2NrZWQKAAAAAAAA +AAAAAE1DIGluaXRpYWxpemF0aW9uIGZhaWxlZDogRGlkbid0IGdldCBib3RoIEFEUnMgbG9ja2Vk +CgAAAAAAAAAAAABDdXJyZW50IFNsZXcgdHhfcm93ICVkOiB0eF9jb2wgJWQsIHZhbCAlZAoAAAAA +AABDdXJyZW50IFNsZXcgYWRkcl9yb3cgJWQ6IGFkZHJfY29sICVkLCB2YWwgJWQKAABNQyBpbml0 +aWFsaXphdGlvbiBmYWlsZWQ6IFNMRVdfRE9ORV9TVEFUVVMgbmV2ZXIgdG9nZ2xlZAAAAAAAAAAA +ZmxyX3BmdmZfZnNtWyV1OiV1XTogdW5rbm93biBzdGF0ZSAldQoAAAAAAAAAAAAAcGZuICV1IHZm +biAldSBpbiBkM2hvdCwgaWdub3JpbmcsIGQzaG90IDB4JTA4eCBQQ0lFX1NUQVQgMHglMDh4CgAA +AAAAAAAAAAAAAAAAAABodyBwZiBiaXRtYXAgMHglMDJ4IHZmaWQgYml0bWFwIDB4JTA4eDoweCUw +OHg6MHglMDh4OjB4JTA4eAoAAAAAYWZ0ZXIgdmZpZCBmaXh1cCwgdmZpZCBiaXRtYXAgMHglMDh4 +OjB4JTA4eDoweCUwOHg6MHglMDh4CgAAAAAAAE1DWyV1XTogZmFpbGVkIHRvIHN3aXRjaCBjb250 +cm9sbGVyIHRvIENGRyBzdGF0ZQoAAAAAAAAAAAAAAAAAAABNQ1sldV06IGZhaWxlZCB0byBzd2l0 +Y2ggY29udHJvbGxlciB0byBJTklUX01FTSBzdGF0ZQoAAAAAAAAAAAAATUNbJXVdOiBmYWlsZWQg +dG8gc3dpdGNoIGNvbnRyb2xsZXIgdG8gQ0ZHIHN0YXRlCgAAAAAAAAAAAAAAAAAAAE1DWyV1XTog +cGVyaW9kaWMgY2FsaWJyYXRpb24gZmFpbGVkIHdpdGggZXJyb3IgJXUKAAAAAAAAAAAAAAAAAAB0 +aW1lciBxdWV1ZSAldSBsb3N0IGEgdGljayEgbmV4dCAlcCBsYXN0ICVwIG51bWUgJXUKAAAAAAAA +AAAAAAAAZmxyX3RpbWVyX3N0YXJ0OiBmbG93Y19pZCAldSAlcCBidWYgJXAKAAAAAAAAAAAATUFD +OiBQTExzIGRpZG4ndCBsb2NrCgAAAAAAAAAAAABwY2llOiByZWFkIGZyb20gc2VyY2ZnIHBjaWVf +aXBfdXJfbWF4ZnVuYyAweCV4IHBmYml0bWFwIDB4JXgKAAAAcGNpZTogbnBmICV1IChwZmJpdG1h +cCAweCUwMngpIG52ZiAldSAocGYgMC4uNyAweCUwOHglMDh4KSB2ZnN0cmlkZSAldQoAAAAAAAAA +AABod19tYWNfcHJlcDogZXJyb3IsIHJldCAlZAoAAAAAAGh3X2dwaW9fcHJlcDogZXJyb3IsIHJl +dCAlZAoAAAAATUMgQ0xLIHNldHRpbmcgZmFpbGVkOiBQTExfTV9MT0NLIG5ldmVyIHRvZ2dsZWQK +AAAAAAAAAAAAAAAAAAAAAGZhaWxlZCB0byBmaW5kIHRoZSAlYyVjIFZQRCBwYXJhbWV0ZXIKAAAA +AAAAAAAAAGZhaWxlZCB0byBwYXJzZSB0aGUgJWMlYyBWUEQgcGFyYW1ldGVyCgAAAAAAAAAAAG1l +bV9wcmVwOiBlcnJvciwgcmV0ICVkCgAAAAAAAAAAZmFpbGVkIHRvIHN1Y2Nlc3NmdWxseSBmaW5k +IENoZWxzaW8gVlBECgAAAAAAAAAAdnBkX3ByZXA6IGVycm9yLCByZXQgJWQKAAAAAAAAAABzZXJj +ZmdfcHJlcDogZXJyb3IsIHJldCAlZAoAAAAAAGxvZyBpbml0aWFsaXplZCBAIDB4JTA4eCBzaXpl +ICV1ICgldSBlbnRyaWVzKSBmd3JldiAweCUwOHggcGNpZV9mdyAweCUwOHgKAAAAAAAAYm9vdHN0 +cmFwIGZpcm13YXJlIHRvb2sgJXUgbXNlY3MgdG8gcnVuCgAAAAAAAAAAUEkgZXJyb3IgZmxvd2lk +X2xlbjE2IDB4JXgsIGFwcF90YWcgMHgleCwgcmVmX3RhZyAweCV4LCBwaXNjICUwNHggJTA0eCAl +MDR4ICUwNHgKAAAAAAAAAAAAAAAAAAAAZmxvd2MgJXUgKFNHRSBlcWlkICV1KSAoRVRIQ1RSTCBx +dWV1ZSkgZXhwZXJpZW5jZWQgYSBQQ0kgRE1BIFJFQUQgd29yayByZXF1ZXN0IGVycm9yIChpbmJv +dW5kIHF1ZXVlICV1KQoAAAAAAAAAAGZsb3djICV1IChTR0UgZXFpZCAldSkgZXhwZXJpZW5jZWQg +YW4gdW5leHBlY3RlZCBQQ0kgRE1BIFJFQUQgd29yayByZXF1ZXN0IGVycm9yIChpbmJvdW5kIHF1 +ZXVlICV1KQoAAAAAAAAAAAAAAABmbG93YyAldSBleHBlcmllbmNlZCBhbiB1bmV4cGVjdGVkIFBD +SSBETUEgUkVBRCBlcnJvciAoaW5ib3VuZCBxdWV1ZSAldSkKAAAAAAAAAGdhdGhlcl90YXNrc19m +b3JfdG1mOiBpZHggWzB4JXhdLCB0YXNrLWlkIFsweCV4XSwgY21kLWlkIFsweCV4XSwgYWN0aXZl +IHRhc2tzIFsweCV4XS4gY29ubi1pZCBbMHgleF0sIGNtZCBjb25uLWlkIFsweCV4XSwgdGFzayBj +b25uLWlkIFsweCV4XQoAAGdhdGhlcl90YXNrc19mb3JfdG1mOiBJbnZhbGlkIHR5cGUgWzB4JXhd +LCBiYWlsaW5nIG91dC4KAAAAAAAAAABnYXRoZXJfdGFza3NfZm9yX3RtZjogdGFzayBpZCBbMHgl +eF0sIHN0YXRlIFsweCV4XSwgbGlkeCBbMHgleF0sIGNvb2tpZSBoaSBbMHglMDh4XSA6IGxvIFsw +eCUwOHhdCgAAAAAAAAAAAAAAAAAAZ2F0aGVyX3Rhc2tzX2Zvcl90bWY6IHJjIFsweCV4XSwgWzB4 +JXhdIHRhc2sgZ2F0aGVyZWQgZm9yIHRtZiB0eXBlIFsweCV4XSBwcm9jZXNzaW5nLgoAAAAAAAAA +AAAAc2NzaV9kYXRhX291dDogY29ubl9mYyBbMHgleF0sIHN0YXRlIFsweCV4XSwgc2Vzc19mYyBb +MHgleF0gaW4gcmVjb3ZlcnkuIFNraXBwaW5nIGlzdGFza19mYyBbMHgleF0gZnJvbSBUWC4KAAAA +AHNlbmRfbXNnX3BsZDogZmMgeyBpZCBbMHgleF0sIGZsYWdzIFsweCV4XSwgYnVmZmVyZWQgWyV1 +XS4gfQoAAABzZW5kX21zZ19wbGQ6IHNlc3MgeyBpZCBbMHgleF0gfSwgdWxwdHhjaCBbJXVdIG5v +IGNyZWRpdHMgYXZhaWxhYmxlLCByZXNjaGVkdWxpbmcgcmVxdWVzdC4KAAAAAABzZW5kX2Fib3J0 +X3JlcTogY3NrX2ZjLT5mbG93Y190eXBlIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19pZCBbMHgleF0s +IHRpZCBbMHgleF0sIHVscHR4Y2ggWyV1XSwgYnVmZmVyZWQgWyV1XQoAAAAAaHcgcmVnaXN0ZXIg +b3BlcmF0aW9uIG5vdCBjb21wbGV0aW5nLCByZWcgMHglMDh4IG1hc2sgMHglMDh4IHZhbHVlIDB4 +JTA4eCAocmVnIDB4JTA4eCkKAAAAAAAAAAAATURJTyBDTDQ1OiBmYWlsZWQgdG8gc2V0IHVwIE1N +RCBhZGRyCgAAAAAAAAAAAAAATURJTzogZmFpbGVkIHRvIHJlYWQKAAAAAAAAAAAAAABod19iY204 +NDg1Nl9jaGVjayBlbnRyeQoAAAAAAAAAAGh3X2JjbTg0ODU2X2NoZWNrIGxvb3AgJXUgKGNoZWNr +ICUjeCkKAAAAAAAAAAAAAGh3X2JjbTg0ODU2X2NoZWNrIHVwX3J1bm5pbmcgKGxvb3BfY250PSV1 +KQoAAAAAAGh3X2JjbTg0ODU2X2NoZWNrIGZhaWxlZCAoYmFkIENSQykKAAAAAAAAAAAAAAAAAFBI +WSBmaXJtd2FyZSBsb2FkIHN1Y2Nlc3NmdWwhICh3b3cuLi4pCgAAAAAAAAAAAE1ESU8gQ0w0NTog +ZmFpbGVkIHRvIHNldCB1cCBNTUQgYWRkcgoAAAAAAAAAAAAAAE1ESU86IGZhaWxlZCB0byB3cml0 +ZQoAAAAAAAAAAAAAbWlpX2Fkdl9mY1sldV06IHJjYXBzIDB4JXgKAAAAAABtaWlfYWR2X3NwZWVk +WyV1XTogcmNhcHMgMHgleAoAAG5ldGlmX3NldF9tYWM6IGwyZGV2X2ZjLT5mbG93Y19uZXRfbDJk +ZXZfbWJzIFsweCV4XQoAAAAAAAAAAAAAAAByZW1vdmluZyBtYWMKAAAAbm9kZS0+Z3JwIFslMDR4 +ICUwNHggJTA0eCAlMDR4XSwgbm9kZV9pZCAldSwgcmVmX2NudCAldQoAAAAAAAAAAERBRCBmb3Ig +YWRkciBbJTA0eCAlMDR4ICUwNHggJTA0eF0KAAAAAAAAAAAAAAAAAGNwbF90eF9wa3Q6IHZsYW5p +ZCBbMHgleF0KAAAAAAAAY3BsX3R4X3BrdDogdmxhbmlkIFsweCV4XQoAAAAAAABmbG93Y19pZCBb +JXVdIGwyZGV2X2ZjIFsweCV4XSBhbHJlYWR5IHJlY2VpdmVkIFJBLCBub3Qgc2VuZGluZyBSUwoA +AAAAAAAAAAAAAAAAAGZsb3djaWQgWyV1XSBsMmRldl9mYyBbMHgleF0gTm8gSVB2NiByb3V0ZXIK +AAAAAHNlbmRfY2xvc2VfcmVxOiBjc2tfZmMtPmZsb3djX3R5cGUgWzB4JXhdLCBjc2tfZmMtPmZs +b3djX2lkIFsweCV4XSwgY3NrX2ZjLT50Y2Jfc3RhdGUgWzB4JXhdCgAAAHNlbmRfY2xvc2VfcmVx +OiBjc2tfZmMtPmZsb3djX3R5cGUgWzB4JXhdLCBjc2tfZmMtPmZsb3djX2lkIFsweCV4XSwgdGlk +IFsweCV4XSwgdWxwdHhjaCBbJXVdLGJ1ZmZlcmVkIFsldV0KAAAAAABvZmxkX3RjcF9kb19hY3Rp +dmVfY2xvc2U6IGNza19mYyBbMHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMt +PnRjYl9zdGF0ZSBbMHgleF0KAAAAAABvZmxkX3RjcF9kb19hY3RpdmVfY2xvc2U6IGNza19mYyBb +MHgleF0sIGNza19mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPnRjYl9zdGF0ZSBbMHgleF0K +AAAAAABvZmxkX3RjcF9kaXNjb25uZWN0OiB0Y2JfZmMtPmZsb3djX2lkIFsweCV4XSwgY3NrX2Zj +LT5mbG93Y19pZCBbMHgleF0sIGNzay0+dGNiX3N0YXRlIFsweCV4XQoAAABkZWNvZGVfYmFzZTY0 +X3N0cmluZzogZGxlbiBbJWRdCgAAAAAAAAAAAAAAAAAAAABkZWNvZGVfaGV4X3N0cmluZzogZGxl +biBbJWRdCgAAAGZvaXNjc2lfdmFsaWRhdGVfbG9naW5fc3RhZ2U6IC0gMQoAAAAAAAAAAAAAAAAA +AGFzeW5jX3BkdTogbG9nb3V0IHJlcXVlc3RlZCBibG9ja2luZyBzZXNzaW9uCgAAAGFzeW5jX3Bk +dTogc2Vzcy9jb25uIGRyb3AgcmVxdWVzdGVkIGJsb2NraW5nIHNlc3Npb24KAAAAAAAAAAAAAABj +cGxfdHhfcGt0OiB2bGFuaWQgWzB4JXhdCgAAAAAAAHJlaW5pdCBsaW5rLWxvY2FsIGFkZHJlc3MK +AAAAAAAAbmV0X2wyZGV2X2ZpbmRfYnlfYWRkcjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwg +bDJkYy0+bHBvcnQgWyV1XSwgbDJkX2ZjLT5mbG93Y19pZCBbMHgleF0sIGwyZGMtPmluNF9kZXYu +aW5fYWRkci5hZGRyIFsweCV4XSwgYWRkciBbMHgleF0KAAAAbmV0X2wyZGV2X210dV9jb25maWc6 +IGwyZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIG10dSAldQoAAAAAAAAAAG5ldGlmX2RvX2RoY3A6 +IHdyLT5wYXJhbS52bGFuaWQgWyV1XSwgbDJkZXZfZmMtPmZsb3djX25ldF9sMmRldl92bGFuZGV2 +IFsweCV4XQoAY3BsX3R4X3BrdDogdmxhbmlkIFsweCV4XQoAAAAAAABlbmNvZGUgaGV4IHN0cmlu +ZzogZGxlbiBbJWRdCgAAAGNobmV0X2ZpbmRfbDJ0X2VudHJ5OiBkYWRkciBbJTA4eF0sIFsweCUw +OHhdLCBsb2NhbCBuZXR3b3JrIFslZF0KAAAAAAAAAAAAAAAAAAAAbDJ0ZW50IFslMHhdLCBsMnRl +bnQtPmlkeCBbJWRdCgB0Y3Bfc2VuZF9hb3Blbl9yZXE6IGNza19mYy0+Zmxvd2NfaWQgWzB4JXhd +LCBjc2tfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwgYnVmZmVyZWQgWyV1XSwgcmVzX2NudCBbMHgl +eF0sIGlxX2lkeCBbMHgleF0KAAAAAAAAAAAAAHRjcF9zZW5kX2FvcGVuX3JlcTogY3NrX2ZjLT5m +bG93Y19pZCBbMHgleF0sIGNza19mYy0+Zmxvd2Nfc3RhdGUgWzB4JXhdLCBubyB2YWxpZCBsMnRf +ZW50eS4gRGVsYXlpbmcgYW5vdGhlciByZXRyeSBmb3IgMSBzZWNvbmRzLgoAAAAAAAAAAAAAAAAA +AGFvcGVuX3JlcTogaHdfbGVfZmlsdGVyX2N0dXBsZSBmYWlsZWQKAAAAAAAAAAAAAG9mbGRfdGNw +X3NlbmRfYW9wZW5fcmVxOiBjcGxfcmVxLT5GaWx0ZXJfaGkgWzB4JTB4XSwgY3BsX3JlcS0+Rmls +dGVyX2xvX0ZDb0VNYXNrIFsweCUweF0sIGN0dXBsZXNbMF0gWzB4JXhdLCBjdHVwbGVzWzFdIFsw +eCV4XQoAbGFkZHJfcmV0OiBsMmRjLmFkZHIgOjB4JXgsIHNyY19hZGRyOjB4JXgKAAAAAAAAaXR0 +X3RvX3Rhc2tfaWR4OiB0YWcgWzB4JTA4eF0sIGhpIFsldV0sIGxvIFsldV0sIG5tYXNrYml0cyBb +JXVdLCB0YXNrX2lkeCBbJXVdCgBXQVRDSERPRzogTm8gdGVtcGVyYXR1cmUgc2Vuc29yIGF2YWls +YWJsZS4KAAAAAABXQVRDSERPRzogQWN0aXZhdGluZwoAAAAAAAAAAAAAAFdBVENIRE9HIC0gRW5h +YmxlIGFjdGlvbiAldSB0aW1lICV1CgAAAAAAAAAAAAAAAFdBVENIRE9HIC0gRGlzYWJsZSBhY3Rp +b24gJXUKAAAAV0FUQ0hET0c6IERlLWFjdGl2YXRpbmcKAAAAAAAAAABwb3J0WyV1XSBzZXQgUEFV +U0UgUEFSQU1TOiBwcHBlbiAldSB0eHBlICUjeCByeHBlICUjeAoAAAAAAAAAAAAAbXBzX2xpbmtf +dXBbJXVdIGFjYXBzICUjeCAoODAyLjMgJSN4KSArIGxwYWNhcHMgJSN4ID0+ICUjeAoAAAAAAGlw +djZfaGFuZGxlX2xpbmtfZG93biBmbG93Y19pZCAweCV4CgAAAAAAAAAAAAAAAGlwdjZfaGFuZGxl +X2xpbmtfdXAgZmxvd2NfaWQgMHgleAoAAAAAAAAAAAAAAAAAAGZvaXNjc2kgY29ubl9mYyBbMHgl +eF0sIGZsb3djX3NjaGVkY2wgWzB4JXhdLCBpbmdfY2ggWzB4JXhdLCBlZ3JfY2ggWzB4JXhdCgAA +AAAAbDJkZXZfbm90aWZ5IHdpdGggdW5rbm93biBmbGFnIFsweCV4XQoAAAAAAAAAAAAARkNvRSBG +Q0IgbGlua2Rvd246IGlvX3JlcSAweCV4JXggaXFpZCAweCV4IGZsb3dpZCAweCV4IG9wIDB4JXgK +AGZjX3NlbmRfYWxsb2NfY3BsOiBmYWlsZWQgdG8gc2V0dXAgZmlsdGVyIGN0dXBsZQoAAAAAAAAA +AAAAAAAAAABmY29lX2NvbXB1dGVfY3R1cGxlIDB4JXg6JXgKAAAAAGNvbXB1dGVfY3R1cGxlKCk6 +IGZhaWxlZCB0byBzZXR1cCBmaWx0ZXIgY3R1cGxlCgAAAAAAAAAAAAAAAAAAAABmY29lIG5vdGlm +eSA6IFVwZGF0ZSBuZXcgRENCWCB2YWx1ZXMgVkkgc3RhdGUgMHgleCBwcmkgMHgleCBzY2hlZGNs +IDB4JXggZGNieF9kb25lIDB4JXgKAAAAAAAAAABmY29lIG5vdGlmeSA6IEZDRiBmbG93aWQgMHgl +eCwgdWxwY2ggMHgleCAKAAAAAABmY29lIG5vdGlmeSA6IEZDb0UgTElOS1VQOiBwb3J0IDB4JXgs +IGV2ZW50IDB4JXgKAAAAAAAAAAAAAAAAAAAAZmNvZSBub3RpZnkgOiBGQ29FIExJTktET1dOOiBw +b3J0IDB4JXgsIGV2ZW50IDB4JXgKAAAAAAAAAAAAAAAAAGZjb2Ugbm90aWZ5IDogRENCWCA6IHBv +cnQgMHgleCwgcHJpb3JpdHkgMHgleCB1bHB0eGNoIDB4JXggY2xhc3MgMHgleAoAAAAAAAAAAAAA +Y2hfY2xfcmF0ZVsldS8ldV06IGNhcHBlZCBkZWZpY2l0X2luY3IgZnJvbSByZXF1aXJlZCAldSB0 +byAldTsgcmF0ZSAldSAoZWZmICV1KSBkZWZpY2l0X21heCAldQoARENCWDogc3VtIG9mIHJhdGVz +IG9uIGFsbCBjbGFzc2VzIG11c3QgYmUgMTAwIChhY3R1YWw6ICV1KQoAAAAAAFJERVYgbXNnIGZs +b3djOiV4IHN0YXRlIDB4JXggZXZlbnQgMHgleAoAAAAAAAAAAGNhbmNlbCBmY2I6JXggc2NiOiV4 +IHN0YXRlOiV4CgAARkNvRSBGQ0YgdGltZXI6IGZsb3djIHN0YXRlIDB4JXgsIHBvcnQgMHgleCAs +ZmNmIDB4JXgsIGZsb3djX2lkIDB4JXgKAAAAAAAAAAAAAAByaV93cl9pbml0WyV1XTogbXNzICV1 +IGlzIG5vdCA4LWJ5dGUgYWxpZ25lZAoAAABjb3JlX3Byb2dyYW1fdGNiOiB0aWQgJSN4IHRfc3Rh +dGUgJSN4IHJjdl9hZHYgMHglMDh4IHJjdl9zY2FsZSAlI3ggdHhfbWF4ICUjeCByY3Zfbnh0ICUj +eCBhdGlkICUjeAoAAAAAAAAAAAAAAAAACW9wdDAgJSN4JXggb3B0MiAlI3ggaXB2NiAlI3ggZmxh +Z3NfdGltZXIgMHglMDh4CgAAAAAAAAAAAAAAAAAAAG9mbGRfY29ubmVjdGlvbl93cjogY29ubmVj +dGlvbiB3aXRoIDUtdHVwbGUgbHAgMHglMDR4IGZwIDB4JTA0eCBsaXAgMHglMDh4JTA4eCBwaXAg +MHglMDh4JTA4eCBmaWx0ZXIgMHglMDh4IGV4aXN0cyBAIExFIGluZGV4ICV1CgAAAAAAAAAAAAAA +AAAAAG9mbGRfY29ubmVjdGlvbl93cjogY29ubmVjdGlvbiB3aXRoIDUtdHVwbGUgbHAgMHglMDR4 +IGZwIDB4JTA0eCBsaXAgMHglMDh4IHBpcCAweCUwOHggZmlsdGVyIDB4JTA4eCBleGlzdHMgQCBM +RSBpbmRleCAldQoAAAAAAAAAb2ZsZF9jb25uZWN0aW9uX3dyOiBjb25uZWN0aW9uIHdpdGggNS10 +dXBsZSBscCAweCUwNHggZnAgMHglMDR4IGxpcCAweCUwOHglMDh4IHBpcCAweCUwOHglMDh4IGZp +bHRlciAweCUwOHgKAAAAAG9mbGRfY29ubmVjdGlvbl93cjogY29ubmVjdGlvbiB3aXRoIDUtdHVw +bGUgbHAgMHglMDR4IGZwIDB4JTA0eCBsaXAgMHglMDh4IHBpcCAweCUwOHggZmlsdGVyIDB4JTA4 +eAoAAAAAAAAAAAAAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGlxaWQgJXUgdG9vIGxhcmdlICht +YXggJXUpCgAAAAAAAAAAAAAAAAAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBpcWlkICV1IG5vdCBh +bGxvY2F0ZWQKAAAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBmbDBpZCAldSB0b28gbGFyZ2UgKG1h +eCAldSkKAAAAAAAAAAAAAAAAAElRRkxJTlQgcGZuICV1IHZmbiAldTogZmwwaWQgJXUgbm90IGFs +bG9jYXRlZAoAAElRRkxJTlQgcGZuICV1IHZmbiAldTogZmwxaWQgJXUgdG9vIGxhcmdlIChtYXgg +JXUpCgAAAAAAAAAAAAAAAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGZsMWlkICV1IG5vdCBhbGxv +Y2F0ZWQKAABJUUZMSU5UIHBmbiAldSB2Zm4gJXU6IGZsMWlkICV1IGlzIHZhbGlkIGJ1dCBub3Qg +ZmwwaWQgJXUKAAAAAAAASVFGTElOVCBwZm4gJXUgdmZuICV1OiBmbDFpZCAldSBpcyB2YWxpZCBi +dXQgaGVhZGVyIHNwbGl0IGZlYXR1cmUgaXMgbm90IGVuYWJsZWQKAAAAAAAAAAAAAAAAAAAAaHdf +dWxwdHhfd29ya2Fyb3VuZF9wcjE2OTQ5X2VuYWJsZWRfcGY6IHBmICV1IGVuYWJsZWQgJXUKAAAA +AAAAAGh3X3VscHR4X3dvcmthcm91bmRfcHIxNjk0OV9lbmFibGVkX3ZmaWQ6IHZmaWQgJXUgZW5h +YmxlZCAldQoAAABFUSBwZm4gJXUgdmZuICV1OiBjcmVhdGluZyBFVEggZXFpZCAldSB3aXRoIHBl +bmRpbmcgV1IocykgKG51bV9ieXRlcyAldSBhbmQgZmxhZ3MgMHglMDh4CgAAAAAAAABFUSBwZm4g +JXUgdmZuICV1OiBjcmVhdGluZyBDVFJMIGVxaWQgJXUgd2l0aCBwZW5kaW5nIFdSKHMpIChudW1f +Ynl0ZXMgJXUgYW5kIGZsYWdzIDB4JTA4eAoAAAAAAABFUSBwZm4gJXUgdmZuICV1OiBlcWlkICV1 +IHRvbyBsYXJnZSAobWF4ICV1KQoAAABFUSBwZm4gJXUgdmZuICV1OiBlcWlkICV1IG5vdCBhbGxv +Y2F0ZWQKAAAAAAAAAABkY2J4X3BvcHVsYXRlX2N0cmwgY29kZSBpbmNvbXBsZXRlCgAAAAAAAAAA +AAAAAAB2aV90Y2FtX3JhdyBpZHggJXUgbWFjIDB4JTA0eCAlMDh4CgAAAAAAAAAAAAAAAABwb3J0 +X2JsaW5rX2xlZF9yZXN0b3JlCgAAAAAAAAAAAHBvcnRfYmxpbms6IGJsaW5rZHVyPTB4JXggYmxp +bmtfcmVmY250CgAAAAAAAAAAAHBvcnRfYmxpbms6IAlibGlua19yZWZjbnQ9MHgleAoAcG9ydF9i +bGluazogCWJsaW5rX3JlZmNudD0weCV4CgBtaWlfYW5yZXN0YXJ0WyV1XTogYWNhcHMgMHgleAoA +AHBvcnRfY21kX2hhbmRsZXI6IHVua25vd24gdS5kY2IudHlwZSAweCV4CgAAAAAAAHBvcnRbJXU6 +MHglMDJ4OjB4JTAyeF06IGwxY2ZnLCBpbnZhbGlkIHJlcXVlc3QsIHBjYXBzIDB4JXggYWNhcHMg +MHgleCByY2FwcyAweCV4CgAAAAAAAAAAAAAAAAAAAHBvcnRbJXU6MHglMDJ4OjB4JTAyeF06IGwx +Y2ZnLCBwY2FwcyAlI3ggYWNhcHMgJSN4IHJjYXBzICUjeCBtY2FwcyAlI3gKAAAAAAAAAAAAcG9y +dFsldToweCUwMng6MHglMDJ4XTogbDFjZmcsIG1kaSBpc3N1ZSBwY2FwcyAweCV4IGFjYXBzIDB4 +JXggcmNhcHMgMHgleAoAAAAAAABwb3J0WyV1OjB4JTAyeDoweCUwMnhdOiBsMWNmZywgY2Fubm90 +IGZvcmNlIG5vL211bHRpcGxlIHNwZWVkKHMpLCBwY2FwcyAweCV4IGFjYXBzIDB4JXggcmNhcHMg +MHgleAoAAAAAAAAAAAAAAAAAZXRoX2Zsb3djX2hhbmRsZXJbMHgleF06IGZsYWdzIDB4JTA4eCBu +dW1fYnl0ZXMgJXUgc2NoZWRjbCAweCV4IC0+IDB4JXgKAAAAAAAAAAB0YXNrX2lkeF90b19pdHQ6 +IHRhc2tfaWR4IFsldV0sIGhpIFsldV0sIGxvIFsldV0sIG5tYXNrYml0cyBbJXVdLCBzd190YWcg +WzB4JTA4eF0sIHBwb2RfaWR4IFslZF0KAAAAAAAAAAAAAAAAAAAAc2NzaV9jbWQ6IHJlY2VpdmVk +IFRNRiBvcCBbMHgleF0gZnVuYyBbMHgleF0gb24gY29ubiBbMHgleF0gdGhyb3VnaCBjb21tYW5k +IHBhdGguCgAAAAAAAAAAAAAAAAAAc2NzaV9jbWQ6IGNvbm5fZmMgWzB4JXhdLCBzdGF0ZSBbMHgl +eF0sIHNlc3NfZmMgWzB4JXhdIGluIHJlY292ZXJ5LiBTa2lwcGluZyBpc3Rhc2tfZmMgWzB4JXhd +IGZyb20gVFguCgAAAAAAAAAAAHNjc2lfcmVhZDogY29ubl9mYyBbMHgleF0sIHN0YXRlIFsweCV4 +XSwgc2Vzc19mYyBbMHgleF0gaW4gcmVjb3ZlcnkuIFNraXBwaW5nIGlzdGFza19mYyBbMHgleF0g +ZnJvbSBUWC4KAAAAAAAAAABzY3NpX3dyaXRlOiBjb25uX2ZjIFsweCV4XSwgc3RhdGUgWzB4JXhd +LCBzZXNzX2ZjIFsweCV4XSBpbiByZWNvdmVyeS4gU2tpcHBpbmcgaXN0YXNrX2ZjIFsweCV4XSBm +cm9tIFRYLgoAAAAAAAAAZGNieF9jb250cm9sX3NtWyV1XSBDT05UUk9MX0xJTktVUAoAAAAAAAAA +AAAAAAAAZGNieF9jb250cm9sX3NtWyV1XSBDT05UUk9MX1VQREFURV9EQ0JYX1RMVgoAAAAAZGNi +eF9jb250cm9sX3NtWyV1XSBDT05UUk9MX1BFRVJfTk9UX0FEVkVSVElTRV9EQ0JYCgAAAAAAAAAA +AAAAAGRjYnhfY29udHJvbF9zbVsldV0gQ09OVFJPTF9VUERBVEVfT1BFUl9WRVJTSU9OCgAAAAAA +AAAAAAAAAAAAAABkY2J4X2NvbnRyb2xfc21bJXVdIENPTlRST0xfUFJPQ0VTU19QRUVSX1RMVgoA +AABkY2J4X2NvbnRyb2xfc21bJXVdIENPTlRST0xfQUNLX1BFRVIKAAAAAAAAAAAAAABkY2J4X2ll +ZWVfdmFsaWRhdGVbJXVdIGVycm9yIChvdWkgJSN4IHN1YnR5cGUgJSN4IGxlbiAlI3gpCgAAAAAA +ZGNieF9jZWVfdmFsaWRhdGVbJXVdIGVycm9yCgAAAABjaG5ldF9sMnRfdXBkYXRlOiBsMmRldl9m +YyBbMHgleF0sIGwyZGV2X2ZjLT5mbG93Y19pZCBbJXVdIGwyZGV2X2ZjLT5mbG93Y19mbGFncyBb +MHgleF0sIGludGYgWzB4JXhdCgAAAAAAAAAAAAAAY2huZXRfbDJ0X3VwZGF0ZTogbDJkZXZfZmMt +PmZsb3djX2lkIFsldV0gYWxyZWFkeSBzY2hlZHVsZWQKAAAAAGNobmV0X2wydF91cGRhdGU6IGlu +IGRlbGF5ZWRfcHJvY2Vzc2luZywgbDJ0ZW50IFslMDh4XQoAAAAAAAAAAABESENQdjYgUkVQTFkg +cmVjZWl2ZWQgc3RhdGUgJXUKAGRoY3AgcmVwbHkgcmVjZWl2ZWQgaW4gd3Jvbmcgc3RhdGUgJWQK +AAAAAAAAAAAAAHVua25vd24gc2VydmVyaWQuIElnbm9yaW5nIGRoY3AgcmVwbHkKAAAAAAAAAAAA +AHJlY2VpdmVkIHJlcGx5IHdpdGggZGlmZmVyZW50IGFkZHJlc3MuIGlnbm9yaW5nIGRoY3AgcmVw +bHkKAAAAAABESENQdjYgQURWRVJUSVNFIHJlY2VpdmVkCgAAAAAAAGRoY3AgYWR2ZXJ0aXNlIHJl +Y2VpdmVkIGluIHdyb25nIHN0YXRlICVkCgAAAAAAAGlnbm9yaW5nIHJjdmQgYWR2ZXJ0aXNlIHBy +ZWZlcmVuY2UgJXUKAAAAAAAAAAAAAHByZWZpeCBub2RlIDB4JXgsIHZhbGlkX2xpZmV0aW1lICV1 +LCBjdXJyZW50X3RpbWUgJXUgZXhwaXJlZCwgZGVsZXRpbmcgaXQKAAAAAAAARGVsZXRlZCBwcmVm +aXg6IDB4WyUwNHggJTA0eCAlMDR4ICUwNHhdCgAAAAAAAAAAU3RhcnQgREhDUHY2IHRvIGdldCB0 +aGUgaXAgYWRkcmVzcwoAAAAAAAAAAAAAAAAATm8gZGhjcCwgZGhjcCBzdGF0ZSAlZCwgYWRkciBz +dGF0ZSAlZAoAAAAAAAAAAAAAcGluZyByZXEgcGF5bG9hZCB0b28gbGFyZ2UgJXUuIElnbm9yaW5n +IHJlcS4KAAAAUlIgcmN2ZAoAAAAAAAAAAGNobmV0X2lwdjZfcmRfaW5wdXQ6IEludmFsaWQgUmVk +aXJlY3QKAAAAAAAAAAAAAGNobmV0X2lwdjZfbmFfaW5wdXQ6IEludmFsaWQgTkEKAAAAAAAAAAAA +AAAAAAAAAGlwdjYgTkEgcmN2ZAoAAABjaG5ldF9pcHY2X25hX2lucHV0OiBEdXBsaWNhdGUgYWRk +cmVzcyBkZXRlY3RlZCEKAAAAAAAAAAAAAAAAAAAATkEgaW4gcmVwb25zZSBvZiBOUwoAAAAAAAAA +AAAAAABjaG5ldF9pcHY2X25zX2lucHV0OiBJbnZhbGlkIE5TCgAAAAAAAAAAAAAAAAAAAABjaG5l +dF9pcHY2X25zX2lucHV0OiBJbnZhbGlkIE5TIGlwdjZoLT5wbGVuICV1CgBpcHY2IE5TIHJjdmQK +AAAAY2huZXRfaXB2Nl9uc19pbnB1dDogRHVwbGljYXRlIGFkZHJlc3MgZGV0ZWN0ZWQKAAAAAAAA +AAAAAAAAAAAAAGNobmV0X2lwdjZfbnNfaW5wdXQ6IHNvbWVib2R5IHRyeWluZyB0byB1c2Ugb3Vy +IGFkZHJlc3MKAAAAAAAAAABjaG5ldF9pcHY2X25zX2lucHV0OiByZXEgZm9yIGFkZHIgcmVzb2x1 +dGlvbgoAAABIb3AgYnkgSG9wIG9wdGlvbgoAAAAAAAAAAAAAAAAAAHByb2Nlc3NfZGhjcF9vcHRz +OiByb290IHBhdGggbGVuIFslZF0gYnl0ZXMKAAAAAG5ldGlmX3Byb2Nlc3NfZGhjcF9vcHRzOiBs +MmRldl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBNU0dfVFlQRSBbJWRdLCBkaGN0eHQtPnN0YXRlIFsl +ZF0KAAAAAAAAAAAAAGljbXBfcmVjdjogbDJkZXZfZmMtPmZsb3djX2lkIFsweCV4XSwgcGlkIFsw +eCV4XSwgaWNtcCB0eXBlIFsweCV4XQoAAAAAAAAAAAAAAAAAdm4ydm46IHBvcnQgMHgleCBkaWQ6 +MHgleCV4JXggVVAKAAAAAAAAAAAAAAAAAAAAdm4ydm46IHBvcnQgMHgleCBkaWQ6MHgleCV4JXgg +RE9XTgoAAAAAAAAAAAAAAAAAZmNfc2VuZF9hbGxvY19jcGw6IGZhaWxlZCB0byBzZXR1cCBmaWx0 +ZXIgY3R1cGxlCgAAAAAAAAAAAAAAAAAAAGZjb2VfY29tcHV0ZV9jdHVwbGUgMHgleDoleAoAAAAA +Y29tcHV0ZV9jdHVwbGUoKTogZmFpbGVkIHRvIHNldHVwIGZpbHRlciBjdHVwbGUKAAAAAAAAAAAA +AAAAAAAAAGZjb2VfY29tcHV0ZV9jdHVwbGUgdmxhbiAleCB2aWlkICV4IHBvcnQgJXggbXBzX2lk +eCAleAoAAAAAAAAAAABBQlRTIEFDQyBhd2FpdGluZyBQUkxJIFJzcDogZmxvd2NfaWQgMHgleCBv +eF9pZCAweCV4IHJ4X2lkIDB4JXggaXFpZCAweCV4CgAAAAAAAHBvcnQgMHgleCwgc3RhdGUgMHgl +eCwgY29tbWFuZCBmYWlsZWQgcmV0cmllcyAweCV4CgAAAAAAAAAAAAAAAABhcnBfcmVjdjogaXBp +ZCBbMHgleF0sIGluX2FkZHIuYWRkciBbMHgleF0sIHNpcCBbMHgleF0sIHJpcCBbMHgleF0sIGFy +cF9vcCBbMHgleF0KAAAAAAAAAAAAAAAAAABjaG5ldF9hcnBfcmVjdjogaXAgY29uZmxpY3QgZGV0 +ZWN0ZWQKAAAAAAAAAAAAAABjaG5ldF9hcnBfcmVjdjogcGlkIFsldV0sIHZsYW4gWzB4JXhdLCBh +cnAgb3AgWzB4JXhdLCBzaXAgWzB4JXhdLCByaXAgWzB4JXhdCgAAAGNobmV0X2lwdjZfcmVjdjog +dmxhbiBleHRyYWN0ZWQsIHZsYW5pZCBbJXVdLCBsMmRldl9mYy0+Zmxvd2NfbmV0X2wyZGV2X3Zs +YW5kZXYgWzB4JXhdCgAAAAAAAAAAAEludmFsaWQgZGF0YSBsZW5ndGggZGxlbiAldSwgcGFja2V0 +IGluZGljYXRlcyAldSBieXRlcwoAAAAAAAAAAABVbmtub3duIElQdjYgbnh0IHByb3RvY29sICV1 +CgAAAGNzb2NrX2ZyZWU6IHNpemVvZihjc2tfZmMtPnUuY3NvY2spIFsldV0sIGJ5dGVzCgAAAAAA +AAAAAAAAAAAAAABHb3QgQ09OTl9FWElTVCBmb3IgeGlkOjB4JXgsIHRhZzoweCV4LCByZXRyeWlu +Zy4KAAAAAAAAAAAAAAAAAAAAY3NvY2tfcGVlcl9jbG9zZTogY3NrX2ZjLT5mbG93Y19pZCBbMHgl +eF0sIHRjYl9mYy0+Zmxvd2NfaWQgWzB4JXhdLCBjc2tfZmMtPmZsb3djX3N0YXRlIFsweCV4XSwg +dGNiX2ZjLT5mbG93Y19zdGF0ZSBbMHgleF0KAAAAAABjc29ja19wZWVyX2Nsb3NlOiBjc2tfZmMt +PmZsb3djX2lkIFsweCV4XSwgY3NrX2ZjLT5mbG93Y19zdGF0ZSAgWzB4JXhdCgAAAAAAAAAAAHRj +cF9jbHNfYWJydF9ycGw6IHRjYiB0aWQgWzB4JTA2eF0sIGZsb3djX3R5cGUgWzB4JXhdLCBjcGxv +cCBbMHgleF0gCgAAAAAAAAAAAAAAY2hfcmF0ZVsldV06IGNhcHBlZCB0aWNrIGZyb20gcmVxdWly +ZWQgJXUgdG8gc3VwcG9ydGVkICV1OyByYXRlICV1IChlZmYgJXUpIGRlZmljaXRfaW5jciAldSB0 +aWNrICV1CgAAAAAAAAAAAAAAAHBrdHNjaGVkX2NoX3JsWyV1XTogY2hhbm5lbCBybCBub3QgYXZh +aWxhYmxlIGluIGNvbmp1bmN0aW9uIHdpdGggZmxvdyBzaGFwaW5nCgAAcGt0c2NoZWRfY2hfcmxb +JXVdOiByYXRlICV1IG1heCAldQoAAAAAAAAAAAAAAAAAcGt0c2NoZWRfY2xfd3JyWyV1OiV1XTog +d2VpZ2h0ICV1CgAAAAAAAAAAAAAAAAAAZXFfcGFyYW1zWzB4JXg6MHgleF06IGRtYXEgMHgleCBy +ZWFkICV1IHBmICV1IGVxaWRfYXBpICV1IHJldCAlZAoAAAAAAAAAAAAAAAAAAAB3YWl0X2Zvcl9j +YWxpYl9kb25lOiByZXQgJWQgaW4gJXUgYXR0ZW1wdHMKAAAAAABod19tYV9hZGRyX3RvX21lbV90 +eXBlX29mZjogTUEgYWRkcmVzcyAweCUwOHggaXMgbm90IG1hcHBlZAoAAAAAaHdfbWFfYWRkcl90 +b19tZW1fdHlwZV9vZmY6IE1BIGFkZHJlc3MgMHglMDh4IG1hcHMgdG8gdHlwZSAldSBvZmZzZXQg +MHgleAoAAAAAAABtZW1fbWFsbG9jX3RlbXA6IGZhaWxlZCB0byBhbGxvY2F0ZSAldSBieXRlcywg +cmV0dXJuaW5nIE5VTEwKAAAAbWVtX21hbGxvYzogZmFpbGVkIHRvIGFsbG9jYXRlICV1IGJ5dGVz +LCByZXR1cm5pbmcgTlVMTAoAAAAAAAAAAGxlIGNvbmZpZ3VyYXRpb246IGhhc2ggbW9kZSByZXF1 +aXJlcyBhdCBsZWFzdCAxNiBlbnRyaWVzLCBuaGFzaCAldQoAAAAAAAAAAAAAAAAAbGUgY29uZmln +dXJhdGlvbjogaGFzaCBtb2RlIHJlcXVpcmVzIGF0IGVudHJpZXMgdG8gYmUgYSBwb3dlciBvZiAy +LCBuaGFzaCAldQoAAABsZSBjb25maWd1cmF0aW9uOiByZXF1ZXN0ZWQgJXUgdGNhbSBlbnRyaWVz +IGJ1dCBvbmx5ICV1IGF2YWlsYWJsZSAobnJvdXRlICV1IG5jbGlwICV1IG5maWx0ZXIgJXUgbnNl +cnZlciAldQoAAAAAbGUgY29uZmlndXJhdGlvbjogdGNhbSByZWdpb25zIG11c3QgaGF2ZSBtdWx0 +aXBsZSBvZiAzMiBlbnRyaWVzLCBucm91dGUgJXUgbmNsaXAgJXUgbmZpbHRlciAldSBuc2VydmVy +ICV1CgAAAAAAAGh3X3RwX3RjcF90dW5pbmdzOiB0dW5pbmcgZm9yIGNsdXN0ZXIgZW52aXJvbm1l +bnQKAAAAAAAAAAAAAAAAAABod190cF90Y3BfdHVuaW5nczogdHVuaW5nIGZvciBMQU4gZW52aXJv +bm1lbnQKAABod190cF90Y3BfdHVuaW5nczogdHVuaW5nIGZvciBXQU4gZW52aXJvbm1lbnQKAABo +d190cF90Y3BfdHVuaW5nczogbWFudWFsIHR1bmluZwoAAAAAAAAAAAAAAAAAAABfaHdfY2ltX2Zs +YXNoX21lbWNweTogbWVtY3B5WCBzdGFydAoAAAAAAAAAAAAAAABfaHdfY2ltX2ZsYXNoX21lbWNw +eTogZHN0IDB4JTA4IG9mZnNldCAweCUwOHggc2l6ZSAldSwgd2lkdGggb2YgJXUgaXMgbm90IHN1 +cHBvcnRlZAoAAAAAAAAAAAAAAABfaHdfY2ltX2ZsYXNoX21lbWNweTogbWVtY3B5WCBlbmQKAAAA +AAAAAAAAAAAAAABjb25maWd1cmF0aW9uIGZpbGUgcGFyc2VyIGVuY291bnRlcmVkIGVycm9yIEAg +bGluZSAldToKAAAAAAAAAAAASE9TVCBQQUdFX1NJWkUgWzB4JTBseF0gdG9vIHNtYWxsLCBtaW4g +WzB4JTBseF0gcmVxdWlyZWQKAAAAAAAAAHBhZ2Ugc2l6ZSBbJWx1XSBtaXNtYXRjaAoAAAAAAAAA +UEFHRSBzaXplICVsdSB1bnN1cHBvcnRlZCwgZGRwIGRpc2FibGVkCgAAAAAAAAAASG9zdCBwYWdl +X3NpemUgJWx1LCBkZHBfaWR4ICV1CgBGQ29FIEREUCBpbml0OiBmY29lIGxsaW1pdCAweCV4LCBm +Y29lIHVsaW1pdCAweCV4IGdibCBsbGltaXQgMHgleCBnYmwgdWxpbWl0IDB4JXggcGNic3ogJXgK +AAAAAABGQ29FIEREUCBpbml0OiBmY29lIHBwb2Qgb2ZmIDB4JXgsIGZjb2Ugc3QgcHBvZCBhZGRy +IDB4JXggZmNvZSBudW0gcHBvZHMgMHgleAoAAGZjb2UgeGNoZyBtZ3IgaW5pdDogTnVtYmVyIG9m +IGV4Y2hhbmdlcyBmb3IgRkNvRSBpcyAleAoAAAAAAAAAAABmY29lX2wydF9pbml0OiBObyB1bHB0 +eCBjcmVkaXQgY2g6WyV1XQoAAAAAAAAAAABmY29lX2wydF9pbml0OiBjaDpbJXVdIGwydF9pZHgg +WyV1XQoAAAAAAAAAAAAAAABubyBsMnQgZW50cmllcyBjb25maWd1cmVkOyBmb3JjaW5nICV1IGVu +dHJpZXMsIHN0YXJ0aW5nIGF0ICV1CgAAZGNieF9pZWVlX2NvbnN0cnVjdFsldV0gZXRzICV1IHBm +YyAldSBhcHAgJXUKAAAAZGNieF90aW1lb3V0WyV1XQoAAAAAAAAAAAAAAAAAAABkY2J4X3J1bl92 +ZXJzaW9uX3NtWyV1XSBEQ0JYX1ZFUl9TVEFURV9SVU5fSUVFRQoAAAAAAAAAAAAAAAAAAAAAZGNi +eF9ydW5fdmVyc2lvbl9zbVsldV0gRENCWF9WRVJfU1RBVEVfUlVOX0NFRQoAZGNieF9ydW5fdmVy +c2lvbl9zbVsldV0gRENCWF9WRVJfU1RBVEVfUlVOX05PTkUKAAAAAAAAAAAAAAAAAAAAAE1BQyBm +YWlsZWQgdG8gcmVzeW5jIHR4CgAAAAAAAAAAcG9ydFsldV0gbGluayB1cCAoJXUpIChzcGVlZCAl +I3ggYWNhcHMgJSN4IGxwY2FwcyAlI3gpCgAAAAAAAAAAAHBvcnRfaHNzX3NpZ2RldFsldV06IGhz +c19zaWdkZXQgY2hhbmdlZCB0byAweCV4CgAAAAAAAAAAAAAAAAAAAABwb3J0WyV1XSBpbml0aWFs +aXppbmcgS1IKAAAAAAAAAGRpc2FibGluZyB0eCAlI3ggcnggJSN4CgAAAAAAAAAAQ2FsY3VsYXRp +b24gb3V0IG9mIGJvdW5kcyBmdXJpbmcgaW5pdDogJSN4ICUjeCAlI3gKAAAAAAAAAAAAAAAAAF9o +d190cF9wZ21uZ3Q6IHR4X3BhZ2VfbWF4ICV1IHJ4X3BhZ2VfbWF4ICV1IHBzdHJ1Y3RzICV1IHNp +emUgJXUKAAAAAAAAAAAAAAAAAAAAbXBhcnRpdGlvbl9vdGhlcnNfdG90YWw6IGRkcCAldSBkZHBf +aXNjc2kgJXUgc3RhZyAldSBwYmwgJXUgcnEgJXUgcnF1ZHAgJXUgLT4gJXUKAAAAAAAAAAAAAAAA +AAAAX21wYXJ0aXRpb25fYmFua3NfbWNYOiBuYmFua3NfcG10eCAldSAoJXVNQikgbmJhbmtzX3Bt +cnggJXUgKCV1TUIpIG5iYW5rc19vdGhlcnMgJXUgKCV1TUIpIG5iYW5rc19mdyAldSAoJXVNQikK +AF9tcGFydGl0aW9uX2JhbmtzX21jMTogbmJhbmtzX3BtdHggJXUgKCV1TUIpIG5iYW5rc19vdGhl +cnMgJXUgKCV1TUIpIG5iYW5rc19mdyAldSAoJXVNQikKAAAAAAAAAF9tcGFydGl0aW9uX2Jhbmtz +X21jMDogbmJhbmtzX3BtcnggJXUgKCV1TUIpIG5iYW5rc19vdGhlcnMgJXUgKCV1TUIpCgAAAAAA +AAAAAAAAbWVtX21hbGxvY19pbnRlcm5hbDogZmFpbGVkIHRvIGFsbG9jYXRlICV1IGJ5dGVzLCBy +ZXR1cm5pbmcgTlVMTAoAAAAAAAAAAAAAAAAAAABod19lZGNfYmlzdFsldV06IGJpc3RfY21kWzB4 +JTA4eF0gYWRkciAweCV4IGxlbiAweCV4CgAAAAAAAAAAAAAAaHdfZWRjX2Jpc3RbJXVdOiBkb25l +LCBlbmNvdW50ZXJlZCAldSBlcnJvcnMgb24gZmlyc3QgYW5kICV1IGVycm9ycyBvbiBzZWNvbmQg +YXR0ZW1wdCAoJXVnYnBzKQoAbWVtX2luaXRfY2FjaGVzOiBjYWNoZV9zaXplICV1IGZsb3djX2J1 +Zl90Y2JfY2FjaGVfc2l6ZSAldSBidWZsbDY0X2NhY2hlX3NpemUgJXUKAAAAAAAAAAAAAAAAAAAA +bXBhcnRpdGlvbl9wbXR4OiBtIDB4JTA4eCBzaXplICV1CgAAAAAAAAAAAAAAAAAAbXBhcnRpdGlv +bl9wbXJ4OiBtIDB4JTA4eCBzaXplICV1CgAAAAAAAAAAAAAAAAAAbXBhcnRpdGlvbl9lZGMgKG5v +IGV4dG1lbSk6IG0gMHglMDh4IHNpemUgJXUKAAAAbXBhcnRpdGlvbl9lZGNfZXN0aW1hdGU6IGh3 +IG1vZHVsZXMgcmVxdWlyZSAlZCBieXRlcyBpbiBFREMKAAAAAFRlbXBlcmF0dXJlL1ZvbHRhZ2Ug +U2Vuc29yOiBDb3JlIGNsb2NrICVkID4gNTAwOyB1c2luZyA1MDAgdG8gc3RheSBpbiBjb21wbGlh +bmNlIHdpdGggaGFyZHdhcmUuCgAAAAAAAAAAAAAAAAAAAABjaG5ldF9ieWU6bDJkZXZfZmMtPmZs +b3djX2lkIFsweCV4XSwgbDJkZXZfZmMtPmZsb3djX3BjaWVfcGZuIFsweCV4XSwgbDJkZXZfZmMt +PmZsb3djX3BjaWVfdmZuIFsweCV4XSwgcG9ydCBbMHgleF0KAAAAAAAAAAAAAAAAAGNobmV0X2J5 +ZTp2bGFuZGV2X2ZjLT5mbG93Y19pZCBbMHgleF0sIHZsYW5kZXZfZmMtPmZsb3djX3BjaWVfcGZu +IFsweCV4XSwgdmxhbmRldl9mYy0+Zmxvd2NfcGNpZV92Zm4gWzB4JXhdLCBwb3J0IFsweCV4XQoA +AAAAAAAAaHdfbWFjX2FlY19jb21wbGV0ZVsldV0gb24gbGFuZXMgJSN4IChzaWdkZXQgJSN4KQoA +AAAAAAAAAAAAAAAAAGFlY19mc21bJXVdIDogc3RhdGUgU1RBUlQgKHNpZ2RldCAlI3gpCgAAAAAA +AAAAAGFlY19mc21bJXVdIDogdHJhbnNpdGlvbmluZyB0byBUUkFJTklORwoAAAAAAAAAAGFlY19m +c21bJXVdIDogVFJBSU5JTkdfQ09NUExFVEUKAAAAAAAAAAAAAAAAAAAAAGFlY19mc21bJXVdIDog +RE9ORQoAAAAAAAAAAAAAAAAAYWVjX2ZzbVsldV0gOiB0aW1lZCBvdXQgdHJhaW5pbmcKAAAAAAAA +AAAAAAAAAAAAYmVhbl9mc21bJXVdIDogc3RhdGUgU1RBUlQgKGNvdW50ID0gJXUpCgAAAAAAAAAA +YmVhbl9mc21bJXVdIDogZW50ZXJpbmcgc3RhdGUgV0FJVF9TSUdERVQKAAAAAAAAYmVhbl9mc21b +JXVdIDogZW50ZXJpbmcgc3RhdGUgTlhQX0hBTkRMRQoAAAAAAAAAYmVhbl9mc21bJXVdIDogZW50 +ZXJpbmcgc3RhdGUgV0FJVF9DT01QTEVURQoAAAAAYmVhbl9mc21bJXVdIDogREwgNDBHIE5lZ290 +aWF0aW9uIEVycm9yIC0gQXR0ZW1wdGluZyB0byBjb250aW51ZQoAAAAAAAAAAAAAAAAAAABiZWFu +X2ZzbVsldV0gOiBzdGF0ZSBET05FCgAAAAAAAGJlYW5fZnNtWyV1XSA6IHN0YXRlIFJFU1RBUlQK +AAAAYmVhbl9mc21bJXVdIFRJTUVPVVQ7IHN0YXRlICV1IGV0aF9zdGF0dXMgJSN4IGJlYW5fc3Rh +dHVzICUjeCBoc3Mgc2lnZGV0ICUjeCByZXRyeV9jbnQgJXUKAAAAAAAAcG9ydCAldSBuZWdvdGlh +dGVkIHVuc3VwcG9ydGVkIHNwZWVkICUjeAoAAAAAAAAAYmVhbi9hZWMgY29tcGxldGUgKHJldHJ5 +OiAldSkKAABwb3J0WyV1XSByZXNldHRpbmcgS1IKAAAAAAAAAAAAAFJhbmdlIGNhbGM6IEF2ZXJh +Z2VkICUjeCBidXQgaWdub3JlZCB2YWx1ZSAlI3ggKGl0ZXJhdGlvbiAldSkKAABNQyBjYWxpYnJh +dGlvbiBmYWlsZWQ6IERGSSBpbml0IG5vdCBnb2luZyB0byAwCgBNQyBjYWxpYnJhdGlvbiBmYWls +ZWQ6IERGSSBpbml0IG5vdCBjb21wbGV0aW5nCgBNQyBjYWxpYnJhdGlvbiBmYWlsZWQ6IENhbGli +cmF0aW9uIGRpZG4ndCBjb21wbGV0ZS4KAAAAAAAAAAAAAAAATUMgY29tbWFuZCBmYWlsZWQgdG8g +Y29tcGxldGUob3Bjb2RlICUjeCBjYWRkciAlI3ggYmFkZHIgJSN4IGRlbGF5ICVkKQoAAAAAAAAA +AABwZm5fYml0bWFwIDB4JXgKAAAAAAAAAAAAAAAAAAAAAGJhZCBtYWlsYm94IGNtZDogcGZuIDB4 +JXggdmZuIDB4JXg7IG9wY29kZSAweCV4ID4gTEFTVEMyRSAweCV4CgBtYWlsYm94IGNtZCBub3Qg +eWV0IHN1cHBvcnRlZDogcGZuIDB4JXggdmZuIDB4JXg7IG9wY29kZSAweCV4CgAAYmFkIG1haWxi +b3ggY21kOiBwZm4gMHgleCB2Zm4gMHgleDsgb3Bjb2RlIDB4JXggaXMgdmFsaWQgcG9zdCBkZXZp +Y2UgaW5pdCBvbmx5CgBiYWQgbWFpbGJveCBjbWQ6IHBmbiAweCV4IHZmbiAweCV4OyBvcGNvZGUg +MHglMDJ4IHJhbWFzayAweCV4IGNtZCByYW1hc2sgMHgleAoAAGJhZCBtYWlsYm94IGNtZDogcGZu +IDB4JXggdmZuIDB4JXg7IG9wY29kZSAweCUwMnggbGVuMTYgMHgleCB2ZXJzdXMgZXhwZWN0ZWQg +bGVuMTYgMHgleAoAAAAAAAAAAGluc3VmZmljaWVudCBjYXBzIHRvIHByb2Nlc3MgbWFpbGJveCBj +bWQ6IHBmbiAweCV4IHZmbiAweCV4OyByX2NhcHMgMHgleCB3eF9jYXBzIDB4JXggcmVxdWlyZWQg +cl9jYXBzIDB4JXggd19jYXBzIDB4JXgKAAAAAAAAAAAAaW5zdWZmaWNpZW50IGNhcHMgdG8gcHJv +Y2VzcyBtYWlsYm94IGNtZDogcGZuIDB4JXggdmZuIDB4JXg7IHJfY2FwcyAweCV4IHd4X2NhcHMg +MHgleCByZXF1aXJlZCByX2NhcHMgMHgleCB3X2NhcHMgMHgleAoAAAAAAAAAAABkb3dubG9hZF9z +cmFtOiBlcnJvciwgcmV0ICVkCgAAAGRvd25sb2FkX3RjYW06IGVycm9yLCByZXQgJWQKAAAAaHdf +cG93ZXJfcHJlcDogVkREPU5PTkUgYnV0IFZDUz0lZAoAAAAAAAAAAAAAAAAAaHdfcG93ZXJfcHJl +cDogdW5zdXBwb3J0ZWQgZXh0ZXJuYWwgYWRqdXN0YWJsZSBwb3dlciByZWd1bGF0b3JzIFZERD0l +ZCwgVkNTPSVkCgBod19wb3dlcl9wcmVwOiB1bnN1cHBvcnRlZCBWREQ9JWQKAAAAAAAAAAAAAAAA +AABod19wb3dlcl9wcmVwOiB1bnN1cHBvcnRlZCBWQ1M9JWQKAAAAAAAAAAAAAAAAAABod19wb3dl +cl9wcmVwOiBpMmMgd3JpdGUgZXJyb3IsIFZERD0lZCxyZXQ9JWQKAABod19wb3dlcl9wcmVwOiB1 +bnN1cHBvcnRlZCBWREQ9JWQKAAAAAAAAAAAAAAAAAABod19wb3dlcl9wcmVwOiBpMmMgd3JpdGUg +ZXJyb3IsIFZDUz0lZCxyZXQ9JWQKAABod19wb3dlcl9wcmVwOiB1bnN1cHBvcnRlZCBWQ1M9JWQK +AAAAAAAAAAAAAAAAAABWUEQgcmVnaW9uIGlzIHRvbyBzbWFsbCAoU0VSQ0ZHX1NSX1BGTlZQRFNJ +WkUgMHgleCkKAAAAAAAAAAAAAAAAY2ZfcHJlcDogZXJyb3IsIHJldCAlZAoAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAACCAAABIAAAAAAAAACCAAABAAAAAAAAAACAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAGgIAAAAAAAAAAAAAAAACAAAAAAAAAAAAHAAAAAAAAAAAAAAABAAAAABAAAAAAAAAA +AwAAAAAAAAAAAAAAAwAAAAAAAAAAAIAQAwAAAAAAAAgAAAAAAgAAAAAAAAAAACAAAAAAAAAAAAAA +AAEAA4AAAAAAAAAAAAAAAgAAAAAAAAAAACADgAAAAAAAAAAAABACgACAAAAAAAAAAIAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAABAAAAAAAAAACAAAAAAAAAAAAAAAIAAAoAAAAAAAAAAAAAAAoAA +AAAAAAAAAIAAAgAAAAAAAAAAAAAAAgAAAAAAAAAAAAAAAoAAAAAAAAAAAAEAAwAAAAAAAAAAAAAC +gwAAAAAAAAAAABACgAAAAAAAAAAAADAAAwAAAAAAAAgAADAAAwAAAAAAAAAAADAFgwAAAAAAAAgA +ADAFgwAAAAAAAAAAADAEgwAAAAAAAAgAADAEgwAAAAAAAAAAADADAwAAAAAAAAgAADADAwAAAAAA +AAAAADgDAwAAAAAAAAAAADgFgwAAAAAAAAAAADgEgwAAAAAAAAAAADgAAwAAAAAAAAAAADQGggAA +AAAAAAAAADwDggAAAAAAAAAAADwAAwAAAAAAAAgAADwAAwAAAAAAAAAAADwEgwAAAAAAAAAAADwF +AwAAAAAAAAAAAD0EAwAAAAAAAAAAADwDgwAAAAAAAAAAACwAAgAAAAAAAAAAACwFggAAAAAAAAAA +ACwFAgAAAAAAAAAAABAGgAAAAAAAAAAAABAGgoAAAAAAAAAAAAAOggAAAAAAAAAAABAHgoAAAAAg +AAAAAAAHggAAAAAgAAAAABAHAoAAAAAAAAAAABAHAoAAAAAAAAAAABAHAoAAAAAAAAAAAAAHAgAA +AAAgAAAAABAXgwAAAAAAAAgAABAXgwAAAAAAAAgAABAAAAAAAAAAAAAAABAGA4AAAAAAAAAAAAAO +AwAAAAAAAAAAABAGA0AAAAAAAAAAABAGAwAAAAAAAAAAABAGAAAAAAAAAAAAAAAGA4AAAAAAAAAA +AAAGAwAAAAAAAAAAAAAOAgAAAAAAAAAAAAAOAgAAAAAAAAAAABAGAgAAAAAAAAAAABAGAgAAAAAA +AAAAABAGAoAAAAAAAAAAABAGAoAAAAAAAAAAAAAAAgAAAAAAAAAAAAAAAAAAgAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAIAAAAAAAAAAAAQAwAAAAAAAAgAAAAAAAAAAAAAAAAAAP////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +/wAAACAAAAAAwAAAAAAAACAAAAAA4AAAAAAAAAACAAAAAAAAAEAAAAAAAAAAAAAAAAAAASEAAAAA +AAAAAAABASAAAAAAAAAAAAAAAgAAAAQABAAAAAAAgUAAAAAAAAAAAAAGgAAABAAAAAAAAACAAEAA +AAAAAAIAAACAACAAAAAAAAIAAAiAAAAAAABAAAAAAgEAQAAAAAAAAAAAAAEAQgAAAAAAAAAAAAAA +IAAAAAAAAAAAAAIQIAAAAAAAAAAAAAIMAgAAAAAAAAAAAACFAgAAAAQAAAAAAACAQgAAAAAAAAAA +AICAQgBAAAAAAAAAAICAQgAAAAAAAAAAAAIAIgAAAAAAAAAAAACAQQAAAAAAAAAAAICAQQAAAAAA +AAAAAACAQYAAAAAAAAAAAICAQYAAAAAAAAAAAAIAIQAAAAAAAAAAAAAQIIAAAAAAAAAAAAIlAIAA +AAAAAAAAAAAFAAAAAAAAAAAAAAiIBIAAAAAAAAAAAAiIBIAAAAAAAAAAAAiiAIAAAAAAAAAAAAii +AIAAAAAAAAAAAAijAIAAAAAAAAAAAAijAIAAAAAAAAAAAAikgIAAAAAAAAAAAAikgIAAAAAAAAAA +AASkgMAAAAAAAAAAAASiAMAAAAAAAAAAAASjAMAAAAAAAAAAAASIBMAAAAAAAAAAAAAJAYAAAAAA +AAAAAAIMAIAAAAAAAAAAAACIBMAAAAAAAAAAAACIBIAAAAAAAAAAAAILAIAAAAAAAAAAAACKgIAA +AAAAAAAAAAALgIAAAAAAAAAAAACMAIAAAAAAAAAAAAIgEIAAAAAAAAAAAAIKAIAAAAAAAAAAAAIK +gIAAAAAAAAAAAAAJAoAAAAAAAAAAAAABAQAAAAAAAAAAAAABAIAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAIAAAAAAAAAAAAAAgRAAAAAAAAAAAAAAgQgAAAAAAAAAAAAAgQQAAAAAAAAAAAAAgYAAAAAA +AAAAAACAAMAAAAAAAAAAAACAAKAAAAAAAAAAAAAACAAAAAAAAAAAAACBgAAAAAAAAAAAAACBgIAA +AAAAAAAAAACJgIAAAAAAAAAAAACJgMAAAAAAAAAAAAABggAAAAAAAAAAAAIBgAAAAAAAAAAAAAIB +gIAAAAAAAAAAAABBgYAAAAAAAAAAAAIBgYAAAAAAAAAAAABJgYAAAAAAAAAAAAIJgYAAAAAAAAAA +AAIBgQAAAAAAAAAAAABBgQAAAAAAAAAAACAAAAAAAAAAAAAAABAAAAIBAAAAAAAAABAAAAIAAAAA +AAAAABAAAAAAAAAAAAAAAACAAMAAAAAAAAAAAAAAAAAAAAAAAAAAAP////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +//////////////////////////////////////////////////////////////////////////// +/////////////////////////////////////////////////////////////////////////wAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAACACSAAAAAAAAAANmACAAQAAAAAAIAJIAAAAAAAAAA3IBJQRA +AAAAAAAAAAAAAAAAAAADlgAgAEAAAAAACAAAAAIAiAOHAABWACAAQAAAAAAAAAAAAAAAAAAAA5YA +IABAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAAAAAAAAAA +A5YAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAAAAAA +AAAAA5YAIABAAAAAAAgAAAACAIgDhQAAlgEgAEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAAAAAA +AAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAACAAAAAIAiAOFAACWASAAQAAAAAAA +AAAAAAAAAAAAA5YAIABAAAAAAAAAAAAAAAAAAgADlgAmBEAAAAAACEcgAQQAAAKwAALSBUAkRAAA +AAAIRyABBAAAArIAAtIFRiRAAAAAAAgAAAACAIgDhwAAlgEmBEAAAAAAAAAAAAAAAAAAAAOWACAA +QAAAAAAARyABBgyhgrJAAZIEQCREAAAAAAgAAAACAIgDhwAAlgEmBEAAAAAAAEcgAQQMoEKxgAIS +BUAkRAAAAAAIAAAAAgCIA4cAAJYBJgRAAAAAAAgAAAACAIgDhQAAlgEgAEAAAAAACAAAAAIAiAOF +AACWASAAQAAAAAAIAAAAAgCIA4UAAJYBIABAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAADcUSugKw +gNMUVIviSkYkQAAAAAAFxRK6AreA0xRUi2JJpiRAAAAAAAXFEqIGsIDTFFSL4kpGJEAAAAAADcUS +oga3gNMUVItiSaYkQAAAAAAFxRKiBrCA0xRUi+JKRiRAAAAAAA3FEqIGt4DTFFSLYkmmJEAAAAAA +BcUSogawgNMUVIviSkYkQAAAAAANxRKiBreA0xRUi2JJpiRAAAAAAAnEEKACAICQAACLYmnGJEAA +AAAAAcQQoAawgJMGVItiacYkQAAAAAABxBCgBrCAkwZUi2JpxiRAAAAAAAHFELgGsICTFlSLYkjG +JEAAAAAACKEQiAIAgVgSAAtSAKYkQAAAAAAJwBCQArCAAxZUipIBxiRAAAAAAAnAELgGsIADEJSL +4gJGJEAAAAAACcAQuAK0gAMQlItiAaYkQAAAAAAJwBC4ArSAAxCUi2IBpiRAAAAAAAnAELgCtIAD +EJSLYgGmJEAAAAAACcAQuAK0gAMQlItiAaYkQAAAAAABoBCQBrSAAxCUi2IBpiRAAAAAAAHAEIAC +sIADFFSKUgDGJEAAAAAAAcAQgAKwgAMUVIpSAMYkQAAAAAABwBCAArCAAxRUilIAxiRAAAAAAAhH +IAEEAAACsAAC0gRAJEAAAAAAAIEAAAIAhVgHQAtSAKYkQAAAAAAAAAAABACgQAGAAdYAIABAAAAA +AAAAAAAGAKGAAEABVgAgAEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAIgQAAAgCFWYAEC1IApiRA +AAAAAAoBQAAAAAAYAgADQgEmpEIAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAAAAAABACgQAGAAdYA +IABAAAAAAAgAAAACAIgDhQAD1gAgAEAAAAAACAAAAAIAiAOFAAPWACAAQAAAAAAAAAAAAAAAAAAA +A5YAIABAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAJwBC4ArSA +AxCUi2IBpiRAAAAAAAAAAAAAAAAAAgADlgAmBEAAAAAAAAAAAAAAAAAAAAOWACAAQAAAAAAIAAAA +AgCIA4UAAJYBIABAAAAAAAAAAAAEAKBAAYAB1gAgAEAAAAAAAAAAAAQAoEABgAHWACAAQAAAAAAA +AAAABACgQAGAAdYAIABAAAAAAAihEIgCAIFYEAAJEgGmJEAAAAAAAAAAAAQAoEABgAHWACAAQAAA +AAAAAAAABgChgABAAVYAIABAAAAAAAgAAAACAIgDh0AI0gJGJEIAAAAACcUSogKUiNISgIsiScYk +QAAAAAABwBCABqSIAQVUi1IAxiRAAAAAAAnAEIACpIgAhVSLUgDGJEAAAAAACcAQgAa0iAMBFItS +AaYkQAAAAAANwAAAArCAwxZUi+IDRiRAAAAAAAAAAAAAAAAAAAADlgAgAEAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAgAMAAEAAAAAgAAAgAmJEAAAAAAAEcwAAYDIAK3AAgCAcAkQAAAAAAI +AwAAAgagCrcACAIBxiRGAAAAAAAAAAAAAAAAAgAAAgAmJEAAAAAAACBQAAQAAAAAAAACASYkRgAA +AAAIYCAAhAAAAAAAAAYAIABAAAAAAAhgIACEAAAAAgEwAgAmJUAAAAAACGAAAAQAhAGCBAQCAcYk +wgAAAAABwAAAAkOAAwIMiAIBpiRAAAAAAAgAYAAEAAAAAgAAAgAmJEAAAAAACABgAAQAAAAAAAAE +ASAAQAAAAAAAAAAAAAAAAAAAAAQBIABAAAAAAAQIFIAGCgAABwFMAiCmJkAAAAAACIAAAAYAhAGA +BAgCAaYkwAAAAAAAQAAAAgCgAABACAIApiRGAAAAAAAAAAAAAAAAAgAAAgAmJEAAAAAABAAAAAKE +AAMCiggCBMAkQAAAAAAAAAAAAAAAAAIAAAYBIEhAAAAAAAAgUAAEAAAAAgAAAgAmJEAAAAAACGAg +AIQAAAACAAAGACYEQAAAAAAIYCAAhAAAAAIAAAIBJiVAAAAAAAhgAAAEAIQBgAQEAgHGJMAAAAAA +CABgAAQAAAAAAAAEASAAQAAAAAAAAIAAIAAAAAAAAAIAJkxwAAAAAAAAgAAgAAAAAAAABgEgAAAA +AAAAAACAACAAAAAAAAACACZMcAAAAAAAAIAAIAAAAAAAAAYBIAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAJwAAACLSMBAkWBAIExiRAAAAAAAnAAAAMNIAE +CRYEAgTGJEAAAAAABAh0gEwJAABQAFwCYeYkQAAAAAAMCHSARAAAAFIAQAJhJiRAAAAAAAgCEKAE +AAAAAAEAAgAmJEAAAAAACAIQoAQAAAAAAQACACYkQAAAAAAECHQAQgEAAAcAiAJgxiRAAAAAAA3I +FAACCQAABECcAmDmJEAAAAAACcgQgAK0gAQClIgCZcYkQAAAAAANyHQATLSAAwCUiAJgpiTAAAAA +AAXIdABINJADAJSIAmCmJMAAAAAACEcAAAQAAAAAAAACASAkQAAAAAAIRwAABAAAAAAAAAIEQCRA +AAAAAABHIAEMByHCtwAIAgDAJEQAAAAAAEcgAQwHIcK3AAgCAMAkRAAAAAAARyABDAchwrcACAIA +wCREAAAAAAAAIAEIAIQABUCIAgHGJMAAAAAAAAAgAQgAhAAFQIgCAcYkwAAAAAAAACABCACEAAVA +iAIBxiTAAAAAAAAAIAGGggABAsCIAgPGJMAAAAAAAAAgAYKCAAACwIgCA8YkwAAAAAAJwCABgqSA +AQVAiAIBxiTAAAAAAAgAAAAMAIQABUCIAgHGJMAAAAAAAAAgAYaCAAECwIgCA8YkwAAAAAAAACAB +goIAAADAiAICpiTAAAAAAAnAIAGCpIABBUCIAgHGJMAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAIAAAABAAEECQAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFtnbG9iYWxdCnJz +c19nbGJfY29uZmlnX21vZGU9YmFzaWN2aXJ0dWFsCnJzc19nbGJfY29uZmlnX29wdGlvbnM9dG5s +bWFwZW4saGFzaHRvZXBsaXR6LHRubGFsbGxrcApwbF90aW1lb3V0X3ZhbHVlPTEwMDAwCnJlZ1sw +eDEwMDhdPTB4NDA4MTAvMHgyMWM3MApyZWdbMHgxMDBjXT0weDIyMjIyMjIyCnJlZ1sweDEwYTBd +PTB4MDEwNDA4MTAKcmVnWzB4MTA0NF09NDA5NgpyZWdbMHgxMDQ4XT02NTUzNgpyZWdbMHgxMDRj +XT0xNTM2CnJlZ1sweDEwNTBdPTkwMjQKcmVnWzB4MTA1NF09OTIxNgpyZWdbMHgxMDU4XT0yMDQ4 +CnJlZ1sweDEwNWNdPTEyOApyZWdbMHgxMDYwXT04MTkyCnJlZ1sweDEwNjRdPTE2Mzg0CnJlZ1sw +eDEwYTRdPTB4YTAwMGEwMDAvMHhmMDAwZjAwMApyZWdbMHgxMGE4XT0weDQwMjAwMC8weDQwMjAw +MApiYXIydGhyb3R0bGVjb3VudD01MDAKc2dlX3RpbWVyX3ZhbHVlPTUsMTAsMjAsNTAsMTAwLDIw +MApyZWdbMHgxMTI0XT0weDAwMDAwNDAwLzB4MDAwMDA0MDAKcmVnWzB4MTEzMF09MHgwMGQ1ZmZl +YgpyZWdbMHgxMTNjXT0weDAwMDJmZmMwCnJlZ1sweDdkMDRdPTB4MDAwMTAwMDAvMHgwMDAxMDAw +MApyZWdbMHg3ZDZjXT0weDAwMDAwMDAwLzB4MDAwMDcwMDAKcmVnWzB4N2Q3OF09MHgwMDAwMDQw +MC8weDAwMDAwMDAwCnJlZ1sweDdkYzBdPTB4MGUyZjg4NDkKZmlsdGVyTW9kZT1mY29lbWFzayxz +cnZyc3JhbSxmcmFnbWVudGF0aW9uLG1wc2hpdHR5cGUscHJvdG9jb2wsdmxhbixwb3J0LGZjb2UK +ZmlsdGVyTWFzaz1wcm90b2NvbCxmY29lCnRwX3Btcng9MzAKdHBfcG1yeF9wYWdlc2l6ZT02NEsK +dHBfbnJ4Y2g9MAp0cF9wbXR4PTUwCnRwX3BtdHhfcGFnZXNpemU9NjRLCnRwX250eGNoPTAKdHBf +bXR1cz04OCwyNTYsNTEyLDU3Niw4MDgsMTAyNCwxMjgwLDE0ODgsMTUwMCwyMDAyLDIwNDgsNDA5 +Niw0MzUyLDgxOTIsOTAwMCw5NjAwCnJlZ1sweDdkMDhdPTB4MDAwMDA4MDAvMHgwMDAwMDgwMApy +ZWdbMHg3ZDQ4XT0weDAwMDAwMDAwLzB4MDAwMDA0MDAKcmVnWzB4N2Q2MF09MHgwNjAwMDAwMC8w +eDA3MDAwMDAwCnJlZ1sweDE5MTY4XT0weDA0MDIwMTAwCnJlZ1sweDE5YzA0XT0weDAwNDAwMDAw +LzB4MDA0MDAwMDAKbWNfbW9kZV9icmNbMF09MQptY19tb2RlX2JyY1sxXT0xCnJlZ1sweDhkYzBd +PTB4MDAwMDAwMDQvMHgwMDAwMDAwNApbZnVuY3Rpb24iMCJdCm52Zj0xNgp3eF9jYXBzPWFsbApy +X2NhcHM9YWxsCm52aT0xCm5pcWZsaW50PTgKbmV0aGN0cmw9OApuZXE9MTYKbmV4YWN0Zj04CmNt +YXNrPWFsbApwbWFzaz0weDEKW2Z1bmN0aW9uIjEiXQpudmY9MTYKd3hfY2Fwcz1hbGwKcl9jYXBz +PWFsbApudmk9MQpuaXFmbGludD04Cm5ldGhjdHJsPTgKbmVxPTE2Cm5leGFjdGY9OApjbWFzaz1h +bGwKcG1hc2s9MHgyCltmdW5jdGlvbiIyIl0KbnZmPTE2Cnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwK +bnZpPTEKbmlxZmxpbnQ9OApuZXRoY3RybD04Cm5lcT0xNgpuZXhhY3RmPTgKY21hc2s9YWxsCnBt +YXNrPTB4NApbZnVuY3Rpb24iMyJdCm52Zj0xNgp3eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT0x +Cm5pcWZsaW50PTgKbmV0aGN0cmw9OApuZXE9MTYKbmV4YWN0Zj04CmNtYXNrPWFsbApwbWFzaz0w +eDgKW2Z1bmN0aW9uIjQiXQp3eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT0yOApuaXFmbGludD0x +NzAKbmV0aGN0cmw9MTAwCm5lcT0yNTYKbnFwY3E9MTIyODgKbmV4YWN0Zj00MApjbWFzaz1hbGwK +cG1hc2s9YWxsCm5ldGhvZmxkPTEwMjQKbnJvdXRlPTMyCm5jbGlwPTMyCm5maWx0ZXI9NDk2Cm5z +ZXJ2ZXI9NDk2Cm5oYXNoPTEyMjg4CnByb3RvY29sPW5pY192bSxvZmxkLHJkZHAscmRtYWMsaXNj +c2lfaW5pdGlhdG9yX3BkdSxpc2NzaV90YXJnZXRfcGR1LGlzY3NpX3QxMGRpZgp0cF9sMnQ9MzA3 +Mgp0cF9kZHA9Mgp0cF9kZHBfaXNjc2k9Mgp0cF9zdGFnPTIKdHBfcGJsPTUKdHBfcnE9NwpbZnVu +Y3Rpb24iNSJdCnd4X2NhcHM9YWxsCnJfY2Fwcz1hbGwKbnZpPTQKbmlxZmxpbnQ9MzQKbmV0aGN0 +cmw9MzIKbmVxPTY0Cm5leGFjdGY9MTYKY21hc2s9YWxsCnBtYXNrPWFsbApuc2VydmVyPTE2Cm5o +YXNoPTIwNDgKdHBfbDJ0PTEwMjAKcHJvdG9jb2w9aXNjc2lfaW5pdGlhdG9yX2ZvZmxkCnRwX2Rk +cF9pc2NzaT0yCmlzY3NpX250YXNrPTIwNDgKaXNjc2lfbnNlc3M9MjA0OAppc2NzaV9uY29ubl9w +ZXJfc2Vzc2lvbj0xCmlzY3NpX25pbml0aWF0b3JfaW5zdGFuY2U9NjQKW2Z1bmN0aW9uIjYiXQp3 +eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT00Cm5pcWZsaW50PTM0Cm5ldGhjdHJsPTMyCm5lcT02 +NgpuZXhhY3RmPTMyCmNtYXNrPWFsbApwbWFzaz1hbGwKbmhhc2g9MjA0OAp0cF9sMnQ9NApwcm90 +b2NvbD1mY29lX2luaXRpYXRvcgp0cF9kZHA9MgpmY29lX25mY2Y9MTYKZmNvZV9udm5wPTMyCmZj +b2VfbnNzbj0xMDI0CltmdW5jdGlvbiIxMDIzIl0Kd3hfY2Fwcz1hbGwKcl9jYXBzPWFsbApudmk9 +NApjbWFzaz1hbGwKcG1hc2s9YWxsCm5leGFjdGY9OApuZmlsdGVyPTE2CltmdW5jdGlvbiIwLyoi +XQp3eF9jYXBzPTB4ODIKcl9jYXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5l +cT00Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1hc2s9MHgxCltmdW5jdGlvbiIxLyoiXQp3eF9jYXBz +PTB4ODIKcl9jYXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFj +dGY9NApjbWFzaz1hbGwKcG1hc2s9MHgyCltmdW5jdGlvbiIyLyoiXQp3eF9jYXBzPTB4ODIKcl9j +YXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFz +az1hbGwKcG1hc2s9MHg0CltmdW5jdGlvbiIzLyoiXQp3eF9jYXBzPTB4ODIKcl9jYXBzPTB4ODYK +bnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1h +c2s9MHg4Cltwb3J0IjAiXQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0z +MApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUsMwpkY2JfYXBw +X3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxzb2NrZXRudW0s +NQpbcG9ydCIxIl0KZGNiPXBwcCxkY2J4CmJnX21lbT0yNQpscGJrX21lbT0yNQpod209MzAKbHdt +PTE1CmR3bT0zMApkY2JfYXBwX3RsdlswXT0weDg5MDYsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZb +MV09MHg4OTE0LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzJdPTMyNjAsc29ja2V0bnVtLDUKW3Bv +cnQiMiJdCmRjYj1wcHAsZGNieApiZ19tZW09MjUKbHBia19tZW09MjUKaHdtPTMwCmx3bT0xNQpk +d209MzAKZGNiX2FwcF90bHZbMF09MHg4OTA2LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2WzFdPTB4 +ODkxNCxldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsyXT0zMjYwLHNvY2tldG51bSw1Cltwb3J0IjMi +XQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209MTUKZHdtPTMw +CmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsxXT0weDg5MTQs +ZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbZmluaV0KdmVyc2lv +bj0weDE0MjUwMDFjCmNoZWNrc3VtPTB4ZjkxMTVkNzYKAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAFtnbG9iYWxdCnJzc19nbGJfY29u +ZmlnX21vZGU9YmFzaWN2aXJ0dWFsCnJzc19nbGJfY29uZmlnX29wdGlvbnM9dG5sbWFwZW4saGFz +aHRvZXBsaXR6LHRubGFsbGxrcApwbF90aW1lb3V0X3ZhbHVlPTEwMDAwCnJlZ1sweDEwMDhdPTB4 +NDA4MTAvMHgyMWM3MApyZWdbMHgxMDBjXT0weDIyMjIyMjIyCnJlZ1sweDEwYTBdPTB4MDEwNDA4 +MTAKcmVnWzB4MTA0NF09NDA5NgpyZWdbMHgxMDQ4XT02NTUzNgpyZWdbMHgxMDRjXT0xNTM2CnJl +Z1sweDEwNTBdPTkwMjQKcmVnWzB4MTA1NF09OTIxNgpyZWdbMHgxMDU4XT0yMDQ4CnJlZ1sweDEw +NWNdPTEyOApyZWdbMHgxMDYwXT04MTkyCnJlZ1sweDEwNjRdPTE2Mzg0CnJlZ1sweDEwYTRdPTB4 +YTAwMGEwMDAvMHhmMDAwZjAwMApyZWdbMHgxMGE4XT0weDQwMjAwMC8weDQwMjAwMApiYXIydGhy +b3R0bGVjb3VudD01MDAKc2dlX3RpbWVyX3ZhbHVlPTUsMTAsMjAsNTAsMTAwLDIwMApyZWdbMHgx +MTI0XT0weDAwMDAwNDAwLzB4MDAwMDA0MDAKcmVnWzB4MTEzMF09MHgwMGQ1ZmZlYgpyZWdbMHgx +MTNjXT0weDAwMDJmZmMwCnJlZ1sweDdkMDRdPTB4MDAwMTAwMDAvMHgwMDAxMDAwMApyZWdbMHg3 +ZDZjXT0weDAwMDAwMDAwLzB4MDAwMDcwMDAKcmVnWzB4N2RjMF09MHgwZTJmODg0OQpmaWx0ZXJN +b2RlPWZjb2VtYXNrLHNydnJzcmFtLGZyYWdtZW50YXRpb24sbXBzaGl0dHlwZSxwcm90b2NvbCx2 +bGFuLHBvcnQsZmNvZQpmaWx0ZXJNYXNrPXByb3RvY29sLGZjb2UKdHBfcG1yeD0zMAp0cF9wbXJ4 +X3BhZ2VzaXplPTY0Swp0cF9ucnhjaD0wCnRwX3BtdHg9NTAKdHBfcG10eF9wYWdlc2l6ZT02NEsK +dHBfbnR4Y2g9MAp0cF9tdHVzPTg4LDI1Niw1MTIsNTc2LDgwOCwxMDI0LDEyODAsMTQ4OCwxNTAw +LDIwMDIsMjA0OCw0MDk2LDQzNTIsODE5Miw5MDAwLDk2MDAKcmVnWzB4N2QwOF09MHgwMDAwMDgw +MC8weDAwMDAwODAwCnJlZ1sweDdkNDhdPTB4MDAwMDAwMDAvMHgwMDAwMDQwMApyZWdbMHg3ZDYw +XT0weDA2MDAwMDAwLzB4MDcwMDAwMDAKcmVnWzB4MTkxNjhdPTB4MDQwMjAxMDAKcmVnWzB4MTlj +MDRdPTB4MDA0MDAwMDAvMHgwMDQwMDAwMAptY19tb2RlX2JyY1swXT0xCm1jX21vZGVfYnJjWzFd +PTEKW2Z1bmN0aW9uIjAiXQp3eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT0yOApuaXFmbGludD0x +NzAKbmV0aGN0cmw9OTYKbmVxPTI1MgpucXBjcT0yMDQ4Cm5leGFjdGY9NDAKY21hc2s9YWxsCnBt +YXNrPWFsbApuZXRob2ZsZD0xMDI0Cm5yb3V0ZT0zMgpuY2xpcD0zMgpuZmlsdGVyPTQ4Cm5zZXJ2 +ZXI9MzIKbmhhc2g9MjA0OApwcm90b2NvbD1uaWNfdm0sb2ZsZCxyZGRwLHJkbWFjLGlzY3NpX2lu +aXRpYXRvcl9wZHUsaXNjc2lfdGFyZ2V0X3BkdSxpc2NzaV90MTBkaWYKdHBfbDJ0PTQwOTIKdHBf +ZGRwPTIKdHBfZGRwX2lzY3NpPTIKdHBfc3RhZz0yCnRwX3BibD01CnRwX3JxPTcKW2Z1bmN0aW9u +IjEiXQp3eF9jYXBzPWFsbApyX2NhcHM9YWxsCm52aT00Cm5pcWZsaW50PTM0Cm5ldGhjdHJsPTMy +Cm5lcT02NgpuZXhhY3RmPTMyCmNtYXNrPWFsbApwbWFzaz1hbGwKbmhhc2g9MjA0OApwcm90b2Nv +bD1mY29lX2luaXRpYXRvcgp0cF9sMnQ9NAp0cF9kZHA9MgpmY29lX25mY2Y9MTYKZmNvZV9udm5w +PTMyCmZjb2VfbnNzbj0xMDI0CltmdW5jdGlvbiIxMDIzIl0Kd3hfY2Fwcz1hbGwKcl9jYXBzPWFs +bApudmk9NApjbWFzaz1hbGwKcG1hc2s9YWxsCm5leGFjdGY9OApuZmlsdGVyPTE2CltmdW5jdGlv +biIwLyoiXQp3eF9jYXBzPTB4ODIKcl9jYXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3Ry +bD0yCm5lcT00Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1hc2s9MHgxCltmdW5jdGlvbiIxLyoiXQp3 +eF9jYXBzPTB4ODIKcl9jYXBzPTB4ODYKbnZpPTEKbmlxZmxpbnQ9NApuZXRoY3RybD0yCm5lcT00 +Cm5leGFjdGY9NApjbWFzaz1hbGwKcG1hc2s9MHgyCltwb3J0IjAiXQpkY2I9cHBwLGRjYngKYmdf +bWVtPTI1CmxwYmtfbWVtPTI1Cmh3bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4 +ODkwNixldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2Fw +cF90bHZbMl09MzI2MCxzb2NrZXRudW0sNQpbcG9ydCIxIl0KZGNiPXBwcCxkY2J4CmJnX21lbT0y +NQpscGJrX21lbT0yNQpod209MzAKbHdtPTE1CmR3bT0zMApkY2JfYXBwX3RsdlswXT0weDg5MDYs +ZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMV09MHg4OTE0LGV0aGVydHlwZSwzCmRjYl9hcHBfdGx2 +WzJdPTMyNjAsc29ja2V0bnVtLDUKW3BvcnQiMiJdCmRjYj1wcHAsZGNieApiZ19tZW09MjUKbHBi +a19tZW09MjUKaHdtPTMwCmx3bT0xNQpkd209MzAKZGNiX2FwcF90bHZbMF09MHg4OTA2LGV0aGVy +dHlwZSwzCmRjYl9hcHBfdGx2WzFdPTB4ODkxNCxldGhlcnR5cGUsMwpkY2JfYXBwX3RsdlsyXT0z +MjYwLHNvY2tldG51bSw1Cltwb3J0IjMiXQpkY2I9cHBwLGRjYngKYmdfbWVtPTI1CmxwYmtfbWVt +PTI1Cmh3bT0zMApsd209MTUKZHdtPTMwCmRjYl9hcHBfdGx2WzBdPTB4ODkwNixldGhlcnR5cGUs +MwpkY2JfYXBwX3RsdlsxXT0weDg5MTQsZXRoZXJ0eXBlLDMKZGNiX2FwcF90bHZbMl09MzI2MCxz +b2NrZXRudW0sNQpbZmluaV0KdmVyc2lvbj0weDE0MjUwMDFkCmNoZWNrc3VtPTB4N2RjNGRjZAoA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA +AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA== +==== diff --git a/sys/dev/cxgbe/firmware/t5fw_cfg.txt b/sys/dev/cxgbe/firmware/t5fw_cfg.txt index ad50df450..4ae6c9957 100644 --- a/sys/dev/cxgbe/firmware/t5fw_cfg.txt +++ b/sys/dev/cxgbe/firmware/t5fw_cfg.txt @@ -20,6 +20,12 @@ # enable TP_OUT_CONFIG.IPIDSPLITMODE reg[0x7d04] = 0x00010000/0x00010000 + # disable TP_PARA_REG3.RxFragEn + reg[0x7d6c] = 0x00000000/0x00007000 + + # enable TP_PARA_REG6.EnableCSnd + reg[0x7d78] = 0x00000400/0x00000000 + # TP_SHIFT_CNT reg[0x7dc0] = 0x62f8849 @@ -52,6 +58,10 @@ mc_mode_brc[0] = 1 # mc0 - 1: enable BRC, 0: enable RBC mc_mode_brc[1] = 1 # mc1 - 1: enable BRC, 0: enable RBC + # ULP_TX_CONFIG + reg[0x8dc0] = 0x00000004/0x00000004 # Enable more error msg for ... + # TPT error. + # PFs 0-3. These get 8 MSI/8 MSI-X vectors each. VFs are supported by # these 4 PFs only. Not used here at all. [function "0"] @@ -176,7 +186,7 @@ [fini] version = 0x1 - checksum = 0x6b54f66d + checksum = 0x7044b7fd # # $FreeBSD$ # diff --git a/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt b/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt index f79eac9e9..6fcc93484 100644 --- a/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt +++ b/sys/dev/cxgbe/firmware/t5fw_cfg_uwire.txt @@ -121,6 +121,12 @@ # enable TP_OUT_CONFIG.IPIDSPLITMODE reg[0x7d04] = 0x00010000/0x00010000 + # disable TP_PARA_REG3.RxFragEn + reg[0x7d6c] = 0x00000000/0x00007000 + + # enable TP_PARA_REG6.EnableCSnd + reg[0x7d78] = 0x00000400/0x00000000 + reg[0x7dc0] = 0x0e2f8849 # TP_SHIFT_CNT # TP_VLAN_PRI_MAP to select filter tuples and enable ServerSram @@ -176,6 +182,10 @@ mc_mode_brc[0] = 1 # mc0 - 1: enable BRC, 0: enable RBC mc_mode_brc[1] = 1 # mc1 - 1: enable BRC, 0: enable RBC + # ULP_TX_CONFIG + reg[0x8dc0] = 0x00000004/0x00000004 # Enable more error msg for ... + # TPT error. + # Some "definitions" to make the rest of this a bit more readable. We support # 4 ports, 3 functions (NIC, FCoE and iSCSI), scaling up to 8 "CPU Queue Sets" # per function per port ... @@ -592,7 +602,7 @@ [fini] version = 0x1425001c - checksum = 0xb1c3ae38 + checksum = 0xa4a80156 # Total resources used by above allocations: # Virtual Interfaces: 104 diff --git a/sys/dev/cxgbe/iw_cxgbe/device.c b/sys/dev/cxgbe/iw_cxgbe/device.c index bb6ac9d3a..75ca3ab33 100644 --- a/sys/dev/cxgbe/iw_cxgbe/device.c +++ b/sys/dev/cxgbe/iw_cxgbe/device.c @@ -45,8 +45,6 @@ __FBSDID("$FreeBSD$"); #ifdef TCP_OFFLOAD #include "iw_cxgbe.h" -int spg_creds = 2; /* Default status page size is 2 credits = 128B */ - void c4iw_release_dev_ucontext(struct c4iw_rdev *rdev, struct c4iw_dev_ucontext *uctx) @@ -89,27 +87,24 @@ static int c4iw_rdev_open(struct c4iw_rdev *rdev) { struct adapter *sc = rdev->adap; + struct sge_params *sp = &sc->params.sge; int rc; c4iw_init_dev_ucontext(rdev, &rdev->uctx); - /* Save the status page size set by if_cxgbe */ - spg_creds = (t4_read_reg(sc, A_SGE_CONTROL) & F_EGRSTATUSPAGESIZE) ? - 2 : 1; - /* XXX: we can probably make this work */ - if (sc->sge.eq_s_qpp > PAGE_SHIFT || sc->sge.iq_s_qpp > PAGE_SHIFT) { + if (sp->eq_s_qpp > PAGE_SHIFT || sp->iq_s_qpp > PAGE_SHIFT) { device_printf(sc->dev, "doorbell density too high (eq %d, iq %d, pg %d).\n", - sc->sge.eq_s_qpp, sc->sge.eq_s_qpp, PAGE_SHIFT); + sp->eq_s_qpp, sp->eq_s_qpp, PAGE_SHIFT); rc = -EINVAL; goto err1; } - rdev->qpshift = PAGE_SHIFT - sc->sge.eq_s_qpp; - rdev->qpmask = (1 << sc->sge.eq_s_qpp) - 1; - rdev->cqshift = PAGE_SHIFT - sc->sge.iq_s_qpp; - rdev->cqmask = (1 << sc->sge.iq_s_qpp) - 1; + rdev->qpshift = PAGE_SHIFT - sp->eq_s_qpp; + rdev->qpmask = (1 << sp->eq_s_qpp) - 1; + rdev->cqshift = PAGE_SHIFT - sp->iq_s_qpp; + rdev->cqmask = (1 << sp->iq_s_qpp) - 1; if (c4iw_num_stags(rdev) == 0) { rc = -EINVAL; diff --git a/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h b/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h index 245e04524..5ae002830 100644 --- a/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h +++ b/sys/dev/cxgbe/iw_cxgbe/iw_cxgbe.h @@ -1041,5 +1041,4 @@ void your_reg_device(struct c4iw_dev *dev); #define SGE_CTRLQ_NUM 0 -extern int spg_creds;/* Status Page size in credit units(1 unit = 64) */ #endif diff --git a/sys/dev/cxgbe/iw_cxgbe/qp.c b/sys/dev/cxgbe/iw_cxgbe/qp.c index cf7476011..cab335642 100644 --- a/sys/dev/cxgbe/iw_cxgbe/qp.c +++ b/sys/dev/cxgbe/iw_cxgbe/qp.c @@ -215,7 +215,8 @@ static int create_qp(struct c4iw_rdev *rdev, struct t4_wq *wq, res->u.sqrq.op = FW_RI_RES_OP_WRITE; /* eqsize is the number of 64B entries plus the status page size. */ - eqsize = wq->sq.size * T4_SQ_NUM_SLOTS + spg_creds; + eqsize = wq->sq.size * T4_SQ_NUM_SLOTS + + (sc->params.sge.spg_len / EQ_ESIZE); res->u.sqrq.fetchszm_to_iqid = cpu_to_be32( V_FW_RI_RES_WR_HOSTFCMODE(0) | /* no host cidx updates */ @@ -237,7 +238,8 @@ static int create_qp(struct c4iw_rdev *rdev, struct t4_wq *wq, res->u.sqrq.op = FW_RI_RES_OP_WRITE; /* eqsize is the number of 64B entries plus the status page size. */ - eqsize = wq->rq.size * T4_RQ_NUM_SLOTS + spg_creds ; + eqsize = wq->rq.size * T4_RQ_NUM_SLOTS + + (sc->params.sge.spg_len / EQ_ESIZE); res->u.sqrq.fetchszm_to_iqid = cpu_to_be32( V_FW_RI_RES_WR_HOSTFCMODE(0) | /* no host cidx updates */ V_FW_RI_RES_WR_CPRIO(0) | /* don't keep in chip cache */ diff --git a/sys/dev/cxgbe/osdep.h b/sys/dev/cxgbe/osdep.h index 403b535c0..6fd704b08 100644 --- a/sys/dev/cxgbe/osdep.h +++ b/sys/dev/cxgbe/osdep.h @@ -80,19 +80,20 @@ typedef boolean_t bool; #define true TRUE #endif +#define __force + #define mdelay(x) DELAY((x) * 1000) #define udelay(x) DELAY(x) -#define __devinit #define simple_strtoul strtoul #define DIV_ROUND_UP(x, y) howmany(x, y) #define ARRAY_SIZE(x) nitems(x) #define container_of(p, s, f) ((s *)(((uint8_t *)(p)) - offsetof(s, f))) -#define swab16(x) bswap16(x) -#define swab32(x) bswap32(x) -#define swab64(x) bswap64(x) +#define swab16(x) bswap16(x) +#define swab32(x) bswap32(x) +#define swab64(x) bswap64(x) #define le16_to_cpu(x) le16toh(x) #define le32_to_cpu(x) le32toh(x) #define le64_to_cpu(x) le64toh(x) @@ -106,11 +107,6 @@ typedef boolean_t bool; #define cpu_to_be32(x) htobe32(x) #define cpu_to_be64(x) htobe64(x) -#define SPEED_10 10 -#define SPEED_100 100 -#define SPEED_1000 1000 -#define SPEED_10000 10000 -#define SPEED_40000 40000 #define DUPLEX_HALF 0 #define DUPLEX_FULL 1 #define AUTONEG_DISABLE 0 diff --git a/sys/dev/cxgbe/t4_ioctl.h b/sys/dev/cxgbe/t4_ioctl.h index 0d6dec5d7..473cf896a 100644 --- a/sys/dev/cxgbe/t4_ioctl.h +++ b/sys/dev/cxgbe/t4_ioctl.h @@ -105,6 +105,12 @@ struct t4_i2c_data { #define T4_FILTER_MPS_HIT_TYPE 0x4000 /* MPS match type */ #define T4_FILTER_IP_FRAGMENT 0x8000 /* IP fragment */ +#define T4_FILTER_IC_VNIC 0x80000000 /* TP Ingress Config's F_VNIC + bit. It indicates whether + T4_FILTER_VNIC bit means VNIC + id (PF/VF) or outer VLAN. + 0 = oVLAN, 1 = VNIC */ + /* Filter action */ enum { FILTER_PASS = 0, /* default */ @@ -154,7 +160,7 @@ struct t4_filter_tuple { * is used to select the global mode and all filters are limited to the * set of fields allowed by the global mode. */ - uint16_t vnic; /* VNIC id or outer VLAN tag */ + uint16_t vnic; /* VNIC id (PF/VF) or outer VLAN tag */ uint16_t vlan; /* VLAN tag */ uint16_t ethtype; /* Ethernet type */ uint8_t tos; /* TOS/Traffic Type */ @@ -165,7 +171,8 @@ struct t4_filter_tuple { uint32_t frag:1; /* fragmentation extension header */ uint32_t macidx:9; /* exact match MAC index */ uint32_t vlan_vld:1; /* VLAN valid */ - uint32_t vnic_vld:1; /* VNIC id/outer VLAN tag valid */ + uint32_t ovlan_vld:1; /* outer VLAN tag valid, value in "vnic" */ + uint32_t pfvf_vld:1; /* VNIC id (PF/VF) valid, value in "vnic" */ }; struct t4_filter_specification { diff --git a/sys/dev/cxgbe/t4_main.c b/sys/dev/cxgbe/t4_main.c index de39a7351..165441eb4 100644 --- a/sys/dev/cxgbe/t4_main.c +++ b/sys/dev/cxgbe/t4_main.c @@ -337,9 +337,15 @@ TUNABLE_INT("hw.cxgbe.fw_install", &t4_fw_install); * ASIC features that will be used. Disable the ones you don't want so that the * chip resources aren't wasted on features that will not be used. */ +static int t4_nbmcaps_allowed = 0; +TUNABLE_INT("hw.cxgbe.nbmcaps_allowed", &t4_nbmcaps_allowed); + static int t4_linkcaps_allowed = 0; /* No DCBX, PPP, etc. by default */ TUNABLE_INT("hw.cxgbe.linkcaps_allowed", &t4_linkcaps_allowed); +static int t4_switchcaps_allowed = 0; +TUNABLE_INT("hw.cxgbe.switchcaps_allowed", &t4_switchcaps_allowed); + static int t4_niccaps_allowed = FW_CAPS_CONFIG_NIC; TUNABLE_INT("hw.cxgbe.niccaps_allowed", &t4_niccaps_allowed); @@ -349,6 +355,9 @@ TUNABLE_INT("hw.cxgbe.toecaps_allowed", &t4_toecaps_allowed); static int t4_rdmacaps_allowed = 0; TUNABLE_INT("hw.cxgbe.rdmacaps_allowed", &t4_rdmacaps_allowed); +static int t4_tlscaps_allowed = 0; +TUNABLE_INT("hw.cxgbe.tlscaps_allowed", &t4_tlscaps_allowed); + static int t4_iscsicaps_allowed = 0; TUNABLE_INT("hw.cxgbe.iscsicaps_allowed", &t4_iscsicaps_allowed); @@ -434,9 +443,7 @@ static void quiesce_fl(struct adapter *, struct sge_fl *); static int t4_alloc_irq(struct adapter *, struct irq *, int rid, driver_intr_t *, void *, char *); static int t4_free_irq(struct adapter *, struct irq *); -static void reg_block_dump(struct adapter *, uint8_t *, unsigned int, - unsigned int); -static void t4_get_regs(struct adapter *, struct t4_regdump *, uint8_t *); +static void get_regs(struct adapter *, struct t4_regdump *, uint8_t *); static void vi_refresh_stats(struct adapter *, struct vi_info *); static void cxgbe_refresh_stats(struct adapter *, struct port_info *); static void cxgbe_tick(void *); @@ -462,6 +469,7 @@ static int sysctl_temperature(SYSCTL_HANDLER_ARGS); static int sysctl_cctrl(SYSCTL_HANDLER_ARGS); static int sysctl_cim_ibq_obq(SYSCTL_HANDLER_ARGS); static int sysctl_cim_la(SYSCTL_HANDLER_ARGS); +static int sysctl_cim_la_t6(SYSCTL_HANDLER_ARGS); static int sysctl_cim_ma_la(SYSCTL_HANDLER_ARGS); static int sysctl_cim_pif_la(SYSCTL_HANDLER_ARGS); static int sysctl_cim_qcfg(SYSCTL_HANDLER_ARGS); @@ -474,6 +482,7 @@ static int sysctl_lb_stats(SYSCTL_HANDLER_ARGS); static int sysctl_linkdnrc(SYSCTL_HANDLER_ARGS); static int sysctl_meminfo(SYSCTL_HANDLER_ARGS); static int sysctl_mps_tcam(SYSCTL_HANDLER_ARGS); +static int sysctl_mps_tcam_t6(SYSCTL_HANDLER_ARGS); static int sysctl_path_mtus(SYSCTL_HANDLER_ARGS); static int sysctl_pm_stats(SYSCTL_HANDLER_ARGS); static int sysctl_rdma_stats(SYSCTL_HANDLER_ARGS); @@ -485,9 +494,11 @@ static int sysctl_tx_rate(SYSCTL_HANDLER_ARGS); static int sysctl_ulprx_la(SYSCTL_HANDLER_ARGS); static int sysctl_wcwr_stats(SYSCTL_HANDLER_ARGS); #endif -static uint32_t fconf_to_mode(uint32_t); +static uint32_t fconf_iconf_to_mode(uint32_t, uint32_t); static uint32_t mode_to_fconf(uint32_t); -static uint32_t fspec_to_fconf(struct t4_filter_specification *); +static uint32_t mode_to_iconf(uint32_t); +static int check_fspec_against_fconf_iconf(struct adapter *, + struct t4_filter_specification *); static int get_filter_mode(struct adapter *, uint32_t *); static int set_filter_mode(struct adapter *, uint32_t); static inline uint64_t get_filter_hits(struct adapter *, uint32_t); @@ -652,6 +663,7 @@ t4_attach(device_t dev) int rc = 0, i, j, n10g, n1g, rqidx, tqidx; struct intrs_and_queues iaq; struct sge *s; + uint8_t *buf; #ifdef TCP_OFFLOAD int ofld_rqidx, ofld_tqidx; #endif @@ -720,8 +732,10 @@ t4_attach(device_t dev) t4_register_cpl_handler(sc, CPL_T5_TRACE_PKT, t5_trace_pkt); t4_init_sge_cpl_handlers(sc); - /* Prepare the adapter for operation */ - rc = -t4_prep_adapter(sc); + /* Prepare the adapter for operation. */ + buf = malloc(PAGE_SIZE, M_CXGBE, M_ZERO | M_WAITOK); + rc = -t4_prep_adapter(sc, buf); + free(buf, M_CXGBE); if (rc != 0) { device_printf(dev, "failed to prepare adapter: %d.\n", rc); goto done; @@ -821,7 +835,7 @@ t4_attach(device_t dev) * Allocate the "main" VI and initialize parameters * like mac addr. */ - rc = -t4_port_init(pi, sc->mbox, sc->pf, 0); + rc = -t4_port_init(sc, sc->mbox, sc->pf, 0, i); if (rc != 0) { device_printf(dev, "unable to initialize port %d: %d\n", i, rc); @@ -836,7 +850,7 @@ t4_attach(device_t dev) pi->link_cfg.fc &= ~(PAUSE_TX | PAUSE_RX); pi->link_cfg.fc |= t4_pause_settings; - rc = -t4_link_start(sc, sc->mbox, pi->tx_chan, &pi->link_cfg); + rc = -t4_link_l1cfg(sc, sc->mbox, pi->tx_chan, &pi->link_cfg); if (rc != 0) { device_printf(dev, "port %d l1cfg failed: %d\n", i, rc); free(pi->vi, M_CXGBE); @@ -1660,13 +1674,13 @@ cxgbe_media_status(struct ifnet *ifp, struct ifmediareq *ifmr) return; ifmr->ifm_active = IFM_ETHER | IFM_FDX; - if (speed == SPEED_10000) + if (speed == 10000) ifmr->ifm_active |= IFM_10G_T; - else if (speed == SPEED_1000) + else if (speed == 1000) ifmr->ifm_active |= IFM_1000_T; - else if (speed == SPEED_100) + else if (speed == 100) ifmr->ifm_active |= IFM_100_TX; - else if (speed == SPEED_10) + else if (speed == 10) ifmr->ifm_active |= IFM_10_T; else KASSERT(0, ("%s: link up but speed unknown (%u)", __func__, @@ -2765,10 +2779,13 @@ partition_resources(struct adapter *sc, const struct firmware *default_cfg, * Let the firmware know what features will (not) be used so it can tune * things accordingly. */ + LIMIT_CAPS(nbmcaps); LIMIT_CAPS(linkcaps); + LIMIT_CAPS(switchcaps); LIMIT_CAPS(niccaps); LIMIT_CAPS(toecaps); LIMIT_CAPS(rdmacaps); + LIMIT_CAPS(tlscaps); LIMIT_CAPS(iscsicaps); LIMIT_CAPS(fcoecaps); #undef LIMIT_CAPS @@ -2883,10 +2900,13 @@ get_params__post_init(struct adapter *sc) #define READ_CAPS(x) do { \ sc->x = htobe16(caps.x); \ } while (0) + READ_CAPS(nbmcaps); READ_CAPS(linkcaps); + READ_CAPS(switchcaps); READ_CAPS(niccaps); READ_CAPS(toecaps); READ_CAPS(rdmacaps); + READ_CAPS(tlscaps); READ_CAPS(iscsicaps); READ_CAPS(fcoecaps); @@ -3789,7 +3809,7 @@ vi_full_init(struct vi_info *vi) for (i = 0; i < nitems(rss_key); i++) { rss_key[i] = htobe32(raw_rss_key[nitems(rss_key) - 1 - i]); } - t4_write_rss_key(sc, (void *)&rss_key[0], -1); + t4_write_rss_key(sc, &rss_key[0], -1); #endif rss = malloc(vi->rss_size * sizeof (*rss), M_CXGBE, M_ZERO | M_WAITOK); for (i = 0; i < vi->rss_size;) { @@ -4027,691 +4047,11 @@ t4_free_irq(struct adapter *sc, struct irq *irq) } static void -reg_block_dump(struct adapter *sc, uint8_t *buf, unsigned int start, - unsigned int end) +get_regs(struct adapter *sc, struct t4_regdump *regs, uint8_t *buf) { - uint32_t *p = (uint32_t *)(buf + start); - - for ( ; start <= end; start += sizeof(uint32_t)) - *p++ = t4_read_reg(sc, start); -} - -static void -t4_get_regs(struct adapter *sc, struct t4_regdump *regs, uint8_t *buf) -{ - int i, n; - const unsigned int *reg_ranges; - static const unsigned int t4_reg_ranges[] = { - 0x1008, 0x1108, - 0x1180, 0x11b4, - 0x11fc, 0x123c, - 0x1300, 0x173c, - 0x1800, 0x18fc, - 0x3000, 0x30d8, - 0x30e0, 0x5924, - 0x5960, 0x59d4, - 0x5a00, 0x5af8, - 0x6000, 0x6098, - 0x6100, 0x6150, - 0x6200, 0x6208, - 0x6240, 0x6248, - 0x6280, 0x6338, - 0x6370, 0x638c, - 0x6400, 0x643c, - 0x6500, 0x6524, - 0x6a00, 0x6a38, - 0x6a60, 0x6a78, - 0x6b00, 0x6b84, - 0x6bf0, 0x6c84, - 0x6cf0, 0x6d84, - 0x6df0, 0x6e84, - 0x6ef0, 0x6f84, - 0x6ff0, 0x7084, - 0x70f0, 0x7184, - 0x71f0, 0x7284, - 0x72f0, 0x7384, - 0x73f0, 0x7450, - 0x7500, 0x7530, - 0x7600, 0x761c, - 0x7680, 0x76cc, - 0x7700, 0x7798, - 0x77c0, 0x77fc, - 0x7900, 0x79fc, - 0x7b00, 0x7c38, - 0x7d00, 0x7efc, - 0x8dc0, 0x8e1c, - 0x8e30, 0x8e78, - 0x8ea0, 0x8f6c, - 0x8fc0, 0x9074, - 0x90fc, 0x90fc, - 0x9400, 0x9458, - 0x9600, 0x96bc, - 0x9800, 0x9808, - 0x9820, 0x983c, - 0x9850, 0x9864, - 0x9c00, 0x9c6c, - 0x9c80, 0x9cec, - 0x9d00, 0x9d6c, - 0x9d80, 0x9dec, - 0x9e00, 0x9e6c, - 0x9e80, 0x9eec, - 0x9f00, 0x9f6c, - 0x9f80, 0x9fec, - 0xd004, 0xd03c, - 0xdfc0, 0xdfe0, - 0xe000, 0xea7c, - 0xf000, 0x11110, - 0x11118, 0x11190, - 0x19040, 0x1906c, - 0x19078, 0x19080, - 0x1908c, 0x19124, - 0x19150, 0x191b0, - 0x191d0, 0x191e8, - 0x19238, 0x1924c, - 0x193f8, 0x19474, - 0x19490, 0x194f8, - 0x19800, 0x19f30, - 0x1a000, 0x1a06c, - 0x1a0b0, 0x1a120, - 0x1a128, 0x1a138, - 0x1a190, 0x1a1c4, - 0x1a1fc, 0x1a1fc, - 0x1e040, 0x1e04c, - 0x1e284, 0x1e28c, - 0x1e2c0, 0x1e2c0, - 0x1e2e0, 0x1e2e0, - 0x1e300, 0x1e384, - 0x1e3c0, 0x1e3c8, - 0x1e440, 0x1e44c, - 0x1e684, 0x1e68c, - 0x1e6c0, 0x1e6c0, - 0x1e6e0, 0x1e6e0, - 0x1e700, 0x1e784, - 0x1e7c0, 0x1e7c8, - 0x1e840, 0x1e84c, - 0x1ea84, 0x1ea8c, - 0x1eac0, 0x1eac0, - 0x1eae0, 0x1eae0, - 0x1eb00, 0x1eb84, - 0x1ebc0, 0x1ebc8, - 0x1ec40, 0x1ec4c, - 0x1ee84, 0x1ee8c, - 0x1eec0, 0x1eec0, - 0x1eee0, 0x1eee0, - 0x1ef00, 0x1ef84, - 0x1efc0, 0x1efc8, - 0x1f040, 0x1f04c, - 0x1f284, 0x1f28c, - 0x1f2c0, 0x1f2c0, - 0x1f2e0, 0x1f2e0, - 0x1f300, 0x1f384, - 0x1f3c0, 0x1f3c8, - 0x1f440, 0x1f44c, - 0x1f684, 0x1f68c, - 0x1f6c0, 0x1f6c0, - 0x1f6e0, 0x1f6e0, - 0x1f700, 0x1f784, - 0x1f7c0, 0x1f7c8, - 0x1f840, 0x1f84c, - 0x1fa84, 0x1fa8c, - 0x1fac0, 0x1fac0, - 0x1fae0, 0x1fae0, - 0x1fb00, 0x1fb84, - 0x1fbc0, 0x1fbc8, - 0x1fc40, 0x1fc4c, - 0x1fe84, 0x1fe8c, - 0x1fec0, 0x1fec0, - 0x1fee0, 0x1fee0, - 0x1ff00, 0x1ff84, - 0x1ffc0, 0x1ffc8, - 0x20000, 0x2002c, - 0x20100, 0x2013c, - 0x20190, 0x201c8, - 0x20200, 0x20318, - 0x20400, 0x20528, - 0x20540, 0x20614, - 0x21000, 0x21040, - 0x2104c, 0x21060, - 0x210c0, 0x210ec, - 0x21200, 0x21268, - 0x21270, 0x21284, - 0x212fc, 0x21388, - 0x21400, 0x21404, - 0x21500, 0x21518, - 0x2152c, 0x2153c, - 0x21550, 0x21554, - 0x21600, 0x21600, - 0x21608, 0x21628, - 0x21630, 0x2163c, - 0x21700, 0x2171c, - 0x21780, 0x2178c, - 0x21800, 0x21c38, - 0x21c80, 0x21d7c, - 0x21e00, 0x21e04, - 0x22000, 0x2202c, - 0x22100, 0x2213c, - 0x22190, 0x221c8, - 0x22200, 0x22318, - 0x22400, 0x22528, - 0x22540, 0x22614, - 0x23000, 0x23040, - 0x2304c, 0x23060, - 0x230c0, 0x230ec, - 0x23200, 0x23268, - 0x23270, 0x23284, - 0x232fc, 0x23388, - 0x23400, 0x23404, - 0x23500, 0x23518, - 0x2352c, 0x2353c, - 0x23550, 0x23554, - 0x23600, 0x23600, - 0x23608, 0x23628, - 0x23630, 0x2363c, - 0x23700, 0x2371c, - 0x23780, 0x2378c, - 0x23800, 0x23c38, - 0x23c80, 0x23d7c, - 0x23e00, 0x23e04, - 0x24000, 0x2402c, - 0x24100, 0x2413c, - 0x24190, 0x241c8, - 0x24200, 0x24318, - 0x24400, 0x24528, - 0x24540, 0x24614, - 0x25000, 0x25040, - 0x2504c, 0x25060, - 0x250c0, 0x250ec, - 0x25200, 0x25268, - 0x25270, 0x25284, - 0x252fc, 0x25388, - 0x25400, 0x25404, - 0x25500, 0x25518, - 0x2552c, 0x2553c, - 0x25550, 0x25554, - 0x25600, 0x25600, - 0x25608, 0x25628, - 0x25630, 0x2563c, - 0x25700, 0x2571c, - 0x25780, 0x2578c, - 0x25800, 0x25c38, - 0x25c80, 0x25d7c, - 0x25e00, 0x25e04, - 0x26000, 0x2602c, - 0x26100, 0x2613c, - 0x26190, 0x261c8, - 0x26200, 0x26318, - 0x26400, 0x26528, - 0x26540, 0x26614, - 0x27000, 0x27040, - 0x2704c, 0x27060, - 0x270c0, 0x270ec, - 0x27200, 0x27268, - 0x27270, 0x27284, - 0x272fc, 0x27388, - 0x27400, 0x27404, - 0x27500, 0x27518, - 0x2752c, 0x2753c, - 0x27550, 0x27554, - 0x27600, 0x27600, - 0x27608, 0x27628, - 0x27630, 0x2763c, - 0x27700, 0x2771c, - 0x27780, 0x2778c, - 0x27800, 0x27c38, - 0x27c80, 0x27d7c, - 0x27e00, 0x27e04 - }; - static const unsigned int t5_reg_ranges[] = { - 0x1008, 0x1148, - 0x1180, 0x11b4, - 0x11fc, 0x123c, - 0x1280, 0x173c, - 0x1800, 0x18fc, - 0x3000, 0x3028, - 0x3060, 0x30d8, - 0x30e0, 0x30fc, - 0x3140, 0x357c, - 0x35a8, 0x35cc, - 0x35ec, 0x35ec, - 0x3600, 0x5624, - 0x56cc, 0x575c, - 0x580c, 0x5814, - 0x5890, 0x58bc, - 0x5940, 0x59dc, - 0x59fc, 0x5a18, - 0x5a60, 0x5a9c, - 0x5b94, 0x5bfc, - 0x6000, 0x6040, - 0x6058, 0x614c, - 0x7700, 0x7798, - 0x77c0, 0x78fc, - 0x7b00, 0x7c54, - 0x7d00, 0x7efc, - 0x8dc0, 0x8de0, - 0x8df8, 0x8e84, - 0x8ea0, 0x8f84, - 0x8fc0, 0x90f8, - 0x9400, 0x9470, - 0x9600, 0x96f4, - 0x9800, 0x9808, - 0x9820, 0x983c, - 0x9850, 0x9864, - 0x9c00, 0x9c6c, - 0x9c80, 0x9cec, - 0x9d00, 0x9d6c, - 0x9d80, 0x9dec, - 0x9e00, 0x9e6c, - 0x9e80, 0x9eec, - 0x9f00, 0x9f6c, - 0x9f80, 0xa020, - 0xd004, 0xd03c, - 0xdfc0, 0xdfe0, - 0xe000, 0x11088, - 0x1109c, 0x11110, - 0x11118, 0x1117c, - 0x11190, 0x11204, - 0x19040, 0x1906c, - 0x19078, 0x19080, - 0x1908c, 0x19124, - 0x19150, 0x191b0, - 0x191d0, 0x191e8, - 0x19238, 0x19290, - 0x193f8, 0x19474, - 0x19490, 0x194cc, - 0x194f0, 0x194f8, - 0x19c00, 0x19c60, - 0x19c94, 0x19e10, - 0x19e50, 0x19f34, - 0x19f40, 0x19f50, - 0x19f90, 0x19fe4, - 0x1a000, 0x1a06c, - 0x1a0b0, 0x1a120, - 0x1a128, 0x1a138, - 0x1a190, 0x1a1c4, - 0x1a1fc, 0x1a1fc, - 0x1e008, 0x1e00c, - 0x1e040, 0x1e04c, - 0x1e284, 0x1e290, - 0x1e2c0, 0x1e2c0, - 0x1e2e0, 0x1e2e0, - 0x1e300, 0x1e384, - 0x1e3c0, 0x1e3c8, - 0x1e408, 0x1e40c, - 0x1e440, 0x1e44c, - 0x1e684, 0x1e690, - 0x1e6c0, 0x1e6c0, - 0x1e6e0, 0x1e6e0, - 0x1e700, 0x1e784, - 0x1e7c0, 0x1e7c8, - 0x1e808, 0x1e80c, - 0x1e840, 0x1e84c, - 0x1ea84, 0x1ea90, - 0x1eac0, 0x1eac0, - 0x1eae0, 0x1eae0, - 0x1eb00, 0x1eb84, - 0x1ebc0, 0x1ebc8, - 0x1ec08, 0x1ec0c, - 0x1ec40, 0x1ec4c, - 0x1ee84, 0x1ee90, - 0x1eec0, 0x1eec0, - 0x1eee0, 0x1eee0, - 0x1ef00, 0x1ef84, - 0x1efc0, 0x1efc8, - 0x1f008, 0x1f00c, - 0x1f040, 0x1f04c, - 0x1f284, 0x1f290, - 0x1f2c0, 0x1f2c0, - 0x1f2e0, 0x1f2e0, - 0x1f300, 0x1f384, - 0x1f3c0, 0x1f3c8, - 0x1f408, 0x1f40c, - 0x1f440, 0x1f44c, - 0x1f684, 0x1f690, - 0x1f6c0, 0x1f6c0, - 0x1f6e0, 0x1f6e0, - 0x1f700, 0x1f784, - 0x1f7c0, 0x1f7c8, - 0x1f808, 0x1f80c, - 0x1f840, 0x1f84c, - 0x1fa84, 0x1fa90, - 0x1fac0, 0x1fac0, - 0x1fae0, 0x1fae0, - 0x1fb00, 0x1fb84, - 0x1fbc0, 0x1fbc8, - 0x1fc08, 0x1fc0c, - 0x1fc40, 0x1fc4c, - 0x1fe84, 0x1fe90, - 0x1fec0, 0x1fec0, - 0x1fee0, 0x1fee0, - 0x1ff00, 0x1ff84, - 0x1ffc0, 0x1ffc8, - 0x30000, 0x30030, - 0x30100, 0x30144, - 0x30190, 0x301d0, - 0x30200, 0x30318, - 0x30400, 0x3052c, - 0x30540, 0x3061c, - 0x30800, 0x30834, - 0x308c0, 0x30908, - 0x30910, 0x309ac, - 0x30a00, 0x30a2c, - 0x30a44, 0x30a50, - 0x30a74, 0x30c24, - 0x30d00, 0x30d00, - 0x30d08, 0x30d14, - 0x30d1c, 0x30d20, - 0x30d3c, 0x30d50, - 0x31200, 0x3120c, - 0x31220, 0x31220, - 0x31240, 0x31240, - 0x31600, 0x3160c, - 0x31a00, 0x31a1c, - 0x31e00, 0x31e20, - 0x31e38, 0x31e3c, - 0x31e80, 0x31e80, - 0x31e88, 0x31ea8, - 0x31eb0, 0x31eb4, - 0x31ec8, 0x31ed4, - 0x31fb8, 0x32004, - 0x32200, 0x32200, - 0x32208, 0x32240, - 0x32248, 0x32280, - 0x32288, 0x322c0, - 0x322c8, 0x322fc, - 0x32600, 0x32630, - 0x32a00, 0x32abc, - 0x32b00, 0x32b70, - 0x33000, 0x33048, - 0x33060, 0x3309c, - 0x330f0, 0x33148, - 0x33160, 0x3319c, - 0x331f0, 0x332e4, - 0x332f8, 0x333e4, - 0x333f8, 0x33448, - 0x33460, 0x3349c, - 0x334f0, 0x33548, - 0x33560, 0x3359c, - 0x335f0, 0x336e4, - 0x336f8, 0x337e4, - 0x337f8, 0x337fc, - 0x33814, 0x33814, - 0x3382c, 0x3382c, - 0x33880, 0x3388c, - 0x338e8, 0x338ec, - 0x33900, 0x33948, - 0x33960, 0x3399c, - 0x339f0, 0x33ae4, - 0x33af8, 0x33b10, - 0x33b28, 0x33b28, - 0x33b3c, 0x33b50, - 0x33bf0, 0x33c10, - 0x33c28, 0x33c28, - 0x33c3c, 0x33c50, - 0x33cf0, 0x33cfc, - 0x34000, 0x34030, - 0x34100, 0x34144, - 0x34190, 0x341d0, - 0x34200, 0x34318, - 0x34400, 0x3452c, - 0x34540, 0x3461c, - 0x34800, 0x34834, - 0x348c0, 0x34908, - 0x34910, 0x349ac, - 0x34a00, 0x34a2c, - 0x34a44, 0x34a50, - 0x34a74, 0x34c24, - 0x34d00, 0x34d00, - 0x34d08, 0x34d14, - 0x34d1c, 0x34d20, - 0x34d3c, 0x34d50, - 0x35200, 0x3520c, - 0x35220, 0x35220, - 0x35240, 0x35240, - 0x35600, 0x3560c, - 0x35a00, 0x35a1c, - 0x35e00, 0x35e20, - 0x35e38, 0x35e3c, - 0x35e80, 0x35e80, - 0x35e88, 0x35ea8, - 0x35eb0, 0x35eb4, - 0x35ec8, 0x35ed4, - 0x35fb8, 0x36004, - 0x36200, 0x36200, - 0x36208, 0x36240, - 0x36248, 0x36280, - 0x36288, 0x362c0, - 0x362c8, 0x362fc, - 0x36600, 0x36630, - 0x36a00, 0x36abc, - 0x36b00, 0x36b70, - 0x37000, 0x37048, - 0x37060, 0x3709c, - 0x370f0, 0x37148, - 0x37160, 0x3719c, - 0x371f0, 0x372e4, - 0x372f8, 0x373e4, - 0x373f8, 0x37448, - 0x37460, 0x3749c, - 0x374f0, 0x37548, - 0x37560, 0x3759c, - 0x375f0, 0x376e4, - 0x376f8, 0x377e4, - 0x377f8, 0x377fc, - 0x37814, 0x37814, - 0x3782c, 0x3782c, - 0x37880, 0x3788c, - 0x378e8, 0x378ec, - 0x37900, 0x37948, - 0x37960, 0x3799c, - 0x379f0, 0x37ae4, - 0x37af8, 0x37b10, - 0x37b28, 0x37b28, - 0x37b3c, 0x37b50, - 0x37bf0, 0x37c10, - 0x37c28, 0x37c28, - 0x37c3c, 0x37c50, - 0x37cf0, 0x37cfc, - 0x38000, 0x38030, - 0x38100, 0x38144, - 0x38190, 0x381d0, - 0x38200, 0x38318, - 0x38400, 0x3852c, - 0x38540, 0x3861c, - 0x38800, 0x38834, - 0x388c0, 0x38908, - 0x38910, 0x389ac, - 0x38a00, 0x38a2c, - 0x38a44, 0x38a50, - 0x38a74, 0x38c24, - 0x38d00, 0x38d00, - 0x38d08, 0x38d14, - 0x38d1c, 0x38d20, - 0x38d3c, 0x38d50, - 0x39200, 0x3920c, - 0x39220, 0x39220, - 0x39240, 0x39240, - 0x39600, 0x3960c, - 0x39a00, 0x39a1c, - 0x39e00, 0x39e20, - 0x39e38, 0x39e3c, - 0x39e80, 0x39e80, - 0x39e88, 0x39ea8, - 0x39eb0, 0x39eb4, - 0x39ec8, 0x39ed4, - 0x39fb8, 0x3a004, - 0x3a200, 0x3a200, - 0x3a208, 0x3a240, - 0x3a248, 0x3a280, - 0x3a288, 0x3a2c0, - 0x3a2c8, 0x3a2fc, - 0x3a600, 0x3a630, - 0x3aa00, 0x3aabc, - 0x3ab00, 0x3ab70, - 0x3b000, 0x3b048, - 0x3b060, 0x3b09c, - 0x3b0f0, 0x3b148, - 0x3b160, 0x3b19c, - 0x3b1f0, 0x3b2e4, - 0x3b2f8, 0x3b3e4, - 0x3b3f8, 0x3b448, - 0x3b460, 0x3b49c, - 0x3b4f0, 0x3b548, - 0x3b560, 0x3b59c, - 0x3b5f0, 0x3b6e4, - 0x3b6f8, 0x3b7e4, - 0x3b7f8, 0x3b7fc, - 0x3b814, 0x3b814, - 0x3b82c, 0x3b82c, - 0x3b880, 0x3b88c, - 0x3b8e8, 0x3b8ec, - 0x3b900, 0x3b948, - 0x3b960, 0x3b99c, - 0x3b9f0, 0x3bae4, - 0x3baf8, 0x3bb10, - 0x3bb28, 0x3bb28, - 0x3bb3c, 0x3bb50, - 0x3bbf0, 0x3bc10, - 0x3bc28, 0x3bc28, - 0x3bc3c, 0x3bc50, - 0x3bcf0, 0x3bcfc, - 0x3c000, 0x3c030, - 0x3c100, 0x3c144, - 0x3c190, 0x3c1d0, - 0x3c200, 0x3c318, - 0x3c400, 0x3c52c, - 0x3c540, 0x3c61c, - 0x3c800, 0x3c834, - 0x3c8c0, 0x3c908, - 0x3c910, 0x3c9ac, - 0x3ca00, 0x3ca2c, - 0x3ca44, 0x3ca50, - 0x3ca74, 0x3cc24, - 0x3cd00, 0x3cd00, - 0x3cd08, 0x3cd14, - 0x3cd1c, 0x3cd20, - 0x3cd3c, 0x3cd50, - 0x3d200, 0x3d20c, - 0x3d220, 0x3d220, - 0x3d240, 0x3d240, - 0x3d600, 0x3d60c, - 0x3da00, 0x3da1c, - 0x3de00, 0x3de20, - 0x3de38, 0x3de3c, - 0x3de80, 0x3de80, - 0x3de88, 0x3dea8, - 0x3deb0, 0x3deb4, - 0x3dec8, 0x3ded4, - 0x3dfb8, 0x3e004, - 0x3e200, 0x3e200, - 0x3e208, 0x3e240, - 0x3e248, 0x3e280, - 0x3e288, 0x3e2c0, - 0x3e2c8, 0x3e2fc, - 0x3e600, 0x3e630, - 0x3ea00, 0x3eabc, - 0x3eb00, 0x3eb70, - 0x3f000, 0x3f048, - 0x3f060, 0x3f09c, - 0x3f0f0, 0x3f148, - 0x3f160, 0x3f19c, - 0x3f1f0, 0x3f2e4, - 0x3f2f8, 0x3f3e4, - 0x3f3f8, 0x3f448, - 0x3f460, 0x3f49c, - 0x3f4f0, 0x3f548, - 0x3f560, 0x3f59c, - 0x3f5f0, 0x3f6e4, - 0x3f6f8, 0x3f7e4, - 0x3f7f8, 0x3f7fc, - 0x3f814, 0x3f814, - 0x3f82c, 0x3f82c, - 0x3f880, 0x3f88c, - 0x3f8e8, 0x3f8ec, - 0x3f900, 0x3f948, - 0x3f960, 0x3f99c, - 0x3f9f0, 0x3fae4, - 0x3faf8, 0x3fb10, - 0x3fb28, 0x3fb28, - 0x3fb3c, 0x3fb50, - 0x3fbf0, 0x3fc10, - 0x3fc28, 0x3fc28, - 0x3fc3c, 0x3fc50, - 0x3fcf0, 0x3fcfc, - 0x40000, 0x4000c, - 0x40040, 0x40068, - 0x4007c, 0x40144, - 0x40180, 0x4018c, - 0x40200, 0x40298, - 0x402ac, 0x4033c, - 0x403f8, 0x403fc, - 0x41304, 0x413c4, - 0x41400, 0x4141c, - 0x41480, 0x414d0, - 0x44000, 0x44078, - 0x440c0, 0x44278, - 0x442c0, 0x44478, - 0x444c0, 0x44678, - 0x446c0, 0x44878, - 0x448c0, 0x449fc, - 0x45000, 0x45068, - 0x45080, 0x45084, - 0x450a0, 0x450b0, - 0x45200, 0x45268, - 0x45280, 0x45284, - 0x452a0, 0x452b0, - 0x460c0, 0x460e4, - 0x47000, 0x4708c, - 0x47200, 0x47250, - 0x47400, 0x47420, - 0x47600, 0x47618, - 0x47800, 0x47814, - 0x48000, 0x4800c, - 0x48040, 0x48068, - 0x4807c, 0x48144, - 0x48180, 0x4818c, - 0x48200, 0x48298, - 0x482ac, 0x4833c, - 0x483f8, 0x483fc, - 0x49304, 0x493c4, - 0x49400, 0x4941c, - 0x49480, 0x494d0, - 0x4c000, 0x4c078, - 0x4c0c0, 0x4c278, - 0x4c2c0, 0x4c478, - 0x4c4c0, 0x4c678, - 0x4c6c0, 0x4c878, - 0x4c8c0, 0x4c9fc, - 0x4d000, 0x4d068, - 0x4d080, 0x4d084, - 0x4d0a0, 0x4d0b0, - 0x4d200, 0x4d268, - 0x4d280, 0x4d284, - 0x4d2a0, 0x4d2b0, - 0x4e0c0, 0x4e0e4, - 0x4f000, 0x4f08c, - 0x4f200, 0x4f250, - 0x4f400, 0x4f420, - 0x4f600, 0x4f618, - 0x4f800, 0x4f814, - 0x50000, 0x500cc, - 0x50400, 0x50400, - 0x50800, 0x508cc, - 0x50c00, 0x50c00, - 0x51000, 0x5101c, - 0x51300, 0x51308, - }; - - if (is_t4(sc)) { - reg_ranges = &t4_reg_ranges[0]; - n = nitems(t4_reg_ranges); - } else { - reg_ranges = &t5_reg_ranges[0]; - n = nitems(t5_reg_ranges); - } regs->version = chip_id(sc) | chip_rev(sc) << 10; - for (i = 0; i < n; i += 2) - reg_block_dump(sc, buf, reg_ranges[i], reg_ranges[i + 1]); + t4_get_regs(sc, buf, regs->len); } #define A_PL_INDIR_CMD 0x1f8 @@ -4857,7 +4197,7 @@ cxgbe_refresh_stats(struct adapter *sc, struct port_info *pi) ifp->if_iqdrops = s->rx_ovflow0 + s->rx_ovflow1 + s->rx_ovflow2 + s->rx_ovflow3 + s->rx_trunc0 + s->rx_trunc1 + s->rx_trunc2 + s->rx_trunc3; - for (i = 0; i < NCHAN; i++) { + for (i = 0; i < sc->chip_params->nchan; i++) { if (pi->rx_chan_map & (1 << i)) { uint32_t v; @@ -5008,24 +4348,33 @@ t4_register_fw_msg_handler(struct adapter *sc, int type, fw_msg_handler_t h) return (0); } +/* + * Should match fw_caps_config_ enums in t4fw_interface.h + */ +static char *caps_decoder[] = { + "\20\001IPMI\002NCSI", /* 0: NBM */ + "\20\001PPP\002QFC\003DCBX", /* 1: link */ + "\20\001INGRESS\002EGRESS", /* 2: switch */ + "\20\001NIC\002VM\003IDS\004UM\005UM_ISGL" /* 3: NIC */ + "\006HASHFILTER\007ETHOFLD", + "\20\001TOE", /* 4: TOE */ + "\20\001RDDP\002RDMAC", /* 5: RDMA */ + "\20\001INITIATOR_PDU\002TARGET_PDU" /* 6: iSCSI */ + "\003INITIATOR_CNXOFLD\004TARGET_CNXOFLD" + "\005INITIATOR_SSNOFLD\006TARGET_SSNOFLD" + "\007T10DIF" + "\010INITIATOR_CMDOFLD\011TARGET_CMDOFLD", + "\20\00KEYS", /* 7: TLS */ + "\20\001INITIATOR\002TARGET\003CTRL_OFLD" /* 8: FCoE */ + "\004PO_INITIATOR\005PO_TARGET", +}; + static void t4_sysctls(struct adapter *sc) { struct sysctl_ctx_list *ctx; struct sysctl_oid *oid; struct sysctl_oid_list *children, *c0; - static char *caps[] = { - "\20\1PPP\2QFC\3DCBX", /* caps[0] linkcaps */ - "\20\1NIC\2VM\3IDS\4UM\5UM_ISGL" /* caps[1] niccaps */ - "\6HASHFILTER\7ETHOFLD", - "\20\1TOE", /* caps[2] toecaps */ - "\20\1RDDP\2RDMAC", /* caps[3] rdmacaps */ - "\20\1INITIATOR_PDU\2TARGET_PDU" /* caps[4] iscsicaps */ - "\3INITIATOR_CNXOFLD\4TARGET_CNXOFLD" - "\5INITIATOR_SSNOFLD\6TARGET_SSNOFLD", - "\20\1INITIATOR\2TARGET\3CTRL_OFLD" /* caps[5] fcoecaps */ - "\4PO_INITIAOR\5PO_TARGET" - }; static char *doorbells = {"\20\1UDB\2WCWR\3UDBWC\4KDB"}; ctx = device_get_sysctl_ctx(sc->dev); @@ -5059,41 +4408,33 @@ t4_sysctls(struct adapter *sc) CTLTYPE_STRING | CTLFLAG_RD, doorbells, sc->doorbells, sysctl_bitfield, "A", "available doorbells"); - SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "linkcaps", - CTLTYPE_STRING | CTLFLAG_RD, caps[0], sc->linkcaps, - sysctl_bitfield, "A", "available link capabilities"); - - SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "niccaps", - CTLTYPE_STRING | CTLFLAG_RD, caps[1], sc->niccaps, - sysctl_bitfield, "A", "available NIC capabilities"); - - SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "toecaps", - CTLTYPE_STRING | CTLFLAG_RD, caps[2], sc->toecaps, - sysctl_bitfield, "A", "available TCP offload capabilities"); - - SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "rdmacaps", - CTLTYPE_STRING | CTLFLAG_RD, caps[3], sc->rdmacaps, - sysctl_bitfield, "A", "available RDMA capabilities"); - - SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "iscsicaps", - CTLTYPE_STRING | CTLFLAG_RD, caps[4], sc->iscsicaps, - sysctl_bitfield, "A", "available iSCSI capabilities"); - - SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "fcoecaps", - CTLTYPE_STRING | CTLFLAG_RD, caps[5], sc->fcoecaps, - sysctl_bitfield, "A", "available FCoE capabilities"); +#define SYSCTL_CAP(name, n, text) \ + SYSCTL_ADD_PROC(ctx, children, OID_AUTO, #name, \ + CTLTYPE_STRING | CTLFLAG_RD, caps_decoder[n], sc->name, \ + sysctl_bitfield, "A", "available " text "capabilities") + + SYSCTL_CAP(nbmcaps, 0, "NBM"); + SYSCTL_CAP(linkcaps, 1, "link"); + SYSCTL_CAP(switchcaps, 2, "switch"); + SYSCTL_CAP(niccaps, 3, "NIC"); + SYSCTL_CAP(toecaps, 4, "TCP offload"); + SYSCTL_CAP(rdmacaps, 5, "RDMA"); + SYSCTL_CAP(iscsicaps, 6, "iSCSI"); + SYSCTL_CAP(tlscaps, 7, "TLS"); + SYSCTL_CAP(fcoecaps, 8, "FCoE"); +#undef SYSCTL_CAP SYSCTL_ADD_INT(ctx, children, OID_AUTO, "core_clock", CTLFLAG_RD, NULL, sc->params.vpd.cclk, "core clock frequency (in KHz)"); SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "holdoff_timers", - CTLTYPE_STRING | CTLFLAG_RD, sc->sge.timer_val, - sizeof(sc->sge.timer_val), sysctl_int_array, "A", + CTLTYPE_STRING | CTLFLAG_RD, sc->params.sge.timer_val, + sizeof(sc->params.sge.timer_val), sysctl_int_array, "A", "interrupt holdoff timer values (us)"); SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "holdoff_pkt_counts", - CTLTYPE_STRING | CTLFLAG_RD, sc->sge.counter_val, - sizeof(sc->sge.counter_val), sysctl_int_array, "A", + CTLTYPE_STRING | CTLFLAG_RD, sc->params.sge.counter_val, + sizeof(sc->params.sge.counter_val), sysctl_int_array, "A", "interrupt holdoff packet counter values"); SYSCTL_ADD_INT(ctx, children, OID_AUTO, "nfilters", CTLFLAG_RD, @@ -5151,7 +4492,8 @@ t4_sysctls(struct adapter *sc) SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "cim_la", CTLTYPE_STRING | CTLFLAG_RD, sc, 0, - sysctl_cim_la, "A", "CIM logic analyzer"); + chip_id(sc) <= CHELSIO_T5 ? sysctl_cim_la : sysctl_cim_la_t6, + "A", "CIM logic analyzer"); SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "cim_ma_la", CTLTYPE_STRING | CTLFLAG_RD, sc, 0, @@ -5181,7 +4523,7 @@ t4_sysctls(struct adapter *sc) CTLTYPE_STRING | CTLFLAG_RD, sc, 5 + CIM_NUM_IBQ, sysctl_cim_ibq_obq, "A", "CIM OBQ 5 (NCSI)"); - if (is_t5(sc)) { + if (chip_id(sc) > CHELSIO_T4) { SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "cim_obq_sge0_rx", CTLTYPE_STRING | CTLFLAG_RD, sc, 6 + CIM_NUM_IBQ, sysctl_cim_ibq_obq, "A", "CIM OBQ 6 (SGE0-RX)"); @@ -5233,7 +4575,8 @@ t4_sysctls(struct adapter *sc) SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "mps_tcam", CTLTYPE_STRING | CTLFLAG_RD, sc, 0, - sysctl_mps_tcam, "A", "MPS TCAM entries"); + chip_id(sc) <= CHELSIO_T5 ? sysctl_mps_tcam : sysctl_mps_tcam_t6, + "A", "MPS TCAM entries"); SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "path_mtus", CTLTYPE_STRING | CTLFLAG_RD, sc, 0, @@ -5858,7 +5201,7 @@ sysctl_pause_settings(SYSCTL_HANDLER_ARGS) lc->requested_fc &= ~(PAUSE_TX | PAUSE_RX); lc->requested_fc |= n; - rc = -t4_link_start(sc, sc->mbox, pi->tx_chan, lc); + rc = -t4_link_l1cfg(sc, sc->mbox, pi->tx_chan, lc); lc->link_ok = link_ok; /* restore */ } end_synchronized_op(sc, 0); @@ -5957,7 +5300,7 @@ sysctl_cim_ibq_obq(SYSCTL_HANDLER_ARGS) int rc, i, n, qid = arg2; uint32_t *buf, *p; char *qtype; - u_int cim_num_obq = is_t4(sc) ? CIM_NUM_OBQ : CIM_NUM_OBQ_T5; + u_int cim_num_obq = sc->chip_params->cim_num_obq; KASSERT(qid >= 0 && qid < CIM_NUM_IBQ + cim_num_obq, ("%s: bad qid %d\n", __func__, qid)); @@ -6014,6 +5357,8 @@ sysctl_cim_la(SYSCTL_HANDLER_ARGS) uint32_t *buf, *p; int rc; + MPASS(chip_id(sc) <= CHELSIO_T5); + rc = -t4_cim_read(sc, A_UP_UP_DBG_LA_CFG, 1, &cfg); if (rc != 0) return (rc); @@ -6037,10 +5382,7 @@ sysctl_cim_la(SYSCTL_HANDLER_ARGS) cfg & F_UPDBGLACAPTPCONLY ? "" : " LS0Stat LS0Addr LS0Data"); - KASSERT((sc->params.cim_la_size & 7) == 0, - ("%s: p will walk off the end of buf", __func__)); - - for (p = buf; p < &buf[sc->params.cim_la_size]; p += 8) { + for (p = buf; p <= &buf[sc->params.cim_la_size - 8]; p += 8) { if (cfg & F_UPDBGLACAPTPCONLY) { sbuf_printf(sb, "\n %02x %08x %08x", p[5] & 0xff, p[6], p[7]); @@ -6067,6 +5409,69 @@ sysctl_cim_la(SYSCTL_HANDLER_ARGS) return (rc); } +static int +sysctl_cim_la_t6(SYSCTL_HANDLER_ARGS) +{ + struct adapter *sc = arg1; + u_int cfg; + struct sbuf *sb; + uint32_t *buf, *p; + int rc; + + MPASS(chip_id(sc) > CHELSIO_T5); + + rc = -t4_cim_read(sc, A_UP_UP_DBG_LA_CFG, 1, &cfg); + if (rc != 0) + return (rc); + + rc = sysctl_wire_old_buffer(req, 0); + if (rc != 0) + return (rc); + + sb = sbuf_new_for_sysctl(NULL, NULL, 4096, req); + if (sb == NULL) + return (ENOMEM); + + buf = malloc(sc->params.cim_la_size * sizeof(uint32_t), M_CXGBE, + M_ZERO | M_WAITOK); + + rc = -t4_cim_read_la(sc, buf, NULL); + if (rc != 0) + goto done; + + sbuf_printf(sb, "Status Inst Data PC%s", + cfg & F_UPDBGLACAPTPCONLY ? "" : + " LS0Stat LS0Addr LS0Data LS1Stat LS1Addr LS1Data"); + + for (p = buf; p <= &buf[sc->params.cim_la_size - 10]; p += 10) { + if (cfg & F_UPDBGLACAPTPCONLY) { + sbuf_printf(sb, "\n %02x %08x %08x %08x", + p[3] & 0xff, p[2], p[1], p[0]); + sbuf_printf(sb, "\n %02x %02x%06x %02x%06x %02x%06x", + (p[6] >> 8) & 0xff, p[6] & 0xff, p[5] >> 8, + p[5] & 0xff, p[4] >> 8, p[4] & 0xff, p[3] >> 8); + sbuf_printf(sb, "\n %02x %04x%04x %04x%04x %04x%04x", + (p[9] >> 16) & 0xff, p[9] & 0xffff, p[8] >> 16, + p[8] & 0xffff, p[7] >> 16, p[7] & 0xffff, + p[6] >> 16); + } else { + sbuf_printf(sb, "\n %02x %04x%04x %04x%04x %04x%04x " + "%08x %08x %08x %08x %08x %08x", + (p[9] >> 16) & 0xff, + p[9] & 0xffff, p[8] >> 16, + p[8] & 0xffff, p[7] >> 16, + p[7] & 0xffff, p[6] >> 16, + p[2], p[1], p[0], p[5], p[4], p[3]); + } + } + + rc = sbuf_finish(sb); + sbuf_delete(sb); +done: + free(buf, M_CXGBE); + return (rc); +} + static int sysctl_cim_ma_la(SYSCTL_HANDLER_ARGS) { @@ -6135,14 +5540,14 @@ sysctl_cim_pif_la(SYSCTL_HANDLER_ARGS) p = buf; sbuf_printf(sb, "Cntl ID DataBE Addr Data"); - for (i = 0; i < CIM_MALA_SIZE; i++, p += 6) { + for (i = 0; i < CIM_PIFLA_SIZE; i++, p += 6) { sbuf_printf(sb, "\n %02x %02x %04x %08x %08x%08x%08x%08x", (p[5] >> 22) & 0xff, (p[5] >> 16) & 0x3f, p[5] & 0xffff, p[4], p[3], p[2], p[1], p[0]); } sbuf_printf(sb, "\n\nCntl ID Data"); - for (i = 0; i < CIM_MALA_SIZE; i++, p += 6) { + for (i = 0; i < CIM_PIFLA_SIZE; i++, p += 6) { sbuf_printf(sb, "\n %02x %02x %08x%08x%08x%08x", (p[4] >> 6) & 0xff, p[4] & 0x3f, p[3], p[2], p[1], p[0]); } @@ -6166,12 +5571,11 @@ sysctl_cim_qcfg(SYSCTL_HANDLER_ARGS) uint32_t stat[4 * (CIM_NUM_IBQ + CIM_NUM_OBQ_T5)], *p = stat; u_int cim_num_obq, ibq_rdaddr, obq_rdaddr, nq; + cim_num_obq = sc->chip_params->cim_num_obq; if (is_t4(sc)) { - cim_num_obq = CIM_NUM_OBQ; ibq_rdaddr = A_UP_IBQ_0_RDADDR; obq_rdaddr = A_UP_OBQ_0_REALADDR; } else { - cim_num_obq = CIM_NUM_OBQ_T5; ibq_rdaddr = A_UP_IBQ_0_SHADOW_RDADDR; obq_rdaddr = A_UP_OBQ_0_SHADOW_REALADDR; } @@ -6228,14 +5632,24 @@ sysctl_cpl_stats(SYSCTL_HANDLER_ARGS) if (sb == NULL) return (ENOMEM); + mtx_lock(&sc->regwin_lock); t4_tp_get_cpl_stats(sc, &stats); + mtx_unlock(&sc->regwin_lock); - sbuf_printf(sb, " channel 0 channel 1 channel 2 " - "channel 3\n"); - sbuf_printf(sb, "CPL requests: %10u %10u %10u %10u\n", - stats.req[0], stats.req[1], stats.req[2], stats.req[3]); - sbuf_printf(sb, "CPL responses: %10u %10u %10u %10u", - stats.rsp[0], stats.rsp[1], stats.rsp[2], stats.rsp[3]); + if (sc->chip_params->nchan > 2) { + sbuf_printf(sb, " channel 0 channel 1" + " channel 2 channel 3"); + sbuf_printf(sb, "\nCPL requests: %10u %10u %10u %10u", + stats.req[0], stats.req[1], stats.req[2], stats.req[3]); + sbuf_printf(sb, "\nCPL responses: %10u %10u %10u %10u", + stats.rsp[0], stats.rsp[1], stats.rsp[2], stats.rsp[3]); + } else { + sbuf_printf(sb, " channel 0 channel 1"); + sbuf_printf(sb, "\nCPL requests: %10u %10u", + stats.req[0], stats.req[1]); + sbuf_printf(sb, "\nCPL responses: %10u %10u", + stats.rsp[0], stats.rsp[1]); + } rc = sbuf_finish(sb); sbuf_delete(sb); @@ -6399,7 +5813,8 @@ sysctl_fcoe_stats(SYSCTL_HANDLER_ARGS) struct adapter *sc = arg1; struct sbuf *sb; int rc; - struct tp_fcoe_stats stats[4]; + struct tp_fcoe_stats stats[MAX_NCHAN]; + int i, nchan = sc->chip_params->nchan; rc = sysctl_wire_old_buffer(req, 0); if (rc != 0) @@ -6409,21 +5824,30 @@ sysctl_fcoe_stats(SYSCTL_HANDLER_ARGS) if (sb == NULL) return (ENOMEM); - t4_get_fcoe_stats(sc, 0, &stats[0]); - t4_get_fcoe_stats(sc, 1, &stats[1]); - t4_get_fcoe_stats(sc, 2, &stats[2]); - t4_get_fcoe_stats(sc, 3, &stats[3]); - - sbuf_printf(sb, " channel 0 channel 1 " - "channel 2 channel 3\n"); - sbuf_printf(sb, "octetsDDP: %16ju %16ju %16ju %16ju\n", - stats[0].octetsDDP, stats[1].octetsDDP, stats[2].octetsDDP, - stats[3].octetsDDP); - sbuf_printf(sb, "framesDDP: %16u %16u %16u %16u\n", stats[0].framesDDP, - stats[1].framesDDP, stats[2].framesDDP, stats[3].framesDDP); - sbuf_printf(sb, "framesDrop: %16u %16u %16u %16u", - stats[0].framesDrop, stats[1].framesDrop, stats[2].framesDrop, - stats[3].framesDrop); + for (i = 0; i < nchan; i++) + t4_get_fcoe_stats(sc, i, &stats[i]); + + if (nchan > 2) { + sbuf_printf(sb, " channel 0 channel 1" + " channel 2 channel 3"); + sbuf_printf(sb, "\noctetsDDP: %16ju %16ju %16ju %16ju", + stats[0].octets_ddp, stats[1].octets_ddp, + stats[2].octets_ddp, stats[3].octets_ddp); + sbuf_printf(sb, "\nframesDDP: %16u %16u %16u %16u", + stats[0].frames_ddp, stats[1].frames_ddp, + stats[2].frames_ddp, stats[3].frames_ddp); + sbuf_printf(sb, "\nframesDrop: %16u %16u %16u %16u", + stats[0].frames_drop, stats[1].frames_drop, + stats[2].frames_drop, stats[3].frames_drop); + } else { + sbuf_printf(sb, " channel 0 channel 1"); + sbuf_printf(sb, "\noctetsDDP: %16ju %16ju", + stats[0].octets_ddp, stats[1].octets_ddp); + sbuf_printf(sb, "\nframesDDP: %16u %16u", + stats[0].frames_ddp, stats[1].frames_ddp); + sbuf_printf(sb, "\nframesDrop: %16u %16u", + stats[0].frames_drop, stats[1].frames_drop); + } rc = sbuf_finish(sb); sbuf_delete(sb); @@ -6509,7 +5933,7 @@ sysctl_lb_stats(SYSCTL_HANDLER_ARGS) memset(s, 0, sizeof(s)); - for (i = 0; i < 4; i += 2) { + for (i = 0; i < sc->chip_params->nchan; i += 2) { t4_get_lb_stats(sc, i, &s[0]); t4_get_lb_stats(sc, i + 1, &s[1]); @@ -6535,10 +5959,6 @@ sysctl_linkdnrc(SYSCTL_HANDLER_ARGS) int rc = 0; struct port_info *pi = arg1; struct sbuf *sb; - static const char *linkdnreasons[] = { - "non-specific", "remote fault", "autoneg failed", "reserved3", - "PHY overheated", "unknown", "rx los", "reserved7" - }; rc = sysctl_wire_old_buffer(req, 0); if (rc != 0) @@ -6549,10 +5969,8 @@ sysctl_linkdnrc(SYSCTL_HANDLER_ARGS) if (pi->linkdnrc < 0) sbuf_printf(sb, "n/a"); - else if (pi->linkdnrc < nitems(linkdnreasons)) - sbuf_printf(sb, "%s", linkdnreasons[pi->linkdnrc]); else - sbuf_printf(sb, "%d", pi->linkdnrc); + sbuf_printf(sb, "%s", t4_link_down_rc_str(pi->linkdnrc)); rc = sbuf_finish(sb); sbuf_delete(sb); @@ -6643,10 +6061,10 @@ sysctl_meminfo(SYSCTL_HANDLER_ARGS) avail[i].base = G_EXT_MEM_BASE(hi) << 20; avail[i].limit = avail[i].base + (G_EXT_MEM_SIZE(hi) << 20); - avail[i].idx = is_t4(sc) ? 2 : 3; /* Call it MC for T4 */ + avail[i].idx = is_t5(sc) ? 3 : 2; /* Call it MC0 for T5 */ i++; } - if (!is_t4(sc) && lo & F_EXT_MEM1_ENABLE) { + if (is_t5(sc) && lo & F_EXT_MEM1_ENABLE) { hi = t4_read_reg(sc, A_MA_EXT_MEMORY1_BAR); avail[i].base = G_EXT_MEM1_BASE(hi) << 20; avail[i].limit = avail[i].base + @@ -6682,9 +6100,14 @@ sysctl_meminfo(SYSCTL_HANDLER_ARGS) md++; if (t4_read_reg(sc, A_LE_DB_CONFIG) & F_HASHEN) { - hi = t4_read_reg(sc, A_LE_DB_TID_HASHBASE) / 4; - md->base = t4_read_reg(sc, A_LE_DB_HASH_TID_BASE); - md->limit = (sc->tids.ntids - hi) * 16 + md->base - 1; + if (chip_id(sc) <= CHELSIO_T5) { + hi = t4_read_reg(sc, A_LE_DB_TID_HASHBASE) / 4; + md->base = t4_read_reg(sc, A_LE_DB_HASH_TID_BASE); + } else { + hi = t4_read_reg(sc, A_LE_DB_HASH_TID_BASE); + md->base = t4_read_reg(sc, A_LE_DB_HASH_TBL_BASE_ADDR); + } + md->limit = 0; } else { md->base = 0; md->idx = nitems(region); /* hide it */ @@ -6707,18 +6130,30 @@ sysctl_meminfo(SYSCTL_HANDLER_ARGS) md->base = 0; md->idx = nitems(region); - if (!is_t4(sc) && t4_read_reg(sc, A_SGE_CONTROL2) & F_VFIFO_ENABLE) { - md->base = G_BASEADDR(t4_read_reg(sc, A_SGE_DBVFIFO_BADDR)); - md->limit = md->base + (G_DBVFIFO_SIZE((t4_read_reg(sc, - A_SGE_DBVFIFO_SIZE))) << 2) - 1; + if (!is_t4(sc)) { + uint32_t size = 0; + uint32_t sge_ctrl = t4_read_reg(sc, A_SGE_CONTROL2); + uint32_t fifo_size = t4_read_reg(sc, A_SGE_DBVFIFO_SIZE); + + if (is_t5(sc)) { + if (sge_ctrl & F_VFIFO_ENABLE) + size = G_DBVFIFO_SIZE(fifo_size); + } else + size = G_T6_DBVFIFO_SIZE(fifo_size); + + if (size) { + md->base = G_BASEADDR(t4_read_reg(sc, + A_SGE_DBVFIFO_BADDR)); + md->limit = md->base + (size << 2) - 1; + } } md++; md->base = t4_read_reg(sc, A_ULP_RX_CTX_BASE); - md->limit = md->base + sc->tids.ntids - 1; + md->limit = 0; md++; md->base = t4_read_reg(sc, A_ULP_TX_ERR_TABLE_BASE); - md->limit = md->base + sc->tids.ntids - 1; + md->limit = 0; md++; md->base = sc->vres.ocq.start; @@ -6777,29 +6212,37 @@ sysctl_meminfo(SYSCTL_HANDLER_ARGS) t4_read_reg(sc, A_TP_CMM_MM_MAX_PSTRUCT)); for (i = 0; i < 4; i++) { - lo = t4_read_reg(sc, A_MPS_RX_PG_RSV0 + i * 4); - if (is_t4(sc)) { - used = G_USED(lo); - alloc = G_ALLOC(lo); - } else { + if (chip_id(sc) > CHELSIO_T5) + lo = t4_read_reg(sc, A_MPS_RX_MAC_BG_PG_CNT0 + i * 4); + else + lo = t4_read_reg(sc, A_MPS_RX_PG_RSV0 + i * 4); + if (is_t5(sc)) { used = G_T5_USED(lo); alloc = G_T5_ALLOC(lo); + } else { + used = G_USED(lo); + alloc = G_ALLOC(lo); } + /* For T6 these are MAC buffer groups */ sbuf_printf(sb, "\nPort %d using %u pages out of %u allocated", - i, used, alloc); + i, used, alloc); } - for (i = 0; i < 4; i++) { - lo = t4_read_reg(sc, A_MPS_RX_PG_RSV4 + i * 4); - if (is_t4(sc)) { - used = G_USED(lo); - alloc = G_ALLOC(lo); - } else { + for (i = 0; i < sc->chip_params->nchan; i++) { + if (chip_id(sc) > CHELSIO_T5) + lo = t4_read_reg(sc, A_MPS_RX_LPBK_BG_PG_CNT0 + i * 4); + else + lo = t4_read_reg(sc, A_MPS_RX_PG_RSV4 + i * 4); + if (is_t5(sc)) { used = G_T5_USED(lo); alloc = G_T5_ALLOC(lo); + } else { + used = G_USED(lo); + alloc = G_ALLOC(lo); } + /* For T6 these are MAC buffer groups */ sbuf_printf(sb, - "\nLoopback %d using %u pages out of %u allocated", - i, used, alloc); + "\nLoopback %d using %u pages out of %u allocated", + i, used, alloc); } rc = sbuf_finish(sb); @@ -6821,7 +6264,9 @@ sysctl_mps_tcam(SYSCTL_HANDLER_ARGS) { struct adapter *sc = arg1; struct sbuf *sb; - int rc, i, n; + int rc, i; + + MPASS(chip_id(sc) <= CHELSIO_T5); rc = sysctl_wire_old_buffer(req, 0); if (rc != 0) @@ -6834,22 +6279,18 @@ sysctl_mps_tcam(SYSCTL_HANDLER_ARGS) sbuf_printf(sb, "Idx Ethernet address Mask Vld Ports PF" " VF Replication P0 P1 P2 P3 ML"); - n = is_t4(sc) ? NUM_MPS_CLS_SRAM_L_INSTANCES : - NUM_MPS_T5_CLS_SRAM_L_INSTANCES; - for (i = 0; i < n; i++) { + for (i = 0; i < sc->chip_params->mps_tcam_size; i++) { uint64_t tcamx, tcamy, mask; uint32_t cls_lo, cls_hi; uint8_t addr[ETHER_ADDR_LEN]; tcamy = t4_read_reg64(sc, MPS_CLS_TCAM_Y_L(i)); tcamx = t4_read_reg64(sc, MPS_CLS_TCAM_X_L(i)); - cls_lo = t4_read_reg(sc, MPS_CLS_SRAM_L(i)); - cls_hi = t4_read_reg(sc, MPS_CLS_SRAM_H(i)); - if (tcamx & tcamy) continue; - tcamxy2valmask(tcamx, tcamy, addr, &mask); + cls_lo = t4_read_reg(sc, MPS_CLS_SRAM_L(i)); + cls_hi = t4_read_reg(sc, MPS_CLS_SRAM_H(i)); sbuf_printf(sb, "\n%3u %02x:%02x:%02x:%02x:%02x:%02x %012jx" " %c %#x%4u%4d", i, addr[0], addr[1], addr[2], addr[3], addr[4], addr[5], (uintmax_t)mask, @@ -6879,8 +6320,7 @@ sysctl_mps_tcam(SYSCTL_HANDLER_ARGS) end_synchronized_op(sc, 0); if (rc != 0) { - sbuf_printf(sb, - " ------------ error %3u ------------", rc); + sbuf_printf(sb, "%36d", rc); rc = 0; } else { sbuf_printf(sb, " %08x %08x %08x %08x", @@ -6906,6 +6346,162 @@ sysctl_mps_tcam(SYSCTL_HANDLER_ARGS) return (rc); } +static int +sysctl_mps_tcam_t6(SYSCTL_HANDLER_ARGS) +{ + struct adapter *sc = arg1; + struct sbuf *sb; + int rc, i; + + MPASS(chip_id(sc) > CHELSIO_T5); + + rc = sysctl_wire_old_buffer(req, 0); + if (rc != 0) + return (rc); + + sb = sbuf_new_for_sysctl(NULL, NULL, 4096, req); + if (sb == NULL) + return (ENOMEM); + + sbuf_printf(sb, "Idx Ethernet address Mask VNI Mask" + " IVLAN Vld DIP_Hit Lookup Port Vld Ports PF VF" + " Replication" + " P0 P1 P2 P3 ML\n"); + + for (i = 0; i < sc->chip_params->mps_tcam_size; i++) { + uint8_t dip_hit, vlan_vld, lookup_type, port_num; + uint16_t ivlan; + uint64_t tcamx, tcamy, val, mask; + uint32_t cls_lo, cls_hi, ctl, data2, vnix, vniy; + uint8_t addr[ETHER_ADDR_LEN]; + + ctl = V_CTLREQID(1) | V_CTLCMDTYPE(0) | V_CTLXYBITSEL(0); + if (i < 256) + ctl |= V_CTLTCAMINDEX(i) | V_CTLTCAMSEL(0); + else + ctl |= V_CTLTCAMINDEX(i - 256) | V_CTLTCAMSEL(1); + t4_write_reg(sc, A_MPS_CLS_TCAM_DATA2_CTL, ctl); + val = t4_read_reg(sc, A_MPS_CLS_TCAM_RDATA1_REQ_ID1); + tcamy = G_DMACH(val) << 32; + tcamy |= t4_read_reg(sc, A_MPS_CLS_TCAM_RDATA0_REQ_ID1); + data2 = t4_read_reg(sc, A_MPS_CLS_TCAM_RDATA2_REQ_ID1); + lookup_type = G_DATALKPTYPE(data2); + port_num = G_DATAPORTNUM(data2); + if (lookup_type && lookup_type != M_DATALKPTYPE) { + /* Inner header VNI */ + vniy = ((data2 & F_DATAVIDH2) << 23) | + (G_DATAVIDH1(data2) << 16) | G_VIDL(val); + dip_hit = data2 & F_DATADIPHIT; + vlan_vld = 0; + } else { + vniy = 0; + dip_hit = 0; + vlan_vld = data2 & F_DATAVIDH2; + ivlan = G_VIDL(val); + } + + ctl |= V_CTLXYBITSEL(1); + t4_write_reg(sc, A_MPS_CLS_TCAM_DATA2_CTL, ctl); + val = t4_read_reg(sc, A_MPS_CLS_TCAM_RDATA1_REQ_ID1); + tcamx = G_DMACH(val) << 32; + tcamx |= t4_read_reg(sc, A_MPS_CLS_TCAM_RDATA0_REQ_ID1); + data2 = t4_read_reg(sc, A_MPS_CLS_TCAM_RDATA2_REQ_ID1); + if (lookup_type && lookup_type != M_DATALKPTYPE) { + /* Inner header VNI mask */ + vnix = ((data2 & F_DATAVIDH2) << 23) | + (G_DATAVIDH1(data2) << 16) | G_VIDL(val); + } else + vnix = 0; + + if (tcamx & tcamy) + continue; + tcamxy2valmask(tcamx, tcamy, addr, &mask); + + cls_lo = t4_read_reg(sc, MPS_CLS_SRAM_L(i)); + cls_hi = t4_read_reg(sc, MPS_CLS_SRAM_H(i)); + + if (lookup_type && lookup_type != M_DATALKPTYPE) { + sbuf_printf(sb, "\n%3u %02x:%02x:%02x:%02x:%02x:%02x " + "%012jx %06x %06x - - %3c" + " 'I' %4x %3c %#x%4u%4d", i, addr[0], + addr[1], addr[2], addr[3], addr[4], addr[5], + (uintmax_t)mask, vniy, vnix, dip_hit ? 'Y' : 'N', + port_num, cls_lo & F_T6_SRAM_VLD ? 'Y' : 'N', + G_PORTMAP(cls_hi), G_T6_PF(cls_lo), + cls_lo & F_T6_VF_VALID ? G_T6_VF(cls_lo) : -1); + } else { + sbuf_printf(sb, "\n%3u %02x:%02x:%02x:%02x:%02x:%02x " + "%012jx - - ", i, addr[0], addr[1], + addr[2], addr[3], addr[4], addr[5], + (uintmax_t)mask); + + if (vlan_vld) + sbuf_printf(sb, "%4u Y ", ivlan); + else + sbuf_printf(sb, " - N "); + + sbuf_printf(sb, "- %3c %4x %3c %#x%4u%4d", + lookup_type ? 'I' : 'O', port_num, + cls_lo & F_T6_SRAM_VLD ? 'Y' : 'N', + G_PORTMAP(cls_hi), G_T6_PF(cls_lo), + cls_lo & F_T6_VF_VALID ? G_T6_VF(cls_lo) : -1); + } + + + if (cls_lo & F_T6_REPLICATE) { + struct fw_ldst_cmd ldst_cmd; + + memset(&ldst_cmd, 0, sizeof(ldst_cmd)); + ldst_cmd.op_to_addrspace = + htobe32(V_FW_CMD_OP(FW_LDST_CMD) | + F_FW_CMD_REQUEST | F_FW_CMD_READ | + V_FW_LDST_CMD_ADDRSPACE(FW_LDST_ADDRSPC_MPS)); + ldst_cmd.cycles_to_len16 = htobe32(FW_LEN16(ldst_cmd)); + ldst_cmd.u.mps.rplc.fid_idx = + htobe16(V_FW_LDST_CMD_FID(FW_LDST_MPS_RPLC) | + V_FW_LDST_CMD_IDX(i)); + + rc = begin_synchronized_op(sc, NULL, SLEEP_OK | INTR_OK, + "t6mps"); + if (rc) + break; + rc = -t4_wr_mbox(sc, sc->mbox, &ldst_cmd, + sizeof(ldst_cmd), &ldst_cmd); + end_synchronized_op(sc, 0); + + if (rc != 0) { + sbuf_printf(sb, "%72d", rc); + rc = 0; + } else { + sbuf_printf(sb, " %08x %08x %08x %08x" + " %08x %08x %08x %08x", + be32toh(ldst_cmd.u.mps.rplc.rplc255_224), + be32toh(ldst_cmd.u.mps.rplc.rplc223_192), + be32toh(ldst_cmd.u.mps.rplc.rplc191_160), + be32toh(ldst_cmd.u.mps.rplc.rplc159_128), + be32toh(ldst_cmd.u.mps.rplc.rplc127_96), + be32toh(ldst_cmd.u.mps.rplc.rplc95_64), + be32toh(ldst_cmd.u.mps.rplc.rplc63_32), + be32toh(ldst_cmd.u.mps.rplc.rplc31_0)); + } + } else + sbuf_printf(sb, "%72s", ""); + + sbuf_printf(sb, "%4u%3u%3u%3u %#x", + G_T6_SRAM_PRIO0(cls_lo), G_T6_SRAM_PRIO1(cls_lo), + G_T6_SRAM_PRIO2(cls_lo), G_T6_SRAM_PRIO3(cls_lo), + (cls_lo >> S_T6_MULTILISTEN0) & 0xf); + } + + if (rc) + (void) sbuf_finish(sb); + else + rc = sbuf_finish(sb); + sbuf_delete(sb); + + return (rc); +} + static int sysctl_path_mtus(SYSCTL_HANDLER_ARGS) { @@ -6941,13 +6537,15 @@ sysctl_pm_stats(SYSCTL_HANDLER_ARGS) struct adapter *sc = arg1; struct sbuf *sb; int rc, i; - uint32_t cnt[PM_NSTATS]; - uint64_t cyc[PM_NSTATS]; - static const char *rx_stats[] = { - "Read:", "Write bypass:", "Write mem:", "Flush:" + uint32_t tx_cnt[MAX_PM_NSTATS], rx_cnt[MAX_PM_NSTATS]; + uint64_t tx_cyc[MAX_PM_NSTATS], rx_cyc[MAX_PM_NSTATS]; + static const char *tx_stats[MAX_PM_NSTATS] = { + "Read:", "Write bypass:", "Write mem:", "Bypass + mem:", + "Tx FIFO wait", NULL, "Tx latency" }; - static const char *tx_stats[] = { - "Read:", "Write bypass:", "Write mem:", "Bypass + mem:" + static const char *rx_stats[MAX_PM_NSTATS] = { + "Read:", "Write bypass:", "Write mem:", "Flush:", + " Rx FIFO wait", NULL, "Rx latency" }; rc = sysctl_wire_old_buffer(req, 0); @@ -6958,17 +6556,39 @@ sysctl_pm_stats(SYSCTL_HANDLER_ARGS) if (sb == NULL) return (ENOMEM); - t4_pmtx_get_stats(sc, cnt, cyc); + t4_pmtx_get_stats(sc, tx_cnt, tx_cyc); + t4_pmrx_get_stats(sc, rx_cnt, rx_cyc); + sbuf_printf(sb, " Tx pcmds Tx bytes"); - for (i = 0; i < ARRAY_SIZE(tx_stats); i++) - sbuf_printf(sb, "\n%-13s %10u %20ju", tx_stats[i], cnt[i], - cyc[i]); + for (i = 0; i < 4; i++) { + sbuf_printf(sb, "\n%-13s %10u %20ju", tx_stats[i], tx_cnt[i], + tx_cyc[i]); + } - t4_pmrx_get_stats(sc, cnt, cyc); sbuf_printf(sb, "\n Rx pcmds Rx bytes"); - for (i = 0; i < ARRAY_SIZE(rx_stats); i++) - sbuf_printf(sb, "\n%-13s %10u %20ju", rx_stats[i], cnt[i], - cyc[i]); + for (i = 0; i < 4; i++) { + sbuf_printf(sb, "\n%-13s %10u %20ju", rx_stats[i], rx_cnt[i], + rx_cyc[i]); + } + + if (chip_id(sc) > CHELSIO_T5) { + sbuf_printf(sb, + "\n Total wait Total occupancy"); + sbuf_printf(sb, "\n%-13s %10u %20ju", tx_stats[i], tx_cnt[i], + tx_cyc[i]); + sbuf_printf(sb, "\n%-13s %10u %20ju", rx_stats[i], rx_cnt[i], + rx_cyc[i]); + + i += 2; + MPASS(i < nitems(tx_stats)); + + sbuf_printf(sb, + "\n Reads Total wait"); + sbuf_printf(sb, "\n%-13s %10u %20ju", tx_stats[i], tx_cnt[i], + tx_cyc[i]); + sbuf_printf(sb, "\n%-13s %10u %20ju", rx_stats[i], rx_cnt[i], + rx_cyc[i]); + } rc = sbuf_finish(sb); sbuf_delete(sb); @@ -6992,7 +6612,10 @@ sysctl_rdma_stats(SYSCTL_HANDLER_ARGS) if (sb == NULL) return (ENOMEM); + mtx_lock(&sc->regwin_lock); t4_tp_get_rdma_stats(sc, &stats); + mtx_unlock(&sc->regwin_lock); + sbuf_printf(sb, "NoRQEModDefferals: %u\n", stats.rqe_dfr_mod); sbuf_printf(sb, "NoRQEPktDefferals: %u", stats.rqe_dfr_pkt); @@ -7018,17 +6641,20 @@ sysctl_tcp_stats(SYSCTL_HANDLER_ARGS) if (sb == NULL) return (ENOMEM); + mtx_lock(&sc->regwin_lock); t4_tp_get_tcp_stats(sc, &v4, &v6); + mtx_unlock(&sc->regwin_lock); + sbuf_printf(sb, " IP IPv6\n"); sbuf_printf(sb, "OutRsts: %20u %20u\n", - v4.tcpOutRsts, v6.tcpOutRsts); + v4.tcp_out_rsts, v6.tcp_out_rsts); sbuf_printf(sb, "InSegs: %20ju %20ju\n", - v4.tcpInSegs, v6.tcpInSegs); + v4.tcp_in_segs, v6.tcp_in_segs); sbuf_printf(sb, "OutSegs: %20ju %20ju\n", - v4.tcpOutSegs, v6.tcpOutSegs); + v4.tcp_out_segs, v6.tcp_out_segs); sbuf_printf(sb, "RetransSegs: %20ju %20ju", - v4.tcpRetransSegs, v6.tcpRetransSegs); + v4.tcp_retrans_segs, v6.tcp_retrans_segs); rc = sbuf_finish(sb); sbuf_delete(sb); @@ -7117,36 +6743,59 @@ sysctl_tp_err_stats(SYSCTL_HANDLER_ARGS) if (sb == NULL) return (ENOMEM); + mtx_lock(&sc->regwin_lock); t4_tp_get_err_stats(sc, &stats); + mtx_unlock(&sc->regwin_lock); + + if (sc->chip_params->nchan > 2) { + sbuf_printf(sb, " channel 0 channel 1" + " channel 2 channel 3\n"); + sbuf_printf(sb, "macInErrs: %10u %10u %10u %10u\n", + stats.mac_in_errs[0], stats.mac_in_errs[1], + stats.mac_in_errs[2], stats.mac_in_errs[3]); + sbuf_printf(sb, "hdrInErrs: %10u %10u %10u %10u\n", + stats.hdr_in_errs[0], stats.hdr_in_errs[1], + stats.hdr_in_errs[2], stats.hdr_in_errs[3]); + sbuf_printf(sb, "tcpInErrs: %10u %10u %10u %10u\n", + stats.tcp_in_errs[0], stats.tcp_in_errs[1], + stats.tcp_in_errs[2], stats.tcp_in_errs[3]); + sbuf_printf(sb, "tcp6InErrs: %10u %10u %10u %10u\n", + stats.tcp6_in_errs[0], stats.tcp6_in_errs[1], + stats.tcp6_in_errs[2], stats.tcp6_in_errs[3]); + sbuf_printf(sb, "tnlCongDrops: %10u %10u %10u %10u\n", + stats.tnl_cong_drops[0], stats.tnl_cong_drops[1], + stats.tnl_cong_drops[2], stats.tnl_cong_drops[3]); + sbuf_printf(sb, "tnlTxDrops: %10u %10u %10u %10u\n", + stats.tnl_tx_drops[0], stats.tnl_tx_drops[1], + stats.tnl_tx_drops[2], stats.tnl_tx_drops[3]); + sbuf_printf(sb, "ofldVlanDrops: %10u %10u %10u %10u\n", + stats.ofld_vlan_drops[0], stats.ofld_vlan_drops[1], + stats.ofld_vlan_drops[2], stats.ofld_vlan_drops[3]); + sbuf_printf(sb, "ofldChanDrops: %10u %10u %10u %10u\n\n", + stats.ofld_chan_drops[0], stats.ofld_chan_drops[1], + stats.ofld_chan_drops[2], stats.ofld_chan_drops[3]); + } else { + sbuf_printf(sb, " channel 0 channel 1\n"); + sbuf_printf(sb, "macInErrs: %10u %10u\n", + stats.mac_in_errs[0], stats.mac_in_errs[1]); + sbuf_printf(sb, "hdrInErrs: %10u %10u\n", + stats.hdr_in_errs[0], stats.hdr_in_errs[1]); + sbuf_printf(sb, "tcpInErrs: %10u %10u\n", + stats.tcp_in_errs[0], stats.tcp_in_errs[1]); + sbuf_printf(sb, "tcp6InErrs: %10u %10u\n", + stats.tcp6_in_errs[0], stats.tcp6_in_errs[1]); + sbuf_printf(sb, "tnlCongDrops: %10u %10u\n", + stats.tnl_cong_drops[0], stats.tnl_cong_drops[1]); + sbuf_printf(sb, "tnlTxDrops: %10u %10u\n", + stats.tnl_tx_drops[0], stats.tnl_tx_drops[1]); + sbuf_printf(sb, "ofldVlanDrops: %10u %10u\n", + stats.ofld_vlan_drops[0], stats.ofld_vlan_drops[1]); + sbuf_printf(sb, "ofldChanDrops: %10u %10u\n\n", + stats.ofld_chan_drops[0], stats.ofld_chan_drops[1]); + } - sbuf_printf(sb, " channel 0 channel 1 channel 2 " - "channel 3\n"); - sbuf_printf(sb, "macInErrs: %10u %10u %10u %10u\n", - stats.macInErrs[0], stats.macInErrs[1], stats.macInErrs[2], - stats.macInErrs[3]); - sbuf_printf(sb, "hdrInErrs: %10u %10u %10u %10u\n", - stats.hdrInErrs[0], stats.hdrInErrs[1], stats.hdrInErrs[2], - stats.hdrInErrs[3]); - sbuf_printf(sb, "tcpInErrs: %10u %10u %10u %10u\n", - stats.tcpInErrs[0], stats.tcpInErrs[1], stats.tcpInErrs[2], - stats.tcpInErrs[3]); - sbuf_printf(sb, "tcp6InErrs: %10u %10u %10u %10u\n", - stats.tcp6InErrs[0], stats.tcp6InErrs[1], stats.tcp6InErrs[2], - stats.tcp6InErrs[3]); - sbuf_printf(sb, "tnlCongDrops: %10u %10u %10u %10u\n", - stats.tnlCongDrops[0], stats.tnlCongDrops[1], stats.tnlCongDrops[2], - stats.tnlCongDrops[3]); - sbuf_printf(sb, "tnlTxDrops: %10u %10u %10u %10u\n", - stats.tnlTxDrops[0], stats.tnlTxDrops[1], stats.tnlTxDrops[2], - stats.tnlTxDrops[3]); - sbuf_printf(sb, "ofldVlanDrops: %10u %10u %10u %10u\n", - stats.ofldVlanDrops[0], stats.ofldVlanDrops[1], - stats.ofldVlanDrops[2], stats.ofldVlanDrops[3]); - sbuf_printf(sb, "ofldChanDrops: %10u %10u %10u %10u\n\n", - stats.ofldChanDrops[0], stats.ofldChanDrops[1], - stats.ofldChanDrops[2], stats.ofldChanDrops[3]); sbuf_printf(sb, "ofldNoNeigh: %u\nofldCongDefer: %u", - stats.ofldNoNeigh, stats.ofldCongDefer); + stats.ofld_no_neigh, stats.ofld_cong_defer); rc = sbuf_finish(sb); sbuf_delete(sb); @@ -7182,7 +6831,7 @@ field_desc_show(struct sbuf *sb, uint64_t v, const struct field_desc *f) sbuf_printf(sb, "\n"); } -static struct field_desc tp_la0[] = { +static const struct field_desc tp_la0[] = { { "RcfOpCodeOut", 60, 4 }, { "State", 56, 4 }, { "WcfState", 52, 4 }, @@ -7219,7 +6868,7 @@ static struct field_desc tp_la0[] = { { NULL } }; -static struct field_desc tp_la1[] = { +static const struct field_desc tp_la1[] = { { "CplCmdIn", 56, 8 }, { "CplCmdOut", 48, 8 }, { "ESynOut", 47, 1 }, @@ -7268,7 +6917,7 @@ static struct field_desc tp_la1[] = { { NULL } }; -static struct field_desc tp_la2[] = { +static const struct field_desc tp_la2[] = { { "CplCmdIn", 56, 8 }, { "MpsVfVld", 55, 1 }, { "MpsPf", 52, 3 }, @@ -7396,7 +7045,7 @@ sysctl_tx_rate(SYSCTL_HANDLER_ARGS) struct adapter *sc = arg1; struct sbuf *sb; int rc; - u64 nrate[NCHAN], orate[NCHAN]; + u64 nrate[MAX_NCHAN], orate[MAX_NCHAN]; rc = sysctl_wire_old_buffer(req, 0); if (rc != 0) @@ -7407,12 +7056,21 @@ sysctl_tx_rate(SYSCTL_HANDLER_ARGS) return (ENOMEM); t4_get_chan_txrate(sc, nrate, orate); - sbuf_printf(sb, " channel 0 channel 1 channel 2 " - "channel 3\n"); - sbuf_printf(sb, "NIC B/s: %10ju %10ju %10ju %10ju\n", - nrate[0], nrate[1], nrate[2], nrate[3]); - sbuf_printf(sb, "Offload B/s: %10ju %10ju %10ju %10ju", - orate[0], orate[1], orate[2], orate[3]); + + if (sc->chip_params->nchan > 2) { + sbuf_printf(sb, " channel 0 channel 1" + " channel 2 channel 3\n"); + sbuf_printf(sb, "NIC B/s: %10ju %10ju %10ju %10ju\n", + nrate[0], nrate[1], nrate[2], nrate[3]); + sbuf_printf(sb, "Offload B/s: %10ju %10ju %10ju %10ju", + orate[0], orate[1], orate[2], orate[3]); + } else { + sbuf_printf(sb, " channel 0 channel 1\n"); + sbuf_printf(sb, "NIC B/s: %10ju %10ju\n", + nrate[0], nrate[1]); + sbuf_printf(sb, "Offload B/s: %10ju %10ju", + orate[0], orate[1]); + } rc = sbuf_finish(sb); sbuf_delete(sb); @@ -7490,7 +7148,7 @@ sysctl_wcwr_stats(SYSCTL_HANDLER_ARGS) #endif static uint32_t -fconf_to_mode(uint32_t fconf) +fconf_iconf_to_mode(uint32_t fconf, uint32_t iconf) { uint32_t mode; @@ -7518,8 +7176,11 @@ fconf_to_mode(uint32_t fconf) if (fconf & F_VLAN) mode |= T4_FILTER_VLAN; - if (fconf & F_VNIC_ID) + if (fconf & F_VNIC_ID) { mode |= T4_FILTER_VNIC; + if (iconf & F_VNIC) + mode |= T4_FILTER_IC_VNIC; + } if (fconf & F_PORT) mode |= T4_FILTER_PORT; @@ -7569,8 +7230,18 @@ mode_to_fconf(uint32_t mode) } static uint32_t -fspec_to_fconf(struct t4_filter_specification *fs) +mode_to_iconf(uint32_t mode) +{ + + if (mode & T4_FILTER_IC_VNIC) + return (F_VNIC); + return (0); +} + +static int check_fspec_against_fconf_iconf(struct adapter *sc, + struct t4_filter_specification *fs) { + struct tp_params *tpp = &sc->params.tp; uint32_t fconf = 0; if (fs->val.frag || fs->mask.frag) @@ -7594,8 +7265,17 @@ fspec_to_fconf(struct t4_filter_specification *fs) if (fs->val.vlan_vld || fs->mask.vlan_vld) fconf |= F_VLAN; - if (fs->val.vnic_vld || fs->mask.vnic_vld) + if (fs->val.ovlan_vld || fs->mask.ovlan_vld) { + fconf |= F_VNIC_ID; + if (tpp->ingress_config & F_VNIC) + return (EINVAL); + } + + if (fs->val.pfvf_vld || fs->mask.pfvf_vld) { fconf |= F_VNIC_ID; + if ((tpp->ingress_config & F_VNIC) == 0) + return (EINVAL); + } if (fs->val.iport || fs->mask.iport) fconf |= F_PORT; @@ -7603,41 +7283,45 @@ fspec_to_fconf(struct t4_filter_specification *fs) if (fs->val.fcoe || fs->mask.fcoe) fconf |= F_FCOE; - return (fconf); + if ((tpp->vlan_pri_map | fconf) != tpp->vlan_pri_map) + return (E2BIG); + + return (0); } static int get_filter_mode(struct adapter *sc, uint32_t *mode) { - int rc; - uint32_t fconf; - - rc = begin_synchronized_op(sc, NULL, HOLD_LOCK | SLEEP_OK | INTR_OK, - "t4getfm"); - if (rc) - return (rc); - - t4_read_indirect(sc, A_TP_PIO_ADDR, A_TP_PIO_DATA, &fconf, 1, - A_TP_VLAN_PRI_MAP); - - if (sc->params.tp.vlan_pri_map != fconf) { - log(LOG_WARNING, "%s: cached filter mode out of sync %x %x.\n", - device_get_nameunit(sc->dev), sc->params.tp.vlan_pri_map, - fconf); - } + struct tp_params *tpp = &sc->params.tp; - *mode = fconf_to_mode(fconf); + /* + * We trust the cached values of the relevant TP registers. This means + * things work reliably only if writes to those registers are always via + * t4_set_filter_mode. + */ + *mode = fconf_iconf_to_mode(tpp->vlan_pri_map, tpp->ingress_config); - end_synchronized_op(sc, LOCK_HELD); return (0); } static int set_filter_mode(struct adapter *sc, uint32_t mode) { - uint32_t fconf; + struct tp_params *tpp = &sc->params.tp; + uint32_t fconf, iconf; int rc; + iconf = mode_to_iconf(mode); + if ((iconf ^ tpp->ingress_config) & F_VNIC) { + /* + * For now we just complain if A_TP_INGRESS_CONFIG is not + * already set to the correct value for the requested filter + * mode. It's not clear if it's safe to write to this register + * on the fly. (And we trust the cached value of the register). + */ + return (EBUSY); + } + fconf = mode_to_fconf(mode); rc = begin_synchronized_op(sc, NULL, HOLD_LOCK | SLEEP_OK | INTR_OK, @@ -7670,6 +7354,7 @@ get_filter_hits(struct adapter *sc, uint32_t fid) uint64_t hits; memwin_info(sc, 0, &mw_base, NULL); + off = position_memwin(sc, 0, tcb_base + (fid + sc->tids.ftid_base) * TCB_SIZE); if (is_t4(sc)) { @@ -7751,12 +7436,10 @@ set_filter(struct adapter *sc, struct t4_filter *t) goto done; } - /* Validate against the global filter mode */ - if ((sc->params.tp.vlan_pri_map | fspec_to_fconf(&t->fs)) != - sc->params.tp.vlan_pri_map) { - rc = E2BIG; + /* Validate against the global filter mode and ingress config */ + rc = check_fspec_against_fconf_iconf(sc, &t->fs); + if (rc != 0) goto done; - } if (t->fs.action == FILTER_SWITCH && t->fs.eport >= nports) { rc = EINVAL; @@ -7919,7 +7602,7 @@ set_filter_wr(struct adapter *sc, int fidx) { struct filter_entry *f = &sc->tids.ftid_tab[fidx]; struct fw_filter_wr *fwr; - unsigned int ftid; + unsigned int ftid, vnic_vld, vnic_vld_mask; struct wrq_cookie cookie; ASSERT_SYNCHRONIZED_OP(sc); @@ -7937,6 +7620,18 @@ set_filter_wr(struct adapter *sc, int fidx) } } + /* Already validated against fconf, iconf */ + MPASS((f->fs.val.pfvf_vld & f->fs.val.ovlan_vld) == 0); + MPASS((f->fs.mask.pfvf_vld & f->fs.mask.ovlan_vld) == 0); + if (f->fs.val.pfvf_vld || f->fs.val.ovlan_vld) + vnic_vld = 1; + else + vnic_vld = 0; + if (f->fs.mask.pfvf_vld || f->fs.mask.ovlan_vld) + vnic_vld_mask = 1; + else + vnic_vld_mask = 0; + ftid = sc->tids.ftid_base + fidx; fwr = start_wrq_wr(&sc->sge.mgmtq, howmany(sizeof(*fwr), 16), &cookie); @@ -7974,9 +7669,9 @@ set_filter_wr(struct adapter *sc, int fidx) (V_FW_FILTER_WR_FRAG(f->fs.val.frag) | V_FW_FILTER_WR_FRAGM(f->fs.mask.frag) | V_FW_FILTER_WR_IVLAN_VLD(f->fs.val.vlan_vld) | - V_FW_FILTER_WR_OVLAN_VLD(f->fs.val.vnic_vld) | + V_FW_FILTER_WR_OVLAN_VLD(vnic_vld) | V_FW_FILTER_WR_IVLAN_VLDM(f->fs.mask.vlan_vld) | - V_FW_FILTER_WR_OVLAN_VLDM(f->fs.mask.vnic_vld)); + V_FW_FILTER_WR_OVLAN_VLDM(vnic_vld_mask)); fwr->smac_sel = 0; fwr->rx_chan_rx_rpl_iq = htobe16(V_FW_FILTER_WR_RX_CHAN(0) | V_FW_FILTER_WR_RX_RPL_IQ(sc->sge.fwq.abs_id)); @@ -8304,7 +7999,7 @@ set_sched_class(struct adapter *sc, struct t4_sched_params *p) /* Vet our parameters ... */ if (!in_range(p->u.params.channel, 0, 3) || - !in_range(p->u.params.cl, 0, is_t4(sc) ? 15 : 16) || + !in_range(p->u.params.cl, 0, sc->chip_params->nsched_cls) || !in_range(p->u.params.minrate, 0, 10000000) || !in_range(p->u.params.maxrate, 0, 10000000) || !in_range(p->u.params.weight, 0, 100)) { @@ -8607,7 +8302,7 @@ t4_ioctl(struct cdev *dev, unsigned long cmd, caddr_t data, int fflag, regs->len = reglen; buf = malloc(reglen, M_CXGBE, M_WAITOK | M_ZERO); - t4_get_regs(sc, regs, buf); + get_regs(sc, regs, buf); rc = copyout(buf, regs->data, reglen); free(buf, M_CXGBE); break; @@ -8729,6 +8424,20 @@ t4_ioctl(struct cdev *dev, unsigned long cmd, caddr_t data, int fflag, return (rc); } +void +t4_db_full(struct adapter *sc) +{ + + CXGBE_UNIMPLEMENTED(__func__); +} + +void +t4_db_dropped(struct adapter *sc) +{ + + CXGBE_UNIMPLEMENTED(__func__); +} + #ifdef TCP_OFFLOAD void t4_iscsi_init(struct ifnet *ifp, unsigned int tag_mask, diff --git a/sys/dev/cxgbe/t4_netmap.c b/sys/dev/cxgbe/t4_netmap.c index 80ec2f91c..9c0278d88 100644 --- a/sys/dev/cxgbe/t4_netmap.c +++ b/sys/dev/cxgbe/t4_netmap.c @@ -56,8 +56,6 @@ __FBSDID("$FreeBSD$"); #include "common/t4_regs_values.h" extern int fl_pad; /* XXXNM */ -extern int spg_len; /* XXXNM */ -extern int fl_pktshift; /* XXXNM */ SYSCTL_NODE(_hw, OID_AUTO, cxgbe, CTLFLAG_RD, 0, "cxgbe netmap parameters"); @@ -93,6 +91,7 @@ alloc_nm_rxq_hwq(struct vi_info *vi, struct sge_nm_rxq *nm_rxq, int cong) int rc, cntxt_id, i; __be32 v; struct adapter *sc = vi->pi->adapter; + struct sge_params *sp = &sc->params.sge; struct netmap_adapter *na = NA(vi->ifp); struct fw_iq_cmd c; @@ -101,7 +100,7 @@ alloc_nm_rxq_hwq(struct vi_info *vi, struct sge_nm_rxq *nm_rxq, int cong) MPASS(nm_rxq->fl_desc != NULL); bzero(nm_rxq->iq_desc, vi->qsize_rxq * IQ_ESIZE); - bzero(nm_rxq->fl_desc, na->num_rx_desc * EQ_ESIZE + spg_len); + bzero(nm_rxq->fl_desc, na->num_rx_desc * EQ_ESIZE + sp->spg_len); bzero(&c, sizeof(c)); c.op_to_vfn = htobe32(V_FW_CMD_OP(FW_IQ_CMD) | F_FW_CMD_REQUEST | @@ -142,7 +141,7 @@ alloc_nm_rxq_hwq(struct vi_info *vi, struct sge_nm_rxq *nm_rxq, int cong) c.fl0dcaen_to_fl0cidxfthresh = htobe16(V_FW_IQ_CMD_FL0FBMIN(X_FETCHBURSTMIN_128B) | V_FW_IQ_CMD_FL0FBMAX(X_FETCHBURSTMAX_512B)); - c.fl0size = htobe16(na->num_rx_desc / 8 + spg_len / EQ_ESIZE); + c.fl0size = htobe16(na->num_rx_desc / 8 + sp->spg_len / EQ_ESIZE); c.fl0addr = htobe64(nm_rxq->fl_ba); rc = -t4_wr_mbox(sc, sc->mbox, &c, sizeof(c), &c); @@ -153,7 +152,7 @@ alloc_nm_rxq_hwq(struct vi_info *vi, struct sge_nm_rxq *nm_rxq, int cong) } nm_rxq->iq_cidx = 0; - MPASS(nm_rxq->iq_sidx == vi->qsize_rxq - spg_len / IQ_ESIZE); + MPASS(nm_rxq->iq_sidx == vi->qsize_rxq - sp->spg_len / IQ_ESIZE); nm_rxq->iq_gen = F_RSPD_GEN; nm_rxq->iq_cntxt_id = be16toh(c.iqid); nm_rxq->iq_abs_id = be16toh(c.physiqid); @@ -174,9 +173,8 @@ alloc_nm_rxq_hwq(struct vi_info *vi, struct sge_nm_rxq *nm_rxq, int cong) } sc->sge.eqmap[cntxt_id] = (void *)nm_rxq; - nm_rxq->fl_db_val = F_DBPRIO | V_QID(nm_rxq->fl_cntxt_id) | V_PIDX(0); - if (is_t5(sc)) - nm_rxq->fl_db_val |= F_DBTYPE; + nm_rxq->fl_db_val = V_QID(nm_rxq->fl_cntxt_id) | + sc->chip_params->sge_fl_db; if (is_t5(sc) && cong >= 0) { uint32_t param, val; @@ -239,7 +237,7 @@ alloc_nm_txq_hwq(struct vi_info *vi, struct sge_nm_txq *nm_txq) MPASS(na != NULL); MPASS(nm_txq->desc != NULL); - len = na->num_tx_desc * EQ_ESIZE + spg_len; + len = na->num_tx_desc * EQ_ESIZE + sc->params.sge.spg_len; bzero(nm_txq->desc, len); bzero(&c, sizeof(c)); @@ -281,7 +279,7 @@ alloc_nm_txq_hwq(struct vi_info *vi, struct sge_nm_txq *nm_txq) if (isset(&nm_txq->doorbells, DOORBELL_UDB) || isset(&nm_txq->doorbells, DOORBELL_UDBWC) || isset(&nm_txq->doorbells, DOORBELL_WCWR)) { - uint32_t s_qpp = sc->sge.eq_s_qpp; + uint32_t s_qpp = sc->params.sge.eq_s_qpp; uint32_t mask = (1 << s_qpp) - 1; volatile uint8_t *udb; @@ -860,7 +858,7 @@ cxgbe_nm_attach(struct vi_info *vi) na.na_flags = NAF_BDG_MAYSLEEP; /* Netmap doesn't know about the space reserved for the status page. */ - na.num_tx_desc = vi->qsize_txq - spg_len / EQ_ESIZE; + na.num_tx_desc = vi->qsize_txq - sc->params.sge.spg_len / EQ_ESIZE; /* * The freelist's cidx/pidx drives netmap's rx cidx/pidx. So @@ -950,7 +948,8 @@ t4_nm_intr(void *arg) (const void *)&d->cpl[0]); break; case CPL_RX_PKT: - ring->slot[fl_cidx].len = G_RSPD_LEN(lq) - fl_pktshift; + ring->slot[fl_cidx].len = G_RSPD_LEN(lq) - + sc->params.sge.fl_pktshift; ring->slot[fl_cidx].flags = kring->nkr_slot_flags; fl_cidx += (lq & F_RSPD_NEWBUF) ? 1 : 0; fl_credits += (lq & F_RSPD_NEWBUF) ? 1 : 0; diff --git a/sys/dev/cxgbe/t4_sge.c b/sys/dev/cxgbe/t4_sge.c index 5216dd3f4..33d9a5ed4 100644 --- a/sys/dev/cxgbe/t4_sge.c +++ b/sys/dev/cxgbe/t4_sge.c @@ -165,8 +165,8 @@ static struct mbuf *get_fl_payload(struct adapter *, struct sge_fl *, uint32_t); static int t4_eth_rx(struct sge_iq *, const struct rss_header *, struct mbuf *); static inline void init_iq(struct sge_iq *, struct adapter *, int, int, int); static inline void init_fl(struct adapter *, struct sge_fl *, int, int, char *); -static inline void init_eq(struct sge_eq *, int, int, uint8_t, uint16_t, - char *); +static inline void init_eq(struct adapter *, struct sge_eq *, int, int, uint8_t, + uint16_t, char *); static int alloc_ring(struct adapter *, size_t, bus_dma_tag_t *, bus_dmamap_t *, bus_addr_t *, void **); static int free_ring(struct adapter *, bus_dma_tag_t, bus_dmamap_t, bus_addr_t, @@ -494,7 +494,7 @@ t4_tweak_chip_settings(struct adapter *sc) static inline int hwsz_ok(struct adapter *sc, int hwsz) { - int mask = fl_pad ? sc->sge.pad_boundary - 1 : 16 - 1; + int mask = fl_pad ? sc->params.sge.pad_boundary - 1 : 16 - 1; return (hwsz >= 64 && (hwsz & mask) == 0); } @@ -506,6 +506,7 @@ int t4_read_chip_settings(struct adapter *sc) { struct sge *s = &sc->sge; + struct sge_params *sp = &sc->params.sge; int i, j, n, rc = 0; uint32_t m, v, r; uint16_t indsz = min(RX_COPY_THRESHOLD - 1, M_INDICATESIZE); @@ -520,36 +521,21 @@ t4_read_chip_settings(struct adapter *sc) struct sw_zone_info *swz, *safe_swz; struct hw_buf_info *hwb; - m = V_PKTSHIFT(M_PKTSHIFT) | F_RXPKTCPLMODE | F_EGRSTATUSPAGESIZE; - v = V_PKTSHIFT(fl_pktshift) | F_RXPKTCPLMODE | - V_EGRSTATUSPAGESIZE(spg_len == 128); + t4_init_sge_params(sc); + + m = F_RXPKTCPLMODE; + v = F_RXPKTCPLMODE; r = t4_read_reg(sc, A_SGE_CONTROL); if ((r & m) != v) { device_printf(sc->dev, "invalid SGE_CONTROL(0x%x)\n", r); rc = EINVAL; } - s->pad_boundary = 1 << (G_INGPADBOUNDARY(r) + 5); - - if (is_t4(sc)) - s->pack_boundary = s->pad_boundary; - else { - r = t4_read_reg(sc, A_SGE_CONTROL2); - if (G_INGPACKBOUNDARY(r) == 0) - s->pack_boundary = 16; - else - s->pack_boundary = 1 << (G_INGPACKBOUNDARY(r) + 5); - } - v = V_HOSTPAGESIZEPF0(PAGE_SHIFT - 10) | - V_HOSTPAGESIZEPF1(PAGE_SHIFT - 10) | - V_HOSTPAGESIZEPF2(PAGE_SHIFT - 10) | - V_HOSTPAGESIZEPF3(PAGE_SHIFT - 10) | - V_HOSTPAGESIZEPF4(PAGE_SHIFT - 10) | - V_HOSTPAGESIZEPF5(PAGE_SHIFT - 10) | - V_HOSTPAGESIZEPF6(PAGE_SHIFT - 10) | - V_HOSTPAGESIZEPF7(PAGE_SHIFT - 10); - r = t4_read_reg(sc, A_SGE_HOST_PAGE_SIZE); - if (r != v) { + /* + * If this changes then every single use of PAGE_SHIFT in the driver + * needs to be carefully reviewed for PAGE_SHIFT vs sp->page_shift. + */ + if (sp->page_shift != PAGE_SHIFT) { device_printf(sc->dev, "invalid SGE_HOST_PAGE_SIZE(0x%x)\n", r); rc = EINVAL; } @@ -588,7 +574,7 @@ t4_read_chip_settings(struct adapter *sc) if (swz->size < PAGE_SIZE) { MPASS(powerof2(swz->size)); - if (fl_pad && (swz->size % sc->sge.pad_boundary != 0)) + if (fl_pad && (swz->size % sp->pad_boundary != 0)) continue; } @@ -601,7 +587,7 @@ t4_read_chip_settings(struct adapter *sc) continue; #ifdef INVARIANTS if (fl_pad) - MPASS(hwb->size % sc->sge.pad_boundary == 0); + MPASS(hwb->size % sp->pad_boundary == 0); #endif hwb->zidx = i; if (head == -1) @@ -652,7 +638,7 @@ t4_read_chip_settings(struct adapter *sc) hwb = &s->hw_buf_info[i]; #ifdef INVARIANTS if (fl_pad) - MPASS(hwb->size % sc->sge.pad_boundary == 0); + MPASS(hwb->size % sp->pad_boundary == 0); #endif spare = safe_swz->size - hwb->size; if (spare >= CL_METADATA_SIZE) { @@ -662,22 +648,6 @@ t4_read_chip_settings(struct adapter *sc) } } - r = t4_read_reg(sc, A_SGE_INGRESS_RX_THRESHOLD); - s->counter_val[0] = G_THRESHOLD_0(r); - s->counter_val[1] = G_THRESHOLD_1(r); - s->counter_val[2] = G_THRESHOLD_2(r); - s->counter_val[3] = G_THRESHOLD_3(r); - - r = t4_read_reg(sc, A_SGE_TIMER_VALUE_0_AND_1); - s->timer_val[0] = G_TIMERVALUE0(r) / core_ticks_per_usec(sc); - s->timer_val[1] = G_TIMERVALUE1(r) / core_ticks_per_usec(sc); - r = t4_read_reg(sc, A_SGE_TIMER_VALUE_2_AND_3); - s->timer_val[2] = G_TIMERVALUE2(r) / core_ticks_per_usec(sc); - s->timer_val[3] = G_TIMERVALUE3(r) / core_ticks_per_usec(sc); - r = t4_read_reg(sc, A_SGE_TIMER_VALUE_4_AND_5); - s->timer_val[4] = G_TIMERVALUE4(r) / core_ticks_per_usec(sc); - s->timer_val[5] = G_TIMERVALUE5(r) / core_ticks_per_usec(sc); - v = V_HPZ0(0) | V_HPZ1(2) | V_HPZ2(4) | V_HPZ3(6); r = t4_read_reg(sc, A_ULP_RX_TDDP_PSZ); if (r != v) { @@ -701,25 +671,6 @@ t4_read_chip_settings(struct adapter *sc) rc = EINVAL; } - r = t4_read_reg(sc, A_SGE_CONM_CTRL); - s->fl_starve_threshold = G_EGRTHRESHOLD(r) * 2 + 1; - if (is_t4(sc)) - s->fl_starve_threshold2 = s->fl_starve_threshold; - else - s->fl_starve_threshold2 = G_EGRTHRESHOLDPACKING(r) * 2 + 1; - - /* egress queues: log2 of # of doorbells per BAR2 page */ - r = t4_read_reg(sc, A_SGE_EGRESS_QUEUES_PER_PAGE_PF); - r >>= S_QUEUESPERPAGEPF0 + - (S_QUEUESPERPAGEPF1 - S_QUEUESPERPAGEPF0) * sc->pf; - s->eq_s_qpp = r & M_QUEUESPERPAGEPF0; - - /* ingress queues: log2 of # of doorbells per BAR2 page */ - r = t4_read_reg(sc, A_SGE_INGRESS_QUEUES_PER_PAGE_PF); - r >>= S_QUEUESPERPAGEPF0 + - (S_QUEUESPERPAGEPF1 - S_QUEUESPERPAGEPF0) * sc->pf; - s->iq_s_qpp = r & M_QUEUESPERPAGEPF0; - t4_init_tp_params(sc); t4_read_mtu_tbl(sc, sc->params.mtus, NULL); @@ -749,25 +700,26 @@ void t4_sge_sysctls(struct adapter *sc, struct sysctl_ctx_list *ctx, struct sysctl_oid_list *children) { + struct sge_params *sp = &sc->params.sge; SYSCTL_ADD_PROC(ctx, children, OID_AUTO, "buffer_sizes", CTLTYPE_STRING | CTLFLAG_RD, &sc->sge, 0, sysctl_bufsizes, "A", "freelist buffer sizes"); SYSCTL_ADD_INT(ctx, children, OID_AUTO, "fl_pktshift", CTLFLAG_RD, - NULL, fl_pktshift, "payload DMA offset in rx buffer (bytes)"); + NULL, sp->fl_pktshift, "payload DMA offset in rx buffer (bytes)"); SYSCTL_ADD_INT(ctx, children, OID_AUTO, "fl_pad", CTLFLAG_RD, - NULL, sc->sge.pad_boundary, "payload pad boundary (bytes)"); + NULL, sp->pad_boundary, "payload pad boundary (bytes)"); SYSCTL_ADD_INT(ctx, children, OID_AUTO, "spg_len", CTLFLAG_RD, - NULL, spg_len, "status page size (bytes)"); + NULL, sp->spg_len, "status page size (bytes)"); SYSCTL_ADD_INT(ctx, children, OID_AUTO, "cong_drop", CTLFLAG_RD, NULL, cong_drop, "congestion drop setting"); SYSCTL_ADD_INT(ctx, children, OID_AUTO, "fl_pack", CTLFLAG_RD, - NULL, sc->sge.pack_boundary, "payload pack boundary (bytes)"); + NULL, sp->pack_boundary, "payload pack boundary (bytes)"); } int @@ -904,8 +856,8 @@ mtu_to_max_payload(struct adapter *sc, int mtu, const int toe) } else { #endif /* large enough even when hw VLAN extraction is disabled */ - payload = fl_pktshift + ETHER_HDR_LEN + ETHER_VLAN_ENCAP_LEN + - mtu; + payload = sc->params.sge.fl_pktshift + ETHER_HDR_LEN + + ETHER_VLAN_ENCAP_LEN + mtu; #ifdef TCP_OFFLOAD } #endif @@ -1078,7 +1030,7 @@ t4_setup_vi_queues(struct vi_info *vi) iqid = vi_intr_iq(vi, j)->cntxt_id; snprintf(name, sizeof(name), "%s txq%d", device_get_nameunit(vi->dev), i); - init_eq(&txq->eq, EQ_ETH, vi->qsize_txq, pi->tx_chan, iqid, + init_eq(sc, &txq->eq, EQ_ETH, vi->qsize_txq, pi->tx_chan, iqid, name); rc = alloc_txq(vi, txq, i, oid); @@ -1095,7 +1047,7 @@ t4_setup_vi_queues(struct vi_info *vi) iqid = vi_intr_iq(vi, j)->cntxt_id; snprintf(name, sizeof(name), "%s ofld_txq%d", device_get_nameunit(vi->dev), i); - init_eq(&ofld_txq->eq, EQ_OFLD, vi->qsize_txq, pi->tx_chan, + init_eq(sc, &ofld_txq->eq, EQ_OFLD, vi->qsize_txq, pi->tx_chan, iqid, name); snprintf(name, sizeof(name), "%d", i); @@ -1119,7 +1071,8 @@ t4_setup_vi_queues(struct vi_info *vi) ctrlq = &sc->sge.ctrlq[pi->port_id]; iqid = vi_intr_iq(vi, 0)->cntxt_id; snprintf(name, sizeof(name), "%s ctrlq", device_get_nameunit(vi->dev)); - init_eq(&ctrlq->eq, EQ_CTRL, CTRL_EQ_QSIZE, pi->tx_chan, iqid, name); + init_eq(sc, &ctrlq->eq, EQ_CTRL, CTRL_EQ_QSIZE, pi->tx_chan, iqid, + name); rc = alloc_wrq(sc, vi, ctrlq, oid); done: @@ -1715,6 +1668,7 @@ t4_eth_rx(struct sge_iq *iq, const struct rss_header *rss, struct mbuf *m0) { struct sge_rxq *rxq = iq_to_rxq(iq); struct ifnet *ifp = rxq->ifp; + struct adapter *sc = iq->adapter; const struct cpl_rx_pkt *cpl = (const void *)(rss + 1); #if defined(INET) || defined(INET6) struct lro_ctrl *lro = &rxq->lro; @@ -1723,9 +1677,9 @@ t4_eth_rx(struct sge_iq *iq, const struct rss_header *rss, struct mbuf *m0) KASSERT(m0 != NULL, ("%s: no payload with opcode %02x", __func__, rss->opcode)); - m0->m_pkthdr.len -= fl_pktshift; - m0->m_len -= fl_pktshift; - m0->m_data += fl_pktshift; + m0->m_pkthdr.len -= sc->params.sge.fl_pktshift; + m0->m_len -= sc->params.sge.fl_pktshift; + m0->m_data += sc->params.sge.fl_pktshift; m0->m_pkthdr.rcvif = ifp; M_HASHTYPE_SET(m0, M_HASHTYPE_OPAQUE); @@ -2464,7 +2418,7 @@ init_iq(struct sge_iq *iq, struct adapter *sc, int tmr_idx, int pktc_idx, iq->intr_pktc_idx = pktc_idx; } iq->qsize = roundup2(qsize, 16); /* See FW_IQ_CMD/iqsize */ - iq->sidx = iq->qsize - spg_len / IQ_ESIZE; + iq->sidx = iq->qsize - sc->params.sge.spg_len / IQ_ESIZE; } static inline void @@ -2472,7 +2426,7 @@ init_fl(struct adapter *sc, struct sge_fl *fl, int qsize, int maxp, char *name) { fl->qsize = qsize; - fl->sidx = qsize - spg_len / EQ_ESIZE; + fl->sidx = qsize - sc->params.sge.spg_len / EQ_ESIZE; strlcpy(fl->lockname, name, sizeof(fl->lockname)); if (sc->flags & BUF_PACKING_OK && ((!is_t4(sc) && buffer_packing) || /* T5+: enabled unless 0 */ @@ -2483,16 +2437,15 @@ init_fl(struct adapter *sc, struct sge_fl *fl, int qsize, int maxp, char *name) } static inline void -init_eq(struct sge_eq *eq, int eqtype, int qsize, uint8_t tx_chan, - uint16_t iqid, char *name) +init_eq(struct adapter *sc, struct sge_eq *eq, int eqtype, int qsize, + uint8_t tx_chan, uint16_t iqid, char *name) { - KASSERT(tx_chan < NCHAN, ("%s: bad tx channel %d", __func__, tx_chan)); KASSERT(eqtype <= EQ_TYPEMASK, ("%s: bad qtype %d", __func__, eqtype)); eq->flags = eqtype & EQ_TYPEMASK; eq->tx_chan = tx_chan; eq->iqid = iqid; - eq->sidx = qsize - spg_len / EQ_ESIZE; + eq->sidx = qsize - sc->params.sge.spg_len / EQ_ESIZE; strlcpy(eq->lockname, name, sizeof(eq->lockname)); } @@ -2563,6 +2516,7 @@ alloc_iq_fl(struct vi_info *vi, struct sge_iq *iq, struct sge_fl *fl, struct fw_iq_cmd c; struct port_info *pi = vi->pi; struct adapter *sc = iq->adapter; + struct sge_params *sp = &sc->params.sge; __be32 v = 0; len = iq->qsize * IQ_ESIZE; @@ -2622,14 +2576,14 @@ alloc_iq_fl(struct vi_info *vi, struct sge_iq *iq, struct sge_fl *fl, } if (fl->flags & FL_BUF_PACKING) { - fl->lowat = roundup2(sc->sge.fl_starve_threshold2, 8); - fl->buf_boundary = sc->sge.pack_boundary; + fl->lowat = roundup2(sp->fl_starve_threshold2, 8); + fl->buf_boundary = sp->pack_boundary; } else { - fl->lowat = roundup2(sc->sge.fl_starve_threshold, 8); + fl->lowat = roundup2(sp->fl_starve_threshold, 8); fl->buf_boundary = 16; } - if (fl_pad && fl->buf_boundary < sc->sge.pad_boundary) - fl->buf_boundary = sc->sge.pad_boundary; + if (fl_pad && fl->buf_boundary < sp->pad_boundary) + fl->buf_boundary = sp->pad_boundary; c.iqns_to_fl0congen |= htobe32(V_FW_IQ_CMD_FL0HOSTFCMODE(X_HOSTFCMODE_NONE) | @@ -2687,7 +2641,7 @@ alloc_iq_fl(struct vi_info *vi, struct sge_iq *iq, struct sge_fl *fl, qid = fl->cntxt_id; if (isset(&sc->doorbells, DOORBELL_UDB)) { - uint32_t s_qpp = sc->sge.eq_s_qpp; + uint32_t s_qpp = sc->params.sge.eq_s_qpp; uint32_t mask = (1 << s_qpp) - 1; volatile uint8_t *udb; @@ -2700,9 +2654,7 @@ alloc_iq_fl(struct vi_info *vi, struct sge_iq *iq, struct sge_fl *fl, } fl->udb = (volatile void *)udb; } - fl->dbval = F_DBPRIO | V_QID(qid); - if (is_t5(sc)) - fl->dbval |= F_DBTYPE; + fl->dbval = V_QID(qid) | sc->chip_params->sge_fl_db; FL_LOCK(fl); /* Enough to make sure the SGE doesn't think it's starved */ @@ -2878,7 +2830,7 @@ alloc_mgmtq(struct adapter *sc) NULL, "management queue"); snprintf(name, sizeof(name), "%s mgmtq", device_get_nameunit(sc->dev)); - init_eq(&mgmtq->eq, EQ_CTRL, CTRL_EQ_QSIZE, sc->port[0]->tx_chan, + init_eq(sc, &mgmtq->eq, EQ_CTRL, CTRL_EQ_QSIZE, sc->port[0]->tx_chan, sc->sge.fwq.cntxt_id, name); rc = alloc_wrq(sc, NULL, mgmtq, oid); if (rc != 0) { @@ -3063,7 +3015,7 @@ alloc_nm_rxq(struct vi_info *vi, struct sge_nm_rxq *nm_rxq, int intr_idx, if (rc != 0) return (rc); - len = na->num_rx_desc * EQ_ESIZE + spg_len; + len = na->num_rx_desc * EQ_ESIZE + sc->params.sge.spg_len; rc = alloc_ring(sc, len, &nm_rxq->fl_desc_tag, &nm_rxq->fl_desc_map, &nm_rxq->fl_ba, (void **)&nm_rxq->fl_desc); if (rc != 0) @@ -3072,7 +3024,7 @@ alloc_nm_rxq(struct vi_info *vi, struct sge_nm_rxq *nm_rxq, int intr_idx, nm_rxq->vi = vi; nm_rxq->nid = idx; nm_rxq->iq_cidx = 0; - nm_rxq->iq_sidx = vi->qsize_rxq - spg_len / IQ_ESIZE; + nm_rxq->iq_sidx = vi->qsize_rxq - sc->params.sge.spg_len / IQ_ESIZE; nm_rxq->iq_gen = F_RSPD_GEN; nm_rxq->fl_pidx = nm_rxq->fl_cidx = 0; nm_rxq->fl_sidx = na->num_rx_desc; @@ -3138,7 +3090,7 @@ alloc_nm_txq(struct vi_info *vi, struct sge_nm_txq *nm_txq, int iqidx, int idx, char name[16]; struct sysctl_oid_list *children = SYSCTL_CHILDREN(oid); - len = na->num_tx_desc * EQ_ESIZE + spg_len; + len = na->num_tx_desc * EQ_ESIZE + sc->params.sge.spg_len; rc = alloc_ring(sc, len, &nm_txq->desc_tag, &nm_txq->desc_map, &nm_txq->ba, (void **)&nm_txq->desc); if (rc) @@ -3186,7 +3138,7 @@ ctrl_eq_alloc(struct adapter *sc, struct sge_eq *eq) { int rc, cntxt_id; struct fw_eq_ctrl_cmd c; - int qsize = eq->sidx + spg_len / EQ_ESIZE; + int qsize = eq->sidx + sc->params.sge.spg_len / EQ_ESIZE; bzero(&c, sizeof(c)); @@ -3230,7 +3182,7 @@ eth_eq_alloc(struct adapter *sc, struct vi_info *vi, struct sge_eq *eq) { int rc, cntxt_id; struct fw_eq_eth_cmd c; - int qsize = eq->sidx + spg_len / EQ_ESIZE; + int qsize = eq->sidx + sc->params.sge.spg_len / EQ_ESIZE; bzero(&c, sizeof(c)); @@ -3274,7 +3226,7 @@ ofld_eq_alloc(struct adapter *sc, struct vi_info *vi, struct sge_eq *eq) { int rc, cntxt_id; struct fw_eq_ofld_cmd c; - int qsize = eq->sidx + spg_len / EQ_ESIZE; + int qsize = eq->sidx + sc->params.sge.spg_len / EQ_ESIZE; bzero(&c, sizeof(c)); @@ -3320,7 +3272,7 @@ alloc_eq(struct adapter *sc, struct vi_info *vi, struct sge_eq *eq) mtx_init(&eq->eq_lock, eq->lockname, NULL, MTX_DEF); - qsize = eq->sidx + spg_len / EQ_ESIZE; + qsize = eq->sidx + sc->params.sge.spg_len / EQ_ESIZE; len = qsize * EQ_ESIZE; rc = alloc_ring(sc, len, &eq->desc_tag, &eq->desc_map, &eq->ba, (void **)&eq->desc); @@ -3359,7 +3311,7 @@ alloc_eq(struct adapter *sc, struct vi_info *vi, struct sge_eq *eq) if (isset(&eq->doorbells, DOORBELL_UDB) || isset(&eq->doorbells, DOORBELL_UDBWC) || isset(&eq->doorbells, DOORBELL_WCWR)) { - uint32_t s_qpp = sc->sge.eq_s_qpp; + uint32_t s_qpp = sc->params.sge.eq_s_qpp; uint32_t mask = (1 << s_qpp) - 1; volatile uint8_t *udb; @@ -4545,10 +4497,10 @@ find_best_refill_source(struct adapter *sc, struct sge_fl *fl, int maxp) * Do not inline mbufs if doing so would violate the pad/pack * boundary alignment requirement. */ - if (fl_pad && (MSIZE % sc->sge.pad_boundary) != 0) + if (fl_pad && (MSIZE % sc->params.sge.pad_boundary) != 0) continue; if (fl->flags & FL_BUF_PACKING && - (MSIZE % sc->sge.pack_boundary) != 0) + (MSIZE % sc->params.sge.pack_boundary) != 0) continue; if (spare < CL_METADATA_SIZE + MSIZE) @@ -4634,7 +4586,7 @@ find_safe_refill_source(struct adapter *sc, struct sge_fl *fl) fl->cll_alt.hwidx = hwidx; fl->cll_alt.zidx = hwb->zidx; if (allow_mbufs_in_cluster && - (fl_pad == 0 || (MSIZE % sc->sge.pad_boundary) == 0)) + (fl_pad == 0 || (MSIZE % sc->params.sge.pad_boundary) == 0)) fl->cll_alt.region1 = ((spare - CL_METADATA_SIZE) / MSIZE) * MSIZE; else fl->cll_alt.region1 = 0; diff --git a/sys/dev/cxgbe/tom/t4_connect.c b/sys/dev/cxgbe/tom/t4_connect.c index 60f1e6caa..80d2a207c 100644 --- a/sys/dev/cxgbe/tom/t4_connect.c +++ b/sys/dev/cxgbe/tom/t4_connect.c @@ -144,16 +144,6 @@ do_act_establish(struct sge_iq *iq, const struct rss_header *rss, return (0); } -static inline int -act_open_has_tid(unsigned int status) -{ - - return (status != CPL_ERR_TCAM_FULL && - status != CPL_ERR_TCAM_PARITY && - status != CPL_ERR_CONN_EXIST && - status != CPL_ERR_ARP_MISS); -} - /* * Convert an ACT_OPEN_RPL status to an errno. */ @@ -257,7 +247,7 @@ calc_opt2a(struct socket *so, struct toepcb *toep) opt2 |= F_RX_COALESCE_VALID; else { opt2 |= F_T5_OPT_2_VALID; - opt2 |= F_CONG_CNTRL_VALID; /* OPT_2_ISS really, for T5 */ + opt2 |= F_T5_ISS; } if (sc->tt.rx_coalesce) opt2 |= V_RX_COALESCE(M_RX_COALESCE); diff --git a/sys/modules/cxgbe/t4_firmware/Makefile b/sys/modules/cxgbe/t4_firmware/Makefile index 391632c3e..8b5bb475b 100644 --- a/sys/modules/cxgbe/t4_firmware/Makefile +++ b/sys/modules/cxgbe/t4_firmware/Makefile @@ -17,7 +17,7 @@ FIRMWS+= ${F}:${F:C/.txt//}:1.0.0.0 .endif .endfor -T4FW_VER= 1.14.4.0 +T4FW_VER= 1.15.37.0 FIRMWS+= t4fw.fw:t4fw:${T4FW_VER} CLEANFILES+= t4fw.fw diff --git a/sys/modules/cxgbe/t5_firmware/Makefile b/sys/modules/cxgbe/t5_firmware/Makefile index c74e3c1a0..4b1debbb4 100644 --- a/sys/modules/cxgbe/t5_firmware/Makefile +++ b/sys/modules/cxgbe/t5_firmware/Makefile @@ -17,7 +17,7 @@ FIRMWS+= ${F}:${F:C/.txt//}:1.0.0.0 .endif .endfor -T5FW_VER= 1.14.4.0 +T5FW_VER= 1.15.37.0 FIRMWS+= t5fw.fw:t5fw:${T5FW_VER} CLEANFILES+= t5fw.fw diff --git a/tools/tools/cxgbetool/cxgbetool.c b/tools/tools/cxgbetool/cxgbetool.c index d634af224..4f78802e8 100644 --- a/tools/tools/cxgbetool/cxgbetool.c +++ b/tools/tools/cxgbetool/cxgbetool.c @@ -79,8 +79,9 @@ struct field_desc { }; #include "reg_defs_t4.c" -#include "reg_defs_t4vf.c" #include "reg_defs_t5.c" +#include "reg_defs_t6.c" +#include "reg_defs_t4vf.c" static void usage(FILE *fp) @@ -350,20 +351,6 @@ dump_regs_t4(int argc, const char *argv[], const uint32_t *regs) } #undef T4_MODREGS -static int -dump_regs_t4vf(int argc, const char *argv[], const uint32_t *regs) -{ - static struct mod_regs t4vf_mod[] = { - { "sge", t4vf_sge_regs }, - { "mps", t4vf_mps_regs }, - { "pl", t4vf_pl_regs }, - { "mbdata", t4vf_mbdata_regs }, - { "cim", t4vf_cim_regs }, - }; - - return dump_regs_table(argc, argv, regs, t4vf_mod, nitems(t4vf_mod)); -} - #define T5_MODREGS(name) { #name, t5_##name##_regs } static int dump_regs_t5(int argc, const char *argv[], const uint32_t *regs) @@ -402,6 +389,85 @@ dump_regs_t5(int argc, const char *argv[], const uint32_t *regs) } #undef T5_MODREGS +#define T6_MODREGS(name) { #name, t6_##name##_regs } +static int +dump_regs_t6(int argc, const char *argv[], const uint32_t *regs) +{ + static struct mod_regs t6_mod[] = { + T6_MODREGS(sge), + { "pci", t6_pcie_regs }, + T6_MODREGS(dbg), + { "mc0", t6_mc_0_regs }, + T6_MODREGS(ma), + { "edc0", t6_edc_t60_regs }, + { "edc1", t6_edc_t61_regs }, + T6_MODREGS(cim), + T6_MODREGS(tp), + { "ulprx", t6_ulp_rx_regs }, + { "ulptx", t6_ulp_tx_regs }, + { "pmrx", t6_pm_rx_regs }, + { "pmtx", t6_pm_tx_regs }, + T6_MODREGS(mps), + { "cplsw", t6_cpl_switch_regs }, + T6_MODREGS(smb), + { "i2c", t6_i2cm_regs }, + T6_MODREGS(mi), + T6_MODREGS(uart), + T6_MODREGS(pmu), + T6_MODREGS(sf), + T6_MODREGS(pl), + T6_MODREGS(le), + T6_MODREGS(ncsi), + T6_MODREGS(mac), + { "hma", t6_hma_t6_regs } + }; + + return dump_regs_table(argc, argv, regs, t6_mod, nitems(t6_mod)); +} +#undef T6_MODREGS + +static int +dump_regs_t4vf(int argc, const char *argv[], const uint32_t *regs) +{ + static struct mod_regs t4vf_mod[] = { + { "sge", t4vf_sge_regs }, + { "mps", t4vf_mps_regs }, + { "pl", t4vf_pl_regs }, + { "mbdata", t4vf_mbdata_regs }, + { "cim", t4vf_cim_regs }, + }; + + return dump_regs_table(argc, argv, regs, t4vf_mod, nitems(t4vf_mod)); +} + +static int +dump_regs_t5vf(int argc, const char *argv[], const uint32_t *regs) +{ + static struct mod_regs t5vf_mod[] = { + { "sge", t5vf_sge_regs }, + { "mps", t4vf_mps_regs }, + { "pl", t5vf_pl_regs }, + { "mbdata", t4vf_mbdata_regs }, + { "cim", t4vf_cim_regs }, + }; + + return dump_regs_table(argc, argv, regs, t5vf_mod, nitems(t5vf_mod)); +} + +static int +dump_regs_t6vf(int argc, const char *argv[], const uint32_t *regs) +{ + static struct mod_regs t6vf_mod[] = { + { "sge", t5vf_sge_regs }, + { "mps", t4vf_mps_regs }, + { "pl", t6vf_pl_regs }, + { "mbdata", t4vf_mbdata_regs }, + { "cim", t4vf_cim_regs }, + }; + + return dump_regs_table(argc, argv, regs, t6vf_mod, nitems(t6vf_mod)); +} + static int dump_regs(int argc, const char *argv[]) { @@ -429,9 +495,17 @@ dump_regs(int argc, const char *argv[]) rc = dump_regs_t4vf(argc, argv, regs.data); else rc = dump_regs_t4(argc, argv, regs.data); - } else if (vers == 5) - rc = dump_regs_t5(argc, argv, regs.data); - else { + } else if (vers == 5) { + if (revision == 0x3f) + rc = dump_regs_t5vf(argc, argv, regs.data); + else + rc = dump_regs_t5(argc, argv, regs.data); + } else if (vers == 6) { + if (revision == 0x3f) + rc = dump_regs_t6vf(argc, argv, regs.data); + else + rc = dump_regs_t6(argc, argv, regs.data); + } else { warnx("%s (type %d, rev %d) is not a known card.", nexus, vers, revision); return (ENOTSUP); @@ -458,7 +532,10 @@ do_show_info_header(uint32_t mode) break; case T4_FILTER_VNIC: - printf(" vld:VNIC"); + if (mode & T4_FILTER_IC_VNIC) + printf(" VFvld:PF:VF"); + else + printf(" vld:oVLAN"); break; case T4_FILTER_VLAN: @@ -715,11 +792,19 @@ do_show_one_filter_info(struct t4_filter *t, uint32_t mode) break; case T4_FILTER_VNIC: - printf(" %1d:%1x:%02x/%1d:%1x:%02x", - t->fs.val.vnic_vld, (t->fs.val.vnic >> 7) & 0x7, - t->fs.val.vnic & 0x7f, t->fs.mask.vnic_vld, - (t->fs.mask.vnic >> 7) & 0x7, - t->fs.mask.vnic & 0x7f); + if (mode & T4_FILTER_IC_VNIC) { + printf(" %1d:%1x:%02x/%1d:%1x:%02x", + t->fs.val.pfvf_vld, + (t->fs.val.vnic >> 13) & 0x7, + t->fs.val.vnic & 0x1fff, + t->fs.mask.pfvf_vld, + (t->fs.mask.vnic >> 13) & 0x7, + t->fs.mask.vnic & 0x1fff); + } else { + printf(" %1d:%04x/%1d:%04x", + t->fs.val.ovlan_vld, t->fs.val.vnic, + t->fs.mask.ovlan_vld, t->fs.mask.vnic); + } break; case T4_FILTER_VLAN: @@ -897,8 +982,12 @@ get_filter_mode(void) if (mode & T4_FILTER_VLAN) printf("vlan "); - if (mode & T4_FILTER_VNIC) - printf("vnic/ovlan "); + if (mode & T4_FILTER_VNIC) { + if (mode & T4_FILTER_IC_VNIC) + printf("vnic_id "); + else + printf("ovlan "); + } if (mode & T4_FILTER_PORT) printf("iport "); @@ -915,6 +1004,7 @@ static int set_filter_mode(int argc, const char *argv[]) { uint32_t mode = 0; + int vnic = 0, ovlan = 0; for (; argc; argc--, argv++) { if (!strcmp(argv[0], "frag")) @@ -938,9 +1028,16 @@ set_filter_mode(int argc, const char *argv[]) if (!strcmp(argv[0], "vlan")) mode |= T4_FILTER_VLAN; - if (!strcmp(argv[0], "ovlan") || - !strcmp(argv[0], "vnic")) + if (!strcmp(argv[0], "ovlan")) { mode |= T4_FILTER_VNIC; + ovlan++; + } + + if (!strcmp(argv[0], "vnic_id")) { + mode |= T4_FILTER_VNIC; + mode |= T4_FILTER_IC_VNIC; + vnic++; + } if (!strcmp(argv[0], "iport")) mode |= T4_FILTER_PORT; @@ -949,6 +1046,11 @@ set_filter_mode(int argc, const char *argv[]) mode |= T4_FILTER_FCoE; } + if (vnic > 0 && ovlan > 0) { + warnx("\"vnic_id\" and \"ovlan\" are mutually exclusive."); + return (EINVAL); + } + return doit(CHELSIO_T4_SET_FILTER_MODE, &mode); } @@ -1007,18 +1109,27 @@ set_filter(uint32_t idx, int argc, const char *argv[]) } else if (!parse_val_mask("ovlan", args, &val, &mask)) { t.fs.val.vnic = val; t.fs.mask.vnic = mask; - t.fs.val.vnic_vld = 1; - t.fs.mask.vnic_vld = 1; - } else if (!parse_val_mask("vnic", args, &val, &mask)) { - t.fs.val.vnic = val; - t.fs.mask.vnic = mask; - t.fs.val.vnic_vld = 1; - t.fs.mask.vnic_vld = 1; + t.fs.val.ovlan_vld = 1; + t.fs.mask.ovlan_vld = 1; } else if (!parse_val_mask("ivlan", args, &val, &mask)) { t.fs.val.vlan = val; t.fs.mask.vlan = mask; t.fs.val.vlan_vld = 1; t.fs.mask.vlan_vld = 1; + } else if (!parse_val_mask("pf", args, &val, &mask)) { + t.fs.val.vnic &= 0x1fff; + t.fs.val.vnic |= (val & 0x7) << 13; + t.fs.mask.vnic &= 0x1fff; + t.fs.mask.vnic |= (mask & 0x7) << 13; + t.fs.val.pfvf_vld = 1; + t.fs.mask.pfvf_vld = 1; + } else if (!parse_val_mask("vf", args, &val, &mask)) { + t.fs.val.vnic &= 0xe000; + t.fs.val.vnic |= val & 0x1fff; + t.fs.mask.vnic &= 0xe000; + t.fs.mask.vnic |= mask & 0x1fff; + t.fs.val.pfvf_vld = 1; + t.fs.mask.pfvf_vld = 1; } else if (!parse_val_mask("tos", args, &val, &mask)) { t.fs.val.tos = val; t.fs.mask.tos = mask; @@ -1154,6 +1265,10 @@ set_filter(uint32_t idx, int argc, const char *argv[]) " action \"drop\" or \"switch\""); return (EINVAL); } + if (t.fs.val.ovlan_vld && t.fs.val.pfvf_vld) { + warnx("ovlan and vnic_id (pf/vf) are mutually exclusive"); + return (EINVAL); + } t.fs.type = (af == AF_INET6 ? 1 : 0); /* default IPv4 */ return doit(CHELSIO_T4_SET_FILTER, &t); diff --git a/tools/tools/cxgbetool/reg_defs_t4.c b/tools/tools/cxgbetool/reg_defs_t4.c index d838fe52a..5207073b2 100644 --- a/tools/tools/cxgbetool/reg_defs_t4.c +++ b/tools/tools/cxgbetool/reg_defs_t4.c @@ -1,4 +1,6 @@ /* This file is automatically generated --- changes will be lost */ +/* Generation Date : Tue Dec 8 09:33:01 IST 2015 */ +/* Directory name: t4_reg.txt, Changeset: */ __FBSDID("$FreeBSD$"); struct reg_info t4_sge_regs[] = { @@ -1742,7 +1744,7 @@ struct reg_info t4_sge_regs[] = { { "SGE_LA_RDDATA_15", 0x18f4, 0 }, { "SGE_LA_WRPTR_15", 0x18f8, 0 }, { "SGE_LA_RESERVED_15", 0x18fc, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_pcie_regs[] = { @@ -13810,7 +13812,7 @@ struct reg_info t4_pcie_regs[] = { { "PCIE_PF_GEN_MSG", 0x1fc48, 0 }, { "PCIE_PF_EXPROM_OFST", 0x1fc4c, 0 }, { "Offset", 10, 14 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_dbg_regs[] = { @@ -14159,7 +14161,7 @@ struct reg_info t4_dbg_regs[] = { { "DRVN_B_HISTORY", 4, 4 }, { "DRVN_A_HISTORY", 0, 4 }, { "DBG_PVT_REG_SAMPLE_WAIT_CLKS", 0x6150, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_mc_regs[] = { @@ -15134,7 +15136,7 @@ struct reg_info t4_mc_regs[] = { { "MC_BIST_STATUS_RDATA", 0x76c4, 0 }, { "MC_BIST_STATUS_RDATA", 0x76c8, 0 }, { "MC_BIST_STATUS_RDATA", 0x76cc, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_ma_regs[] = { @@ -15439,7 +15441,7 @@ struct reg_info t4_ma_regs[] = { { "COHERANCY_THREAD_NUM", 1, 3 }, { "COHERANCY_ENABLE", 0, 1 }, { "MA_ERROR_ENABLE", 0x77fc, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_edc_0_regs[] = { @@ -15496,7 +15498,7 @@ struct reg_info t4_edc_0_regs[] = { { "EDC_ECC_STATUS", 0x797c, 0 }, { "ECC_CECNT", 16, 16 }, { "ECC_UECNT", 0, 16 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_edc_1_regs[] = { @@ -15553,11 +15555,11 @@ struct reg_info t4_edc_1_regs[] = { { "EDC_ECC_STATUS", 0x79fc, 0 }, { "ECC_CECNT", 16, 16 }, { "ECC_UECNT", 0, 16 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_hma_regs[] = { - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_cim_regs[] = { @@ -16152,7 +16154,7 @@ struct reg_info t4_cim_regs[] = { { "MBMsgRdyIntEn", 19, 1 }, { "CIM_PF_HOST_INT_CAUSE", 0x1fe8c, 0 }, { "MBMsgRdyInt", 19, 1 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_tp_regs[] = { @@ -16879,7 +16881,7 @@ struct reg_info t4_tp_regs[] = { { "TP_PROTOCOL_DATA2", 0x7ef4, 0 }, { "TP_PROTOCOL_DATA3", 0x7ef8, 0 }, { "TP_PROTOCOL_DATA4", 0x7efc, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_ulp_tx_regs[] = { @@ -17125,7 +17127,7 @@ struct reg_info t4_ulp_tx_regs[] = { { "ULP_TX_LA_RDDATA_10", 0x8f64, 0 }, { "ULP_TX_LA_WRPTR_10", 0x8f68, 0 }, { "ULP_TX_LA_RESERVED_10", 0x8f6c, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_pm_rx_regs[] = { @@ -17193,7 +17195,7 @@ struct reg_info t4_pm_rx_regs[] = { { "db_options_par_error", 2, 1 }, { "iespi_par_error", 1, 1 }, { "e_pcmd_par_error", 0, 1 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_pm_tx_regs[] = { @@ -17284,7 +17286,7 @@ struct reg_info t4_pm_tx_regs[] = { { "db_options_par_error", 2, 1 }, { "icspi_par_error", 1, 1 }, { "c_pcmd_par_error", 0, 1 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_mps_regs[] = { @@ -17339,8 +17341,7 @@ struct reg_info t4_mps_regs[] = { { "MPS_DEBUG_DATA_REG_L", 0x906c, 0 }, { "MPS_DEBUG_DATA_REG_H", 0x9070, 0 }, { "MPS_TOP_SPARE", 0x9074, 0 }, - { "TopSpare", 12, 20 }, - { "Chikn_14463", 8, 4 }, + { "TopSpare", 8, 24 }, { "oVlanSelLpbk3", 7, 1 }, { "oVlanSelLpbk2", 6, 1 }, { "oVlanSelLpbk1", 5, 1 }, @@ -30521,7 +30522,7 @@ struct reg_info t4_mps_regs[] = { { "MPS_CLS_TCAM_X_H", 0x10fdc, 0 }, { "MPS_CLS_TCAM_X_H", 0x10fec, 0 }, { "MPS_CLS_TCAM_X_H", 0x10ffc, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_cpl_switch_regs[] = { @@ -30554,7 +30555,7 @@ struct reg_info t4_cpl_switch_regs[] = { { "zero_switch_error", 0, 1 }, { "CPL_MAP_TBL_IDX", 0x19058, 0 }, { "CPL_MAP_TBL_DATA", 0x1905c, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_smb_regs[] = { @@ -30689,7 +30690,7 @@ struct reg_info t4_smb_regs[] = { { "SMB_MICRO_CNT_CLK_CFG", 0x190e4, 0 }, { "MacroCntClkCfg", 8, 5 }, { "MicroCntClkCfg", 0, 8 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_i2cm_regs[] = { @@ -30700,7 +30701,7 @@ struct reg_info t4_i2cm_regs[] = { { "Ack", 30, 1 }, { "Cont", 1, 1 }, { "Op", 0, 1 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_mi_regs[] = { @@ -30720,7 +30721,7 @@ struct reg_info t4_mi_regs[] = { { "St", 3, 2 }, { "Inc", 2, 1 }, { "Op", 0, 2 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_uart_regs[] = { @@ -30729,7 +30730,7 @@ struct reg_info t4_uart_regs[] = { { "Parity", 20, 2 }, { "DataBits", 16, 4 }, { "ClkDiv", 0, 12 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_pmu_regs[] = { @@ -30749,7 +30750,7 @@ struct reg_info t4_pmu_regs[] = { { "Port1SleepMode", 2, 1 }, { "Port0SleepMode", 1, 1 }, { "WakeUp", 0, 1 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_ulp_rx_regs[] = { @@ -30776,24 +30777,24 @@ struct reg_info t4_ulp_rx_regs[] = { { "ENABLE_APF_0", 20, 1 }, { "ENABLE_AF_1", 19, 1 }, { "ENABLE_AF_0", 18, 1 }, - { "ENABLE_PCMDF_1", 17, 1 }, - { "ENABLE_MPARC_1", 16, 1 }, - { "ENABLE_MPARF_1", 15, 1 }, - { "ENABLE_DDPCF_1", 14, 1 }, - { "ENABLE_TPTCF_1", 13, 1 }, - { "ENABLE_PCMDF_0", 12, 1 }, - { "ENABLE_MPARC_0", 11, 1 }, - { "ENABLE_MPARF_0", 10, 1 }, - { "ENABLE_DDPCF_0", 9, 1 }, - { "ENABLE_TPTCF_0", 8, 1 }, - { "ENABLE_DDPDF_1", 7, 1 }, - { "ENABLE_DDPMF_1", 6, 1 }, - { "ENABLE_MEMRF_1", 5, 1 }, - { "ENABLE_PRSDF_1", 4, 1 }, - { "ENABLE_DDPDF_0", 3, 1 }, - { "ENABLE_DDPMF_0", 2, 1 }, - { "ENABLE_MEMRF_0", 1, 1 }, - { "ENABLE_PRSDF_0", 0, 1 }, + { "ENABLE_DDPDF_1", 17, 1 }, + { "ENABLE_DDPMF_1", 16, 1 }, + { "ENABLE_MEMRF_1", 15, 1 }, + { "ENABLE_PRSDF_1", 14, 1 }, + { "ENABLE_DDPDF_0", 13, 1 }, + { "ENABLE_DDPMF_0", 12, 1 }, + { "ENABLE_MEMRF_0", 11, 1 }, + { "ENABLE_PRSDF_0", 10, 1 }, + { "ENABLE_PCMDF_1", 9, 1 }, + { "ENABLE_TPTCF_1", 8, 1 }, + { "ENABLE_DDPCF_1", 7, 1 }, + { "ENABLE_MPARF_1", 6, 1 }, + { "ENABLE_MPARC_1", 5, 1 }, + { "ENABLE_PCMDF_0", 4, 1 }, + { "ENABLE_TPTCF_0", 3, 1 }, + { "ENABLE_DDPCF_0", 2, 1 }, + { "ENABLE_MPARF_0", 1, 1 }, + { "ENABLE_MPARC_0", 0, 1 }, { "ULP_RX_INT_CAUSE", 0x19158, 0 }, { "CAUSE_CTX_1", 24, 1 }, { "CAUSE_CTX_0", 23, 1 }, @@ -30802,24 +30803,24 @@ struct reg_info t4_ulp_rx_regs[] = { { "CAUSE_APF_0", 20, 1 }, { "CAUSE_AF_1", 19, 1 }, { "CAUSE_AF_0", 18, 1 }, - { "CAUSE_PCMDF_1", 17, 1 }, - { "CAUSE_MPARC_1", 16, 1 }, - { "CAUSE_MPARF_1", 15, 1 }, - { "CAUSE_DDPCF_1", 14, 1 }, - { "CAUSE_TPTCF_1", 13, 1 }, - { "CAUSE_PCMDF_0", 12, 1 }, - { "CAUSE_MPARC_0", 11, 1 }, - { "CAUSE_MPARF_0", 10, 1 }, - { "CAUSE_DDPCF_0", 9, 1 }, - { "CAUSE_TPTCF_0", 8, 1 }, - { "CAUSE_DDPDF_1", 7, 1 }, - { "CAUSE_DDPMF_1", 6, 1 }, - { "CAUSE_MEMRF_1", 5, 1 }, - { "CAUSE_PRSDF_1", 4, 1 }, - { "CAUSE_DDPDF_0", 3, 1 }, - { "CAUSE_DDPMF_0", 2, 1 }, - { "CAUSE_MEMRF_0", 1, 1 }, - { "CAUSE_PRSDF_0", 0, 1 }, + { "CAUSE_DDPDF_1", 17, 1 }, + { "CAUSE_DDPMF_1", 16, 1 }, + { "CAUSE_MEMRF_1", 15, 1 }, + { "CAUSE_PRSDF_1", 14, 1 }, + { "CAUSE_DDPDF_0", 13, 1 }, + { "CAUSE_DDPMF_0", 12, 1 }, + { "CAUSE_MEMRF_0", 11, 1 }, + { "CAUSE_PRSDF_0", 10, 1 }, + { "CAUSE_PCMDF_1", 9, 1 }, + { "CAUSE_TPTCF_1", 8, 1 }, + { "CAUSE_DDPCF_1", 7, 1 }, + { "CAUSE_MPARF_1", 6, 1 }, + { "CAUSE_MPARC_1", 5, 1 }, + { "CAUSE_PCMDF_0", 4, 1 }, + { "CAUSE_TPTCF_0", 3, 1 }, + { "CAUSE_DDPCF_0", 2, 1 }, + { "CAUSE_MPARF_0", 1, 1 }, + { "CAUSE_MPARC_0", 0, 1 }, { "ULP_RX_ISCSI_LLIMIT", 0x1915c, 0 }, { "IscsiLlimit", 6, 26 }, { "ULP_RX_ISCSI_ULIMIT", 0x19160, 0 }, @@ -30850,29 +30851,29 @@ struct reg_info t4_ulp_rx_regs[] = { { "ULP_RX_PBL_ULIMIT", 0x19190, 0 }, { "ULP_RX_CTX_BASE", 0x19194, 0 }, { "ULP_RX_PERR_ENABLE", 0x1919c, 0 }, - { "ENABLE_FF", 22, 1 }, - { "ENABLE_APF_1", 21, 1 }, - { "ENABLE_APF_0", 20, 1 }, - { "ENABLE_AF_1", 19, 1 }, - { "ENABLE_AF_0", 18, 1 }, - { "ENABLE_PCMDF_1", 17, 1 }, - { "ENABLE_MPARC_1", 16, 1 }, - { "ENABLE_MPARF_1", 15, 1 }, - { "ENABLE_DDPCF_1", 14, 1 }, - { "ENABLE_TPTCF_1", 13, 1 }, - { "ENABLE_PCMDF_0", 12, 1 }, - { "ENABLE_MPARC_0", 11, 1 }, - { "ENABLE_MPARF_0", 10, 1 }, - { "ENABLE_DDPCF_0", 9, 1 }, - { "ENABLE_TPTCF_0", 8, 1 }, - { "ENABLE_DDPDF_1", 7, 1 }, - { "ENABLE_DDPMF_1", 6, 1 }, - { "ENABLE_MEMRF_1", 5, 1 }, - { "ENABLE_PRSDF_1", 4, 1 }, - { "ENABLE_DDPDF_0", 3, 1 }, - { "ENABLE_DDPMF_0", 2, 1 }, - { "ENABLE_MEMRF_0", 1, 1 }, - { "ENABLE_PRSDF_0", 0, 1 }, + { "PERR_ENABLE_FF", 22, 1 }, + { "PERR_ENABLE_APF_1", 21, 1 }, + { "PERR_ENABLE_APF_0", 20, 1 }, + { "PERR_ENABLE_AF_1", 19, 1 }, + { "PERR_ENABLE_AF_0", 18, 1 }, + { "PERR_ENABLE_DDPDF_1", 17, 1 }, + { "PERR_ENABLE_DDPMF_1", 16, 1 }, + { "PERR_ENABLE_MEMRF_1", 15, 1 }, + { "PERR_ENABLE_PRSDF_1", 14, 1 }, + { "PERR_ENABLE_DDPDF_0", 13, 1 }, + { "PERR_ENABLE_DDPMF_0", 12, 1 }, + { "PERR_ENABLE_MEMRF_0", 11, 1 }, + { "PERR_ENABLE_PRSDF_0", 10, 1 }, + { "PERR_ENABLE_PCMDF_1", 9, 1 }, + { "PERR_ENABLE_TPTCF_1", 8, 1 }, + { "PERR_ENABLE_DDPCF_1", 7, 1 }, + { "PERR_ENABLE_MPARF_1", 6, 1 }, + { "PERR_ENABLE_MPARC_1", 5, 1 }, + { "PERR_ENABLE_PCMDF_0", 4, 1 }, + { "PERR_ENABLE_TPTCF_0", 3, 1 }, + { "PERR_ENABLE_DDPCF_0", 2, 1 }, + { "PERR_ENABLE_MPARF_0", 1, 1 }, + { "PERR_ENABLE_MPARC_0", 0, 1 }, { "ULP_RX_PERR_INJECT", 0x191a0, 0 }, { "MemSel", 1, 5 }, { "InjectDataErr", 0, 1 }, @@ -30923,7 +30924,7 @@ struct reg_info t4_ulp_rx_regs[] = { { "ULP_RX_LA_RDDATA", 0x19244, 0 }, { "ULP_RX_LA_WRPTR", 0x19248, 0 }, { "ULP_RX_LA_RESERVED", 0x1924c, 0 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_sf_regs[] = { @@ -30934,7 +30935,7 @@ struct reg_info t4_sf_regs[] = { { "Cont", 3, 1 }, { "ByteCnt", 1, 2 }, { "Op", 0, 1 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_pl_regs[] = { @@ -32072,7 +32073,7 @@ struct reg_info t4_pl_regs[] = { { "PL_VFID_MAP", 0x19bfc, 0 }, { "Valid", 7, 1 }, { "VFID", 0, 7 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_le_regs[] = { @@ -32351,7 +32352,14 @@ struct reg_info t4_le_regs[] = { { "LE_REQ_DEBUG_LA_WRPTR", 0x19f28, 0 }, { "LE_RSP_DEBUG_LA_DATA", 0x19f2c, 0 }, { "LE_RSP_DEBUG_LA_WRPTR", 0x19f30, 0 }, - { NULL, 0, 0 } + { "LE_DEBUG_LA_SELECTOR", 0x19f34, 0 }, + { "LE_DEBUG_LA_CAPTURED_DATA", 0x19f38, 0 }, + { "LE_MA_DEBUG_LA_DATA", 0x19f3c, 0 }, + { "LE_RSP_DEBUG_LA_HASH_WRPTR", 0x19f40, 0 }, + { "LE_HASH_DEBUG_LA_DATA", 0x19f44, 0 }, + { "LE_RSP_DEBUG_LA_TCAM_WRPTR", 0x19f48, 0 }, + { "LE_TCAM_DEBUG_LA_DATA", 0x19f4c, 0 }, + { NULL } }; struct reg_info t4_ncsi_regs[] = { @@ -32622,7 +32630,7 @@ struct reg_info t4_ncsi_regs[] = { { "NCSI_MACB_REV_STATUS", 0x1a1fc, 0 }, { "PartRef", 16, 16 }, { "DesRev", 0, 16 }, - { NULL, 0, 0 } + { NULL } }; struct reg_info t4_xgmac_regs[] = { @@ -40390,5 +40398,5 @@ struct reg_info t4_xgmac_regs[] = { { "PRST", 4, 1 }, { "PCHKEN", 3, 1 }, { "PRBSSEL", 0, 3 }, - { NULL, 0, 0 } + { NULL } }; diff --git a/tools/tools/cxgbetool/reg_defs_t4vf.c b/tools/tools/cxgbetool/reg_defs_t4vf.c index 6ebd73199..34909036d 100644 --- a/tools/tools/cxgbetool/reg_defs_t4vf.c +++ b/tools/tools/cxgbetool/reg_defs_t4vf.c @@ -19,6 +19,21 @@ struct reg_info t4vf_sge_regs[] = { { NULL, 0, 0 } }; +struct reg_info t5vf_sge_regs[] = { + { "SGE_VF_KDOORBELL", 0x000, 0 }, + { "QID", 15, 17 }, + { "Priority", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_VF_GTS", 0x004, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + + { NULL, 0, 0 } +}; + struct reg_info t4vf_mps_regs[] = { { "MPS_VF_CTL", 0x100, 0 }, { "TxEn", 1, 1 }, @@ -70,9 +85,41 @@ struct reg_info t4vf_mps_regs[] = { struct reg_info t4vf_pl_regs[] = { { "PL_VF_WHOAMI", 0x200, 0 }, - { "PortxMap", 5, 3 }, - { "SourceBus", 3, 2 }, - { "SourcePF", 0, 3 }, + { "PortxMap", 24, 3 }, + { "SourceBus", 16, 2 }, + { "SourcePF", 8, 3 }, + { "IsVF", 7, 1 }, + { "VFID", 0, 7 }, + + { NULL, 0, 0 } +}; + +struct reg_info t5vf_pl_regs[] = { + { "PL_WHOAMI", 0x200, 0 }, + { "PortxMap", 24, 3 }, + { "SourceBus", 16, 2 }, + { "SourcePF", 8, 3 }, + { "IsVF", 7, 1 }, + { "VFID", 0, 7 }, + { "PL_VF_REV", 0x204, 0 }, + { "ChipID", 4, 4 }, + { "Rev", 0, 4 }, + { "PL_VF_REVISION", 0x208, 0 }, + + { NULL, 0, 0 } +}; + +struct reg_info t6vf_pl_regs[] = { + { "PL_WHOAMI", 0x200, 0 }, + { "PortxMap", 24, 3 }, + { "SourceBus", 16, 2 }, + { "SourcePF", 9, 3 }, + { "IsVF", 8, 1 }, + { "VFID", 0, 8 }, + { "PL_VF_REV", 0x204, 0 }, + { "ChipID", 4, 4 }, + { "Rev", 0, 4 }, + { "PL_VF_REVISION", 0x208, 0 }, { NULL, 0, 0 } }; @@ -85,7 +132,7 @@ struct reg_info t4vf_cim_regs[] = { { "CIM_VF_EXT_MAILBOX_CTRL", 0x300, 0 }, { "MBGeneric", 4, 4 }, { "MBMsgValid", 3, 1 }, - { "MBIntReq", 3, 1 }, + { "MBIntReq", 2, 1 }, { "MBOwner", 0, 2 }, { "CIM_VF_EXT_MAILBOX_STATUS", 0x304, 0 }, { "MBVFReady", 0, 1 }, diff --git a/tools/tools/cxgbetool/reg_defs_t5.c b/tools/tools/cxgbetool/reg_defs_t5.c index adc1ecee4..ff84f00f2 100644 --- a/tools/tools/cxgbetool/reg_defs_t5.c +++ b/tools/tools/cxgbetool/reg_defs_t5.c @@ -1,4 +1,6 @@ /* This file is automatically generated --- changes will be lost */ +/* Generation Date : Mon Dec 7 19:40:45 IST 2015 */ +/* Directory name: t5_reg.txt, Changeset: 6934:86d3c0167c2c */ __FBSDID("$FreeBSD$"); struct reg_info t5_sge_regs[] = { @@ -20516,10 +20518,10 @@ struct reg_info t5_dbg_regs[] = { { "GPIO17_CHG_DET", 6, 1 }, { "GPIO18_CHG_DET", 5, 1 }, { "GPIO19_CHG_DET", 4, 1 }, - { "GPIO16_IN", 3, 1 }, - { "GPIO17_IN", 2, 1 }, - { "GPIO18_IN", 1, 1 }, - { "GPIO19_IN", 0, 1 }, + { "GPIO19_IN", 3, 1 }, + { "GPIO18_IN", 2, 1 }, + { "GPIO17_IN", 1, 1 }, + { "GPIO16_IN", 0, 1 }, { "DBG_INT_ENABLE", 0x6018, 0 }, { "GPIO19", 29, 1 }, { "GPIO18", 28, 1 }, @@ -24446,63 +24448,63 @@ struct reg_info t5_mps_regs[] = { { "MPS_TX_DEBUG_REG_TP2TX_10", 0x9444, 0 }, { "SOPCh1", 31, 1 }, { "EOPCh1", 30, 1 }, - { "SizeCh1", 27, 3 }, - { "ErrCh1", 26, 1 }, - { "FullCh1", 25, 1 }, - { "ValidCh1", 24, 1 }, - { "DataCh1", 16, 8 }, + { "SizeCh1", 26, 4 }, + { "ErrCh1", 25, 1 }, + { "FullCh1", 24, 1 }, + { "ValidCh1", 23, 1 }, + { "DataCh1", 16, 7 }, { "SOPCh0", 15, 1 }, { "EOPCh0", 14, 1 }, - { "SizeCh0", 11, 3 }, - { "ErrCh0", 10, 1 }, - { "FullCh0", 9, 1 }, - { "ValidCh0", 8, 1 }, - { "DataCh0", 0, 8 }, + { "SizeCh0", 10, 4 }, + { "ErrCh0", 9, 1 }, + { "FullCh0", 8, 1 }, + { "ValidCh0", 7, 1 }, + { "DataCh0", 0, 7 }, { "MPS_TX_DEBUG_REG_TP2TX_32", 0x9448, 0 }, { "SOPCh3", 31, 1 }, { "EOPCh3", 30, 1 }, - { "SizeCh3", 27, 3 }, - { "ErrCh3", 26, 1 }, - { "FullCh3", 25, 1 }, - { "ValidCh3", 24, 1 }, - { "DataCh3", 16, 8 }, + { "SizeCh3", 26, 4 }, + { "ErrCh3", 25, 1 }, + { "FullCh3", 24, 1 }, + { "ValidCh3", 23, 1 }, + { "DataCh3", 16, 7 }, { "SOPCh2", 15, 1 }, { "EOPCh2", 14, 1 }, - { "SizeCh2", 11, 3 }, - { "ErrCh2", 10, 1 }, - { "FullCh2", 9, 1 }, - { "ValidCh2", 8, 1 }, - { "DataCh2", 0, 8 }, + { "SizeCh2", 10, 4 }, + { "ErrCh2", 9, 1 }, + { "FullCh2", 8, 1 }, + { "ValidCh2", 7, 1 }, + { "DataCh2", 0, 7 }, { "MPS_TX_DEBUG_REG_TX2MAC_10", 0x944c, 0 }, { "SOPPt1", 31, 1 }, { "EOPPt1", 30, 1 }, - { "SizePt1", 27, 3 }, - { "ErrPt1", 26, 1 }, - { "FullPt1", 25, 1 }, - { "ValidPt1", 24, 1 }, - { "DataPt1", 16, 8 }, + { "SizePt1", 26, 4 }, + { "ErrPt1", 25, 1 }, + { "FullPt1", 24, 1 }, + { "ValidPt1", 23, 1 }, + { "DataPt1", 16, 7 }, { "SOPPt0", 15, 1 }, { "EOPPt0", 14, 1 }, - { "SizePt0", 11, 3 }, - { "ErrPt0", 10, 1 }, - { "FullPt0", 9, 1 }, - { "ValidPt0", 8, 1 }, - { "DataPt0", 0, 8 }, + { "SizePt0", 10, 4 }, + { "ErrPt0", 9, 1 }, + { "FullPt0", 8, 1 }, + { "ValidPt0", 7, 1 }, + { "DataPt0", 0, 7 }, { "MPS_TX_DEBUG_REG_TX2MAC_32", 0x9450, 0 }, { "SOPPt3", 31, 1 }, { "EOPPt3", 30, 1 }, - { "SizePt3", 27, 3 }, - { "ErrPt3", 26, 1 }, - { "FullPt3", 25, 1 }, - { "ValidPt3", 24, 1 }, - { "DataPt3", 16, 8 }, + { "SizePt3", 26, 4 }, + { "ErrPt3", 25, 1 }, + { "FullPt3", 24, 1 }, + { "ValidPt3", 23, 1 }, + { "DataPt3", 16, 7 }, { "SOPPt2", 15, 1 }, { "EOPPt2", 14, 1 }, - { "SizePt2", 11, 3 }, - { "ErrPt2", 10, 1 }, - { "FullPt2", 9, 1 }, - { "ValidPt2", 8, 1 }, - { "DataPt2", 0, 8 }, + { "SizePt2", 10, 4 }, + { "ErrPt2", 9, 1 }, + { "FullPt2", 8, 1 }, + { "ValidPt2", 7, 1 }, + { "DataPt2", 0, 7 }, { "MPS_TX_SGE_CH_PAUSE_IGNR", 0x9454, 0 }, { "MPS_TX_DEBUG_SUBPART_SEL", 0x9458, 0 }, { "SubPrtH", 11, 5 }, @@ -58429,13 +58431,14 @@ struct reg_info t5_mc_0_regs[] = { { "PER_ENA_RANK_PAIR", 12, 4 }, { "PER_ENA_ZCAL", 11, 1 }, { "PER_ENA_SYSCLK_ALIGN", 10, 1 }, - { "ENA_PER_RDCLK_ALIGN", 9, 1 }, - { "ENA_PER_DQS_ALIGN", 8, 1 }, - { "ENA_PER_READ_CTR", 7, 1 }, + { "ENA_PER_READ_CTR", 9, 1 }, + { "ENA_PER_RDCLK_ALIGN", 8, 1 }, + { "ENA_PER_DQS_ALIGN", 7, 1 }, { "PER_NEXT_RANK_PAIR", 5, 2 }, { "FAST_SIM_PER_CNTR", 4, 1 }, { "START_INIT_CAL", 3, 1 }, { "START_PER_CAL", 2, 1 }, + { "ABORT_ON_ERR_EN", 1, 1 }, { "MC_DDRPHY_PC_PER_ZCAL_CONFIG", 0x4703c, 0 }, { "PER_ZCAL_ENA_RANK", 8, 8 }, { "PER_ZCAL_NEXT_RANK", 5, 3 }, @@ -59284,6 +59287,8 @@ struct reg_info t5_mc_0_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44078, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x440d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x440d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x441b4, 0 }, @@ -59298,10 +59303,13 @@ struct reg_info t5_mc_0_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x441fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44048, 0 }, @@ -59711,6 +59719,8 @@ struct reg_info t5_mc_0_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44278, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x442d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x442d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x443b4, 0 }, @@ -59725,10 +59735,13 @@ struct reg_info t5_mc_0_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x443fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44248, 0 }, @@ -60138,6 +60151,8 @@ struct reg_info t5_mc_0_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44478, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x444d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x444d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x445b4, 0 }, @@ -60152,10 +60167,13 @@ struct reg_info t5_mc_0_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x445fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44448, 0 }, @@ -60565,6 +60583,8 @@ struct reg_info t5_mc_0_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44678, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x446d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x446d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x447b4, 0 }, @@ -60579,10 +60599,13 @@ struct reg_info t5_mc_0_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x447fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44648, 0 }, @@ -60992,6 +61015,8 @@ struct reg_info t5_mc_0_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44878, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x448d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x448d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x449b4, 0 }, @@ -61006,10 +61031,13 @@ struct reg_info t5_mc_0_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x449fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44848, 0 }, @@ -61091,6 +61119,7 @@ struct reg_info t5_mc_0_regs[] = { { "MC_DDRPHY_WC_CONFIG2", 0x47608, 0 }, { "NUM_VALID_SAMPLES", 12, 4 }, { "FW_RD_WR", 6, 6 }, + { "EN_RESET_WR_DELAY_WL", 0, 1 }, { "MC_DDRPHY_WC_CONFIG3", 0x47614, 0 }, { "DDR4_MRS_CMD_DQ_EN", 15, 1 }, { "MRS_CMD_DQ_ON", 9, 6 }, @@ -61660,13 +61689,14 @@ struct reg_info t5_mc_1_regs[] = { { "PER_ENA_RANK_PAIR", 12, 4 }, { "PER_ENA_ZCAL", 11, 1 }, { "PER_ENA_SYSCLK_ALIGN", 10, 1 }, - { "ENA_PER_RDCLK_ALIGN", 9, 1 }, - { "ENA_PER_DQS_ALIGN", 8, 1 }, - { "ENA_PER_READ_CTR", 7, 1 }, + { "ENA_PER_READ_CTR", 9, 1 }, + { "ENA_PER_RDCLK_ALIGN", 8, 1 }, + { "ENA_PER_DQS_ALIGN", 7, 1 }, { "PER_NEXT_RANK_PAIR", 5, 2 }, { "FAST_SIM_PER_CNTR", 4, 1 }, { "START_INIT_CAL", 3, 1 }, { "START_PER_CAL", 2, 1 }, + { "ABORT_ON_ERR_EN", 1, 1 }, { "MC_DDRPHY_PC_PER_ZCAL_CONFIG", 0x4f03c, 0 }, { "PER_ZCAL_ENA_RANK", 8, 8 }, { "PER_ZCAL_NEXT_RANK", 5, 3 }, @@ -62515,6 +62545,8 @@ struct reg_info t5_mc_1_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c078, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c0d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c0d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c1b4, 0 }, @@ -62529,10 +62561,13 @@ struct reg_info t5_mc_1_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c1fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c048, 0 }, @@ -62942,6 +62977,8 @@ struct reg_info t5_mc_1_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c278, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c2d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c2d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c3b4, 0 }, @@ -62956,10 +62993,13 @@ struct reg_info t5_mc_1_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c3fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c248, 0 }, @@ -63369,6 +63409,8 @@ struct reg_info t5_mc_1_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c478, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c4d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c4d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c5b4, 0 }, @@ -63383,10 +63425,13 @@ struct reg_info t5_mc_1_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c5fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c448, 0 }, @@ -63796,6 +63841,8 @@ struct reg_info t5_mc_1_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c678, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c6d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c6d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c7b4, 0 }, @@ -63810,10 +63857,13 @@ struct reg_info t5_mc_1_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c7fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c648, 0 }, @@ -64223,6 +64273,8 @@ struct reg_info t5_mc_1_regs[] = { { "MEMINTD22_POS", 2, 2 }, { "MEMINTD23_POS", 0, 2 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x4c878, 0 }, + { "SYSCLK_RDCLK_OFFSET", 8, 7 }, + { "SYSCLK_DQSCLK_OFFSET", 0, 7 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x4c8d4, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x4c8d8, 0 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x4c9b4, 0 }, @@ -64237,10 +64289,13 @@ struct reg_info t5_mc_1_regs[] = { { "MC_DDRPHY_DP18_POWERDOWN_1", 0x4c9fc, 0 }, { "MASTER_PD_CNTL", 15, 1 }, { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, { "ANALOG_INPUT_STAB1", 8, 1 }, { "SYSCLK_CLK_GATE", 6, 2 }, { "WR_FIFO_STAB", 5, 1 }, { "ADR_RX_PD", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, { "TX_TRISTATE_CNTL", 1, 1 }, { "DVCC_REG_PD", 0, 1 }, { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x4c848, 0 }, @@ -64322,6 +64377,7 @@ struct reg_info t5_mc_1_regs[] = { { "MC_DDRPHY_WC_CONFIG2", 0x4f608, 0 }, { "NUM_VALID_SAMPLES", 12, 4 }, { "FW_RD_WR", 6, 6 }, + { "EN_RESET_WR_DELAY_WL", 0, 1 }, { "MC_DDRPHY_WC_CONFIG3", 0x4f614, 0 }, { "DDR4_MRS_CMD_DQ_EN", 15, 1 }, { "MRS_CMD_DQ_ON", 9, 6 }, @@ -64906,6 +64962,9 @@ struct reg_info t5_edc_t50_regs[] = { { "ECC_CE_INT_ENABLE", 1, 1 }, { "PERR_INT_ENABLE", 0, 1 }, { "EDC_H_INT_CAUSE", 0x50078, 0 }, + { "ECC_UE_INT0_CAUSE", 5, 1 }, + { "ECC_CE_INT0_CAUSE", 4, 1 }, + { "PERR_INT0_CAUSE", 3, 1 }, { "ECC_UE_INT_CAUSE", 2, 1 }, { "ECC_CE_INT_CAUSE", 1, 1 }, { "PERR_INT_CAUSE", 0, 1 }, @@ -64985,6 +65044,9 @@ struct reg_info t5_edc_t51_regs[] = { { "ECC_CE_INT_ENABLE", 1, 1 }, { "PERR_INT_ENABLE", 0, 1 }, { "EDC_H_INT_CAUSE", 0x50878, 0 }, + { "ECC_UE_INT0_CAUSE", 5, 1 }, + { "ECC_CE_INT0_CAUSE", 4, 1 }, + { "PERR_INT0_CAUSE", 3, 1 }, { "ECC_UE_INT_CAUSE", 2, 1 }, { "ECC_CE_INT_CAUSE", 1, 1 }, { "PERR_INT_CAUSE", 0, 1 }, diff --git a/tools/tools/cxgbetool/reg_defs_t6.c b/tools/tools/cxgbetool/reg_defs_t6.c new file mode 100644 index 000000000..f8a353b44 --- /dev/null +++ b/tools/tools/cxgbetool/reg_defs_t6.c @@ -0,0 +1,57337 @@ +/* This file is automatically generated --- changes will be lost */ +/* Generation Date : Wed Jan 27 10:58:12 IST 2016 */ +/* Directory name: t6_reg.txt, Changeset: 4191:ce3ccd95c109 */ +__FBSDID("$FreeBSD$"); + +struct reg_info t6_sge_regs[] = { + { "SGE_PF_KDOORBELL", 0x1e000, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1e004, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1e008, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1e00c, 0 }, + { "SGE_PF_KDOORBELL", 0x1e400, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1e404, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1e408, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1e40c, 0 }, + { "SGE_PF_KDOORBELL", 0x1e800, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1e804, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1e808, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1e80c, 0 }, + { "SGE_PF_KDOORBELL", 0x1ec00, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1ec04, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1ec08, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1ec0c, 0 }, + { "SGE_PF_KDOORBELL", 0x1f000, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1f004, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1f008, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1f00c, 0 }, + { "SGE_PF_KDOORBELL", 0x1f400, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1f404, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1f408, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1f40c, 0 }, + { "SGE_PF_KDOORBELL", 0x1f800, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1f804, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1f808, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1f80c, 0 }, + { "SGE_PF_KDOORBELL", 0x1fc00, 0 }, + { "QID", 15, 17 }, + { "Sync", 14, 1 }, + { "Type", 13, 1 }, + { "PIDX", 0, 13 }, + { "SGE_PF_GTS", 0x1fc04, 0 }, + { "IngressQID", 16, 16 }, + { "TimerReg", 13, 3 }, + { "SEIntArm", 12, 1 }, + { "CIDXInc", 0, 12 }, + { "SGE_PF_KTIMESTAMP_LO", 0x1fc08, 0 }, + { "SGE_PF_KTIMESTAMP_HI", 0x1fc0c, 0 }, + { "SGE_CONTROL", 0x1008, 0 }, + { "IgrAllCPLtoFL", 31, 1 }, + { "FLSplitMin", 22, 9 }, + { "RxPktCPLMode", 18, 1 }, + { "EgrStatusPageSize", 17, 1 }, + { "IngHintEnable1", 15, 1 }, + { "IngHintEnable0", 14, 1 }, + { "IngIntCompareIDX", 13, 1 }, + { "PktShift", 10, 3 }, + { "IngPCIeBoundary", 7, 3 }, + { "IngPadBoundary", 4, 3 }, + { "GlobalEnable", 0, 1 }, + { "SGE_HOST_PAGE_SIZE", 0x100c, 0 }, + { "HostPageSizePF7", 28, 4 }, + { "HostPageSizePF6", 24, 4 }, + { "HostPageSizePF5", 20, 4 }, + { "HostPageSizePF4", 16, 4 }, + { "HostPageSizePF3", 12, 4 }, + { "HostPageSizePF2", 8, 4 }, + { "HostPageSizePF1", 4, 4 }, + { "HostPageSizePF0", 0, 4 }, + { "SGE_EGRESS_QUEUES_PER_PAGE_PF", 0x1010, 0 }, + { "QueuesPerPagePF7", 28, 4 }, + { "QueuesPerPagePF6", 24, 4 }, + { "QueuesPerPagePF5", 20, 4 }, + { "QueuesPerPagePF4", 16, 4 }, + { "QueuesPerPagePF3", 12, 4 }, + { "QueuesPerPagePF2", 8, 4 }, + { "QueuesPerPagePF1", 4, 4 }, + { "QueuesPerPagePF0", 0, 4 }, + { "SGE_EGRESS_QUEUES_PER_PAGE_VF", 0x1014, 0 }, + { "QueuesPerPageVFPF7", 28, 4 }, + { "QueuesPerPageVFPF6", 24, 4 }, + { "QueuesPerPageVFPF5", 20, 4 }, + { "QueuesPerPageVFPF4", 16, 4 }, + { "QueuesPerPageVFPF3", 12, 4 }, + { "QueuesPerPageVFPF2", 8, 4 }, + { "QueuesPerPageVFPF1", 4, 4 }, + { "QueuesPerPageVFPF0", 0, 4 }, + { "SGE_USER_MODE_LIMITS", 0x1018, 0 }, + { "Opcode_Min", 24, 8 }, + { "Opcode_Max", 16, 8 }, + { "Length_Min", 8, 8 }, + { "Length_Max", 0, 8 }, + { "SGE_WR_ERROR", 0x101c, 0 }, + { "SGE_INT_CAUSE1", 0x1024, 0 }, + { "perr_flm_CreditFifo", 30, 1 }, + { "perr_imsg_hint_fifo", 29, 1 }, + { "perr_pc_rsp", 23, 1 }, + { "perr_pc_req", 22, 1 }, + { "perr_dbp_pc_rsp_fifo3", 21, 1 }, + { "perr_dbp_pc_rsp_fifo2", 20, 1 }, + { "perr_dbp_pc_rsp_fifo1", 19, 1 }, + { "perr_dbp_pc_rsp_fifo0", 18, 1 }, + { "perr_dmarbt", 17, 1 }, + { "perr_flm_DbpFifo", 16, 1 }, + { "perr_flm_MCReq_fifo", 15, 1 }, + { "perr_flm_HintFifo", 14, 1 }, + { "perr_align_ctl_fifo3", 13, 1 }, + { "perr_align_ctl_fifo2", 12, 1 }, + { "perr_align_ctl_fifo1", 11, 1 }, + { "perr_align_ctl_fifo0", 10, 1 }, + { "perr_edma_fifo3", 9, 1 }, + { "perr_edma_fifo2", 8, 1 }, + { "perr_edma_fifo1", 7, 1 }, + { "perr_edma_fifo0", 6, 1 }, + { "perr_pd_fifo3", 5, 1 }, + { "perr_pd_fifo2", 4, 1 }, + { "perr_pd_fifo1", 3, 1 }, + { "perr_pd_fifo0", 2, 1 }, + { "perr_ing_ctxt_mifrsp", 1, 1 }, + { "perr_egr_ctxt_mifrsp", 0, 1 }, + { "SGE_INT_ENABLE1", 0x1028, 0 }, + { "perr_flm_CreditFifo", 30, 1 }, + { "perr_imsg_hint_fifo", 29, 1 }, + { "perr_pc_rsp", 23, 1 }, + { "perr_pc_req", 22, 1 }, + { "perr_dbp_pc_rsp_fifo3", 21, 1 }, + { "perr_dbp_pc_rsp_fifo2", 20, 1 }, + { "perr_dbp_pc_rsp_fifo1", 19, 1 }, + { "perr_dbp_pc_rsp_fifo0", 18, 1 }, + { "perr_dmarbt", 17, 1 }, + { "perr_flm_DbpFifo", 16, 1 }, + { "perr_flm_MCReq_fifo", 15, 1 }, + { "perr_flm_HintFifo", 14, 1 }, + { "perr_align_ctl_fifo3", 13, 1 }, + { "perr_align_ctl_fifo2", 12, 1 }, + { "perr_align_ctl_fifo1", 11, 1 }, + { "perr_align_ctl_fifo0", 10, 1 }, + { "perr_edma_fifo3", 9, 1 }, + { "perr_edma_fifo2", 8, 1 }, + { "perr_edma_fifo1", 7, 1 }, + { "perr_edma_fifo0", 6, 1 }, + { "perr_pd_fifo3", 5, 1 }, + { "perr_pd_fifo2", 4, 1 }, + { "perr_pd_fifo1", 3, 1 }, + { "perr_pd_fifo0", 2, 1 }, + { "perr_ing_ctxt_mifrsp", 1, 1 }, + { "perr_egr_ctxt_mifrsp", 0, 1 }, + { "SGE_PERR_ENABLE1", 0x102c, 0 }, + { "perr_flm_CreditFifo", 30, 1 }, + { "perr_imsg_hint_fifo", 29, 1 }, + { "perr_pc_rsp", 23, 1 }, + { "perr_pc_req", 22, 1 }, + { "perr_dbp_pc_rsp_fifo3", 21, 1 }, + { "perr_dbp_pc_rsp_fifo2", 20, 1 }, + { "perr_dbp_pc_rsp_fifo1", 19, 1 }, + { "perr_dbp_pc_rsp_fifo0", 18, 1 }, + { "perr_dmarbt", 17, 1 }, + { "perr_flm_DbpFifo", 16, 1 }, + { "perr_flm_MCReq_fifo", 15, 1 }, + { "perr_flm_HintFifo", 14, 1 }, + { "perr_align_ctl_fifo3", 13, 1 }, + { "perr_align_ctl_fifo2", 12, 1 }, + { "perr_align_ctl_fifo1", 11, 1 }, + { "perr_align_ctl_fifo0", 10, 1 }, + { "perr_edma_fifo3", 9, 1 }, + { "perr_edma_fifo2", 8, 1 }, + { "perr_edma_fifo1", 7, 1 }, + { "perr_edma_fifo0", 6, 1 }, + { "perr_pd_fifo3", 5, 1 }, + { "perr_pd_fifo2", 4, 1 }, + { "perr_pd_fifo1", 3, 1 }, + { "perr_pd_fifo0", 2, 1 }, + { "perr_ing_ctxt_mifrsp", 1, 1 }, + { "perr_egr_ctxt_mifrsp", 0, 1 }, + { "SGE_INT_CAUSE2", 0x1030, 0 }, + { "perr_dbp_hint_fl_fifo", 24, 1 }, + { "perr_egr_dbp_tx_coal", 23, 1 }, + { "perr_dbp_fl_fifo", 22, 1 }, + { "deq_ll_perr", 21, 1 }, + { "enq_perr", 20, 1 }, + { "deq_out_perr", 19, 1 }, + { "buf_perr", 18, 1 }, + { "perr_conm_sram", 14, 1 }, + { "perr_isw_idma0_fifo", 12, 1 }, + { "perr_isw_idma1_fifo", 11, 1 }, + { "perr_isw_dbp_fifo", 10, 1 }, + { "perr_isw_gts_fifo", 9, 1 }, + { "perr_itp_evr", 8, 1 }, + { "perr_flm_cntxmem", 7, 1 }, + { "perr_flm_l1Cache", 6, 1 }, + { "perr_dbp_hint_fifo", 5, 1 }, + { "perr_dbp_hp_fifo", 4, 1 }, + { "perr_db_fifo", 3, 1 }, + { "perr_ing_ctxt_cache", 2, 1 }, + { "perr_egr_ctxt_cache", 1, 1 }, + { "perr_base_size", 0, 1 }, + { "SGE_INT_ENABLE2", 0x1034, 0 }, + { "perr_dbp_hint_fl_fifo", 24, 1 }, + { "perr_egr_dbp_tx_coal", 23, 1 }, + { "perr_dbp_fl_fifo", 22, 1 }, + { "deq_ll_perr", 21, 1 }, + { "enq_perr", 20, 1 }, + { "deq_out_perr", 19, 1 }, + { "buf_perr", 18, 1 }, + { "perr_conm_sram", 14, 1 }, + { "perr_isw_idma0_fifo", 12, 1 }, + { "perr_isw_idma1_fifo", 11, 1 }, + { "perr_isw_dbp_fifo", 10, 1 }, + { "perr_isw_gts_fifo", 9, 1 }, + { "perr_itp_evr", 8, 1 }, + { "perr_flm_cntxmem", 7, 1 }, + { "perr_flm_l1Cache", 6, 1 }, + { "perr_dbp_hint_fifo", 5, 1 }, + { "perr_dbp_hp_fifo", 4, 1 }, + { "perr_db_fifo", 3, 1 }, + { "perr_ing_ctxt_cache", 2, 1 }, + { "perr_egr_ctxt_cache", 1, 1 }, + { "perr_base_size", 0, 1 }, + { "SGE_PERR_ENABLE2", 0x1038, 0 }, + { "perr_dbp_hint_fl_fifo", 24, 1 }, + { "perr_egr_dbp_tx_coal", 23, 1 }, + { "perr_dbp_fl_fifo", 22, 1 }, + { "deq_ll_perr", 21, 1 }, + { "enq_perr", 20, 1 }, + { "deq_out_perr", 19, 1 }, + { "buf_perr", 18, 1 }, + { "perr_conm_sram", 14, 1 }, + { "perr_isw_idma0_fifo", 12, 1 }, + { "perr_isw_idma1_fifo", 11, 1 }, + { "perr_isw_dbp_fifo", 10, 1 }, + { "perr_isw_gts_fifo", 9, 1 }, + { "perr_itp_evr", 8, 1 }, + { "perr_flm_cntxmem", 7, 1 }, + { "perr_flm_l1Cache", 6, 1 }, + { "perr_dbp_hint_fifo", 5, 1 }, + { "perr_dbp_hp_fifo", 4, 1 }, + { "perr_dbp_lp_fifo", 3, 1 }, + { "perr_ing_ctxt_cache", 2, 1 }, + { "perr_egr_ctxt_cache", 1, 1 }, + { "perr_base_size", 0, 1 }, + { "SGE_INT_CAUSE3", 0x103c, 0 }, + { "err_flm_dbp", 31, 1 }, + { "err_flm_idma1", 30, 1 }, + { "err_flm_idma0", 29, 1 }, + { "err_flm_hint", 28, 1 }, + { "err_pcie_error3", 27, 1 }, + { "err_pcie_error2", 26, 1 }, + { "err_pcie_error1", 25, 1 }, + { "err_pcie_error0", 24, 1 }, + { "err_timer_above_max_qid", 23, 1 }, + { "err_cpl_exceed_iqe_size", 22, 1 }, + { "err_invalid_cidx_inc", 21, 1 }, + { "err_itp_time_paused", 20, 1 }, + { "err_cpl_opcode_0", 19, 1 }, + { "err_dropped_db", 18, 1 }, + { "err_data_cpl_on_high_qid1", 17, 1 }, + { "err_data_cpl_on_high_qid0", 16, 1 }, + { "err_bad_db_pidx3", 15, 1 }, + { "err_bad_db_pidx2", 14, 1 }, + { "err_bad_db_pidx1", 13, 1 }, + { "err_bad_db_pidx0", 12, 1 }, + { "err_ing_pcie_chan", 11, 1 }, + { "err_ing_ctxt_prio", 10, 1 }, + { "err_egr_ctxt_prio", 9, 1 }, + { "dbp_tbuf_full", 8, 1 }, + { "fatal_wre_len", 7, 1 }, + { "reg_address_err", 6, 1 }, + { "ingress_size_err", 5, 1 }, + { "egress_size_err", 4, 1 }, + { "err_inv_ctxt3", 3, 1 }, + { "err_inv_ctxt2", 2, 1 }, + { "err_inv_ctxt1", 1, 1 }, + { "err_inv_ctxt0", 0, 1 }, + { "SGE_INT_ENABLE3", 0x1040, 0 }, + { "err_flm_dbp", 31, 1 }, + { "err_flm_idma1", 30, 1 }, + { "err_flm_idma0", 29, 1 }, + { "err_flm_hint", 28, 1 }, + { "err_pcie_error3", 27, 1 }, + { "err_pcie_error2", 26, 1 }, + { "err_pcie_error1", 25, 1 }, + { "err_pcie_error0", 24, 1 }, + { "err_timer_above_max_qid", 23, 1 }, + { "err_cpl_exceed_iqe_size", 22, 1 }, + { "err_invalid_cidx_inc", 21, 1 }, + { "err_itp_time_paused", 20, 1 }, + { "err_cpl_opcode_0", 19, 1 }, + { "err_dropped_db", 18, 1 }, + { "err_data_cpl_on_high_qid1", 17, 1 }, + { "err_data_cpl_on_high_qid0", 16, 1 }, + { "err_bad_db_pidx3", 15, 1 }, + { "err_bad_db_pidx2", 14, 1 }, + { "err_bad_db_pidx1", 13, 1 }, + { "err_bad_db_pidx0", 12, 1 }, + { "err_ing_pcie_chan", 11, 1 }, + { "err_ing_ctxt_prio", 10, 1 }, + { "err_egr_ctxt_prio", 9, 1 }, + { "dbp_tbuf_full", 8, 1 }, + { "fatal_wre_len", 7, 1 }, + { "reg_address_err", 6, 1 }, + { "ingress_size_err", 5, 1 }, + { "egress_size_err", 4, 1 }, + { "err_inv_ctxt3", 3, 1 }, + { "err_inv_ctxt2", 2, 1 }, + { "err_inv_ctxt1", 1, 1 }, + { "err_inv_ctxt0", 0, 1 }, + { "SGE_FL_BUFFER_SIZE0", 0x1044, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE1", 0x1048, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE2", 0x104c, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE3", 0x1050, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE4", 0x1054, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE5", 0x1058, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE6", 0x105c, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE7", 0x1060, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE8", 0x1064, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE9", 0x1068, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE10", 0x106c, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE11", 0x1070, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE12", 0x1074, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE13", 0x1078, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE14", 0x107c, 0 }, + { "Size", 4, 20 }, + { "SGE_FL_BUFFER_SIZE15", 0x1080, 0 }, + { "Size", 4, 20 }, + { "SGE_DBQ_CTXT_BADDR", 0x1084, 0 }, + { "BaseAddr", 3, 29 }, + { "SGE_IMSG_CTXT_BADDR", 0x1088, 0 }, + { "BaseAddr", 3, 29 }, + { "SGE_FLM_CACHE_BADDR", 0x108c, 0 }, + { "BaseAddr", 3, 29 }, + { "SGE_FLM_CFG", 0x1090, 0 }, + { "OpMode", 26, 6 }, + { "NullPtr", 20, 4 }, + { "NullPtrEn", 19, 1 }, + { "NoHdr", 18, 1 }, + { "CachePtrCnt", 16, 2 }, + { "EDRAMPtrCnt", 14, 2 }, + { "HdrStartFLQ", 11, 3 }, + { "FetchThresh", 6, 5 }, + { "CreditCnt", 4, 2 }, + { "CreditCntPacking", 2, 2 }, + { "NoEDRAM", 0, 1 }, + { "SGE_CONM_CTRL", 0x1094, 0 }, + { "EgrThresholdPacking", 16, 8 }, + { "EgrThreshold", 8, 8 }, + { "IngThreshold", 2, 6 }, + { "SGE_TIMESTAMP_LO", 0x1098, 0 }, + { "SGE_TIMESTAMP_HI", 0x109c, 0 }, + { "Opcode", 28, 2 }, + { "Value", 0, 28 }, + { "SGE_INGRESS_RX_THRESHOLD", 0x10a0, 0 }, + { "Threshold_0", 24, 6 }, + { "Threshold_1", 16, 6 }, + { "Threshold_2", 8, 6 }, + { "Threshold_3", 0, 6 }, + { "SGE_DBFIFO_STATUS", 0x10a4, 0 }, + { "vfifo_cnt", 15, 17 }, + { "coal_ctl_fifo_cnt", 8, 6 }, + { "merge_fifo_cnt", 0, 6 }, + { "SGE_DOORBELL_CONTROL", 0x10a8, 0 }, + { "HintDepthCtl", 27, 5 }, + { "NoCoalesce", 26, 1 }, + { "HP_Weight", 24, 2 }, + { "HP_Disable", 23, 1 }, + { "ForceUserDBtoLP", 22, 1 }, + { "ForceVFPF0DBtoLP", 21, 1 }, + { "ForceVFPF1DBtoLP", 20, 1 }, + { "ForceVFPF2DBtoLP", 19, 1 }, + { "ForceVFPF3DBtoLP", 18, 1 }, + { "ForceVFPF4DBtoLP", 17, 1 }, + { "ForceVFPF5DBtoLP", 16, 1 }, + { "ForceVFPF6DBtoLP", 15, 1 }, + { "ForceVFPF7DBtoLP", 14, 1 }, + { "Enable_Drop", 13, 1 }, + { "Drop_Timeout", 7, 6 }, + { "InvOnDBSync", 6, 1 }, + { "InvOnGTSSync", 5, 1 }, + { "db_dbg_en", 4, 1 }, + { "gts_dbg_timer_reg", 1, 3 }, + { "gts_dbg_en", 0, 1 }, + { "SGE_ITP_CONTROL", 0x10b4, 0 }, + { "TScale", 28, 4 }, + { "Critical_Time", 10, 15 }, + { "LL_Empty", 4, 6 }, + { "LL_Read_Wait_Disable", 0, 1 }, + { "SGE_TIMER_VALUE_0_AND_1", 0x10b8, 0 }, + { "TimerValue0", 16, 16 }, + { "TimerValue1", 0, 16 }, + { "SGE_TIMER_VALUE_2_AND_3", 0x10bc, 0 }, + { "TimerValue2", 16, 16 }, + { "TimerValue3", 0, 16 }, + { "SGE_TIMER_VALUE_4_AND_5", 0x10c0, 0 }, + { "TimerValue4", 16, 16 }, + { "TimerValue5", 0, 16 }, + { "SGE_GK_CONTROL", 0x10c4, 0 }, + { "en_flm_fifth", 29, 1 }, + { "fl_prog_thresh", 20, 9 }, + { "coal_all_thread", 19, 1 }, + { "en_pshb", 18, 1 }, + { "en_db_fifth", 17, 1 }, + { "db_prog_thresh", 8, 9 }, + { "100ns_timer", 0, 8 }, + { "SGE_GK_CONTROL2", 0x10c8, 0 }, + { "dbq_timer_tick", 16, 16 }, + { "fl_merge_cnt_thresh", 8, 4 }, + { "merge_cnt_thresh", 0, 6 }, + { "SGE_DEBUG_INDEX", 0x10cc, 0 }, + { "SGE_DEBUG_DATA_HIGH", 0x10d0, 0 }, + { "SGE_DEBUG_DATA_LOW", 0x10d4, 0 }, + { "SGE_REVISION", 0x10d8, 0 }, + { "SGE_INT_CAUSE4", 0x10dc, 0 }, + { "err_ishift_ur1", 31, 1 }, + { "err_ishift_ur0", 30, 1 }, + { "bar2_egress_len_or_addr_err", 29, 1 }, + { "err_cpl_exceed_max_iqe_size1", 28, 1 }, + { "err_cpl_exceed_max_iqe_size0", 27, 1 }, + { "err_wr_len_too_large3", 26, 1 }, + { "err_wr_len_too_large2", 25, 1 }, + { "err_wr_len_too_large1", 24, 1 }, + { "err_wr_len_too_large0", 23, 1 }, + { "err_large_minfetch_with_txcoal3", 22, 1 }, + { "err_large_minfetch_with_txcoal2", 21, 1 }, + { "err_large_minfetch_with_txcoal1", 20, 1 }, + { "err_large_minfetch_with_txcoal0", 19, 1 }, + { "coal_with_hp_disable_err", 18, 1 }, + { "bar2_egress_coal0_err", 17, 1 }, + { "bar2_egress_size_err", 16, 1 }, + { "flm_pc_rsp_err", 15, 1 }, + { "err_th3_max_fetch", 14, 1 }, + { "err_th2_max_fetch", 13, 1 }, + { "err_th1_max_fetch", 12, 1 }, + { "err_th0_max_fetch", 11, 1 }, + { "err_rx_cpl_packet_size1", 10, 1 }, + { "err_rx_cpl_packet_size0", 9, 1 }, + { "err_bad_upfl_inc_credit3", 8, 1 }, + { "err_bad_upfl_inc_credit2", 7, 1 }, + { "err_bad_upfl_inc_credit1", 6, 1 }, + { "err_bad_upfl_inc_credit0", 5, 1 }, + { "err_physaddr_len0_idma1", 4, 1 }, + { "err_physaddr_len0_idma0", 3, 1 }, + { "err_flm_invalid_pkt_drop1", 2, 1 }, + { "err_flm_invalid_pkt_drop0", 1, 1 }, + { "err_unexpected_timer", 0, 1 }, + { "SGE_INT_ENABLE4", 0x10e0, 0 }, + { "err_ishift_ur1", 31, 1 }, + { "err_ishift_ur0", 30, 1 }, + { "bar2_egress_len_or_addr_err", 29, 1 }, + { "err_cpl_exceed_max_iqe_size1", 28, 1 }, + { "err_cpl_exceed_max_iqe_size0", 27, 1 }, + { "err_wr_len_too_large3", 26, 1 }, + { "err_wr_len_too_large2", 25, 1 }, + { "err_wr_len_too_large1", 24, 1 }, + { "err_wr_len_too_large0", 23, 1 }, + { "err_large_minfetch_with_txcoal3", 22, 1 }, + { "err_large_minfetch_with_txcoal2", 21, 1 }, + { "err_large_minfetch_with_txcoal1", 20, 1 }, + { "err_large_minfetch_with_txcoal0", 19, 1 }, + { "coal_with_hp_disable_err", 18, 1 }, + { "bar2_egress_coal0_err", 17, 1 }, + { "bar2_egress_size_err", 16, 1 }, + { "flm_pc_rsp_err", 15, 1 }, + { "err_th3_max_fetch", 14, 1 }, + { "err_th2_max_fetch", 13, 1 }, + { "err_th1_max_fetch", 12, 1 }, + { "err_th0_max_fetch", 11, 1 }, + { "err_rx_cpl_packet_size1", 10, 1 }, + { "err_rx_cpl_packet_size0", 9, 1 }, + { "err_bad_upfl_inc_credit3", 8, 1 }, + { "err_bad_upfl_inc_credit2", 7, 1 }, + { "err_bad_upfl_inc_credit1", 6, 1 }, + { "err_bad_upfl_inc_credit0", 5, 1 }, + { "err_physaddr_len0_idma1", 4, 1 }, + { "err_physaddr_len0_idma0", 3, 1 }, + { "err_flm_invalid_pkt_drop1", 2, 1 }, + { "err_flm_invalid_pkt_drop0", 1, 1 }, + { "err_unexpected_timer", 0, 1 }, + { "SGE_STAT_TOTAL", 0x10e4, 0 }, + { "SGE_STAT_MATCH", 0x10e8, 0 }, + { "SGE_STAT_CFG", 0x10ec, 0 }, + { "StatSource", 9, 4 }, + { "ITPOpMode", 8, 1 }, + { "EgrCtxtOpMode", 6, 2 }, + { "IngCtxtOpMode", 4, 2 }, + { "StatMode", 0, 4 }, + { "SGE_HINT_CFG", 0x10f0, 0 }, + { "uPCutoffThreshLp", 12, 11 }, + { "HintsAllowedNoHdr", 6, 6 }, + { "HintsAllowedHdr", 0, 6 }, + { "SGE_INGRESS_QUEUES_PER_PAGE_PF", 0x10f4, 0 }, + { "QueuesPerPagePF7", 28, 4 }, + { "QueuesPerPagePF6", 24, 4 }, + { "QueuesPerPagePF5", 20, 4 }, + { "QueuesPerPagePF4", 16, 4 }, + { "QueuesPerPagePF3", 12, 4 }, + { "QueuesPerPagePF2", 8, 4 }, + { "QueuesPerPagePF1", 4, 4 }, + { "QueuesPerPagePF0", 0, 4 }, + { "SGE_INGRESS_QUEUES_PER_PAGE_VF", 0x10f8, 0 }, + { "QueuesPerPageVFPF7", 28, 4 }, + { "QueuesPerPageVFPF6", 24, 4 }, + { "QueuesPerPageVFPF5", 20, 4 }, + { "QueuesPerPageVFPF4", 16, 4 }, + { "QueuesPerPageVFPF3", 12, 4 }, + { "QueuesPerPageVFPF2", 8, 4 }, + { "QueuesPerPageVFPF1", 4, 4 }, + { "QueuesPerPageVFPF0", 0, 4 }, + { "SGE_ERROR_STATS", 0x1100, 0 }, + { "Cause_Register", 24, 3 }, + { "Cause_Bit", 19, 5 }, + { "Uncaptured_Error", 18, 1 }, + { "Error_QID_Valid", 17, 1 }, + { "Error_QID", 0, 17 }, + { "SGE_IDMA0_DROP_CNT", 0x1104, 0 }, + { "SGE_IDMA1_DROP_CNT", 0x1108, 0 }, + { "SGE_INT_CAUSE5", 0x110c, 0 }, + { "err_T_RxCRC", 31, 1 }, + { "perr_MC_RspData", 30, 1 }, + { "perr_PC_RspData", 29, 1 }, + { "perr_PD_RdRspData", 28, 1 }, + { "perr_U_RxData", 27, 1 }, + { "perr_UD_RxData", 26, 1 }, + { "perr_uP_Data", 25, 1 }, + { "perr_CIM2SGE_RxData", 24, 1 }, + { "perr_hint_delay_fifo1", 23, 1 }, + { "perr_hint_delay_fifo0", 22, 1 }, + { "perr_imsg_pd_fifo", 21, 1 }, + { "perr_ulptx_fifo1", 20, 1 }, + { "perr_ulptx_fifo0", 19, 1 }, + { "perr_idma2imsg_fifo1", 18, 1 }, + { "perr_idma2imsg_fifo0", 17, 1 }, + { "perr_pointer_data_fifo0", 16, 1 }, + { "perr_pointer_data_fifo1", 15, 1 }, + { "perr_pointer_hdr_fifo0", 14, 1 }, + { "perr_pointer_hdr_fifo1", 13, 1 }, + { "perr_payload_fifo0", 12, 1 }, + { "perr_payload_fifo1", 11, 1 }, + { "perr_edma_input_fifo3", 10, 1 }, + { "perr_edma_input_fifo2", 9, 1 }, + { "perr_edma_input_fifo1", 8, 1 }, + { "perr_edma_input_fifo0", 7, 1 }, + { "perr_mgt_bar2_fifo", 6, 1 }, + { "perr_headersplit_fifo1", 5, 1 }, + { "perr_headersplit_fifo0", 4, 1 }, + { "perr_cim_fifo1", 3, 1 }, + { "perr_cim_fifo0", 2, 1 }, + { "perr_idma_switch_output_fifo1", 1, 1 }, + { "perr_idma_switch_output_fifo0", 0, 1 }, + { "SGE_INT_ENABLE5", 0x1110, 0 }, + { "err_T_RxCRC", 31, 1 }, + { "perr_MC_RspData", 30, 1 }, + { "perr_PC_RspData", 29, 1 }, + { "perr_PD_RdRspData", 28, 1 }, + { "perr_U_RxData", 27, 1 }, + { "perr_UD_RxData", 26, 1 }, + { "perr_uP_Data", 25, 1 }, + { "perr_CIM2SGE_RxData", 24, 1 }, + { "perr_hint_delay_fifo1", 23, 1 }, + { "perr_hint_delay_fifo0", 22, 1 }, + { "perr_imsg_pd_fifo", 21, 1 }, + { "perr_ulptx_fifo1", 20, 1 }, + { "perr_ulptx_fifo0", 19, 1 }, + { "perr_idma2imsg_fifo1", 18, 1 }, + { "perr_idma2imsg_fifo0", 17, 1 }, + { "perr_pointer_data_fifo0", 16, 1 }, + { "perr_pointer_data_fifo1", 15, 1 }, + { "perr_pointer_hdr_fifo0", 14, 1 }, + { "perr_pointer_hdr_fifo1", 13, 1 }, + { "perr_payload_fifo0", 12, 1 }, + { "perr_payload_fifo1", 11, 1 }, + { "perr_edma_input_fifo3", 10, 1 }, + { "perr_edma_input_fifo2", 9, 1 }, + { "perr_edma_input_fifo1", 8, 1 }, + { "perr_edma_input_fifo0", 7, 1 }, + { "perr_mgt_bar2_fifo", 6, 1 }, + { "perr_headersplit_fifo1", 5, 1 }, + { "perr_headersplit_fifo0", 4, 1 }, + { "perr_cim_fifo1", 3, 1 }, + { "perr_cim_fifo0", 2, 1 }, + { "perr_idma_switch_output_fifo1", 1, 1 }, + { "perr_idma_switch_output_fifo0", 0, 1 }, + { "SGE_PERR_ENABLE5", 0x1114, 0 }, + { "err_T_RxCRC", 31, 1 }, + { "perr_MC_RspData", 30, 1 }, + { "perr_PC_RspData", 29, 1 }, + { "perr_PD_RdRspData", 28, 1 }, + { "perr_U_RxData", 27, 1 }, + { "perr_UD_RxData", 26, 1 }, + { "perr_uP_Data", 25, 1 }, + { "perr_CIM2SGE_RxData", 24, 1 }, + { "perr_hint_delay_fifo1", 23, 1 }, + { "perr_hint_delay_fifo0", 22, 1 }, + { "perr_imsg_pd_fifo", 21, 1 }, + { "perr_ulptx_fifo1", 20, 1 }, + { "perr_ulptx_fifo0", 19, 1 }, + { "perr_idma2imsg_fifo1", 18, 1 }, + { "perr_idma2imsg_fifo0", 17, 1 }, + { "perr_pointer_data_fifo0", 16, 1 }, + { "perr_pointer_data_fifo1", 15, 1 }, + { "perr_pointer_hdr_fifo0", 14, 1 }, + { "perr_pointer_hdr_fifo1", 13, 1 }, + { "perr_payload_fifo0", 12, 1 }, + { "perr_payload_fifo1", 11, 1 }, + { "perr_edma_input_fifo3", 10, 1 }, + { "perr_edma_input_fifo2", 9, 1 }, + { "perr_edma_input_fifo1", 8, 1 }, + { "perr_edma_input_fifo0", 7, 1 }, + { "perr_mgt_bar2_fifo", 6, 1 }, + { "perr_headersplit_fifo1", 5, 1 }, + { "perr_headersplit_fifo0", 4, 1 }, + { "perr_cim_fifo1", 3, 1 }, + { "perr_cim_fifo0", 2, 1 }, + { "perr_idma_switch_output_fifo1", 1, 1 }, + { "perr_idma_switch_output_fifo0", 0, 1 }, + { "SGE_FETCH_BURST_MAX_0_AND_1", 0x111c, 0 }, + { "FetchBurstMax0", 16, 10 }, + { "FetchBurstMax1", 0, 10 }, + { "SGE_FETCH_BURST_MAX_2_AND_3", 0x1120, 0 }, + { "FetchBurstMax2", 16, 10 }, + { "FetchBurstMax3", 0, 10 }, + { "SGE_CONTROL2", 0x1124, 0 }, + { "uPFLCutoffDis", 21, 1 }, + { "RxCplSizeAutocorrect", 20, 1 }, + { "IdmaArbRoundRobin", 19, 1 }, + { "IngPackBoundary", 16, 3 }, + { "CGEN_Egress_Context", 15, 1 }, + { "CGEN_Ingress_Context", 14, 1 }, + { "CGEN_IDMA", 13, 1 }, + { "CGEN_DBP", 12, 1 }, + { "CGEN_EDMA", 11, 1 }, + { "VFIFO_Enable", 10, 1 }, + { "FLM_Reschedule_Mode", 9, 1 }, + { "HintDepthCtlFL", 4, 5 }, + { "Force_Ordering", 3, 1 }, + { "TX_Coalesce_Size", 2, 1 }, + { "Coal_Strict_CIM_Pri", 1, 1 }, + { "TX_Coalesce_Pri", 0, 1 }, + { "SGE_INT_CAUSE6", 0x1128, 0 }, + { "err_db_sync", 21, 1 }, + { "err_gts_sync", 20, 1 }, + { "fatal_large_coal", 19, 1 }, + { "pl_bar2_frm_err", 18, 1 }, + { "silent_drop_tx_coal", 17, 1 }, + { "err_inv_ctxt4", 16, 1 }, + { "err_bad_db_pidx4", 15, 1 }, + { "err_bad_upfl_inc_credit4", 14, 1 }, + { "fatal_tag_mismatch", 13, 1 }, + { "fatal_enq_ctl_rdy", 12, 1 }, + { "err_pc_rsp_len3", 11, 1 }, + { "err_pc_rsp_len2", 10, 1 }, + { "err_pc_rsp_len1", 9, 1 }, + { "err_pc_rsp_len0", 8, 1 }, + { "fatal_enq2ll_vld", 7, 1 }, + { "fatal_ll_empty", 6, 1 }, + { "fatal_off_wdenq", 5, 1 }, + { "fatal_deq_drdy", 3, 2 }, + { "fatal_outp_drdy", 1, 2 }, + { "fatal_deq", 0, 1 }, + { "SGE_INT_ENABLE6", 0x112c, 0 }, + { "err_db_sync", 21, 1 }, + { "err_gts_sync", 20, 1 }, + { "fatal_large_coal", 19, 1 }, + { "pl_bar2_frm_err", 18, 1 }, + { "silent_drop_tx_coal", 17, 1 }, + { "err_inv_ctxt4", 16, 1 }, + { "err_bad_db_pidx4", 15, 1 }, + { "err_bad_upfl_inc_credit4", 14, 1 }, + { "fatal_tag_mismatch", 13, 1 }, + { "fatal_enq_ctl_rdy", 12, 1 }, + { "err_pc_rsp_len3", 11, 1 }, + { "err_pc_rsp_len2", 10, 1 }, + { "err_pc_rsp_len1", 9, 1 }, + { "err_pc_rsp_len0", 8, 1 }, + { "fatal_enq2ll_vld", 7, 1 }, + { "fatal_ll_empty", 6, 1 }, + { "fatal_off_wdenq", 5, 1 }, + { "fatal_deq_drdy", 3, 2 }, + { "fatal_outp_drdy", 1, 2 }, + { "fatal_deq", 0, 1 }, + { "SGE_DBVFIFO_BADDR", 0x1138, 0 }, + { "BaseAddr", 3, 29 }, + { "SGE_DBVFIFO_SIZE", 0x113c, 0 }, + { "SGE_CHANGESET", 0x1144, 0 }, + { "SGE_PC_RSP_ERROR", 0x1148, 0 }, + { "SGE_TBUF_CONTROL", 0x114c, 0 }, + { "DbpTbufRsv1", 9, 9 }, + { "DbpTbufRsv0", 0, 9 }, + { "SGE_PC0_REQ_BIST_CMD", 0x1180, 0 }, + { "SGE_PC0_REQ_BIST_ERROR_CNT", 0x1184, 0 }, + { "SGE_PC1_REQ_BIST_CMD", 0x1190, 0 }, + { "SGE_PC1_REQ_BIST_ERROR_CNT", 0x1194, 0 }, + { "SGE_PC0_RSP_BIST_CMD", 0x11a0, 0 }, + { "SGE_PC0_RSP_BIST_ERROR_CNT", 0x11a4, 0 }, + { "SGE_PC1_RSP_BIST_CMD", 0x11b0, 0 }, + { "SGE_PC1_RSP_BIST_ERROR_CNT", 0x11b4, 0 }, + { "SGE_CTXT_CMD", 0x11fc, 0 }, + { "Busy", 31, 1 }, + { "Opcode", 28, 2 }, + { "CtxtType", 24, 2 }, + { "QID", 0, 17 }, + { "SGE_CTXT_DATA0", 0x1200, 0 }, + { "SGE_CTXT_DATA1", 0x1204, 0 }, + { "SGE_CTXT_DATA2", 0x1208, 0 }, + { "SGE_CTXT_DATA3", 0x120c, 0 }, + { "SGE_CTXT_DATA4", 0x1210, 0 }, + { "SGE_CTXT_DATA5", 0x1214, 0 }, + { "SGE_CTXT_DATA6", 0x1218, 0 }, + { "SGE_CTXT_DATA7", 0x121c, 0 }, + { "SGE_CTXT_MASK0", 0x1220, 0 }, + { "SGE_CTXT_MASK1", 0x1224, 0 }, + { "SGE_CTXT_MASK2", 0x1228, 0 }, + { "SGE_CTXT_MASK3", 0x122c, 0 }, + { "SGE_CTXT_MASK4", 0x1230, 0 }, + { "SGE_CTXT_MASK5", 0x1234, 0 }, + { "SGE_CTXT_MASK6", 0x1238, 0 }, + { "SGE_CTXT_MASK7", 0x123c, 0 }, + { "SGE_QBASE_MAP0", 0x1240, 0 }, + { "Egress0_Size", 24, 5 }, + { "Egress1_Size", 16, 5 }, + { "Ingress0_Size", 8, 5 }, + { "Ingress1_Size", 0, 5 }, + { "SGE_QBASE_MAP1", 0x1244, 0 }, + { "SGE_QBASE_MAP2", 0x1248, 0 }, + { "SGE_QBASE_MAP3", 0x124c, 0 }, + { "Ingress1_Base", 16, 16 }, + { "Ingress0_Base", 0, 16 }, + { "SGE_QBASE_INDEX", 0x1250, 0 }, + { "SGE_CONM_CTRL2", 0x1254, 0 }, + { "FlmThreshPack", 8, 7 }, + { "FlmThresh", 0, 7 }, + { "SGE_DEBUG_CONM", 0x1258, 0 }, + { "mps_ch_cng", 16, 16 }, + { "tp_ch_cng", 14, 2 }, + { "st_cong", 12, 2 }, + { "last_xoff", 10, 1 }, + { "last_qid", 0, 10 }, + { "SGE_DBG_QUEUE_STAT0_CTRL", 0x125c, 0 }, + { "imsg_gts_sel", 18, 1 }, + { "mgt_sel", 17, 1 }, + { "db_gts_qid", 0, 17 }, + { "SGE_DBG_QUEUE_STAT1_CTRL", 0x1260, 0 }, + { "imsg_gts_sel", 18, 1 }, + { "mgt_sel", 17, 1 }, + { "db_gts_qid", 0, 17 }, + { "SGE_DBG_QUEUE_STAT0", 0x1264, 0 }, + { "SGE_DBG_QUEUE_STAT1", 0x1268, 0 }, + { "SGE_DBG_BAR2_PKT_CNT", 0x126c, 0 }, + { "SGE_DBG_DB_PKT_CNT", 0x1270, 0 }, + { "SGE_DBG_GTS_PKT_CNT", 0x1274, 0 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_0", 0x1280, 0 }, + { "debug_bar2_sop_cnt", 28, 4 }, + { "debug_bar2_eop_cnt", 24, 4 }, + { "debug_uP_SOP_cnt", 20, 4 }, + { "debug_uP_EOP_cnt", 16, 4 }, + { "debug_CIM_SOP1_cnt", 12, 4 }, + { "debug_CIM_EOP1_cnt", 8, 4 }, + { "debug_CIM_SOP0_cnt", 4, 4 }, + { "debug_CIM_EOP0_cnt", 0, 4 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_1", 0x1284, 0 }, + { "debug_T_Rx_SOP1_cnt", 28, 4 }, + { "debug_T_Rx_EOP1_cnt", 24, 4 }, + { "debug_T_Rx_SOP0_cnt", 20, 4 }, + { "debug_T_Rx_EOP0_cnt", 16, 4 }, + { "debug_U_Rx_SOP1_cnt", 12, 4 }, + { "debug_U_Rx_EOP1_cnt", 8, 4 }, + { "debug_U_Rx_SOP0_cnt", 4, 4 }, + { "debug_U_Rx_EOP0_cnt", 0, 4 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_2", 0x1288, 0 }, + { "dbg_tbuf_used1", 9, 9 }, + { "dbg_tbuf_used0", 0, 9 }, + { "SGE_DEBUG1_DBP_THREAD", 0x128c, 0 }, + { "wr_deq_cnt", 12, 4 }, + { "wr_enq_cnt", 8, 4 }, + { "fl_deq_cnt", 4, 4 }, + { "fl_enq_cnt", 0, 4 }, + { "SGE_DEBUG1_DBP_THREAD", 0x1290, 0 }, + { "wr_deq_cnt", 12, 4 }, + { "wr_enq_cnt", 8, 4 }, + { "fl_deq_cnt", 4, 4 }, + { "fl_enq_cnt", 0, 4 }, + { "SGE_DEBUG1_DBP_THREAD", 0x1294, 0 }, + { "wr_deq_cnt", 12, 4 }, + { "wr_enq_cnt", 8, 4 }, + { "fl_deq_cnt", 4, 4 }, + { "fl_enq_cnt", 0, 4 }, + { "SGE_DEBUG1_DBP_THREAD", 0x1298, 0 }, + { "wr_deq_cnt", 12, 4 }, + { "wr_enq_cnt", 8, 4 }, + { "fl_deq_cnt", 4, 4 }, + { "fl_enq_cnt", 0, 4 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_7", 0x129c, 0 }, + { "debug_PC_Rsp_SOP_cnt", 28, 4 }, + { "debug_PC_Rsp_EOP_cnt", 24, 4 }, + { "debug_PC_Req_SOP_cnt", 20, 4 }, + { "debug_PC_Req_EOP_cnt", 16, 4 }, + { "debug_PD_WrReq_SOP1_cnt", 12, 4 }, + { "debug_PD_WrReq_EOP1_cnt", 8, 4 }, + { "debug_PD_WrReq_SOP0_cnt", 4, 4 }, + { "debug_PD_WrReq_EOP0_cnt", 0, 4 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_8", 0x12a0, 0 }, + { "debug_PL_BAR2_ReqVld", 31, 1 }, + { "debug_PL_BAR2_ReqFull", 30, 1 }, + { "GlobalEnable_Off", 29, 1 }, + { "debug_CIM2SGE_RxAFull_d", 27, 2 }, + { "debug_CPLSW_CIM_TxAFull_d", 25, 2 }, + { "debug_uP_Full", 24, 1 }, + { "debug_M_rd_req_outstanding_PC", 23, 1 }, + { "debug_M_rd_req_outstanding_VFIFO", 22, 1 }, + { "debug_M_rd_req_outstanding_IMSG", 21, 1 }, + { "debug_M_rd_req_outstanding_CMARB", 20, 1 }, + { "debug_M_rd_req_outstanding_FLM", 19, 1 }, + { "debug_M_ReqVld", 18, 1 }, + { "debug_M_ReqRdy", 17, 1 }, + { "debug_M_RspVld", 16, 1 }, + { "debug_PD_WrReq_Int3_cnt", 12, 4 }, + { "debug_PD_WrReq_Int2_cnt", 8, 4 }, + { "debug_PD_WrReq_Int1_cnt", 4, 4 }, + { "debug_PD_WrReq_Int0_cnt", 0, 4 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_9", 0x12a4, 0 }, + { "debug_CPLSW_TP_Rx_SOP1_cnt", 28, 4 }, + { "debug_CPLSW_TP_Rx_EOP1_cnt", 24, 4 }, + { "debug_CPLSW_TP_Rx_SOP0_cnt", 20, 4 }, + { "debug_CPLSW_TP_Rx_EOP0_cnt", 16, 4 }, + { "debug_CPLSW_CIM_SOP0_cnt", 4, 4 }, + { "debug_CPLSW_CIM_EOP0_cnt", 0, 4 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_10", 0x12a8, 0 }, + { "debug_idma1_s_cpl_flit_remaining", 28, 4 }, + { "debug_idma1_idma2imsg_cmp_out_srdy", 27, 1 }, + { "debug_idma1_idma2imsg_cmp_out_rss", 26, 1 }, + { "debug_idma1_idma2imsg_cmp_out_nocpl", 25, 1 }, + { "debug_idma1_IDMA2IMSG_Full", 24, 1 }, + { "debug_idma1_IDMA2IMSG_EOP", 23, 1 }, + { "debug_idma1_idma2imsg_fifo_in_drdy", 22, 1 }, + { "debug_idma1_idma2imsg_cmp_in_drdy", 21, 1 }, + { "debug_idma0_s_cpl_flit_remaining", 17, 4 }, + { "debug_idma0_idma2imsg_cmp_out_srdy", 16, 1 }, + { "debug_idma0_idma2imsg_cmp_out_rss", 15, 1 }, + { "debug_idma0_idma2imsg_cmp_out_nocpl", 14, 1 }, + { "debug_idma0_IDMA2IMSG_Full", 13, 1 }, + { "debug_idma0_IDMA2IMSG_EOP", 12, 1 }, + { "debug_idma0_idma2imsg_cmp_in_drdy", 11, 1 }, + { "debug_idma0_idma2imsg_fifo_in_drdy", 10, 1 }, + { "debug_T_RxAFull_d", 8, 2 }, + { "debug_PD_WrReqAFull_d", 6, 2 }, + { "debug_PC_RspAFull_d", 5, 1 }, + { "debug_PC_ReqAFull_d", 4, 1 }, + { "debug_U_RxAFull_d", 2, 2 }, + { "debug_CIM_AFull_d", 0, 1 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_11", 0x12ac, 0 }, + { "debug_flm_idma1_cache_data_active", 24, 1 }, + { "debug_flm_idma1_cache_hdr_active", 23, 1 }, + { "debug_flm_idma1_ctxt_data_active", 22, 1 }, + { "debug_flm_idma1_ctxt_hdr_active", 21, 1 }, + { "debug_st_flm_idma1_cache", 19, 2 }, + { "debug_st_flm_idma1_ctxt", 16, 3 }, + { "debug_flm_idma0_cache_data_active", 8, 1 }, + { "debug_flm_idma0_cache_hdr_active", 7, 1 }, + { "debug_flm_idma0_ctxt_data_active", 6, 1 }, + { "debug_flm_idma0_ctxt_hdr_active", 5, 1 }, + { "debug_st_flm_idma0_cache", 3, 2 }, + { "debug_st_flm_idma0_ctxt", 0, 3 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_12", 0x12b0, 0 }, + { "debug_CPLSW_SOP1_cnt", 28, 4 }, + { "debug_CPLSW_EOP1_cnt", 24, 4 }, + { "debug_CPLSW_SOP0_cnt", 20, 4 }, + { "debug_CPLSW_EOP0_cnt", 16, 4 }, + { "debug_idma1_ishift_tx_size", 8, 7 }, + { "debug_idma0_ishift_tx_size", 0, 7 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_13", 0x12b4, 0 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_14", 0x12b8, 0 }, + { "SGE_DEBUG_DATA_HIGH_INDEX_15", 0x12bc, 0 }, + { "SGE_DEBUG_DATA_LOW_INDEX_0", 0x12c0, 0 }, + { "debug_st_idma1_flm_req", 29, 3 }, + { "debug_st_idma0_flm_req", 26, 3 }, + { "debug_st_imsg_ctxt", 23, 3 }, + { "debug_st_imsg", 18, 5 }, + { "debug_st_idma1_ialn", 16, 2 }, + { "debug_st_idma1_idma2imsg", 15, 1 }, + { "debug_st_idma1_idma_sm", 9, 6 }, + { "debug_st_idma0_ialn", 7, 2 }, + { "debug_st_idma0_idma2imsg", 6, 1 }, + { "debug_st_idma0_idma_sm", 0, 6 }, + { "SGE_DEBUG_DATA_LOW_INDEX_1", 0x12c4, 0 }, + { "debug_itp_empty", 12, 6 }, + { "debug_itp_expired", 6, 6 }, + { "debug_itp_pause", 5, 1 }, + { "debug_itp_del_done", 4, 1 }, + { "debug_itp_add_done", 3, 1 }, + { "debug_itp_evr_state", 0, 3 }, + { "SGE_DEBUG_DATA_LOW_INDEX_2", 0x12c8, 0 }, + { "debug_st_dbp_upcp_main", 14, 3 }, + { "debug_st_dbp_dbfifo_main", 13, 1 }, + { "debug_st_dbp_ctxt", 10, 3 }, + { "SGE_DEBUG_DATA_LOW_INDEX_3", 0x12cc, 0 }, + { "SGE_DEBUG_DATA_LOW_INDEX_4", 0x12d0, 0 }, + { "debug_st_flm_dbptr", 30, 2 }, + { "debug_flm_cache_locked_count", 23, 7 }, + { "debug_flm_cache_agent", 20, 3 }, + { "debug_st_flm_cache", 16, 4 }, + { "debug_flm_dbptr_cidx_stall", 12, 1 }, + { "debug_flm_dbptr_qid", 0, 12 }, + { "SGE_DEBUG0_DBP_THREAD", 0x12d4, 0 }, + { "thread_st_main", 25, 6 }, + { "thread_st_cimfl", 21, 4 }, + { "thread_cmdop", 17, 4 }, + { "thread_qid", 0, 17 }, + { "SGE_DEBUG0_DBP_THREAD", 0x12d8, 0 }, + { "thread_st_main", 25, 6 }, + { "thread_st_cimfl", 21, 4 }, + { "thread_cmdop", 17, 4 }, + { "thread_qid", 0, 17 }, + { "SGE_DEBUG0_DBP_THREAD", 0x12dc, 0 }, + { "thread_st_main", 25, 6 }, + { "thread_st_cimfl", 21, 4 }, + { "thread_cmdop", 17, 4 }, + { "thread_qid", 0, 17 }, + { "SGE_DEBUG0_DBP_THREAD", 0x12e0, 0 }, + { "thread_st_main", 25, 6 }, + { "thread_st_cimfl", 21, 4 }, + { "thread_cmdop", 17, 4 }, + { "thread_qid", 0, 17 }, + { "SGE_DEBUG0_DBP_THREAD", 0x12e4, 0 }, + { "thread_st_main", 25, 6 }, + { "thread_st_cimfl", 21, 4 }, + { "thread_cmdop", 17, 4 }, + { "thread_qid", 0, 17 }, + { "SGE_DEBUG_DATA_LOW_INDEX_10", 0x12e8, 0 }, + { "debug_imsg_cpl", 16, 8 }, + { "debug_imsg_qid", 0, 16 }, + { "SGE_DEBUG_DATA_LOW_INDEX_11", 0x12ec, 0 }, + { "debug_idma1_qid", 16, 16 }, + { "debug_idma0_qid", 0, 16 }, + { "SGE_DEBUG_DATA_LOW_INDEX_12", 0x12f0, 0 }, + { "debug_idma1_flm_req_qid", 16, 16 }, + { "debug_idma0_flm_req_qid", 0, 16 }, + { "SGE_DEBUG_DATA_LOW_INDEX_13", 0x12f4, 0 }, + { "SGE_DEBUG_DATA_LOW_INDEX_14", 0x12f8, 0 }, + { "SGE_DEBUG_DATA_LOW_INDEX_15", 0x12fc, 0 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x1300, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x1304, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x1308, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x130c, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x1310, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x1314, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x1318, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_PF", 0x131c, 0 }, + { "PfIQsPerPage", 28, 4 }, + { "PfEQsPerPage", 24, 4 }, + { "PfWCQsPerPage", 20, 4 }, + { "PfWCOffEn", 19, 1 }, + { "PfMaxWCSize", 17, 2 }, + { "PfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x1320, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x1324, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x1328, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x132c, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x1330, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x1334, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x1338, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_WC_EGRS_BAR2_OFF_VF", 0x133c, 0 }, + { "VfIQsPerPage", 28, 4 }, + { "VfEQsPerPage", 24, 4 }, + { "VfWCQsPerPage", 20, 4 }, + { "VfWCOffEn", 19, 1 }, + { "VfMaxWCSize", 17, 2 }, + { "VfWCOffset", 0, 17 }, + { "SGE_LA_RDPTR_0", 0x1800, 0 }, + { "SGE_LA_RDDATA_0", 0x1804, 0 }, + { "SGE_LA_WRPTR_0", 0x1808, 0 }, + { "SGE_LA_RESERVED_0", 0x180c, 0 }, + { "SGE_LA_RDPTR_1", 0x1810, 0 }, + { "SGE_LA_RDDATA_1", 0x1814, 0 }, + { "SGE_LA_WRPTR_1", 0x1818, 0 }, + { "SGE_LA_RESERVED_1", 0x181c, 0 }, + { "SGE_LA_RDPTR_2", 0x1820, 0 }, + { "SGE_LA_RDDATA_2", 0x1824, 0 }, + { "SGE_LA_WRPTR_2", 0x1828, 0 }, + { "SGE_LA_RESERVED_2", 0x182c, 0 }, + { "SGE_LA_RDPTR_3", 0x1830, 0 }, + { "SGE_LA_RDDATA_3", 0x1834, 0 }, + { "SGE_LA_WRPTR_3", 0x1838, 0 }, + { "SGE_LA_RESERVED_3", 0x183c, 0 }, + { "SGE_LA_RDPTR_4", 0x1840, 0 }, + { "SGE_LA_RDDATA_4", 0x1844, 0 }, + { "SGE_LA_WRPTR_4", 0x1848, 0 }, + { "SGE_LA_RESERVED_4", 0x184c, 0 }, + { "SGE_LA_RDPTR_5", 0x1850, 0 }, + { "SGE_LA_RDDATA_5", 0x1854, 0 }, + { "SGE_LA_WRPTR_5", 0x1858, 0 }, + { "SGE_LA_RESERVED_5", 0x185c, 0 }, + { "SGE_LA_RDPTR_6", 0x1860, 0 }, + { "SGE_LA_RDDATA_6", 0x1864, 0 }, + { "SGE_LA_WRPTR_6", 0x1868, 0 }, + { "SGE_LA_RESERVED_6", 0x186c, 0 }, + { "SGE_LA_RDPTR_7", 0x1870, 0 }, + { "SGE_LA_RDDATA_7", 0x1874, 0 }, + { "SGE_LA_WRPTR_7", 0x1878, 0 }, + { "SGE_LA_RESERVED_7", 0x187c, 0 }, + { "SGE_LA_RDPTR_8", 0x1880, 0 }, + { "SGE_LA_RDDATA_8", 0x1884, 0 }, + { "SGE_LA_WRPTR_8", 0x1888, 0 }, + { "SGE_LA_RESERVED_8", 0x188c, 0 }, + { "SGE_LA_RDPTR_9", 0x1890, 0 }, + { "SGE_LA_RDDATA_9", 0x1894, 0 }, + { "SGE_LA_WRPTR_9", 0x1898, 0 }, + { "SGE_LA_RESERVED_9", 0x189c, 0 }, + { "SGE_LA_RDPTR_10", 0x18a0, 0 }, + { "SGE_LA_RDDATA_10", 0x18a4, 0 }, + { "SGE_LA_WRPTR_10", 0x18a8, 0 }, + { "SGE_LA_RESERVED_10", 0x18ac, 0 }, + { "SGE_LA_RDPTR_11", 0x18b0, 0 }, + { "SGE_LA_RDDATA_11", 0x18b4, 0 }, + { "SGE_LA_WRPTR_11", 0x18b8, 0 }, + { "SGE_LA_RESERVED_11", 0x18bc, 0 }, + { "SGE_LA_RDPTR_12", 0x18c0, 0 }, + { "SGE_LA_RDDATA_12", 0x18c4, 0 }, + { "SGE_LA_WRPTR_12", 0x18c8, 0 }, + { "SGE_LA_RESERVED_12", 0x18cc, 0 }, + { "SGE_LA_RDPTR_13", 0x18d0, 0 }, + { "SGE_LA_RDDATA_13", 0x18d4, 0 }, + { "SGE_LA_WRPTR_13", 0x18d8, 0 }, + { "SGE_LA_RESERVED_13", 0x18dc, 0 }, + { "SGE_LA_RDPTR_14", 0x18e0, 0 }, + { "SGE_LA_RDDATA_14", 0x18e4, 0 }, + { "SGE_LA_WRPTR_14", 0x18e8, 0 }, + { "SGE_LA_RESERVED_14", 0x18ec, 0 }, + { "SGE_LA_RDPTR_15", 0x18f0, 0 }, + { "SGE_LA_RDDATA_15", 0x18f4, 0 }, + { "SGE_LA_WRPTR_15", 0x18f8, 0 }, + { "SGE_LA_RESERVED_15", 0x18fc, 0 }, + { NULL } +}; + +struct reg_info t6_pcie_regs[] = { + { "PCIE_INT_ENABLE", 0x3000, 0 }, + { "IPGrpPerr", 31, 1 }, + { "NonFatalErr", 30, 1 }, + { "RdRspErr", 29, 1 }, + { "TRGT1GrpPerr", 28, 1 }, + { "IPSOTPerr", 27, 1 }, + { "IPRetryPerr", 26, 1 }, + { "IPRxDataGrpPerr", 25, 1 }, + { "IPRxHdrGrpPerr", 24, 1 }, + { "PIOTagQPerr", 23, 1 }, + { "MAGrpPerr", 22, 1 }, + { "VFIDPerr", 21, 1 }, + { "FIDPerr", 20, 1 }, + { "CfgSnpPerr", 19, 1 }, + { "HRspPerr", 18, 1 }, + { "HReqRdPerr", 17, 1 }, + { "HReqWrPerr", 16, 1 }, + { "DRspPerr", 15, 1 }, + { "DReqRdPerr", 14, 1 }, + { "DReqWrPerr", 13, 1 }, + { "CRspPerr", 12, 1 }, + { "CReqRdPerr", 11, 1 }, + { "MstTagQPerr", 10, 1 }, + { "TgtTagQPerr", 9, 1 }, + { "PIOReqGrpPerr", 8, 1 }, + { "PIOCplGrpPerr", 7, 1 }, + { "MSIXDIPerr", 6, 1 }, + { "MSIXDataPerr", 5, 1 }, + { "MSIXAddrHPerr", 4, 1 }, + { "MSIXAddrLPerr", 3, 1 }, + { "MSIXStiPerr", 2, 1 }, + { "MstTimeoutPerr", 1, 1 }, + { "MstGrpPerr", 0, 1 }, + { "PCIE_INT_CAUSE", 0x3004, 0 }, + { "IPGrpPerr", 31, 1 }, + { "NonFatalErr", 30, 1 }, + { "RdRspErr", 29, 1 }, + { "TRGT1GrpPerr", 28, 1 }, + { "IPSOTPerr", 27, 1 }, + { "IPRetryPerr", 26, 1 }, + { "IPRxDataGrpPerr", 25, 1 }, + { "IPRxHdrGrpPerr", 24, 1 }, + { "PIOTagQPerr", 23, 1 }, + { "MAGrpPerr", 22, 1 }, + { "VFIDPerr", 21, 1 }, + { "FIDPerr", 20, 1 }, + { "CfgSnpPerr", 19, 1 }, + { "HRspPerr", 18, 1 }, + { "HReqRdPerr", 17, 1 }, + { "HReqWrPerr", 16, 1 }, + { "DRspPerr", 15, 1 }, + { "DReqRdPerr", 14, 1 }, + { "DReqWrPerr", 13, 1 }, + { "CRspPerr", 12, 1 }, + { "CReqRdPerr", 11, 1 }, + { "MstTagQPerr", 10, 1 }, + { "TgtTagQPerr", 9, 1 }, + { "PIOReqGrpPerr", 8, 1 }, + { "PIOCplGrpPerr", 7, 1 }, + { "MSIXDIPerr", 6, 1 }, + { "MSIXDataPerr", 5, 1 }, + { "MSIXAddrHPerr", 4, 1 }, + { "MSIXAddrLPerr", 3, 1 }, + { "MSIXStiPerr", 2, 1 }, + { "MstTimeoutPerr", 1, 1 }, + { "MstGrpPerr", 0, 1 }, + { "PCIE_PERR_ENABLE", 0x3008, 0 }, + { "IPGrpPerr", 31, 1 }, + { "TRGT1GrpPerr", 28, 1 }, + { "IPSOTPerr", 27, 1 }, + { "IPRetryPerr", 26, 1 }, + { "IPRxDataGrpPerr", 25, 1 }, + { "IPRxHdrGrpPerr", 24, 1 }, + { "PIOTagQPerr", 23, 1 }, + { "MAGrpPerr", 22, 1 }, + { "VFIDPerr", 21, 1 }, + { "FIDPerr", 20, 1 }, + { "CfgSnpPerr", 19, 1 }, + { "HRspPerr", 18, 1 }, + { "HReqRdPerr", 17, 1 }, + { "HReqWrPerr", 16, 1 }, + { "DRspPerr", 15, 1 }, + { "DReqRdPerr", 14, 1 }, + { "DReqWrPerr", 13, 1 }, + { "CRspPerr", 12, 1 }, + { "CReqRdPerr", 11, 1 }, + { "MstTagQPerr", 10, 1 }, + { "TgtTagQPerr", 9, 1 }, + { "PIOReqGrpPerr", 8, 1 }, + { "PIOCplGrpPerr", 7, 1 }, + { "MSIXDIPerr", 6, 1 }, + { "MSIXDataPerr", 5, 1 }, + { "MSIXAddrHPerr", 4, 1 }, + { "MSIXAddrLPerr", 3, 1 }, + { "MSIXStiPerr", 2, 1 }, + { "MstTimeoutPerr", 1, 1 }, + { "MstGrpPerr", 0, 1 }, + { "PCIE_PERR_INJECT", 0x300c, 0 }, + { "MemSel", 1, 5 }, + { "IDE", 0, 1 }, + { "PCIE_NONFAT_ERR", 0x3010, 0 }, + { "MARspUE", 30, 1 }, + { "MAReqTimeout", 29, 1 }, + { "TRGT1BARTypeErr", 28, 1 }, + { "MAExtraRspErr", 27, 1 }, + { "MARspTimeout", 26, 1 }, + { "INTVFAllMSIDisErr", 25, 1 }, + { "INTVFRangeErr", 24, 1 }, + { "INTPLIRspErr", 23, 1 }, + { "MEMReqRdTagErr", 22, 1 }, + { "CFGInitDoneErr", 21, 1 }, + { "BAR2Timeout", 20, 1 }, + { "VPDTimeout", 19, 1 }, + { "MEMRspRdTagErr", 18, 1 }, + { "MEMRspWrTagErr", 17, 1 }, + { "PIORspRdTagErr", 16, 1 }, + { "PIORspWrTagErr", 15, 1 }, + { "DBITimeout", 14, 1 }, + { "PIOUnAlindWr", 13, 1 }, + { "BAR2RdErr", 12, 1 }, + { "MAWrEOPErr", 11, 1 }, + { "MARdEOPErr", 10, 1 }, + { "RdRspErr", 9, 1 }, + { "VPDRspErr", 8, 1 }, + { "KDBEOPErr", 7, 1 }, + { "MemReq", 4, 1 }, + { "PIOReq", 3, 1 }, + { "BAR2Req", 2, 1 }, + { "CfgSnp", 0, 1 }, + { "PCIE_CFG", 0x3014, 0 }, + { "PIOStopEn", 31, 1 }, + { "DiagCtrlBus", 28, 3 }, + { "IPPerrEn", 27, 1 }, + { "CfgdExtTagEn", 26, 1 }, + { "CfgdMaxPyldSz", 23, 3 }, + { "CfgdMaxRdReqSz", 20, 3 }, + { "DCAEn", 17, 1 }, + { "CMDReqPriority", 16, 1 }, + { "VPDReqProtect", 14, 2 }, + { "DroppedRdRspData", 12, 1 }, + { "AI_INTX_ReAssertEn", 11, 1 }, + { "AutoTxnDisable", 10, 1 }, + { "TC0_Stamp", 9, 1 }, + { "AI_TCVal", 6, 3 }, + { "DMAStopEn", 5, 1 }, + { "DevStateRstMode", 4, 1 }, + { "LinkReqRstPCIeCRstMode", 3, 1 }, + { "LinkDnRstEn", 0, 1 }, + { "PCIE_CFG2", 0x3018, 0 }, + { "BAR2Timer", 4, 12 }, + { "MstReqRdRRASimple", 3, 1 }, + { "TotMaxTag", 0, 3 }, + { "PCIE_CFG3", 0x301c, 0 }, + { "AutoPIOCookieMatch", 6, 1 }, + { "FLRPndCplMode", 4, 2 }, + { "HMADCASTFirstOnly", 2, 1 }, + { "CMDDCASTFirstOnly", 1, 1 }, + { "DMADCASTFirstOnly", 0, 1 }, + { "PCIE_CFG4", 0x3020, 0 }, + { "L1ClkRemovalEn", 17, 1 }, + { "ReadyEnterL23", 16, 1 }, + { "ExitL1", 12, 1 }, + { "EnterL1", 8, 1 }, + { "GenPME", 0, 8 }, + { "PCIE_CFG5", 0x3024, 0 }, + { "EnableSKPParityFix", 2, 1 }, + { "EnableL2EntryInL1", 1, 1 }, + { "HoldCplEnteringL1", 0, 1 }, + { "PCIE_CFG6", 0x3028, 0 }, + { "PERstTimerCount", 12, 14 }, + { "PERstTimeout", 8, 1 }, + { "PERstTimer", 0, 4 }, + { "PCIE_CFG7", 0x302c, 0 }, + { "PCIE_CFG_SPACE_REQ", 0x3060, 0 }, + { "Enable", 31, 1 }, + { "AI", 30, 1 }, + { "CS2", 29, 1 }, + { "WrBE", 25, 4 }, + { "VFVld", 24, 1 }, + { "RVF", 16, 8 }, + { "PF", 12, 3 }, + { "ExtRegister", 8, 4 }, + { "Register", 0, 8 }, + { "PCIE_CFG_SPACE_DATA", 0x3064, 0 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x3068, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x306c, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x3070, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x3074, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x3078, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x307c, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x3080, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x3084, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x3088, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x308c, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x3090, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x3094, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x3098, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x309c, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MEM_ACCESS_BASE_WIN", 0x30a0, 0 }, + { "PCIEOfst", 10, 22 }, + { "BIR", 8, 2 }, + { "Window", 0, 8 }, + { "PCIE_MEM_ACCESS_OFFSET", 0x30a4, 0 }, + { "MemOfst", 7, 25 }, + { "PFNum", 0, 3 }, + { "PCIE_MAILBOX_BASE_WIN", 0x30a8, 0 }, + { "PCIEOfst", 6, 26 }, + { "BIR", 4, 2 }, + { "Window", 0, 2 }, + { "PCIE_MAILBOX_OFFSET", 0x30ac, 0 }, + { "MemOfst", 7, 25 }, + { "PCIE_MA_CTRL", 0x30b0, 0 }, + { "TagFree", 29, 1 }, + { "MaxRspCnt", 24, 5 }, + { "MaxReqCnt", 16, 7 }, + { "MaxReqSize", 8, 3 }, + { "MaxTag", 0, 5 }, + { "PCIE_FW", 0x30b8, 0 }, + { "PCIE_FW_PF", 0x30bc, 0 }, + { "PCIE_FW_PF", 0x30c0, 0 }, + { "PCIE_FW_PF", 0x30c4, 0 }, + { "PCIE_FW_PF", 0x30c8, 0 }, + { "PCIE_FW_PF", 0x30cc, 0 }, + { "PCIE_FW_PF", 0x30d0, 0 }, + { "PCIE_FW_PF", 0x30d4, 0 }, + { "PCIE_FW_PF", 0x30d8, 0 }, + { "PCIE_PIO_PAUSE", 0x30dc, 0 }, + { "PIOPauseDone", 31, 1 }, + { "MSTPauseDone", 30, 1 }, + { "PauseTime", 4, 24 }, + { "MSTPause", 1, 1 }, + { "PIOPause", 0, 1 }, + { "PCIE_MA_STAT", 0x30e0, 0 }, + { "PCIE_STATIC_CFG1", 0x30e4, 0 }, + { "AUXPOWER_DETECTED", 27, 1 }, + { "PCIE_STATIC_CFG2", 0x30e8, 0 }, + { "PL_CONTROL", 16, 16 }, + { "STATIC_SPARE3", 0, 14 }, + { "PCIE_DBG_INDIR_REQ", 0x30ec, 0 }, + { "Enable", 31, 1 }, + { "AI", 30, 1 }, + { "Pointer", 8, 16 }, + { "Select", 0, 4 }, + { "PCIE_DBG_INDIR_DATA_0", 0x30f0, 0 }, + { "PCIE_DBG_INDIR_DATA_1", 0x30f4, 0 }, + { "PCIE_DBG_INDIR_DATA_2", 0x30f8, 0 }, + { "PCIE_DBG_INDIR_DATA_3", 0x30fc, 0 }, + { "PCIE_PF_INT_CFG", 0x3140, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x3144, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_INT_CFG", 0x3148, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x314c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_INT_CFG", 0x3150, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x3154, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_INT_CFG", 0x3158, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x315c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_INT_CFG", 0x3160, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x3164, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_INT_CFG", 0x3168, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x316c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_INT_CFG", 0x3170, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x3174, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_INT_CFG", 0x3178, 0 }, + { "PBAOfst", 28, 4 }, + { "TABOfst", 24, 4 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_PF_INT_CFG2", 0x317c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3180, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3184, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3188, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x318c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3190, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3194, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3198, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x319c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31a0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31a4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31a8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31ac, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31b0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31b4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31b8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31bc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31c0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31c4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31c8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31cc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31d0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31d4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31d8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31dc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31e0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31e4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31e8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31ec, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31f0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31f4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x31f8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x31fc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3200, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3204, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3208, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x320c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3210, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3214, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3218, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x321c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3220, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3224, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3228, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x322c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3230, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3234, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3238, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x323c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3240, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3244, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3248, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x324c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3250, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3254, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3258, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x325c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3260, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3264, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3268, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x326c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3270, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3274, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3278, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x327c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3280, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3284, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3288, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x328c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3290, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3294, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3298, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x329c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32a0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32a4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32a8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32ac, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32b0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32b4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32b8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32bc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32c0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32c4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32c8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32cc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32d0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32d4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32d8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32dc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32e0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32e4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32e8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32ec, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32f0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32f4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x32f8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x32fc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3300, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3304, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3308, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x330c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3310, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3314, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3318, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x331c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3320, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3324, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3328, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x332c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3330, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3334, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3338, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x333c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3340, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3344, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3348, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x334c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3350, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3354, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3358, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x335c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3360, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3364, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3368, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x336c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3370, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3374, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3378, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x337c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3380, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3384, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3388, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x338c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3390, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3394, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3398, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x339c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33a0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33a4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33a8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33ac, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33b0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33b4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33b8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33bc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33c0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33c4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33c8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33cc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33d0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33d4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33d8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33dc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33e0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33e4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33e8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33ec, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33f0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33f4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x33f8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x33fc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3400, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3404, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3408, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x340c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3410, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3414, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3418, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x341c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3420, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3424, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3428, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x342c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3430, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3434, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3438, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x343c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3440, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3444, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3448, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x344c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3450, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3454, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3458, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x345c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3460, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3464, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3468, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x346c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3470, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3474, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3478, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x347c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3480, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3484, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3488, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x348c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3490, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3494, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3498, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x349c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34a0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34a4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34a8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34ac, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34b0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34b4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34b8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34bc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34c0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34c4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34c8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34cc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34d0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34d4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34d8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34dc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34e0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34e4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34e8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34ec, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34f0, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34f4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x34f8, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x34fc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3500, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3504, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3508, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x350c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3510, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3514, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3518, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x351c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3520, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3524, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3528, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x352c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3530, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3534, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3538, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x353c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3540, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3544, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3548, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x354c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3550, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3554, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3558, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x355c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3560, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3564, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3568, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x356c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3570, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x3574, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_INT_CFG", 0x3578, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_INT_CFG2", 0x357c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_PF_MSI_EN", 0x35a8, 0 }, + { "PCIE_VF_MSI_EN_0", 0x35ac, 0 }, + { "PCIE_VF_MSI_EN_1", 0x35b0, 0 }, + { "PCIE_VF_MSI_EN_2", 0x35b4, 0 }, + { "PCIE_VF_MSI_EN_3", 0x35b8, 0 }, + { "PCIE_PF_MSIX_EN", 0x35bc, 0 }, + { "PCIE_VF_MSIX_EN_0", 0x35c0, 0 }, + { "PCIE_VF_MSIX_EN_1", 0x35c4, 0 }, + { "PCIE_VF_MSIX_EN_2", 0x35c8, 0 }, + { "PCIE_VF_MSIX_EN_3", 0x35cc, 0 }, + { "PCIE_FID_VFID_SEL", 0x35ec, 0 }, + { "PCIE_FID_VFID", 0x3600, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3604, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3608, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x360c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3610, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3614, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3618, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x361c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3620, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3624, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3628, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x362c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3630, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3634, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3638, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x363c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3640, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3644, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3648, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x364c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3650, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3654, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3658, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x365c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3660, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3664, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3668, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x366c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3670, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3674, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3678, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x367c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3680, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3684, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3688, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x368c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3690, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3694, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3698, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x369c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x36fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3700, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3704, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3708, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x370c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3710, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3714, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3718, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x371c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3720, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3724, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3728, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x372c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3730, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3734, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3738, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x373c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3740, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3744, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3748, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x374c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3750, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3754, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3758, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x375c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3760, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3764, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3768, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x376c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3770, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3774, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3778, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x377c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3780, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3784, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3788, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x378c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3790, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3794, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3798, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x379c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x37fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3800, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3804, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3808, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x380c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3810, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3814, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3818, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x381c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3820, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3824, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3828, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x382c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3830, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3834, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3838, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x383c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3840, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3844, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3848, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x384c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3850, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3854, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3858, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x385c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3860, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3864, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3868, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x386c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3870, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3874, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3878, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x387c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3880, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3884, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3888, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x388c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3890, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3894, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3898, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x389c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x38fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3900, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3904, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3908, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x390c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3910, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3914, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3918, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x391c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3920, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3924, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3928, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x392c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3930, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3934, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3938, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x393c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3940, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3944, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3948, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x394c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3950, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3954, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3958, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x395c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3960, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3964, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3968, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x396c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3970, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3974, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3978, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x397c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3980, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3984, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3988, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x398c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3990, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3994, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3998, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x399c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x39fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3a9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3aa0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3aa4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3aa8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3aac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ab0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ab4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ab8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3abc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ac0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ac4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ac8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3acc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ad0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ad4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ad8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3adc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ae0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ae4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ae8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3aec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3af0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3af4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3af8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3afc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3b9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ba0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ba4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ba8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bcc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bdc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3be0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3be4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3be8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bf0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bf4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bf8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3bfc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3c9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ca0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ca4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ca8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ccc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cdc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ce0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ce4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ce8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cf0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cf4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cf8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3cfc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3d9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3da0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3da4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3da8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3db0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3db4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3db8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dcc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ddc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3de0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3de4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3de8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3df0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3df4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3df8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3dfc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3e9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ea0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ea4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ea8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3eac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3eb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3eb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3eb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ebc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ec0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ec4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ec8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ecc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ed0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ed4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ed8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3edc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ee0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ee4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ee8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3eec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ef0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ef4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ef8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3efc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3f9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fa0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fa4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fa8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fcc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fdc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fe0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fe4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fe8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3fec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ff0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ff4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ff8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x3ffc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4000, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4004, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4008, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x400c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4010, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4014, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4018, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x401c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4020, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4024, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4028, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x402c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4030, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4034, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4038, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x403c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4040, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4044, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4048, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x404c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4050, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4054, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4058, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x405c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4060, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4064, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4068, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x406c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4070, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4074, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4078, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x407c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4080, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4084, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4088, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x408c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4090, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4094, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4098, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x409c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x40fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4100, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4104, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4108, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x410c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4110, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4114, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4118, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x411c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4120, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4124, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4128, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x412c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4130, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4134, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4138, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x413c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4140, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4144, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4148, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x414c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4150, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4154, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4158, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x415c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4160, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4164, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4168, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x416c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4170, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4174, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4178, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x417c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4180, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4184, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4188, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x418c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4190, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4194, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4198, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x419c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x41fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4200, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4204, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4208, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x420c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4210, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4214, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4218, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x421c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4220, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4224, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4228, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x422c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4230, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4234, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4238, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x423c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4240, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4244, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4248, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x424c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4250, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4254, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4258, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x425c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4260, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4264, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4268, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x426c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4270, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4274, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4278, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x427c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4280, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4284, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4288, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x428c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4290, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4294, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4298, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x429c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x42fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4300, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4304, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4308, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x430c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4310, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4314, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4318, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x431c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4320, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4324, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4328, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x432c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4330, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4334, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4338, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x433c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4340, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4344, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4348, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x434c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4350, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4354, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4358, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x435c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4360, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4364, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4368, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x436c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4370, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4374, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4378, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x437c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4380, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4384, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4388, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x438c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4390, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4394, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4398, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x439c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x43fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4400, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4404, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4408, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x440c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4410, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4414, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4418, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x441c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4420, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4424, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4428, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x442c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4430, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4434, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4438, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x443c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4440, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4444, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4448, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x444c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4450, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4454, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4458, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x445c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4460, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4464, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4468, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x446c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4470, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4474, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4478, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x447c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4480, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4484, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4488, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x448c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4490, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4494, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4498, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x449c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x44fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4500, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4504, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4508, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x450c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4510, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4514, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4518, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x451c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4520, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4524, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4528, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x452c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4530, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4534, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4538, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x453c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4540, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4544, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4548, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x454c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4550, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4554, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4558, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x455c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4560, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4564, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4568, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x456c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4570, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4574, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4578, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x457c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4580, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4584, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4588, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x458c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4590, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4594, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4598, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x459c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x45fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4600, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4604, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4608, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x460c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4610, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4614, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4618, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x461c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4620, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4624, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4628, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x462c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4630, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4634, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4638, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x463c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4640, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4644, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4648, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x464c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4650, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4654, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4658, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x465c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4660, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4664, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4668, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x466c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4670, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4674, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4678, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x467c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4680, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4684, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4688, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x468c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4690, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4694, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4698, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x469c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x46fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4700, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4704, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4708, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x470c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4710, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4714, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4718, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x471c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4720, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4724, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4728, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x472c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4730, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4734, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4738, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x473c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4740, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4744, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4748, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x474c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4750, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4754, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4758, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x475c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4760, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4764, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4768, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x476c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4770, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4774, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4778, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x477c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4780, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4784, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4788, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x478c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4790, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4794, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4798, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x479c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x47fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4800, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4804, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4808, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x480c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4810, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4814, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4818, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x481c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4820, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4824, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4828, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x482c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4830, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4834, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4838, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x483c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4840, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4844, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4848, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x484c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4850, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4854, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4858, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x485c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4860, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4864, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4868, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x486c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4870, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4874, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4878, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x487c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4880, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4884, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4888, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x488c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4890, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4894, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4898, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x489c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x48fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4900, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4904, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4908, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x490c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4910, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4914, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4918, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x491c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4920, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4924, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4928, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x492c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4930, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4934, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4938, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x493c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4940, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4944, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4948, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x494c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4950, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4954, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4958, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x495c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4960, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4964, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4968, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x496c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4970, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4974, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4978, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x497c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4980, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4984, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4988, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x498c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4990, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4994, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4998, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x499c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x49fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4a9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4aa0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4aa4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4aa8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4aac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ab0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ab4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ab8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4abc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ac0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ac4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ac8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4acc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ad0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ad4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ad8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4adc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ae0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ae4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ae8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4aec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4af0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4af4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4af8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4afc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4b9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ba0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ba4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ba8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bcc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bdc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4be0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4be4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4be8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bf0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bf4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bf8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4bfc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4c9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ca0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ca4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ca8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ccc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cdc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ce0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ce4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ce8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cf0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cf4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cf8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4cfc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4d9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4da0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4da4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4da8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4db0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4db4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4db8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dcc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ddc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4de0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4de4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4de8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4df0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4df4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4df8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4dfc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4e9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ea0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ea4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ea8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4eac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4eb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4eb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4eb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ebc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ec0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ec4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ec8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ecc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ed0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ed4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ed8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4edc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ee0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ee4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ee8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4eec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ef0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ef4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ef8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4efc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f00, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f04, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f08, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f0c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f10, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f14, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f18, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f1c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f20, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f24, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f28, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f2c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f30, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f34, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f38, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f3c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f40, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f44, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f48, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f4c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f50, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f54, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f58, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f5c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f60, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f64, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f68, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f6c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f70, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f74, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f78, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f7c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f80, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f84, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f88, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f8c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f90, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f94, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f98, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4f9c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fa0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fa4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fa8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fb0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fb4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fb8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fbc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fc0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fc4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fc8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fcc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fd0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fd4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fd8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fdc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fe0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fe4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fe8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4fec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ff0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ff4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ff8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x4ffc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5000, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5004, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5008, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x500c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5010, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5014, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5018, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x501c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5020, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5024, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5028, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x502c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5030, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5034, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5038, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x503c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5040, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5044, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5048, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x504c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5050, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5054, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5058, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x505c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5060, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5064, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5068, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x506c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5070, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5074, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5078, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x507c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5080, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5084, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5088, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x508c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5090, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5094, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5098, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x509c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x50fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5100, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5104, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5108, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x510c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5110, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5114, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5118, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x511c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5120, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5124, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5128, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x512c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5130, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5134, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5138, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x513c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5140, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5144, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5148, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x514c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5150, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5154, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5158, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x515c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5160, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5164, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5168, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x516c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5170, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5174, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5178, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x517c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5180, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5184, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5188, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x518c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5190, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5194, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5198, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x519c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x51fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5200, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5204, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5208, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x520c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5210, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5214, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5218, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x521c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5220, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5224, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5228, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x522c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5230, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5234, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5238, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x523c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5240, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5244, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5248, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x524c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5250, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5254, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5258, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x525c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5260, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5264, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5268, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x526c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5270, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5274, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5278, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x527c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5280, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5284, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5288, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x528c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5290, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5294, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5298, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x529c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x52fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5300, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5304, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5308, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x530c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5310, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5314, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5318, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x531c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5320, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5324, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5328, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x532c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5330, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5334, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5338, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x533c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5340, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5344, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5348, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x534c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5350, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5354, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5358, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x535c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5360, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5364, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5368, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x536c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5370, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5374, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5378, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x537c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5380, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5384, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5388, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x538c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5390, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5394, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5398, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x539c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x53fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5400, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5404, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5408, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x540c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5410, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5414, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5418, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x541c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5420, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5424, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5428, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x542c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5430, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5434, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5438, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x543c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5440, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5444, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5448, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x544c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5450, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5454, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5458, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x545c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5460, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5464, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5468, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x546c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5470, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5474, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5478, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x547c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5480, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5484, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5488, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x548c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5490, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5494, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5498, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x549c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x54fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5500, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5504, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5508, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x550c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5510, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5514, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5518, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x551c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5520, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5524, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5528, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x552c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5530, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5534, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5538, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x553c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5540, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5544, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5548, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x554c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5550, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5554, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5558, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x555c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5560, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5564, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5568, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x556c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5570, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5574, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5578, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x557c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5580, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5584, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5588, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x558c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5590, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5594, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x5598, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x559c, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55a0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55a4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55a8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55ac, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55b0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55b4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55b8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55bc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55c0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55c4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55c8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55cc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55d0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55d4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55d8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55dc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55e0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55e4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55e8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55ec, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55f0, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55f4, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55f8, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_FID_VFID", 0x55fc, 0 }, + { "Select", 30, 2 }, + { "IDO", 24, 1 }, + { "VFID", 15, 9 }, + { "TC", 12, 3 }, + { "VFVld", 11, 1 }, + { "PF", 8, 3 }, + { "RVF", 0, 8 }, + { "PCIE_COOKIE_STAT", 0x5600, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_COOKIE_STAT", 0x5604, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_COOKIE_STAT", 0x5608, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_COOKIE_STAT", 0x560c, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_COOKIE_STAT", 0x5610, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_COOKIE_STAT", 0x5614, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_COOKIE_STAT", 0x5618, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_COOKIE_STAT", 0x561c, 0 }, + { "CookieB", 16, 10 }, + { "CookieA", 0, 10 }, + { "PCIE_FLR_PIO", 0x5620, 0 }, + { "RcvdBAR2Cookie", 24, 8 }, + { "RcvdMARspCookie", 16, 8 }, + { "RcvdPIORspCookie", 8, 8 }, + { "ExpdCookie", 0, 8 }, + { "PCIE_FLR_PIO2", 0x5624, 0 }, + { "RcvdVDMRxCookie", 24, 8 }, + { "RcvdVDMTxCookie", 16, 8 }, + { "RcvdMAReqCookie", 8, 8 }, + { "RcvdPIOReqCookie", 0, 8 }, + { "PCIE_VC0_CDTS0", 0x56cc, 0 }, + { "CPLD0", 20, 12 }, + { "PH0", 12, 8 }, + { "PD0", 0, 12 }, + { "PCIE_VC0_CDTS1", 0x56d0, 0 }, + { "CPLH0", 20, 8 }, + { "NPH0", 12, 8 }, + { "NPD0", 0, 12 }, + { "PCIE_VC1_CDTS0", 0x56d4, 0 }, + { "CPLD1", 20, 12 }, + { "PH1", 12, 8 }, + { "PD1", 0, 12 }, + { "PCIE_VC1_CDTS1", 0x56d8, 0 }, + { "CPLH1", 20, 8 }, + { "NPH1", 12, 8 }, + { "NPD1", 0, 12 }, + { "PCIE_FLR_PF_STATUS", 0x56dc, 0 }, + { "PCIE_FLR_VF0_STATUS", 0x56e0, 0 }, + { "PCIE_FLR_VF1_STATUS", 0x56e4, 0 }, + { "PCIE_FLR_VF2_STATUS", 0x56e8, 0 }, + { "PCIE_FLR_VF3_STATUS", 0x56ec, 0 }, + { "PCIE_STAT", 0x56f4, 0 }, + { "PM_Status", 24, 8 }, + { "PM_CurrentState", 20, 3 }, + { "LTSSMEnable", 12, 1 }, + { "StateCfgInitF", 4, 8 }, + { "StateCfgInit", 0, 4 }, + { "PCIE_CRS", 0x56f8, 0 }, + { "PCIE_LTSSM", 0x56fc, 0 }, + { "Stall_Disable", 1, 1 }, + { "Enable", 0, 1 }, + { "PCIE_PF_CFG", 0x1e040, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1e044, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1e04c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_PF_CFG", 0x1e440, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1e444, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1e44c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_PF_CFG", 0x1e840, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1e844, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1e84c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_PF_CFG", 0x1ec40, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1ec44, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1ec4c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_PF_CFG", 0x1f040, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1f044, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1f04c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_PF_CFG", 0x1f440, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1f444, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1f44c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_PF_CFG", 0x1f840, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1f844, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1f84c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_PF_CFG", 0x1fc40, 0 }, + { "INTXStat", 16, 1 }, + { "AIVec", 4, 10 }, + { "D3HotEn", 1, 1 }, + { "CLIDecEn", 0, 1 }, + { "PCIE_PF_CLI", 0x1fc44, 0 }, + { "PCIE_PF_EXPROM_OFST", 0x1fc4c, 0 }, + { "Offset", 10, 14 }, + { "PCIE_CORE_ACK_LATENCY_TIMER_REPLAY_TIMER", 0x5700, 0 }, + { "Replay_Time_Limit", 16, 16 }, + { "Ack_Latency_Timer_Limit", 0, 16 }, + { "PCIE_CORE_VENDOR_SPECIFIC_DLLP", 0x5704, 0 }, + { "PCIE_CORE_PORT_FORCE_LINK", 0x5708, 0 }, + { "Low_Power_Entrance_Count", 24, 8 }, + { "Link_State", 16, 6 }, + { "Force_Link", 15, 1 }, + { "Link_Number", 0, 8 }, + { "PCIE_CORE_ACK_FREQUENCY_L0L1_ASPM_CONTROL", 0x570c, 0 }, + { "Enter_ASPM_L1_wo_L0s", 30, 1 }, + { "L1_Entrance_Latency", 27, 3 }, + { "L0s_Entrance_Latency", 24, 3 }, + { "Common_Clock_N_FTS", 16, 8 }, + { "N_FTS", 8, 8 }, + { "Ack_Frequency", 0, 8 }, + { "PCIE_CORE_PORT_LINK_CONTROL", 0x5710, 0 }, + { "Crosslink_Active", 23, 1 }, + { "Crosslink_Enable", 22, 1 }, + { "Link_Mode_Enable", 16, 6 }, + { "Fast_Link_Mode", 7, 1 }, + { "DLL_Link_Enable", 5, 1 }, + { "Reset_Assert", 3, 1 }, + { "Loopback_Enable", 2, 1 }, + { "Scramble_Disable", 1, 1 }, + { "Vendor_Specific_DLLP_Request", 0, 1 }, + { "PCIE_CORE_LANE_SKEW", 0x5714, 0 }, + { "Disable_DeSkew", 31, 1 }, + { "Ack_Nak_Disable", 25, 1 }, + { "Flow_Control_Disable", 24, 1 }, + { "Insert_TxSkew", 0, 24 }, + { "PCIE_CORE_SYMBOL_NUMBER", 0x5718, 0 }, + { "Ack_Nak_Timer_Modifier", 19, 5 }, + { "Replay_Timer_Modifier", 14, 5 }, + { "MaxFunc", 0, 3 }, + { "PCIE_CORE_SYMBOL_TIMER_FILTER_MASK1", 0x571c, 0 }, + { "Mask_RADM_Filter", 16, 16 }, + { "Disable_FC_Watchdog", 15, 1 }, + { "SKP_Interval", 0, 11 }, + { "PCIE_CORE_FILTER_MASK2", 0x5720, 0 }, + { "PCIE_CORE_DEBUG_0", 0x5728, 0 }, + { "PCIE_CORE_DEBUG_1", 0x572c, 0 }, + { "PCIE_CORE_TRANSMIT_POSTED_FC_CREDIT_STATUS", 0x5730, 0 }, + { "TxPH_FC", 12, 8 }, + { "TxPD_FC", 0, 12 }, + { "PCIE_CORE_TRANSMIT_NONPOSTED_FC_CREDIT_STATUS", 0x5734, 0 }, + { "TxNPH_FC", 12, 8 }, + { "TxNPD_FC", 0, 12 }, + { "PCIE_CORE_TRANSMIT_COMPLETION_FC_CREDIT_STATUS", 0x5738, 0 }, + { "TxCPLH_FC", 12, 8 }, + { "TxCPLD_FC", 0, 12 }, + { "PCIE_CORE_QUEUE_STATUS", 0x573c, 0 }, + { "RxQueue_Not_Empty", 2, 1 }, + { "TxRetryBuf_Not_Empty", 1, 1 }, + { "RxTLP_FC_Not_Returned", 0, 1 }, + { "PCIE_CORE_VC_TRANSMIT_ARBITRATION_1", 0x5740, 0 }, + { "VC3_WRR", 24, 8 }, + { "VC2_WRR", 16, 8 }, + { "VC1_WRR", 8, 8 }, + { "VC0_WRR", 0, 8 }, + { "PCIE_CORE_VC_TRANSMIT_ARBITRATION_2", 0x5744, 0 }, + { "VC7_WRR", 24, 8 }, + { "VC6_WRR", 16, 8 }, + { "VC5_WRR", 8, 8 }, + { "VC4_WRR", 0, 8 }, + { "PCIE_CORE_VC0_POSTED_RECEIVE_QUEUE_CONTROL", 0x5748, 0 }, + { "VC0_Rx_Ordering", 31, 1 }, + { "VC0_TLP_Ordering", 30, 1 }, + { "VC0_PTLP_Queue_Mode", 21, 3 }, + { "VC0_PH_Credits", 12, 8 }, + { "VC0_PD_Credits", 0, 12 }, + { "PCIE_CORE_VC0_NONPOSTED_RECEIVE_QUEUE_CONTROL", 0x574c, 0 }, + { "VC0_NPTLP_Queue_Mode", 21, 3 }, + { "VC0_NPH_Credits", 12, 8 }, + { "VC0_NPD_Credits", 0, 12 }, + { "PCIE_CORE_VC0_COMPLETION_RECEIVE_QUEUE_CONTROL", 0x5750, 0 }, + { "VC0_CPLTLP_Queue_Mode", 21, 3 }, + { "VC0_CPLH_Credits", 12, 8 }, + { "VC0_CPLD_Credits", 0, 12 }, + { "PCIE_CORE_VC1_POSTED_RECEIVE_QUEUE_CONTROL", 0x5754, 0 }, + { "VC1_TLP_Ordering", 30, 1 }, + { "VC1_PTLP_Queue_Mode", 21, 3 }, + { "VC1_PH_Credits", 12, 8 }, + { "VC1_PD_Credits", 0, 12 }, + { "PCIE_CORE_VC1_NONPOSTED_RECEIVE_QUEUE_CONTROL", 0x5758, 0 }, + { "VC1_NPTLP_Queue_Mode", 21, 3 }, + { "VC1_NPH_Credits", 12, 8 }, + { "VC1_NPD_Credits", 0, 12 }, + { "PCIE_CORE_VC1_COMPLETION_RECEIVE_QUEUE_CONTROL", 0x575c, 0 }, + { "VC1_CPLTLP_Queue_Mode", 21, 3 }, + { "VC1_CPLH_Credits", 12, 8 }, + { "VC1_CPLD_Credits", 0, 12 }, + { "PCIE_CORE_LINK_WIDTH_SPEED_CHANGE", 0x580c, 0 }, + { "Sel_DeEmphasis", 20, 1 }, + { "TxCmplRcv", 19, 1 }, + { "PhyTxSwing", 18, 1 }, + { "DirSpdChange", 17, 1 }, + { "Auto_Lane_Flip_Ctrl_En", 16, 1 }, + { "Num_Lanes", 8, 5 }, + { "NFTS_Gen2_3", 0, 8 }, + { "PCIE_CORE_PHY_STATUS", 0x5810, 0 }, + { "PCIE_CORE_PHY_CONTROL", 0x5814, 0 }, + { "PCIE_CORE_GEN3_CONTROL", 0x5890, 0 }, + { "DC_Balance_Disable", 18, 1 }, + { "DLLP_Delay_Disable", 17, 1 }, + { "Eql_Disable", 16, 1 }, + { "Eql_Redo_Disable", 11, 1 }, + { "Eql_EIEOS_CntRst_Disable", 10, 1 }, + { "Eql_PH2_PH3_Disable", 9, 1 }, + { "Disable_Scrambler", 8, 1 }, + { "PCIE_CORE_GEN3_EQ_FS_LF", 0x5894, 0 }, + { "Full_Swing", 6, 6 }, + { "Low_Frequency", 0, 6 }, + { "PCIE_CORE_GEN3_EQ_PRESET_COEFF", 0x5898, 0 }, + { "PostCursor", 12, 6 }, + { "Cursor", 6, 6 }, + { "PreCursor", 0, 6 }, + { "PCIE_CORE_GEN3_EQ_PRESET_INDEX", 0x589c, 0 }, + { "PCIE_CORE_GEN3_EQ_STATUS", 0x58a4, 0 }, + { "PCIE_CORE_GEN3_EQ_CONTROL", 0x58a8, 0 }, + { "Include_Initial_FOM", 24, 1 }, + { "Preset_Request_Vector", 8, 16 }, + { "Phase23_2ms_Timeout_Disable", 5, 1 }, + { "After24ms", 4, 1 }, + { "Feedback_Mode", 0, 4 }, + { "PCIE_CORE_GEN3_EQ_DIRCHANGE_FEEDBACK", 0x58ac, 0 }, + { "WinAperture_CPlus1", 14, 4 }, + { "WinAperture_CMins1", 10, 4 }, + { "Convergence_WinDepth", 5, 5 }, + { "EQMasterPhase_MinTime", 0, 5 }, + { "PCIE_CORE_PIPE_CONTROL", 0x58b8, 0 }, + { "Loopback_Enable", 31, 1 }, + { "PCIE_CORE_DBI_RO_WE", 0x58bc, 0 }, + { "PCIE_DMA_CFG", 0x5940, 0 }, + { "MaxPyldSize", 28, 3 }, + { "MaxReqCnt", 20, 7 }, + { "MaxRdReqSize", 17, 3 }, + { "MaxRspCnt", 9, 8 }, + { "SeqChkDis", 8, 1 }, + { "MinTag", 0, 8 }, + { "PCIE_DMA_STAT", 0x5944, 0 }, + { "RspCnt", 20, 10 }, + { "RdReqCnt", 12, 6 }, + { "WrReqCnt", 0, 9 }, + { "PCIE_DMA_STAT2", 0x5948, 0 }, + { "CookieCnt", 24, 4 }, + { "RdSeqNumUpdCnt", 20, 4 }, + { "SIReqCnt", 16, 4 }, + { "WrEOPMatchSOP", 12, 1 }, + { "WrSOPCnt", 8, 4 }, + { "RdSOPCnt", 0, 8 }, + { "PCIE_DMA_STAT3", 0x594c, 0 }, + { "AtmReqSOPCnt", 24, 8 }, + { "AtmEOPMatchSOP", 17, 1 }, + { "RspEOPMatchSOP", 16, 1 }, + { "RspErrCnt", 8, 8 }, + { "RspSOPCnt", 0, 8 }, + { "PCIE_DMA_CFG", 0x5950, 0 }, + { "MaxPyldSize", 28, 3 }, + { "MaxReqCnt", 20, 7 }, + { "MaxRdReqSize", 17, 3 }, + { "MaxRspCnt", 9, 8 }, + { "SeqChkDis", 8, 1 }, + { "MinTag", 0, 8 }, + { "PCIE_DMA_STAT", 0x5954, 0 }, + { "RspCnt", 20, 10 }, + { "RdReqCnt", 12, 6 }, + { "WrReqCnt", 0, 9 }, + { "PCIE_DMA_STAT2", 0x5958, 0 }, + { "CookieCnt", 24, 4 }, + { "RdSeqNumUpdCnt", 20, 4 }, + { "SIReqCnt", 16, 4 }, + { "WrEOPMatchSOP", 12, 1 }, + { "WrSOPCnt", 8, 4 }, + { "RdSOPCnt", 0, 8 }, + { "PCIE_DMA_STAT3", 0x595c, 0 }, + { "AtmReqSOPCnt", 24, 8 }, + { "AtmEOPMatchSOP", 17, 1 }, + { "RspEOPMatchSOP", 16, 1 }, + { "RspErrCnt", 8, 8 }, + { "RspSOPCnt", 0, 8 }, + { "PCIE_CMD_CFG", 0x5980, 0 }, + { "MaxRdReqSize", 17, 3 }, + { "MaxRspCnt", 9, 6 }, + { "UseCmdPool", 8, 1 }, + { "MinTag", 0, 8 }, + { "PCIE_CMD_STAT", 0x5984, 0 }, + { "RspCnt", 20, 8 }, + { "RdReqCnt", 12, 4 }, + { "PCIE_CMD_STAT2", 0x5988, 0 }, + { "PCIE_CMD_STAT3", 0x598c, 0 }, + { "RspEOPMatchSOP", 16, 1 }, + { "RspErrCnt", 8, 8 }, + { "RspSOPCnt", 0, 8 }, + { "PCIE_HMA_CFG", 0x59b0, 0 }, + { "MaxPyldSize", 28, 3 }, + { "MaxReqCnt", 20, 7 }, + { "MaxRdReqSize", 17, 3 }, + { "MaxRspCnt", 9, 8 }, + { "SeqChkDis", 8, 1 }, + { "MinTag", 0, 8 }, + { "PCIE_HMA_STAT", 0x59b4, 0 }, + { "RspCnt", 20, 10 }, + { "RdReqCnt", 12, 6 }, + { "WrReqCnt", 0, 9 }, + { "PCIE_HMA_STAT2", 0x59b8, 0 }, + { "CookieCnt", 24, 4 }, + { "RdSeqNumUpdCnt", 20, 4 }, + { "WrEOPMatchSOP", 12, 1 }, + { "WrSOPCnt", 8, 4 }, + { "RdSOPCnt", 0, 8 }, + { "PCIE_HMA_STAT3", 0x59bc, 0 }, + { "RspEOPMatchSOP", 16, 1 }, + { "RspErrCnt", 8, 8 }, + { "RspSOPCnt", 0, 8 }, + { "PCIE_CGEN", 0x59c0, 0 }, + { "VPD_Dynamic_CGEN", 26, 1 }, + { "MA_Dynamic_CGEN", 25, 1 }, + { "Tagq_Dynamic_CGEN", 24, 1 }, + { "ReqCtl_Dynamic_CGEN", 23, 1 }, + { "RspDataProc_Dynamic_CGEN", 22, 1 }, + { "RspRdq_Dynamic_CGEN", 21, 1 }, + { "RspIPif_Dynamic_CGEN", 20, 1 }, + { "HMA_Static_CGEN", 19, 1 }, + { "HMA_Dynamic_CGEN", 18, 1 }, + { "CMD_Static_CGEN", 16, 1 }, + { "CMD_Dynamic_CGEN", 15, 1 }, + { "DMA_Static_CGEN", 13, 1 }, + { "DMA_Dynamic_CGEN", 12, 1 }, + { "VFID_SleepStatus", 10, 1 }, + { "VC1_SleepStatus", 9, 1 }, + { "STI_SleepStatus", 8, 1 }, + { "VFID_SleepReq", 2, 1 }, + { "VC1_SleepReq", 1, 1 }, + { "STI_SleepReq", 0, 1 }, + { "PCIE_MA_RSP", 0x59c4, 0 }, + { "TimerValue", 8, 24 }, + { "MAReqTimerEn", 1, 1 }, + { "TimerEn", 0, 1 }, + { "PCIE_HPRD", 0x59c8, 0 }, + { "NPH_CreditsAvailVC0", 19, 2 }, + { "NPD_CreditsAvailVC0", 17, 2 }, + { "NPH_CreditsAvailVC1", 15, 2 }, + { "NPD_CreditsAvailVC1", 13, 2 }, + { "NPH_CreditsRequired", 11, 2 }, + { "NPD_CreditsRequired", 9, 2 }, + { "ReqBurstCount", 5, 4 }, + { "ReqBurstFrequency", 1, 4 }, + { "EnableVC1", 0, 1 }, + { "PCIE_PERR_GROUP", 0x59d0, 0 }, + { "MA_RspCtlPerr", 26, 1 }, + { "MST_DataPathPerr", 25, 1 }, + { "MST_RspRdQPerr", 24, 1 }, + { "TRGT1_FIDLkUpHdrPerr", 20, 1 }, + { "TRGT1_AlindDataPerr", 19, 1 }, + { "TRGT1_UnAlinDataPerr", 18, 1 }, + { "TRGT1_ReqDataPerr", 17, 1 }, + { "TRGT1_ReqHdrPerr", 16, 1 }, + { "IPRxData_VC0Perr", 15, 1 }, + { "IPRxHdr_VC0Perr", 14, 1 }, + { "PIOCpl_VDMTxCtlPerr", 13, 1 }, + { "PIOCpl_VDMTxDataPerr", 12, 1 }, + { "MA_RspDataPerr", 11, 1 }, + { "MA_CplTagQPerr", 10, 1 }, + { "MA_ReqTagQPerr", 9, 1 }, + { "PIOReq_BAR2CtlPerr", 8, 1 }, + { "PIOReq_MEMCtlPerr", 7, 1 }, + { "PIOReq_PLMCtlPerr", 6, 1 }, + { "PIOReq_BAR2DataPerr", 5, 1 }, + { "PIOReq_MEMDataPerr", 4, 1 }, + { "PIOReq_PLMDataPerr", 3, 1 }, + { "PIOCpl_CtlPerr", 2, 1 }, + { "PIOCpl_DataPerr", 1, 1 }, + { "PIOCpl_PLMRspPerr", 0, 1 }, + { "PCIE_RSP_ERR_INT_LOG_EN", 0x59d4, 0 }, + { "CplStatusIntEn", 12, 1 }, + { "TimeoutIntEn", 11, 1 }, + { "DisabledIntEn", 10, 1 }, + { "RspDropFLRIntEn", 9, 1 }, + { "ReqUnderFLRIntEn", 8, 1 }, + { "CplStatusLogEn", 4, 1 }, + { "TimeoutLogEn", 3, 1 }, + { "DisabledLogEn", 2, 1 }, + { "RspDropFLRLogEn", 1, 1 }, + { "ReqUnderFLRLogEn", 0, 1 }, + { "PCIE_RSP_ERR_LOG1", 0x59d8, 0 }, + { "Tag", 25, 7 }, + { "CID", 22, 3 }, + { "ChNum", 19, 3 }, + { "ByteLen", 6, 13 }, + { "Reason", 3, 3 }, + { "CplStatus", 0, 3 }, + { "PCIE_RSP_ERR_LOG2", 0x59dc, 0 }, + { "Valid", 31, 1 }, + { "Addr10b", 9, 10 }, + { "VFID", 0, 9 }, + { "PCIE_REVISION", 0x5a00, 0 }, + { "PCIE_PDEBUG_INDEX", 0x5a04, 0 }, + { "PDEBUGSelH", 16, 7 }, + { "PDEBUGSelL", 0, 7 }, + { "PCIE_PDEBUG_DATA_HIGH", 0x5a08, 0 }, + { "PCIE_PDEBUG_DATA_LOW", 0x5a0c, 0 }, + { "PCIE_CDEBUG_INDEX", 0x5a10, 0 }, + { "CDEBUGSelH", 16, 8 }, + { "CDEBUGSelL", 0, 8 }, + { "PCIE_CDEBUG_DATA_HIGH", 0x5a14, 0 }, + { "PCIE_CDEBUG_DATA_LOW", 0x5a18, 0 }, + { "PCIE_BUS_MST_STAT_0", 0x5a60, 0 }, + { "PCIE_BUS_MST_STAT_1", 0x5a64, 0 }, + { "PCIE_BUS_MST_STAT_2", 0x5a68, 0 }, + { "PCIE_BUS_MST_STAT_3", 0x5a6c, 0 }, + { "PCIE_RSP_ERR_STAT_0", 0x5a80, 0 }, + { "PCIE_RSP_ERR_STAT_1", 0x5a84, 0 }, + { "PCIE_RSP_ERR_STAT_2", 0x5a88, 0 }, + { "PCIE_RSP_ERR_STAT_3", 0x5a8c, 0 }, + { "PCIE_DBI_TIMEOUT_CTL", 0x5a94, 0 }, + { "PCIE_DBI_TIMEOUT_STATUS0", 0x5a98, 0 }, + { "PCIE_DBI_TIMEOUT_STATUS1", 0x5a9c, 0 }, + { "Valid", 31, 1 }, + { "Source", 17, 2 }, + { "Write", 13, 4 }, + { "CS2", 12, 1 }, + { "PF", 9, 3 }, + { "VFVld", 8, 1 }, + { "VF", 0, 8 }, + { "PCIE_PB_CTL", 0x5b94, 0 }, + { "PB_Sel", 16, 8 }, + { "PB_SelReg", 8, 8 }, + { "PB_Func", 0, 3 }, + { "PCIE_PB_DATA", 0x5b98, 0 }, + { "PCIE_CHANGESET", 0x59fc, 0 }, + { "PCIE_CUR_LINK", 0x5b9c, 0 }, + { "CfgInitCoeffDoneSeen", 22, 1 }, + { "CfgInitCoeffDone", 21, 1 }, + { "xmlh_link_up", 20, 1 }, + { "pm_linkst_in_l0s", 19, 1 }, + { "pm_linkst_in_l1", 18, 1 }, + { "pm_linkst_in_l2", 17, 1 }, + { "pm_linkst_l2_exit", 16, 1 }, + { "xmlh_in_rl0s", 15, 1 }, + { "xmlh_ltssm_state_rcvry_eq", 14, 1 }, + { "NegotiatedWidth", 8, 6 }, + { "ActiveLanes", 0, 8 }, + { "PCIE_PHY_REQRXPWR", 0x5ba0, 0 }, + { "Req_LnH_RxStateDone", 31, 1 }, + { "Req_LnH_RxStateReq", 30, 1 }, + { "Req_LnH_RxPwrState", 28, 2 }, + { "Req_LnG_RxStateDone", 27, 1 }, + { "Req_LnG_RxStateReq", 26, 1 }, + { "Req_LnG_RxPwrState", 24, 2 }, + { "Req_LnF_RxStateDone", 23, 1 }, + { "Req_LnF_RxStateReq", 22, 1 }, + { "Req_LnF_RxPwrState", 20, 2 }, + { "Req_LnE_RxStateDone", 19, 1 }, + { "Req_LnE_RxStateReq", 18, 1 }, + { "Req_LnE_RxPwrState", 16, 2 }, + { "Req_LnD_RxStateDone", 15, 1 }, + { "Req_LnD_RxStateReq", 14, 1 }, + { "Req_LnD_RxPwrState", 12, 2 }, + { "Req_LnC_RxStateDone", 11, 1 }, + { "Req_LnC_RxStateReq", 10, 1 }, + { "Req_LnC_RxPwrState", 8, 2 }, + { "Req_LnB_RxStateDone", 7, 1 }, + { "Req_LnB_RxStateReq", 6, 1 }, + { "Req_LnB_RxPwrState", 4, 2 }, + { "Req_LnA_RxStateDone", 3, 1 }, + { "Req_LnA_RxStateReq", 2, 1 }, + { "Req_LnA_RxPwrState", 0, 2 }, + { "PCIE_PHY_CURRXPWR", 0x5ba4, 0 }, + { "Cur_LnH_RxPwrState", 28, 3 }, + { "Cur_LnG_RxPwrState", 24, 3 }, + { "Cur_LnF_RxPwrState", 20, 3 }, + { "Cur_LnE_RxPwrState", 16, 3 }, + { "Cur_LnD_RxPwrState", 12, 3 }, + { "Cur_LnC_RxPwrState", 8, 3 }, + { "Cur_LnB_RxPwrState", 4, 3 }, + { "Cur_LnA_RxPwrState", 0, 3 }, + { "PCIE_PHY_GEN3_AE0", 0x5ba8, 0 }, + { "LnD_STAT", 28, 3 }, + { "LnD_CMD", 24, 3 }, + { "LnC_STAT", 20, 3 }, + { "LnC_CMD", 16, 3 }, + { "LnB_STAT", 12, 3 }, + { "LnB_CMD", 8, 3 }, + { "LnA_STAT", 4, 3 }, + { "LnA_CMD", 0, 3 }, + { "PCIE_PHY_GEN3_AE1", 0x5bac, 0 }, + { "LnH_STAT", 28, 3 }, + { "LnH_CMD", 24, 3 }, + { "LnG_STAT", 20, 3 }, + { "LnG_CMD", 16, 3 }, + { "LnF_STAT", 12, 3 }, + { "LnF_CMD", 8, 3 }, + { "LnE_STAT", 4, 3 }, + { "LnE_CMD", 0, 3 }, + { "PCIE_PHY_FS_LF0", 0x5bb0, 0 }, + { "Lane1LF", 24, 6 }, + { "Lane1FS", 16, 6 }, + { "Lane0LF", 8, 6 }, + { "Lane0FS", 0, 6 }, + { "PCIE_PHY_FS_LF1", 0x5bb4, 0 }, + { "Lane3LF", 24, 6 }, + { "Lane3FS", 16, 6 }, + { "Lane2LF", 8, 6 }, + { "Lane2FS", 0, 6 }, + { "PCIE_PHY_FS_LF2", 0x5bb8, 0 }, + { "Lane5LF", 24, 6 }, + { "Lane5FS", 16, 6 }, + { "Lane4LF", 8, 6 }, + { "Lane4FS", 0, 6 }, + { "PCIE_PHY_FS_LF3", 0x5bbc, 0 }, + { "Lane7LF", 24, 6 }, + { "Lane7FS", 16, 6 }, + { "Lane6LF", 8, 6 }, + { "Lane6FS", 0, 6 }, + { "PCIE_PHY_PRESET_REQ", 0x5bc0, 0 }, + { "CoeffDone", 16, 1 }, + { "CoeffLane", 8, 4 }, + { "CoeffStart", 0, 1 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bc4, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bc8, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bcc, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bd0, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bd4, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bd8, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bdc, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5be0, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5be4, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5be8, 0 }, + { "PCIE_PHY_PRESET_COEFF", 0x5bec, 0 }, + { "PCIE_PHY_INDIR_REQ", 0x5bf0, 0 }, + { "Enable", 31, 1 }, + { "RegAddr", 0, 16 }, + { "PCIE_PHY_INDIR_DATA", 0x5bf4, 0 }, + { "PCIE_STATIC_SPARE1", 0x5bf8, 0 }, + { "PCIE_STATIC_SPARE2", 0x5bfc, 0 }, + { "PCIE_KDOORBELL_GTS_PF_BASE_LEN", 0x5c10, 0 }, + { "KDB_PF_Len", 24, 5 }, + { "KDB_PF_BaseAddr", 0, 20 }, + { "PCIE_KDOORBELL_GTS_VF_BASE_LEN", 0x5c14, 0 }, + { "KDB_VF_Len", 24, 5 }, + { "KDB_VF_BaseAddr", 0, 20 }, + { "PCIE_KDOORBELL_GTS_VF_OFFSET", 0x5c18, 0 }, + { "PCIE_PHY_REQRXPWR1", 0x5c1c, 0 }, + { "Req_LnP_RxStateDone", 31, 1 }, + { "Req_LnP_RxStateReq", 30, 1 }, + { "Req_LnP_RxPwrState", 28, 2 }, + { "Req_LnO_RxStateDone", 27, 1 }, + { "Req_LnO_RxStateReq", 26, 1 }, + { "Req_LnO_RxPwrState", 24, 2 }, + { "Req_LnN_RxStateDone", 23, 1 }, + { "Req_LnN_RxStateReq", 22, 1 }, + { "Req_LnN_RxPwrState", 20, 2 }, + { "Req_LnM_RxStateDone", 19, 1 }, + { "Req_LnM_RxStateReq", 18, 1 }, + { "Req_LnM_RxPwrState", 16, 2 }, + { "Req_LnL_RxStateDone", 15, 1 }, + { "Req_LnL_RxStateReq", 14, 1 }, + { "Req_LnL_RxPwrState", 12, 2 }, + { "Req_LnK_RxStateDone", 11, 1 }, + { "Req_LnK_RxStateReq", 10, 1 }, + { "Req_LnK_RxPwrState", 8, 2 }, + { "Req_LnJ_RxStateDone", 7, 1 }, + { "Req_LnJ_RxStateReq", 6, 1 }, + { "Req_LnJ_RxPwrState", 4, 2 }, + { "Req_LnI_RxStateDone", 3, 1 }, + { "Req_LnI_RxStateReq", 2, 1 }, + { "Req_LnI_RxPwrState", 0, 2 }, + { "PCIE_PHY_CURRXPWR1", 0x5c20, 0 }, + { "Cur_LnP_RxPwrState", 28, 3 }, + { "Cur_LnO_RxPwrState", 24, 3 }, + { "Cur_LnN_RxPwrState", 20, 3 }, + { "Cur_LnM_RxPwrState", 16, 3 }, + { "Cur_LnL_RxPwrState", 12, 3 }, + { "Cur_LnK_RxPwrState", 8, 3 }, + { "Cur_LnJ_RxPwrState", 4, 3 }, + { "Cur_LnI_RxPwrState", 0, 3 }, + { "PCIE_PHY_GEN3_AE2", 0x5c24, 0 }, + { "LnL_STAT", 28, 3 }, + { "LnL_CMD", 24, 3 }, + { "LnK_STAT", 20, 3 }, + { "LnK_CMD", 16, 3 }, + { "LnJ_STAT", 12, 3 }, + { "LnJ_CMD", 8, 3 }, + { "LnI_STAT", 4, 3 }, + { "LnI_CMD", 0, 3 }, + { "PCIE_PHY_GEN3_AE3", 0x5c28, 0 }, + { "LnP_STAT", 28, 3 }, + { "LnP_CMD", 24, 3 }, + { "LnO_STAT", 20, 3 }, + { "LnO_CMD", 16, 3 }, + { "LnN_STAT", 12, 3 }, + { "LnN_CMD", 8, 3 }, + { "LnM_STAT", 4, 3 }, + { "LnM_CMD", 0, 3 }, + { "PCIE_PHY_FS_LF4", 0x5c2c, 0 }, + { "Lane9LF", 24, 6 }, + { "Lane9FS", 16, 6 }, + { "Lane8LF", 8, 6 }, + { "Lane8FS", 0, 6 }, + { "PCIE_PHY_FS_LF5", 0x5c30, 0 }, + { "Lane11LF", 24, 6 }, + { "Lane11FS", 16, 6 }, + { "Lane10LF", 8, 6 }, + { "Lane10FS", 0, 6 }, + { "PCIE_PHY_FS_LF6", 0x5c34, 0 }, + { "Lane13LF", 24, 6 }, + { "Lane13FS", 16, 6 }, + { "Lane12LF", 8, 6 }, + { "Lane12FS", 0, 6 }, + { "PCIE_PHY_FS_LF7", 0x5c38, 0 }, + { "Lane15LF", 24, 6 }, + { "Lane15FS", 16, 6 }, + { "Lane14LF", 8, 6 }, + { "Lane14FS", 0, 6 }, + { "PCIE_MULTI_PHY_INDIR_REQ", 0x5c3c, 0 }, + { "Phy_Reg_Enable", 31, 1 }, + { "Phy_Reg_Select", 22, 2 }, + { "Phy_Reg_RegAddr", 0, 16 }, + { "PCIE_MULTI_PHY_INDIR_DATA", 0x5c40, 0 }, + { "PCIE_VF_INT_INDIR_REQ", 0x5c44, 0 }, + { "Enable", 24, 1 }, + { "AI", 23, 1 }, + { "VFID", 0, 10 }, + { "PCIE_VF_INT_INDIR_DATA", 0x5c48, 0 }, + { "VecNum", 12, 10 }, + { "VecBase", 0, 11 }, + { "PCIE_VF_256_INT_CFG2", 0x5c4c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c50, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c54, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c58, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c5c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c60, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c64, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c68, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c6c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c70, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c74, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c78, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c7c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c80, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c84, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c88, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c8c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c90, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c94, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c98, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5c9c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ca0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ca4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ca8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cac, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cb0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cb4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cb8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cbc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cc0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cc4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cc8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ccc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cd0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cd4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cd8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cdc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ce0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ce4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ce8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cec, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cf0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cf4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cf8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5cfc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d00, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d04, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d08, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d0c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d10, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d14, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d18, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d1c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d20, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d24, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d28, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d2c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d30, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d34, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d38, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d3c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d40, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d44, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d48, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d4c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d50, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d54, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d58, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d5c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d60, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d64, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d68, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d6c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d70, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d74, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d78, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d7c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d80, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d84, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d88, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d8c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d90, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d94, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d98, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5d9c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5da0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5da4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5da8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dac, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5db0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5db4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5db8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dbc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dc0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dc4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dc8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dcc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dd0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dd4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dd8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5ddc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5de0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5de4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5de8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dec, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5df0, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5df4, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5df8, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5dfc, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e00, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e04, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e08, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e0c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e10, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e14, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e18, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e1c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e20, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e24, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e28, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e2c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e30, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e34, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e38, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e3c, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e40, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e44, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_256_INT_CFG2", 0x5e48, 0 }, + { "SendFLRRsp", 31, 1 }, + { "ImmFLRRsp", 24, 1 }, + { "TxnDisable", 20, 1 }, + { "PCIE_VF_MSI_EN_4", 0x5e50, 0 }, + { "PCIE_VF_MSI_EN_5", 0x5e54, 0 }, + { "PCIE_VF_MSI_EN_6", 0x5e58, 0 }, + { "PCIE_VF_MSI_EN_7", 0x5e5c, 0 }, + { "PCIE_VF_MSIX_EN_4", 0x5e60, 0 }, + { "PCIE_VF_MSIX_EN_5", 0x5e64, 0 }, + { "PCIE_VF_MSIX_EN_6", 0x5e68, 0 }, + { "PCIE_VF_MSIX_EN_7", 0x5e6c, 0 }, + { "PCIE_FLR_VF4_STATUS", 0x5e70, 0 }, + { "PCIE_FLR_VF5_STATUS", 0x5e74, 0 }, + { "PCIE_FLR_VF6_STATUS", 0x5e78, 0 }, + { "PCIE_FLR_VF7_STATUS", 0x5e7c, 0 }, + { "PCIE_BUS_MST_STAT_4", 0x5e80, 0 }, + { "PCIE_BUS_MST_STAT_5", 0x5e84, 0 }, + { "PCIE_BUS_MST_STAT_6", 0x5e88, 0 }, + { "PCIE_BUS_MST_STAT_7", 0x5e8c, 0 }, + { "PCIE_BUS_MST_STAT_8", 0x5e90, 0 }, + { "PCIE_TGT_SKID_FIFO", 0x5e94, 0 }, + { "HdrFreeCnt", 16, 12 }, + { "DataFreeCnt", 0, 12 }, + { "PCIE_RSP_ERR_STAT_4", 0x5ea0, 0 }, + { "PCIE_RSP_ERR_STAT_5", 0x5ea4, 0 }, + { "PCIE_RSP_ERR_STAT_6", 0x5ea8, 0 }, + { "PCIE_RSP_ERR_STAT_7", 0x5eac, 0 }, + { "PCIE_RSP_ERR_STAT_8", 0x5eb0, 0 }, + { "PCIE_PHY_STAT1", 0x5ec0, 0 }, + { "PHY0_RTune_Ack", 31, 1 }, + { "PHY1_RTune_Ack", 30, 1 }, + { "PCIE_PHY_CTRL1", 0x5ec4, 0 }, + { "PHY0_RTune_Req", 31, 1 }, + { "PHY1_RTune_Req", 30, 1 }, + { "TxDeemph_gen1", 16, 8 }, + { "TxDeemph_gen2_3p5db", 8, 8 }, + { "TxDeemph_gen2_6db", 0, 8 }, + { "PCIE_PCIE_SPARE0", 0x5ec8, 0 }, + { "PCIE_RESET_STAT", 0x5ecc, 0 }, + { "PON_RST_STATE_flag", 11, 1 }, + { "BUS_RST_STATE_flag", 10, 1 }, + { "DL_DOWN_PCIeCRST_MODE0_STATE_flag", 9, 1 }, + { "DL_DOWN_PCIeCRST_MODE1_STATE_flag", 8, 1 }, + { "PCIe_WARM_RST_MODE0_STATE_flag", 7, 1 }, + { "PCIe_WARM_RST_MODE1_STATE_flag", 6, 1 }, + { "PIO_WARM_RST_MODE0_STATE_flag", 5, 1 }, + { "PIO_WARM_RST_MODE1_STATE_flag", 4, 1 }, + { "LastResetState", 0, 3 }, + { "PCIE_FUNC_DSTATE", 0x5ed0, 0 }, + { "PF7_DState", 21, 3 }, + { "PF6_DState", 18, 3 }, + { "PF5_DState", 15, 3 }, + { "PF4_DState", 12, 3 }, + { "PF3_DState", 9, 3 }, + { "PF2_DState", 6, 3 }, + { "PF1_DState", 3, 3 }, + { "PF0_DState", 0, 3 }, + { "PCIE_DEBUG_ADDR_RANGE1", 0x5ee0, 0 }, + { "PCIE_DEBUG_ADDR_RANGE2", 0x5ef0, 0 }, + { "PCIE_DEBUG_ADDR_RANGE_CNT", 0x5f00, 0 }, + { NULL } +}; + +struct reg_info t6_dbg_regs[] = { + { "DBG_DBG0_CFG", 0x6000, 0 }, + { "ModuleSelect", 12, 8 }, + { "RegSelect", 4, 8 }, + { "ClkSelect", 0, 4 }, + { "DBG_DBG0_EN", 0x6004, 0 }, + { "SDRHalfWord0", 8, 1 }, + { "DDREn", 4, 1 }, + { "PortEn", 0, 1 }, + { "DBG_DBG1_CFG", 0x6008, 0 }, + { "ModuleSelect", 12, 8 }, + { "RegSelect", 4, 8 }, + { "ClkSelect", 0, 4 }, + { "DBG_DBG1_EN", 0x600c, 0 }, + { "Clk_en_on_dbg1", 20, 1 }, + { "SDRHalfWord0", 8, 1 }, + { "DDREn", 4, 1 }, + { "PortEn", 0, 1 }, + { "DBG_GPIO_EN", 0x6010, 0 }, + { "GPIO15_OEn", 31, 1 }, + { "GPIO14_OEn", 30, 1 }, + { "GPIO13_OEn", 29, 1 }, + { "GPIO12_OEn", 28, 1 }, + { "GPIO11_OEn", 27, 1 }, + { "GPIO10_OEn", 26, 1 }, + { "GPIO9_OEn", 25, 1 }, + { "GPIO8_OEn", 24, 1 }, + { "GPIO7_OEn", 23, 1 }, + { "GPIO6_OEn", 22, 1 }, + { "GPIO5_OEn", 21, 1 }, + { "GPIO4_OEn", 20, 1 }, + { "GPIO3_OEn", 19, 1 }, + { "GPIO2_OEn", 18, 1 }, + { "GPIO1_OEn", 17, 1 }, + { "GPIO0_OEn", 16, 1 }, + { "GPIO15_Out_Val", 15, 1 }, + { "GPIO14_Out_Val", 14, 1 }, + { "GPIO13_Out_Val", 13, 1 }, + { "GPIO12_Out_Val", 12, 1 }, + { "GPIO11_Out_Val", 11, 1 }, + { "GPIO10_Out_Val", 10, 1 }, + { "GPIO9_Out_Val", 9, 1 }, + { "GPIO8_Out_Val", 8, 1 }, + { "GPIO7_Out_Val", 7, 1 }, + { "GPIO6_Out_Val", 6, 1 }, + { "GPIO5_Out_Val", 5, 1 }, + { "GPIO4_Out_Val", 4, 1 }, + { "GPIO3_Out_Val", 3, 1 }, + { "GPIO2_Out_Val", 2, 1 }, + { "GPIO1_Out_Val", 1, 1 }, + { "GPIO0_Out_Val", 0, 1 }, + { "DBG_GPIO_IN", 0x6014, 0 }, + { "GPIO15_CHG_DET", 31, 1 }, + { "GPIO14_CHG_DET", 30, 1 }, + { "GPIO13_CHG_DET", 29, 1 }, + { "GPIO12_CHG_DET", 28, 1 }, + { "GPIO11_CHG_DET", 27, 1 }, + { "GPIO10_CHG_DET", 26, 1 }, + { "GPIO9_CHG_DET", 25, 1 }, + { "GPIO8_CHG_DET", 24, 1 }, + { "GPIO7_CHG_DET", 23, 1 }, + { "GPIO6_CHG_DET", 22, 1 }, + { "GPIO5_CHG_DET", 21, 1 }, + { "GPIO4_CHG_DET", 20, 1 }, + { "GPIO3_CHG_DET", 19, 1 }, + { "GPIO2_CHG_DET", 18, 1 }, + { "GPIO1_CHG_DET", 17, 1 }, + { "GPIO0_CHG_DET", 16, 1 }, + { "GPIO15_IN", 15, 1 }, + { "GPIO14_IN", 14, 1 }, + { "GPIO13_IN", 13, 1 }, + { "GPIO12_IN", 12, 1 }, + { "GPIO11_IN", 11, 1 }, + { "GPIO10_IN", 10, 1 }, + { "GPIO9_IN", 9, 1 }, + { "GPIO8_IN", 8, 1 }, + { "GPIO7_IN", 7, 1 }, + { "GPIO6_IN", 6, 1 }, + { "GPIO5_IN", 5, 1 }, + { "GPIO4_IN", 4, 1 }, + { "GPIO3_IN", 3, 1 }, + { "GPIO2_IN", 2, 1 }, + { "GPIO1_IN", 1, 1 }, + { "GPIO0_IN", 0, 1 }, + { "DBG_GPIO_EN_NEW", 0x6100, 0 }, + { "GPIO16_OEn", 7, 1 }, + { "GPIO17_OEn", 6, 1 }, + { "GPIO18_OEn", 5, 1 }, + { "GPIO19_OEn", 4, 1 }, + { "GPIO16_Out_Val", 3, 1 }, + { "GPIO17_Out_Val", 2, 1 }, + { "GPIO18_Out_Val", 1, 1 }, + { "GPIO19_Out_Val", 0, 1 }, + { "DBG_GPIO_IN_NEW", 0x6104, 0 }, + { "GPIO16_CHG_DET", 7, 1 }, + { "GPIO17_CHG_DET", 6, 1 }, + { "GPIO18_CHG_DET", 5, 1 }, + { "GPIO19_CHG_DET", 4, 1 }, + { "GPIO19_IN", 3, 1 }, + { "GPIO18_IN", 2, 1 }, + { "GPIO17_IN", 1, 1 }, + { "GPIO16_IN", 0, 1 }, + { "DBG_INT_ENABLE", 0x6018, 0 }, + { "GPIO19", 29, 1 }, + { "GPIO18", 28, 1 }, + { "GPIO17", 27, 1 }, + { "GPIO16", 26, 1 }, + { "IBM_FDL_FAIL_int_enbl", 25, 1 }, + { "pll_lock_lost_int_enbl", 22, 1 }, + { "C_LOCK", 21, 1 }, + { "M_LOCK", 20, 1 }, + { "U_LOCK", 19, 1 }, + { "PCIe_LOCK", 18, 1 }, + { "KX_LOCK", 17, 1 }, + { "KR_LOCK", 16, 1 }, + { "GPIO15", 15, 1 }, + { "GPIO14", 14, 1 }, + { "GPIO13", 13, 1 }, + { "GPIO12", 12, 1 }, + { "GPIO11", 11, 1 }, + { "GPIO10", 10, 1 }, + { "GPIO9", 9, 1 }, + { "GPIO8", 8, 1 }, + { "GPIO7", 7, 1 }, + { "GPIO6", 6, 1 }, + { "GPIO5", 5, 1 }, + { "GPIO4", 4, 1 }, + { "GPIO3", 3, 1 }, + { "GPIO2", 2, 1 }, + { "GPIO1", 1, 1 }, + { "GPIO0", 0, 1 }, + { "DBG_INT_CAUSE", 0x601c, 0 }, + { "GPIO19", 29, 1 }, + { "GPIO18", 28, 1 }, + { "GPIO17", 27, 1 }, + { "GPIO16", 26, 1 }, + { "IBM_FDL_FAIL_int_cause", 25, 1 }, + { "pll_lock_lost_int_cause", 22, 1 }, + { "C_LOCK", 21, 1 }, + { "M_LOCK", 20, 1 }, + { "U_LOCK", 19, 1 }, + { "PCIe_LOCK", 18, 1 }, + { "KX_LOCK", 17, 1 }, + { "KR_LOCK", 16, 1 }, + { "GPIO15", 15, 1 }, + { "GPIO14", 14, 1 }, + { "GPIO13", 13, 1 }, + { "GPIO12", 12, 1 }, + { "GPIO11", 11, 1 }, + { "GPIO10", 10, 1 }, + { "GPIO9", 9, 1 }, + { "GPIO8", 8, 1 }, + { "GPIO7", 7, 1 }, + { "GPIO6", 6, 1 }, + { "GPIO5", 5, 1 }, + { "GPIO4", 4, 1 }, + { "GPIO3", 3, 1 }, + { "GPIO2", 2, 1 }, + { "GPIO1", 1, 1 }, + { "GPIO0", 0, 1 }, + { "DBG_DBG0_RST_VALUE", 0x6020, 0 }, + { "DBG_PLL_OCLK_PAD_EN", 0x6028, 0 }, + { "PCIE_OCLK_En", 20, 1 }, + { "KX_OCLK_En", 16, 1 }, + { "U_OCLK_En", 12, 1 }, + { "KR_OCLK_En", 8, 1 }, + { "M_OCLK_En", 4, 1 }, + { "C_OCLK_En", 0, 1 }, + { "DBG_PLL_LOCK", 0x602c, 0 }, + { "P_LOCK", 20, 1 }, + { "KX_LOCK", 16, 1 }, + { "U_LOCK", 12, 1 }, + { "KR_LOCK", 8, 1 }, + { "M_LOCK", 4, 1 }, + { "C_LOCK", 0, 1 }, + { "DBG_GPIO_ACT_LOW", 0x6030, 0 }, + { "GPIO19_ACT_LOW", 25, 1 }, + { "GPIO18_ACT_LOW", 24, 1 }, + { "GPIO17_ACT_LOW", 23, 1 }, + { "GPIO16_ACT_LOW", 22, 1 }, + { "P_LOCK_ACT_LOW", 21, 1 }, + { "C_LOCK_ACT_LOW", 20, 1 }, + { "M_LOCK_ACT_LOW", 19, 1 }, + { "U_LOCK_ACT_LOW", 18, 1 }, + { "KR_LOCK_ACT_LOW", 17, 1 }, + { "KX_LOCK_ACT_LOW", 16, 1 }, + { "GPIO15_ACT_LOW", 15, 1 }, + { "GPIO14_ACT_LOW", 14, 1 }, + { "GPIO13_ACT_LOW", 13, 1 }, + { "GPIO12_ACT_LOW", 12, 1 }, + { "GPIO11_ACT_LOW", 11, 1 }, + { "GPIO10_ACT_LOW", 10, 1 }, + { "GPIO9_ACT_LOW", 9, 1 }, + { "GPIO8_ACT_LOW", 8, 1 }, + { "GPIO7_ACT_LOW", 7, 1 }, + { "GPIO6_ACT_LOW", 6, 1 }, + { "GPIO5_ACT_LOW", 5, 1 }, + { "GPIO4_ACT_LOW", 4, 1 }, + { "GPIO3_ACT_LOW", 3, 1 }, + { "GPIO2_ACT_LOW", 2, 1 }, + { "GPIO1_ACT_LOW", 1, 1 }, + { "GPIO0_ACT_LOW", 0, 1 }, + { "DBG_EFUSE_BYTE0_3", 0x6034, 0 }, + { "DBG_EFUSE_BYTE4_7", 0x6038, 0 }, + { "DBG_EFUSE_BYTE8_11", 0x603c, 0 }, + { "DBG_EFUSE_BYTE12_15", 0x6040, 0 }, + { "DBG_EXTRA_STATIC_BITS_CONF", 0x6058, 0 }, + { "STATIC_M_PLL_RESET", 30, 1 }, + { "STATIC_M_PLL_SLEEP", 29, 1 }, + { "STATIC_M_PLL_BYPASS", 28, 1 }, + { "STATIC_MPLL_CLK_SEL", 27, 1 }, + { "STATIC_U_PLL_SLEEP", 26, 1 }, + { "STATIC_C_PLL_SLEEP", 25, 1 }, + { "STATIC_LVDS_CLKOUT_SEL", 23, 2 }, + { "STATIC_LVDS_CLKOUT_EN", 22, 1 }, + { "STATIC_CCLK_FREQ_SEL", 20, 2 }, + { "STATIC_UCLK_FREQ_SEL", 18, 2 }, + { "ExPHYClk_sel_en", 17, 1 }, + { "ExPHYClk_sel", 15, 2 }, + { "STATIC_U_PLL_BYPASS", 14, 1 }, + { "STATIC_C_PLL_BYPASS", 13, 1 }, + { "STATIC_KR_PLL_BYPASS", 12, 1 }, + { "STATIC_KX_PLL_BYPASS", 11, 1 }, + { "STATIC_KX_PLL_V", 7, 4 }, + { "STATIC_KR_PLL_V", 3, 4 }, + { "DBG_STATIC_OCLK_MUXSEL_CONF", 0x605c, 0 }, + { "P_OCLK_MUXSEL", 13, 4 }, + { "M_OCLK_MUXSEL", 12, 1 }, + { "C_OCLK_MUXSEL", 10, 2 }, + { "U_OCLK_MUXSEL", 8, 2 }, + { "KX_OCLK_MUXSEL", 3, 3 }, + { "KR_OCLK_MUXSEL", 0, 3 }, + { "DBG_TRACE0_CONF_COMPREG0", 0x6060, 0 }, + { "DBG_TRACE0_CONF_COMPREG1", 0x6064, 0 }, + { "DBG_TRACE1_CONF_COMPREG0", 0x6068, 0 }, + { "DBG_TRACE1_CONF_COMPREG1", 0x606c, 0 }, + { "DBG_TRACE0_CONF_MASKREG0", 0x6070, 0 }, + { "DBG_TRACE0_CONF_MASKREG1", 0x6074, 0 }, + { "DBG_TRACE1_CONF_MASKREG0", 0x6078, 0 }, + { "DBG_TRACE1_CONF_MASKREG1", 0x607c, 0 }, + { "DBG_TRACE_COUNTER", 0x6080, 0 }, + { "Counter1", 16, 16 }, + { "Counter0", 0, 16 }, + { "DBG_STATIC_REFCLK_PERIOD", 0x6084, 0 }, + { "DBG_TRACE_CONF", 0x6088, 0 }, + { "dbg_trace_operate_with_trg", 5, 1 }, + { "dbg_trace_operate_en", 4, 1 }, + { "dbg_operate_indv_combined", 3, 1 }, + { "dbg_operate_order_of_trigger", 2, 1 }, + { "dbg_operate_sgl_dbl_trigger", 1, 1 }, + { "dbg_operate0_or_1", 0, 1 }, + { "DBG_TRACE_RDEN", 0x608c, 0 }, + { "RD_ADDR1", 11, 9 }, + { "RD_ADDR0", 2, 9 }, + { "Rd_en1", 1, 1 }, + { "Rd_en0", 0, 1 }, + { "DBG_TRACE_WRADDR", 0x6090, 0 }, + { "Wr_pointer_addr1", 16, 9 }, + { "Wr_pointer_addr0", 0, 9 }, + { "DBG_TRACE0_DATA_OUT", 0x6094, 0 }, + { "DBG_TRACE1_DATA_OUT", 0x6098, 0 }, + { "DBG_FUSE_SENSE_DONE", 0x609c, 0 }, + { "PSRO_sel", 1, 4 }, + { "FUSE_DONE_SENSE", 0, 1 }, + { "DBG_TVSENSE_EN", 0x60a8, 0 }, + { "MCIMPED1_out", 29, 1 }, + { "MCIMPED2_out", 28, 1 }, + { "TVSENSE_SNSOUT", 17, 9 }, + { "TVSENSE_OUTPUTVALID", 16, 1 }, + { "TVSENSE_SLEEP", 11, 1 }, + { "TVSENSE_SENSV", 10, 1 }, + { "TVSENSE_RST", 9, 1 }, + { "TVSENSE_RATIO", 0, 8 }, + { "DBG_CUST_EFUSE_OUT_EN", 0x60ac, 0 }, + { "DBG_CUST_EFUSE_SEL1_EN", 0x60b0, 0 }, + { "DBG_CUST_EFUSE_SEL2_EN", 0x60b4, 0 }, + { "DBG_FEENABLE", 29, 1 }, + { "DBG_FEF", 23, 6 }, + { "DBG_FEMIMICN", 22, 1 }, + { "DBG_FEGATEC", 21, 1 }, + { "DBG_FEPROGP", 20, 1 }, + { "DBG_FEREADCLK", 19, 1 }, + { "DBG_FERSEL", 3, 16 }, + { "DBG_FETIME", 0, 3 }, + { "DBG_STATIC_M_PLL_CONF1", 0x60b8, 0 }, + { "STATIC_M_PLL_MULTFRAC", 8, 24 }, + { "STATIC_M_PLL_FFSLEWRATE", 0, 8 }, + { "DBG_STATIC_M_PLL_CONF2", 0x60bc, 0 }, + { "STATIC_M_PLL_PREDIV", 24, 6 }, + { "STATIC_M_PLL_DCO_BYPASS", 23, 1 }, + { "STATIC_M_PLL_SDORDER", 21, 2 }, + { "STATIC_M_PLL_FFENABLE", 20, 1 }, + { "STATIC_M_PLL_STOPCLKB", 19, 1 }, + { "STATIC_M_PLL_STOPCLKA", 18, 1 }, + { "STATIC_M_PLL_SLEEP", 17, 1 }, + { "STATIC_M_PLL_BYPASS", 16, 1 }, + { "STATIC_M_PLL_LOCKTUNE", 0, 5 }, + { "DBG_STATIC_M_PLL_CONF3", 0x60c0, 0 }, + { "STATIC_M_PLL_MULTPRE", 30, 2 }, + { "STATIC_M_PLL_LOCKSEL", 28, 1 }, + { "STATIC_M_PLL_FFTUNE", 12, 16 }, + { "STATIC_M_PLL_RANGEPRE", 10, 2 }, + { "STATIC_M_PLL_RANGEB", 5, 5 }, + { "STATIC_M_PLL_RANGEA", 0, 5 }, + { "DBG_STATIC_M_PLL_CONF4", 0x60c4, 0 }, + { "DBG_STATIC_M_PLL_CONF5", 0x60c8, 0 }, + { "STATIC_M_PLL_VCVTUNE", 24, 3 }, + { "STATIC_M_PLL_RESET", 23, 1 }, + { "STATIC_MPLL_REFCLK_SEL", 22, 1 }, + { "STATIC_M_PLL_LFTUNE_32_40", 13, 9 }, + { "STATIC_M_PLL_MULT", 0, 8 }, + { "DBG_STATIC_M_PLL_CONF6", 0x60cc, 0 }, + { "STATIC_M_PLL_DIVCHANGE", 30, 1 }, + { "STATIC_M_PLL_FRAMESTOP", 29, 1 }, + { "STATIC_M_PLL_FASTSTOP", 28, 1 }, + { "STATIC_M_PLL_FFBYPASS", 27, 1 }, + { "STATIC_M_PLL_STARTUP", 25, 2 }, + { "STATIC_M_PLL_VREGTUNE", 6, 19 }, + { "STATIC_PHY0RecRst_", 5, 1 }, + { "STATIC_PHY1RecRst_", 4, 1 }, + { "STATIC_SWMC0Rst_", 3, 1 }, + { "STATIC_SWMC0CfgRst_", 2, 1 }, + { "STATIC_SWMC1Rst_", 1, 1 }, + { "STATIC_SWMC1CfgRst_", 0, 1 }, + { "DBG_STATIC_C_PLL_CONF1", 0x60d0, 0 }, + { "STATIC_C_PLL_MULTFRAC", 8, 24 }, + { "STATIC_C_PLL_FFSLEWRATE", 0, 8 }, + { "DBG_STATIC_C_PLL_CONF2", 0x60d4, 0 }, + { "STATIC_C_PLL_PREDIV", 26, 6 }, + { "STATIC_C_PLL_STARTUP", 24, 2 }, + { "STATIC_C_PLL_DCO_BYPASS", 23, 1 }, + { "STATIC_C_PLL_SDORDER", 21, 2 }, + { "STATIC_C_PLL_DIVCHANGE", 20, 1 }, + { "STATIC_C_PLL_STOPCLKB", 19, 1 }, + { "STATIC_C_PLL_STOPCLKA", 18, 1 }, + { "STATIC_C_PLL_SLEEP", 17, 1 }, + { "STATIC_C_PLL_BYPASS", 16, 1 }, + { "STATIC_C_PLL_LOCKTUNE", 0, 5 }, + { "DBG_STATIC_C_PLL_CONF3", 0x60d8, 0 }, + { "STATIC_C_PLL_MULTPRE", 30, 2 }, + { "STATIC_C_PLL_LOCKSEL", 28, 1 }, + { "STATIC_C_PLL_FFTUNE", 12, 16 }, + { "STATIC_C_PLL_RANGEPRE", 10, 2 }, + { "STATIC_C_PLL_RANGEB", 5, 5 }, + { "STATIC_C_PLL_RANGEA", 0, 5 }, + { "DBG_STATIC_C_PLL_CONF4", 0x60dc, 0 }, + { "DBG_STATIC_C_PLL_CONF5", 0x60e0, 0 }, + { "STATIC_C_PLL_FFBYPASS", 27, 1 }, + { "STATIC_C_PLL_FASTSTOP", 26, 1 }, + { "STATIC_C_PLL_FRAMESTOP", 25, 1 }, + { "STATIC_C_PLL_VCVTUNE", 22, 3 }, + { "STATIC_C_PLL_LFTUNE_32_40", 13, 9 }, + { "STATIC_C_PLL_PREDIV", 8, 5 }, + { "STATIC_C_PLL_MULT", 0, 8 }, + { "DBG_STATIC_U_PLL_CONF1", 0x60e4, 0 }, + { "STATIC_U_PLL_MULTFRAC", 8, 24 }, + { "STATIC_U_PLL_FFSLEWRATE", 0, 8 }, + { "DBG_STATIC_U_PLL_CONF2", 0x60e8, 0 }, + { "STATIC_U_PLL_PREDIV", 26, 6 }, + { "STATIC_U_PLL_STARTUP", 24, 2 }, + { "STATIC_U_PLL_DCO_BYPASS", 23, 1 }, + { "STATIC_U_PLL_SDORDER", 21, 2 }, + { "STATIC_U_PLL_DIVCHANGE", 20, 1 }, + { "STATIC_U_PLL_STOPCLKB", 19, 1 }, + { "STATIC_U_PLL_STOPCLKA", 18, 1 }, + { "STATIC_U_PLL_SLEEP", 17, 1 }, + { "STATIC_U_PLL_BYPASS", 16, 1 }, + { "STATIC_U_PLL_LOCKTUNE", 0, 5 }, + { "DBG_STATIC_U_PLL_CONF3", 0x60ec, 0 }, + { "STATIC_U_PLL_MULTPRE", 30, 2 }, + { "STATIC_U_PLL_LOCKSEL", 28, 1 }, + { "STATIC_U_PLL_FFTUNE", 12, 16 }, + { "STATIC_U_PLL_RANGEPRE", 10, 2 }, + { "STATIC_U_PLL_RANGEB", 5, 5 }, + { "STATIC_U_PLL_RANGEA", 0, 5 }, + { "DBG_STATIC_U_PLL_CONF4", 0x60f0, 0 }, + { "DBG_STATIC_U_PLL_CONF5", 0x60f4, 0 }, + { "STATIC_U_PLL_FFBYPASS", 27, 1 }, + { "STATIC_U_PLL_FASTSTOP", 26, 1 }, + { "STATIC_U_PLL_FRAMESTOP", 25, 1 }, + { "STATIC_U_PLL_VCVTUNE", 22, 3 }, + { "STATIC_U_PLL_LFTUNE_32_40", 13, 9 }, + { "STATIC_U_PLL_PREDIV", 8, 5 }, + { "STATIC_U_PLL_MULT", 0, 8 }, + { "DBG_STATIC_KR_PLL_CONF1", 0x60f8, 0 }, + { "STATIC_KR_PLL_BYPASS", 30, 1 }, + { "STATIC_KR_PLL_VBOOSTDIV", 27, 3 }, + { "STATIC_KR_PLL_CPISEL", 24, 3 }, + { "STATIC_KR_PLL_CCALMETHOD", 23, 1 }, + { "STATIC_KR_PLL_CCALLOAD", 22, 1 }, + { "STATIC_KR_PLL_CCALFMIN", 21, 1 }, + { "STATIC_KR_PLL_CCALFMAX", 20, 1 }, + { "STATIC_KR_PLL_CCALCVHOLD", 19, 1 }, + { "STATIC_KR_PLL_CCALBANDSEL", 15, 4 }, + { "STATIC_KR_PLL_BGOFFSET", 11, 4 }, + { "STATIC_KR_PLL_P", 8, 3 }, + { "STATIC_KR_PLL_N2", 4, 4 }, + { "STATIC_KR_PLL_N1", 0, 4 }, + { "DBG_STATIC_KR_PLL_CONF2", 0x60fc, 0 }, + { "STATIC_KR_PLL_M", 11, 9 }, + { "STATIC_KR_PLL_ANALOGTUNE", 0, 11 }, + { "DBG_STATIC_KX_PLL_CONF1", 0x6108, 0 }, + { "STATIC_KX_PLL_BYPASS", 30, 1 }, + { "STATIC_KX_PLL_VBOOSTDIV", 27, 3 }, + { "STATIC_KX_PLL_CPISEL", 24, 3 }, + { "STATIC_KX_PLL_CCALMETHOD", 23, 1 }, + { "STATIC_KX_PLL_CCALLOAD", 22, 1 }, + { "STATIC_KX_PLL_CCALFMIN", 21, 1 }, + { "STATIC_KX_PLL_CCALFMAX", 20, 1 }, + { "STATIC_KX_PLL_CCALCVHOLD", 19, 1 }, + { "STATIC_KX_PLL_CCALBANDSEL", 15, 4 }, + { "STATIC_KX_PLL_BGOFFSET", 11, 4 }, + { "STATIC_KX_PLL_P", 8, 3 }, + { "STATIC_KX_PLL_N2", 4, 4 }, + { "STATIC_KX_PLL_N1", 0, 4 }, + { "DBG_STATIC_KX_PLL_CONF2", 0x610c, 0 }, + { "STATIC_KX_PLL_M", 11, 9 }, + { "STATIC_KX_PLL_ANALOGTUNE", 0, 11 }, + { "DBG_STATIC_C_DFS_CONF", 0x6110, 0 }, + { "STATIC_C_DFS_RANGEA", 8, 5 }, + { "STATIC_C_DFS_RANGEB", 3, 5 }, + { "STATIC_C_DFS_FFTUNE4", 2, 1 }, + { "STATIC_C_DFS_FFTUNE5", 1, 1 }, + { "STATIC_C_DFS_ENABLE", 0, 1 }, + { "DBG_STATIC_U_DFS_CONF", 0x6114, 0 }, + { "STATIC_U_DFS_RANGEA", 8, 5 }, + { "STATIC_U_DFS_RANGEB", 3, 5 }, + { "STATIC_U_DFS_FFTUNE4", 2, 1 }, + { "STATIC_U_DFS_FFTUNE5", 1, 1 }, + { "STATIC_U_DFS_ENABLE", 0, 1 }, + { "DBG_GPIO_PE_EN", 0x6118, 0 }, + { "GPIO19_PE_En", 19, 1 }, + { "GPIO18_PE_En", 18, 1 }, + { "GPIO17_PE_En", 17, 1 }, + { "GPIO16_PE_En", 16, 1 }, + { "GPIO15_PE_En", 15, 1 }, + { "GPIO14_PE_En", 14, 1 }, + { "GPIO13_PE_En", 13, 1 }, + { "GPIO12_PE_En", 12, 1 }, + { "GPIO11_PE_En", 11, 1 }, + { "GPIO10_PE_En", 10, 1 }, + { "GPIO9_PE_En", 9, 1 }, + { "GPIO8_PE_En", 8, 1 }, + { "GPIO7_PE_En", 7, 1 }, + { "GPIO6_PE_En", 6, 1 }, + { "GPIO5_PE_En", 5, 1 }, + { "GPIO4_PE_En", 4, 1 }, + { "GPIO3_PE_En", 3, 1 }, + { "GPIO2_PE_En", 2, 1 }, + { "GPIO1_PE_En", 1, 1 }, + { "GPIO0_PE_En", 0, 1 }, + { "DBG_GPIO_PS_EN", 0x611c, 0 }, + { "GPIO19_PS_En", 19, 1 }, + { "GPIO18_PS_En", 18, 1 }, + { "GPIO17_PS_En", 17, 1 }, + { "GPIO16_PS_En", 16, 1 }, + { "GPIO15_PS_En", 15, 1 }, + { "GPIO14_PS_En", 14, 1 }, + { "GPIO13_PS_En", 13, 1 }, + { "GPIO12_PS_En", 12, 1 }, + { "GPIO11_PS_En", 11, 1 }, + { "GPIO10_PS_En", 10, 1 }, + { "GPIO9_PS_En", 9, 1 }, + { "GPIO8_PS_En", 8, 1 }, + { "GPIO7_PS_En", 7, 1 }, + { "GPIO6_PS_En", 6, 1 }, + { "GPIO5_PS_En", 5, 1 }, + { "GPIO4_PS_En", 4, 1 }, + { "GPIO3_PS_En", 3, 1 }, + { "GPIO2_PS_En", 2, 1 }, + { "GPIO1_PS_En", 1, 1 }, + { "GPIO0_PS_En", 0, 1 }, + { "DBG_EFUSE_BYTE16_19", 0x6120, 0 }, + { "DBG_EFUSE_BYTE20_23", 0x6124, 0 }, + { "DBG_EFUSE_BYTE24_27", 0x6128, 0 }, + { "DBG_EFUSE_BYTE28_31", 0x612c, 0 }, + { "DBG_EFUSE_BYTE32_35", 0x6130, 0 }, + { "DBG_EFUSE_BYTE36_39", 0x6134, 0 }, + { "DBG_EFUSE_BYTE40_43", 0x6138, 0 }, + { "DBG_EFUSE_BYTE44_47", 0x613c, 0 }, + { "DBG_EFUSE_BYTE48_51", 0x6140, 0 }, + { "DBG_EFUSE_BYTE52_55", 0x6144, 0 }, + { "DBG_EFUSE_BYTE56_59", 0x6148, 0 }, + { "DBG_EFUSE_BYTE60_63", 0x614c, 0 }, + { "DBG_STATIC_U_PLL_CONF6", 0x6150, 0 }, + { "DBG_STATIC_C_PLL_CONF6", 0x6154, 0 }, + { "DBG_CUST_EFUSE_PROGRAM", 0x6158, 0 }, + { "EFUSE_PROG_PERIOD", 16, 16 }, + { "EFUSE_OPER_TYP", 14, 2 }, + { "EFUSE_ADDR", 8, 6 }, + { "EFUSE_DIN", 0, 8 }, + { "DBG_CUST_EFUSE_OUT", 0x615c, 0 }, + { "EFUSE_OPER_DONE", 8, 1 }, + { "EFUSE_DOUT", 0, 8 }, + { "DBG_CUST_EFUSE_BYTE0_3", 0x6160, 0 }, + { "DBG_CUST_EFUSE_BYTE4_7", 0x6164, 0 }, + { "DBG_CUST_EFUSE_BYTE8_11", 0x6168, 0 }, + { "DBG_CUST_EFUSE_BYTE12_15", 0x616c, 0 }, + { "DBG_CUST_EFUSE_BYTE16_19", 0x6170, 0 }, + { "DBG_CUST_EFUSE_BYTE20_23", 0x6174, 0 }, + { "DBG_CUST_EFUSE_BYTE24_27", 0x6178, 0 }, + { "DBG_CUST_EFUSE_BYTE28_31", 0x617c, 0 }, + { "DBG_CUST_EFUSE_BYTE32_35", 0x6180, 0 }, + { "DBG_CUST_EFUSE_BYTE36_39", 0x6184, 0 }, + { "DBG_CUST_EFUSE_BYTE40_43", 0x6188, 0 }, + { "DBG_CUST_EFUSE_BYTE44_47", 0x618c, 0 }, + { "DBG_CUST_EFUSE_BYTE48_51", 0x6190, 0 }, + { "DBG_CUST_EFUSE_BYTE52_55", 0x6194, 0 }, + { "DBG_CUST_EFUSE_BYTE56_59", 0x6198, 0 }, + { "DBG_CUST_EFUSE_BYTE60_63", 0x619c, 0 }, + { NULL } +}; + +struct reg_info t6_ma_regs[] = { + { "MA_CLIENT0_RD_LATENCY_THRESHOLD", 0x7700, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT0_WR_LATENCY_THRESHOLD", 0x7704, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT1_RD_LATENCY_THRESHOLD", 0x7708, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT1_WR_LATENCY_THRESHOLD", 0x770c, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT2_RD_LATENCY_THRESHOLD", 0x7710, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT2_WR_LATENCY_THRESHOLD", 0x7714, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT3_RD_LATENCY_THRESHOLD", 0x7718, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT3_WR_LATENCY_THRESHOLD", 0x771c, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT4_RD_LATENCY_THRESHOLD", 0x7720, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT4_WR_LATENCY_THRESHOLD", 0x7724, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT5_RD_LATENCY_THRESHOLD", 0x7728, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT5_WR_LATENCY_THRESHOLD", 0x772c, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT6_RD_LATENCY_THRESHOLD", 0x7730, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT6_WR_LATENCY_THRESHOLD", 0x7734, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT7_RD_LATENCY_THRESHOLD", 0x7738, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT7_WR_LATENCY_THRESHOLD", 0x773c, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT8_RD_LATENCY_THRESHOLD", 0x7740, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT8_WR_LATENCY_THRESHOLD", 0x7744, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT9_RD_LATENCY_THRESHOLD", 0x7748, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT9_WR_LATENCY_THRESHOLD", 0x774c, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT10_RD_LATENCY_THRESHOLD", 0x7750, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT10_WR_LATENCY_THRESHOLD", 0x7754, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT11_RD_LATENCY_THRESHOLD", 0x7758, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT11_WR_LATENCY_THRESHOLD", 0x775c, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT12_RD_LATENCY_THRESHOLD", 0x7760, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_CLIENT12_WR_LATENCY_THRESHOLD", 0x7764, 0 }, + { "THRESHOLD1", 17, 15 }, + { "THRESHOLD1_EN", 16, 1 }, + { "THRESHOLD0", 1, 15 }, + { "THRESHOLD0_EN", 0, 1 }, + { "MA_SGE_TH0_DEBUG_CNT", 0x7768, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_SGE_TH1_DEBUG_CNT", 0x776c, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_ULPTX_DEBUG_CNT", 0x7770, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_ULPRX_DEBUG_CNT", 0x7774, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_ULPTXRX_DEBUG_CNT", 0x7778, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_TP_TH0_DEBUG_CNT", 0x777c, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_TP_TH1_DEBUG_CNT", 0x7780, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_LE_DEBUG_CNT", 0x7784, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_CIM_DEBUG_CNT", 0x7788, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_PCIE_DEBUG_CNT", 0x778c, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_PMTX_DEBUG_CNT", 0x7790, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_PMRX_DEBUG_CNT", 0x7794, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_HMA_DEBUG_CNT", 0x7798, 0 }, + { "DBG_READ_DATA_CNT", 24, 8 }, + { "DBG_READ_REQ_CNT", 16, 8 }, + { "DBG_WRITE_DATA_CNT", 8, 8 }, + { "DBG_WRITE_REQ_CNT", 0, 8 }, + { "MA_EDRAM0_BAR", 0x77c0, 0 }, + { "EDRAM0_BASE", 16, 12 }, + { "EDRAM0_SIZE", 0, 12 }, + { "MA_EDRAM1_BAR", 0x77c4, 0 }, + { "EDRAM1_BASE", 16, 12 }, + { "EDRAM1_SIZE", 0, 12 }, + { "MA_EXT_MEMORY0_BAR", 0x77c8, 0 }, + { "EXT_MEM0_BASE", 16, 12 }, + { "EXT_MEM0_SIZE", 0, 12 }, + { "MA_HOST_MEMORY_BAR", 0x77cc, 0 }, + { "HMA_BASE", 16, 12 }, + { "HMA_SIZE", 0, 12 }, + { "MA_EXT_MEM_PAGE_SIZE", 0x77d0, 0 }, + { "BRBC_MODE", 4, 1 }, + { "BRC_MODE", 3, 1 }, + { "EXT_MEM_PAGE_SIZE", 0, 3 }, + { "MA_ARB_CTRL", 0x77d4, 0 }, + { "HMA_WRT_EN", 26, 1 }, + { "HMA_NUM_PG_128B_FDBK", 21, 5 }, + { "HMA_DIS_128B_PG_CNT_FDBK", 20, 1 }, + { "HMA_DIS_BG_ARB", 19, 1 }, + { "HMA_DIS_BANK_FAIR", 18, 1 }, + { "HMA_DIS_PAGE_HINT", 17, 1 }, + { "HMA_DIS_ADV_ARB", 16, 1 }, + { "NUM_PG_128B_FDBK", 5, 5 }, + { "DIS_128B_PG_CNT_FDBK", 4, 1 }, + { "DIS_BG_ARB", 3, 1 }, + { "DIS_BANK_FAIR", 2, 1 }, + { "DIS_PAGE_HINT", 1, 1 }, + { "DIS_ADV_ARB", 0, 1 }, + { "MA_TARGET_MEM_ENABLE", 0x77d8, 0 }, + { "MC_SPLIT", 6, 1 }, + { "HMA_MUX", 5, 1 }, + { "EXT_MEM1_ENABLE", 4, 1 }, + { "HMA_ENABLE", 3, 1 }, + { "EXT_MEM0_ENABLE", 2, 1 }, + { "EDRAM1_ENABLE", 1, 1 }, + { "EDRAM0_ENABLE", 0, 1 }, + { "MA_INT_ENABLE", 0x77dc, 0 }, + { "MEM_TO_INT_ENABLE", 2, 1 }, + { "MEM_PERR_INT_ENABLE", 1, 1 }, + { "MEM_WRAP_INT_ENABLE", 0, 1 }, + { "MA_INT_CAUSE", 0x77e0, 0 }, + { "MEM_TO_INT_CAUSE", 2, 1 }, + { "MEM_PERR_INT_CAUSE", 1, 1 }, + { "MEM_WRAP_INT_CAUSE", 0, 1 }, + { "MA_INT_WRAP_STATUS", 0x77e4, 0 }, + { "MEM_WRAP_ADDRESS", 4, 28 }, + { "MEM_WRAP_CLIENT_NUM", 0, 4 }, + { "MA_TP_THREAD1_MAPPER", 0x77e8, 0 }, + { "MA_SGE_THREAD1_MAPPER", 0x77ec, 0 }, + { "MA_PARITY_ERROR_ENABLE1", 0x77f0, 0 }, + { "TP_DMARBT_PAR_ERROR_EN", 31, 1 }, + { "LOGIC_FIFO_PAR_ERROR_EN", 30, 1 }, + { "ARB3_PAR_WRQUEUE_ERROR_EN", 29, 1 }, + { "ARB2_PAR_WRQUEUE_ERROR_EN", 28, 1 }, + { "ARB1_PAR_WRQUEUE_ERROR_EN", 27, 1 }, + { "ARB0_PAR_WRQUEUE_ERROR_EN", 26, 1 }, + { "ARB3_PAR_RDQUEUE_ERROR_EN", 25, 1 }, + { "ARB2_PAR_RDQUEUE_ERROR_EN", 24, 1 }, + { "ARB1_PAR_RDQUEUE_ERROR_EN", 23, 1 }, + { "ARB0_PAR_RDQUEUE_ERROR_EN", 22, 1 }, + { "CL10_PAR_WRQUEUE_ERROR_EN", 21, 1 }, + { "CL9_PAR_WRQUEUE_ERROR_EN", 20, 1 }, + { "CL8_PAR_WRQUEUE_ERROR_EN", 19, 1 }, + { "CL7_PAR_WRQUEUE_ERROR_EN", 18, 1 }, + { "CL6_PAR_WRQUEUE_ERROR_EN", 17, 1 }, + { "CL5_PAR_WRQUEUE_ERROR_EN", 16, 1 }, + { "CL4_PAR_WRQUEUE_ERROR_EN", 15, 1 }, + { "CL3_PAR_WRQUEUE_ERROR_EN", 14, 1 }, + { "CL2_PAR_WRQUEUE_ERROR_EN", 13, 1 }, + { "CL1_PAR_WRQUEUE_ERROR_EN", 12, 1 }, + { "CL0_PAR_WRQUEUE_ERROR_EN", 11, 1 }, + { "CL10_PAR_RDQUEUE_ERROR_EN", 10, 1 }, + { "CL9_PAR_RDQUEUE_ERROR_EN", 9, 1 }, + { "CL8_PAR_RDQUEUE_ERROR_EN", 8, 1 }, + { "CL7_PAR_RDQUEUE_ERROR_EN", 7, 1 }, + { "CL6_PAR_RDQUEUE_ERROR_EN", 6, 1 }, + { "CL5_PAR_RDQUEUE_ERROR_EN", 5, 1 }, + { "CL4_PAR_RDQUEUE_ERROR_EN", 4, 1 }, + { "CL3_PAR_RDQUEUE_ERROR_EN", 3, 1 }, + { "CL2_PAR_RDQUEUE_ERROR_EN", 2, 1 }, + { "CL1_PAR_RDQUEUE_ERROR_EN", 1, 1 }, + { "CL0_PAR_RDQUEUE_ERROR_EN", 0, 1 }, + { "MA_PARITY_ERROR_STATUS1", 0x77f4, 0 }, + { "TP_DMARBT_PAR_ERROR", 31, 1 }, + { "LOGIC_FIFO_PAR_ERROR", 30, 1 }, + { "ARB3_PAR_WRQUEUE_ERROR", 29, 1 }, + { "ARB2_PAR_WRQUEUE_ERROR", 28, 1 }, + { "ARB1_PAR_WRQUEUE_ERROR", 27, 1 }, + { "ARB0_PAR_WRQUEUE_ERROR", 26, 1 }, + { "ARB3_PAR_RDQUEUE_ERROR", 25, 1 }, + { "ARB2_PAR_RDQUEUE_ERROR", 24, 1 }, + { "ARB1_PAR_RDQUEUE_ERROR", 23, 1 }, + { "ARB0_PAR_RDQUEUE_ERROR", 22, 1 }, + { "CL10_PAR_WRQUEUE_ERROR", 21, 1 }, + { "CL9_PAR_WRQUEUE_ERROR", 20, 1 }, + { "CL8_PAR_WRQUEUE_ERROR", 19, 1 }, + { "CL7_PAR_WRQUEUE_ERROR", 18, 1 }, + { "CL6_PAR_WRQUEUE_ERROR", 17, 1 }, + { "CL5_PAR_WRQUEUE_ERROR", 16, 1 }, + { "CL4_PAR_WRQUEUE_ERROR", 15, 1 }, + { "CL3_PAR_WRQUEUE_ERROR", 14, 1 }, + { "CL2_PAR_WRQUEUE_ERROR", 13, 1 }, + { "CL1_PAR_WRQUEUE_ERROR", 12, 1 }, + { "CL0_PAR_WRQUEUE_ERROR", 11, 1 }, + { "CL10_PAR_RDQUEUE_ERROR", 10, 1 }, + { "CL9_PAR_RDQUEUE_ERROR", 9, 1 }, + { "CL8_PAR_RDQUEUE_ERROR", 8, 1 }, + { "CL7_PAR_RDQUEUE_ERROR", 7, 1 }, + { "CL6_PAR_RDQUEUE_ERROR", 6, 1 }, + { "CL5_PAR_RDQUEUE_ERROR", 5, 1 }, + { "CL4_PAR_RDQUEUE_ERROR", 4, 1 }, + { "CL3_PAR_RDQUEUE_ERROR", 3, 1 }, + { "CL2_PAR_RDQUEUE_ERROR", 2, 1 }, + { "CL1_PAR_RDQUEUE_ERROR", 1, 1 }, + { "CL0_PAR_RDQUEUE_ERROR", 0, 1 }, + { "MA_SGE_PCIE_COHERANCY_CTRL", 0x77f8, 0 }, + { "BONUS_REG", 6, 26 }, + { "COHERANCY_CMD_TYPE", 4, 2 }, + { "COHERANCY_THREAD_NUM", 1, 3 }, + { "COHERANCY_ENABLE", 0, 1 }, + { "MA_ERROR_ENABLE", 0x77fc, 0 }, + { "FUTURE_EXPANSION_EE", 1, 31 }, + { "UE_ENABLE", 0, 1 }, + { "MA_PARITY_ERROR_ENABLE2", 0x7800, 0 }, + { "ARB4_PAR_WRQUEUE_ERROR_EN", 1, 1 }, + { "ARB4_PAR_RDQUEUE_ERROR_EN", 0, 1 }, + { "MA_PARITY_ERROR_STATUS2", 0x7804, 0 }, + { "ARB4_PAR_WRQUEUE_ERROR", 1, 1 }, + { "ARB4_PAR_RDQUEUE_ERROR", 0, 1 }, + { "MA_EXT_MEMORY1_BAR", 0x7808, 0 }, + { "EXT_MEM1_BASE", 16, 12 }, + { "EXT_MEM1_SIZE", 0, 12 }, + { "MA_PMTX_THROTTLE", 0x780c, 0 }, + { "FL_ENABLE", 31, 1 }, + { "FL_LIMIT", 0, 8 }, + { "MA_PMRX_THROTTLE", 0x7810, 0 }, + { "FL_ENABLE", 31, 1 }, + { "FL_LIMIT", 0, 8 }, + { "MA_SGE_TH0_WRDATA_CNT", 0x7814, 0 }, + { "MA_SGE_TH1_WRDATA_CNT", 0x7818, 0 }, + { "MA_ULPTX_WRDATA_CNT", 0x781c, 0 }, + { "MA_ULPRX_WRDATA_CNT", 0x7820, 0 }, + { "MA_ULPTXRX_WRDATA_CNT", 0x7824, 0 }, + { "MA_TP_TH0_WRDATA_CNT", 0x7828, 0 }, + { "MA_TP_TH1_WRDATA_CNT", 0x782c, 0 }, + { "MA_LE_WRDATA_CNT", 0x7830, 0 }, + { "MA_CIM_WRDATA_CNT", 0x7834, 0 }, + { "MA_PCIE_WRDATA_CNT", 0x7838, 0 }, + { "MA_PMTX_WRDATA_CNT", 0x783c, 0 }, + { "MA_PMRX_WRDATA_CNT", 0x7840, 0 }, + { "MA_HMA_WRDATA_CNT", 0x7844, 0 }, + { "MA_SGE_TH0_RDDATA_CNT", 0x7848, 0 }, + { "MA_SGE_TH1_RDDATA_CNT", 0x784c, 0 }, + { "MA_ULPTX_RDDATA_CNT", 0x7850, 0 }, + { "MA_ULPRX_RDDATA_CNT", 0x7854, 0 }, + { "MA_ULPTXRX_RDDATA_CNT", 0x7858, 0 }, + { "MA_TP_TH0_RDDATA_CNT", 0x785c, 0 }, + { "MA_TP_TH1_RDDATA_CNT", 0x7860, 0 }, + { "MA_LE_RDDATA_CNT", 0x7864, 0 }, + { "MA_CIM_RDDATA_CNT", 0x7868, 0 }, + { "MA_PCIE_RDDATA_CNT", 0x786c, 0 }, + { "MA_PMTX_RDDATA_CNT", 0x7870, 0 }, + { "MA_PMRX_RDDATA_CNT", 0x7874, 0 }, + { "MA_HMA_RDDATA_CNT", 0x7878, 0 }, + { "MA_EXIT_ADDR_FAULT", 0x787c, 0 }, + { "MA_DDR_DEVICE_CFG", 0x7880, 0 }, + { "MEM_WIDTH", 1, 3 }, + { "DDR_MODE", 0, 1 }, + { "MA_TIMEOUT_CFG", 0x78cc, 0 }, + { "CLR", 31, 1 }, + { "CNT_LOCK", 30, 1 }, + { "WRN", 24, 1 }, + { "DIR", 23, 1 }, + { "TYPE", 22, 1 }, + { "CLIENT", 16, 4 }, + { "DELAY", 0, 16 }, + { "MA_TIMEOUT_CNT", 0x78d0, 0 }, + { "DIR", 23, 1 }, + { "TYPE", 22, 1 }, + { "CLIENT", 16, 4 }, + { "CNT_VAL", 0, 16 }, + { "MA_WRITE_TIMEOUT_ERROR_ENABLE", 0x78d4, 0 }, + { "FUTURE_CEXPANSION_WTE", 29, 3 }, + { "CL12_WR_CMD_TO_EN", 28, 1 }, + { "CL11_WR_CMD_TO_EN", 27, 1 }, + { "CL10_WR_CMD_TO_EN", 26, 1 }, + { "CL9_WR_CMD_TO_EN", 25, 1 }, + { "CL8_WR_CMD_TO_EN", 24, 1 }, + { "CL7_WR_CMD_TO_EN", 23, 1 }, + { "CL6_WR_CMD_TO_EN", 22, 1 }, + { "CL5_WR_CMD_TO_EN", 21, 1 }, + { "CL4_WR_CMD_TO_EN", 20, 1 }, + { "CL3_WR_CMD_TO_EN", 19, 1 }, + { "CL2_WR_CMD_TO_EN", 18, 1 }, + { "CL1_WR_CMD_TO_EN", 17, 1 }, + { "CL0_WR_CMD_TO_EN", 16, 1 }, + { "FUTURE_DEXPANSION_WTE", 13, 3 }, + { "CL12_WR_DATA_TO_EN", 12, 1 }, + { "CL11_WR_DATA_TO_EN", 11, 1 }, + { "CL10_WR_DATA_TO_EN", 10, 1 }, + { "CL9_WR_DATA_TO_EN", 9, 1 }, + { "CL8_WR_DATA_TO_EN", 8, 1 }, + { "CL7_WR_DATA_TO_EN", 7, 1 }, + { "CL6_WR_DATA_TO_EN", 6, 1 }, + { "CL5_WR_DATA_TO_EN", 5, 1 }, + { "CL4_WR_DATA_TO_EN", 4, 1 }, + { "CL3_WR_DATA_TO_EN", 3, 1 }, + { "CL2_WR_DATA_TO_EN", 2, 1 }, + { "CL1_WR_DATA_TO_EN", 1, 1 }, + { "CL0_WR_DATA_TO_EN", 0, 1 }, + { "MA_WRITE_TIMEOUT_ERROR_STATUS", 0x78d8, 0 }, + { "FUTURE_CEXPANSION_WTS", 29, 3 }, + { "CL12_WR_CMD_TO_ERROR", 28, 1 }, + { "CL11_WR_CMD_TO_ERROR", 27, 1 }, + { "CL10_WR_CMD_TO_ERROR", 26, 1 }, + { "CL9_WR_CMD_TO_ERROR", 25, 1 }, + { "CL8_WR_CMD_TO_ERROR", 24, 1 }, + { "CL7_WR_CMD_TO_ERROR", 23, 1 }, + { "CL6_WR_CMD_TO_ERROR", 22, 1 }, + { "CL5_WR_CMD_TO_ERROR", 21, 1 }, + { "CL4_WR_CMD_TO_ERROR", 20, 1 }, + { "CL3_WR_CMD_TO_ERROR", 19, 1 }, + { "CL2_WR_CMD_TO_ERROR", 18, 1 }, + { "CL1_WR_CMD_TO_ERROR", 17, 1 }, + { "CL0_WR_CMD_TO_ERROR", 16, 1 }, + { "FUTURE_DEXPANSION_WTS", 13, 3 }, + { "CL12_WR_DATA_TO_ERROR", 12, 1 }, + { "CL11_WR_DATA_TO_ERROR", 11, 1 }, + { "CL10_WR_DATA_TO_ERROR", 10, 1 }, + { "CL9_WR_DATA_TO_ERROR", 9, 1 }, + { "CL8_WR_DATA_TO_ERROR", 8, 1 }, + { "CL7_WR_DATA_TO_ERROR", 7, 1 }, + { "CL6_WR_DATA_TO_ERROR", 6, 1 }, + { "CL5_WR_DATA_TO_ERROR", 5, 1 }, + { "CL4_WR_DATA_TO_ERROR", 4, 1 }, + { "CL3_WR_DATA_TO_ERROR", 3, 1 }, + { "CL2_WR_DATA_TO_ERROR", 2, 1 }, + { "CL1_WR_DATA_TO_ERROR", 1, 1 }, + { "CL0_WR_DATA_TO_ERROR", 0, 1 }, + { "MA_READ_TIMEOUT_ERROR_ENABLE", 0x78dc, 0 }, + { "FUTURE_CEXPANSION_RTE", 29, 3 }, + { "CL12_RD_CMD_TO_EN", 28, 1 }, + { "CL11_RD_CMD_TO_EN", 27, 1 }, + { "CL10_RD_CMD_TO_EN", 26, 1 }, + { "CL9_RD_CMD_TO_EN", 25, 1 }, + { "CL8_RD_CMD_TO_EN", 24, 1 }, + { "CL7_RD_CMD_TO_EN", 23, 1 }, + { "CL6_RD_CMD_TO_EN", 22, 1 }, + { "CL5_RD_CMD_TO_EN", 21, 1 }, + { "CL4_RD_CMD_TO_EN", 20, 1 }, + { "CL3_RD_CMD_TO_EN", 19, 1 }, + { "CL2_RD_CMD_TO_EN", 18, 1 }, + { "CL1_RD_CMD_TO_EN", 17, 1 }, + { "CL0_RD_CMD_TO_EN", 16, 1 }, + { "FUTURE_DEXPANSION_RTE", 13, 3 }, + { "CL12_RD_DATA_TO_EN", 12, 1 }, + { "CL11_RD_DATA_TO_EN", 11, 1 }, + { "CL10_RD_DATA_TO_EN", 10, 1 }, + { "CL9_RD_DATA_TO_EN", 9, 1 }, + { "CL8_RD_DATA_TO_EN", 8, 1 }, + { "CL7_RD_DATA_TO_EN", 7, 1 }, + { "CL6_RD_DATA_TO_EN", 6, 1 }, + { "CL5_RD_DATA_TO_EN", 5, 1 }, + { "CL4_RD_DATA_TO_EN", 4, 1 }, + { "CL3_RD_DATA_TO_EN", 3, 1 }, + { "CL2_RD_DATA_TO_EN", 2, 1 }, + { "CL1_RD_DATA_TO_EN", 1, 1 }, + { "CL0_RD_DATA_TO_EN", 0, 1 }, + { "MA_READ_TIMEOUT_ERROR_STATUS", 0x78e0, 0 }, + { "FUTURE_CEXPANSION_RTS", 29, 3 }, + { "CL12_RD_CMD_TO_ERROR", 28, 1 }, + { "CL11_RD_CMD_TO_ERROR", 27, 1 }, + { "CL10_RD_CMD_TO_ERROR", 26, 1 }, + { "CL9_RD_CMD_TO_ERROR", 25, 1 }, + { "CL8_RD_CMD_TO_ERROR", 24, 1 }, + { "CL7_RD_CMD_TO_ERROR", 23, 1 }, + { "CL6_RD_CMD_TO_ERROR", 22, 1 }, + { "CL5_RD_CMD_TO_ERROR", 21, 1 }, + { "CL4_RD_CMD_TO_ERROR", 20, 1 }, + { "CL3_RD_CMD_TO_ERROR", 19, 1 }, + { "CL2_RD_CMD_TO_ERROR", 18, 1 }, + { "CL1_RD_CMD_TO_ERROR", 17, 1 }, + { "CL0_RD_CMD_TO_ERROR", 16, 1 }, + { "FUTURE_DEXPANSION_RTS", 13, 3 }, + { "CL12_RD_DATA_TO_ERROR", 12, 1 }, + { "CL11_RD_DATA_TO_ERROR", 11, 1 }, + { "CL10_RD_DATA_TO_ERROR", 10, 1 }, + { "CL9_RD_DATA_TO_ERROR", 9, 1 }, + { "CL8_RD_DATA_TO_ERROR", 8, 1 }, + { "CL7_RD_DATA_TO_ERROR", 7, 1 }, + { "CL6_RD_DATA_TO_ERROR", 6, 1 }, + { "CL5_RD_DATA_TO_ERROR", 5, 1 }, + { "CL4_RD_DATA_TO_ERROR", 4, 1 }, + { "CL3_RD_DATA_TO_ERROR", 3, 1 }, + { "CL2_RD_DATA_TO_ERROR", 2, 1 }, + { "CL1_RD_DATA_TO_ERROR", 1, 1 }, + { "CL0_RD_DATA_TO_ERROR", 0, 1 }, + { "MA_BKP_CNT_SEL", 0x78e4, 0 }, + { "TYPE", 30, 2 }, + { "CLIENT", 24, 4 }, + { "MA_BKP_CNT", 0x78e8, 0 }, + { "MA_WRT_ARB", 0x78ec, 0 }, + { "WRT_EN", 31, 1 }, + { "WR_TIM", 16, 8 }, + { "RD_WIN", 8, 8 }, + { "WR_WIN", 0, 8 }, + { "MA_IF_PARITY_ERROR_ENABLE", 0x78f0, 0 }, + { "FUTURE_DEXPANSION_IPE", 13, 19 }, + { "CL12_IF_PAR_EN", 12, 1 }, + { "CL11_IF_PAR_EN", 11, 1 }, + { "CL10_IF_PAR_EN", 10, 1 }, + { "CL9_IF_PAR_EN", 9, 1 }, + { "CL8_IF_PAR_EN", 8, 1 }, + { "CL7_IF_PAR_EN", 7, 1 }, + { "CL6_IF_PAR_EN", 6, 1 }, + { "CL5_IF_PAR_EN", 5, 1 }, + { "CL4_IF_PAR_EN", 4, 1 }, + { "CL3_IF_PAR_EN", 3, 1 }, + { "CL2_IF_PAR_EN", 2, 1 }, + { "CL1_IF_PAR_EN", 1, 1 }, + { "CL0_IF_PAR_EN", 0, 1 }, + { "MA_IF_PARITY_ERROR_STATUS", 0x78f4, 0 }, + { "FUTURE_DEXPANSION_IPS", 13, 19 }, + { "CL12_IF_PAR_ERROR", 12, 1 }, + { "CL11_IF_PAR_ERROR", 11, 1 }, + { "CL10_IF_PAR_ERROR", 10, 1 }, + { "CL9_IF_PAR_ERROR", 9, 1 }, + { "CL8_IF_PAR_ERROR", 8, 1 }, + { "CL7_IF_PAR_ERROR", 7, 1 }, + { "CL6_IF_PAR_ERROR", 6, 1 }, + { "CL5_IF_PAR_ERROR", 5, 1 }, + { "CL4_IF_PAR_ERROR", 4, 1 }, + { "CL3_IF_PAR_ERROR", 3, 1 }, + { "CL2_IF_PAR_ERROR", 2, 1 }, + { "CL1_IF_PAR_ERROR", 1, 1 }, + { "CL0_IF_PAR_ERROR", 0, 1 }, + { "MA_LOCAL_DEBUG_CFG", 0x78f8, 0 }, + { "DEBUG_OR", 15, 1 }, + { "DEBUG_HI", 14, 1 }, + { "DEBUG_RPT", 13, 1 }, + { "DEBUGPAGE", 10, 3 }, + { "DEBUGSELH", 5, 5 }, + { "DEBUGSELL", 0, 5 }, + { "MA_LOCAL_DEBUG_RPT", 0x78fc, 0 }, + { NULL } +}; + +struct reg_info t6_cim_regs[] = { + { "CIM_BOOT_CFG", 0x7b00, 0 }, + { "BootAddr", 8, 24 }, + { "uPGen", 2, 6 }, + { "BootSdram", 1, 1 }, + { "uPCRst", 0, 1 }, + { "CIM_BOOT_LEN", 0x7bf0, 0 }, + { "BootLen", 4, 28 }, + { "CIM_FLASH_BASE_ADDR", 0x7b04, 0 }, + { "FlashBaseAddr", 6, 18 }, + { "CIM_FLASH_ADDR_SIZE", 0x7b08, 0 }, + { "FlashAddrSize", 4, 20 }, + { "CIM_EEPROM_BASE_ADDR", 0x7b0c, 0 }, + { "EEPROMBaseAddr", 6, 18 }, + { "CIM_EEPROM_ADDR_SIZE", 0x7b10, 0 }, + { "EEPROMAddrSize", 4, 20 }, + { "CIM_SDRAM_BASE_ADDR", 0x7b14, 0 }, + { "SdramBaseAddr", 6, 26 }, + { "CIM_SDRAM_ADDR_SIZE", 0x7b18, 0 }, + { "SdramAddrSize", 4, 28 }, + { "CIM_EXTMEM2_BASE_ADDR", 0x7b1c, 0 }, + { "ExtMem2BaseAddr", 6, 26 }, + { "CIM_EXTMEM2_ADDR_SIZE", 0x7b20, 0 }, + { "ExtMem2AddrSize", 4, 28 }, + { "CIM_UP_SPARE_INT", 0x7b24, 0 }, + { "TDebugInt", 4, 1 }, + { "BootVecSel", 3, 1 }, + { "uPSpareInt", 0, 3 }, + { "CIM_HOST_INT_ENABLE", 0x7b28, 0 }, + { "PCIE2CIMIntfParErr", 29, 1 }, + { "ma_cim_IntfPerr", 28, 1 }, + { "PLCIM_MstRspDataParErr", 27, 1 }, + { "NCSI2CIMIntfParErr", 26, 1 }, + { "SGE2CIMIntfParErr", 25, 1 }, + { "ULP2CIMIntfParErr", 24, 1 }, + { "TP2CIMIntfParErr", 23, 1 }, + { "OBQSGERx1ParErr", 22, 1 }, + { "OBQSGERx0ParErr", 21, 1 }, + { "TieQOutParErrIntEn", 20, 1 }, + { "TieQInParErrIntEn", 19, 1 }, + { "MBHostParErr", 18, 1 }, + { "MBuPParErr", 17, 1 }, + { "IBQTP0ParErr", 16, 1 }, + { "IBQTP1ParErr", 15, 1 }, + { "IBQULPParErr", 14, 1 }, + { "IBQSGELOParErr", 13, 1 }, + { "IBQPCIEParErr", 12, 1 }, + { "IBQNCSIParErr", 11, 1 }, + { "OBQULP0ParErr", 10, 1 }, + { "OBQULP1ParErr", 9, 1 }, + { "OBQULP2ParErr", 8, 1 }, + { "OBQULP3ParErr", 7, 1 }, + { "OBQSGEParErr", 6, 1 }, + { "OBQNCSIParErr", 5, 1 }, + { "Timer1IntEn", 3, 1 }, + { "Timer0IntEn", 2, 1 }, + { "PrefDropIntEn", 1, 1 }, + { "CIM_HOST_INT_CAUSE", 0x7b2c, 0 }, + { "PCIE2CIMIntfParErr", 29, 1 }, + { "ma_cim_IntfPerr", 28, 1 }, + { "PLCIM_MstRspDataParErr", 27, 1 }, + { "NCSI2CIMIntfParErr", 26, 1 }, + { "SGE2CIMIntfParErr", 25, 1 }, + { "ULP2CIMIntfParErr", 24, 1 }, + { "TP2CIMIntfParErr", 23, 1 }, + { "OBQSGERx1ParErr", 22, 1 }, + { "OBQSGERx0ParErr", 21, 1 }, + { "TieQOutParErrInt", 20, 1 }, + { "TieQInParErrInt", 19, 1 }, + { "MBHostParErr", 18, 1 }, + { "IBQTP0ParErr", 16, 1 }, + { "IBQTP1ParErr", 15, 1 }, + { "IBQULPParErr", 14, 1 }, + { "IBQSGELOParErr", 13, 1 }, + { "IBQPCIEParErr", 12, 1 }, + { "IBQNCSIParErr", 11, 1 }, + { "OBQULP0ParErr", 10, 1 }, + { "OBQULP1ParErr", 9, 1 }, + { "OBQULP2ParErr", 8, 1 }, + { "OBQULP3ParErr", 7, 1 }, + { "OBQSGEParErr", 6, 1 }, + { "OBQNCSIParErr", 5, 1 }, + { "Timer1Int", 3, 1 }, + { "Timer0Int", 2, 1 }, + { "PrefDropInt", 1, 1 }, + { "uPAccNonZero", 0, 1 }, + { "CIM_HOST_UPACC_INT_ENABLE", 0x7b30, 0 }, + { "EEPROMWRIntEn", 30, 1 }, + { "TimeOutMAIntEn", 29, 1 }, + { "TimeOutIntEn", 28, 1 }, + { "RspOvrLookupIntEn", 27, 1 }, + { "ReqOvrLookupIntEn", 26, 1 }, + { "BlkWrPlIntEn", 25, 1 }, + { "BlkRdPlIntEn", 24, 1 }, + { "SglWrPlIntEn", 23, 1 }, + { "SglRdPlIntEn", 22, 1 }, + { "BlkWrCtlIntEn", 21, 1 }, + { "BlkRdCtlIntEn", 20, 1 }, + { "SglWrCtlIntEn", 19, 1 }, + { "SglRdCtlIntEn", 18, 1 }, + { "BlkWrEEPROMIntEn", 17, 1 }, + { "BlkRdEEPROMIntEn", 16, 1 }, + { "SglWrEEPROMIntEn", 15, 1 }, + { "SglRdEEPROMIntEn", 14, 1 }, + { "BlkWrFlashIntEn", 13, 1 }, + { "BlkRdFlashIntEn", 12, 1 }, + { "SglWrFlashIntEn", 11, 1 }, + { "SglRdFlashIntEn", 10, 1 }, + { "BlkWrBootIntEn", 9, 1 }, + { "BlkRdBootIntEn", 8, 1 }, + { "SglWrBootIntEn", 7, 1 }, + { "SglRdBootIntEn", 6, 1 }, + { "IllWrBEIntEn", 5, 1 }, + { "IllRdBEIntEn", 4, 1 }, + { "IllRdIntEn", 3, 1 }, + { "IllWrIntEn", 2, 1 }, + { "IllTransIntEn", 1, 1 }, + { "RsvdSpaceIntEn", 0, 1 }, + { "CIM_HOST_UPACC_INT_CAUSE", 0x7b34, 0 }, + { "EEPROMWRInt", 30, 1 }, + { "TimeOutMAInt", 29, 1 }, + { "TimeOutInt", 28, 1 }, + { "RspOvrLookupInt", 27, 1 }, + { "ReqOvrLookupInt", 26, 1 }, + { "BlkWrPlInt", 25, 1 }, + { "BlkRdPlInt", 24, 1 }, + { "SglWrPlInt", 23, 1 }, + { "SglRdPlInt", 22, 1 }, + { "BlkWrCtlInt", 21, 1 }, + { "BlkRdCtlInt", 20, 1 }, + { "SglWrCtlInt", 19, 1 }, + { "SglRdCtlInt", 18, 1 }, + { "BlkWrEEPROMInt", 17, 1 }, + { "BlkRdEEPROMInt", 16, 1 }, + { "SglWrEEPROMInt", 15, 1 }, + { "SglRdEEPROMInt", 14, 1 }, + { "BlkWrFlashInt", 13, 1 }, + { "BlkRdFlashInt", 12, 1 }, + { "SglWrFlashInt", 11, 1 }, + { "SglRdFlashInt", 10, 1 }, + { "BlkWrBootInt", 9, 1 }, + { "BlkRdBootInt", 8, 1 }, + { "SglWrBootInt", 7, 1 }, + { "SglRdBootInt", 6, 1 }, + { "IllWrBEInt", 5, 1 }, + { "IllRdBEInt", 4, 1 }, + { "IllRdInt", 3, 1 }, + { "IllWrInt", 2, 1 }, + { "IllTransInt", 1, 1 }, + { "RsvdSpaceInt", 0, 1 }, + { "CIM_UP_INT_ENABLE", 0x7b38, 0 }, + { "PCIE2CIMIntfParErr", 29, 1 }, + { "ma_cim_IntfPerr", 28, 1 }, + { "PLCIM_MstRspDataParErr", 27, 1 }, + { "NCSI2CIMIntfParErr", 26, 1 }, + { "SGE2CIMIntfParErr", 25, 1 }, + { "ULP2CIMIntfParErr", 24, 1 }, + { "TP2CIMIntfParErr", 23, 1 }, + { "OBQSGERx1ParErr", 22, 1 }, + { "OBQSGERx0ParErr", 21, 1 }, + { "TieQOutParErrIntEn", 20, 1 }, + { "TieQInParErrIntEn", 19, 1 }, + { "MBHostParErr", 18, 1 }, + { "MBuPParErr", 17, 1 }, + { "IBQTP0ParErr", 16, 1 }, + { "IBQTP1ParErr", 15, 1 }, + { "IBQULPParErr", 14, 1 }, + { "IBQSGELOParErr", 13, 1 }, + { "IBQPCIEParErr", 12, 1 }, + { "IBQNCSIParErr", 11, 1 }, + { "OBQULP0ParErr", 10, 1 }, + { "OBQULP1ParErr", 9, 1 }, + { "OBQULP2ParErr", 8, 1 }, + { "OBQULP3ParErr", 7, 1 }, + { "OBQSGEParErr", 6, 1 }, + { "OBQNCSIParErr", 5, 1 }, + { "MstPlIntEn", 4, 1 }, + { "Timer1IntEn", 3, 1 }, + { "Timer0IntEn", 2, 1 }, + { "PrefDropIntEn", 1, 1 }, + { "CIM_UP_INT_CAUSE", 0x7b3c, 0 }, + { "PCIE2CIMIntfParErr", 29, 1 }, + { "ma_cim_IntfPerr", 28, 1 }, + { "PLCIM_MstRspDataParErr", 27, 1 }, + { "NCSI2CIMIntfParErr", 26, 1 }, + { "SGE2CIMIntfParErr", 25, 1 }, + { "ULP2CIMIntfParErr", 24, 1 }, + { "TP2CIMIntfParErr", 23, 1 }, + { "OBQSGERx1ParErr", 22, 1 }, + { "OBQSGERx0ParErr", 21, 1 }, + { "TieQOutParErrInt", 20, 1 }, + { "TieQInParErrInt", 19, 1 }, + { "MBHostParErr", 18, 1 }, + { "IBQTP0ParErr", 16, 1 }, + { "IBQTP1ParErr", 15, 1 }, + { "IBQULPParErr", 14, 1 }, + { "IBQSGELOParErr", 13, 1 }, + { "IBQPCIEParErr", 12, 1 }, + { "IBQNCSIParErr", 11, 1 }, + { "OBQULP0ParErr", 10, 1 }, + { "OBQULP1ParErr", 9, 1 }, + { "OBQULP2ParErr", 8, 1 }, + { "OBQULP3ParErr", 7, 1 }, + { "OBQSGEParErr", 6, 1 }, + { "OBQNCSIParErr", 5, 1 }, + { "MstPlInt", 4, 1 }, + { "Timer1Int", 3, 1 }, + { "Timer0Int", 2, 1 }, + { "PrefDropInt", 1, 1 }, + { "uPAccNonZero", 0, 1 }, + { "CIM_UP_ACC_INT_ENABLE", 0x7b40, 0 }, + { "EEPROMWRIntEn", 30, 1 }, + { "TimeOutMAIntEn", 29, 1 }, + { "TimeOutIntEn", 28, 1 }, + { "RspOvrLookupIntEn", 27, 1 }, + { "ReqOvrLookupIntEn", 26, 1 }, + { "BlkWrPlIntEn", 25, 1 }, + { "BlkRdPlIntEn", 24, 1 }, + { "SglWrPlIntEn", 23, 1 }, + { "SglRdPlIntEn", 22, 1 }, + { "BlkWrCtlIntEn", 21, 1 }, + { "BlkRdCtlIntEn", 20, 1 }, + { "SglWrCtlIntEn", 19, 1 }, + { "SglRdCtlIntEn", 18, 1 }, + { "BlkWrEEPROMIntEn", 17, 1 }, + { "BlkRdEEPROMIntEn", 16, 1 }, + { "SglWrEEPROMIntEn", 15, 1 }, + { "SglRdEEPROMIntEn", 14, 1 }, + { "BlkWrFlashIntEn", 13, 1 }, + { "BlkRdFlashIntEn", 12, 1 }, + { "SglWrFlashIntEn", 11, 1 }, + { "SglRdFlashIntEn", 10, 1 }, + { "BlkWrBootIntEn", 9, 1 }, + { "BlkRdBootIntEn", 8, 1 }, + { "SglWrBootIntEn", 7, 1 }, + { "SglRdBootIntEn", 6, 1 }, + { "IllWrBEIntEn", 5, 1 }, + { "IllRdBEIntEn", 4, 1 }, + { "IllRdIntEn", 3, 1 }, + { "IllWrIntEn", 2, 1 }, + { "IllTransIntEn", 1, 1 }, + { "RsvdSpaceIntEn", 0, 1 }, + { "CIM_UP_ACC_INT_CAUSE", 0x7b44, 0 }, + { "EEPROMWRInt", 30, 1 }, + { "TimeOutMAInt", 29, 1 }, + { "TimeOutInt", 28, 1 }, + { "RspOvrLookupInt", 27, 1 }, + { "ReqOvrLookupInt", 26, 1 }, + { "BlkWrPlInt", 25, 1 }, + { "BlkRdPlInt", 24, 1 }, + { "SglWrPlInt", 23, 1 }, + { "SglRdPlInt", 22, 1 }, + { "BlkWrCtlInt", 21, 1 }, + { "BlkRdCtlInt", 20, 1 }, + { "SglWrCtlInt", 19, 1 }, + { "SglRdCtlInt", 18, 1 }, + { "BlkWrEEPROMInt", 17, 1 }, + { "BlkRdEEPROMInt", 16, 1 }, + { "SglWrEEPROMInt", 15, 1 }, + { "SglRdEEPROMInt", 14, 1 }, + { "BlkWrFlashInt", 13, 1 }, + { "BlkRdFlashInt", 12, 1 }, + { "SglWrFlashInt", 11, 1 }, + { "SglRdFlashInt", 10, 1 }, + { "BlkWrBootInt", 9, 1 }, + { "BlkRdBootInt", 8, 1 }, + { "SglWrBootInt", 7, 1 }, + { "SglRdBootInt", 6, 1 }, + { "IllWrBEInt", 5, 1 }, + { "IllRdBEInt", 4, 1 }, + { "IllRdInt", 3, 1 }, + { "IllWrInt", 2, 1 }, + { "IllTransInt", 1, 1 }, + { "RsvdSpaceInt", 0, 1 }, + { "CIM_QUEUE_CONFIG_REF", 0x7b48, 0 }, + { "OBQSelect", 4, 1 }, + { "IBQSelect", 3, 1 }, + { "QueNumSelect", 0, 3 }, + { "CIM_QUEUE_CONFIG_CTRL", 0x7b4c, 0 }, + { "Que1KEn", 30, 1 }, + { "QueSize", 24, 6 }, + { "QueBase", 16, 6 }, + { "QueDbg8BEn", 9, 1 }, + { "QueFullThrsh", 0, 9 }, + { "CIM_HOST_ACC_CTRL", 0x7b50, 0 }, + { "HostBusy", 17, 1 }, + { "HostWrite", 16, 1 }, + { "HostAddr", 0, 16 }, + { "CIM_HOST_ACC_DATA", 0x7b54, 0 }, + { "CIM_CDEBUGDATA", 0x7b58, 0 }, + { "CDebugDataH", 16, 16 }, + { "CDebugDataL", 0, 16 }, + { "CIM_IBQ_DBG_CFG", 0x7b60, 0 }, + { "IbqDbgAddr", 16, 12 }, + { "IbqDbgWr", 2, 1 }, + { "IbqDbgBusy", 1, 1 }, + { "IbqDbgEn", 0, 1 }, + { "CIM_OBQ_DBG_CFG", 0x7b64, 0 }, + { "ObqDbgAddr", 16, 12 }, + { "ObqDbgWr", 2, 1 }, + { "ObqDbgBusy", 1, 1 }, + { "ObqDbgEn", 0, 1 }, + { "CIM_IBQ_DBG_DATA", 0x7b68, 0 }, + { "CIM_OBQ_DBG_DATA", 0x7b6c, 0 }, + { "CIM_DEBUGCFG", 0x7b70, 0 }, + { "POLADbgRdPtr", 23, 9 }, + { "PILADbgRdPtr", 14, 9 }, + { "LAMaskTrig", 13, 1 }, + { "LADbgEn", 12, 1 }, + { "LAFillOnce", 11, 1 }, + { "LAMaskStop", 10, 1 }, + { "DebugSelH", 5, 5 }, + { "DebugSelL", 0, 5 }, + { "CIM_DEBUGSTS", 0x7b74, 0 }, + { "LAReset", 31, 1 }, + { "POLADbgWrPtr", 16, 9 }, + { "PILADbgWrPtr", 0, 9 }, + { "CIM_PO_LA_DEBUGDATA", 0x7b78, 0 }, + { "CIM_PI_LA_DEBUGDATA", 0x7b7c, 0 }, + { "CIM_PO_LA_MADEBUGDATA", 0x7b80, 0 }, + { "CIM_PI_LA_MADEBUGDATA", 0x7b84, 0 }, + { "CIM_PO_LA_PIFSMDEBUGDATA", 0x7b8c, 0 }, + { "CIM_MEM_ZONE0_VA", 0x7b90, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE0_BA", 0x7b94, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE0_LEN", 0x7b98, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_MEM_ZONE1_VA", 0x7b9c, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE1_BA", 0x7ba0, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE1_LEN", 0x7ba4, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_MEM_ZONE2_VA", 0x7ba8, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE2_BA", 0x7bac, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE2_LEN", 0x7bb0, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_MEM_ZONE3_VA", 0x7bb4, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE3_BA", 0x7bb8, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE3_LEN", 0x7bbc, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_MEM_ZONE4_VA", 0x7bc0, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE4_BA", 0x7bc4, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE4_LEN", 0x7bc8, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_MEM_ZONE5_VA", 0x7bcc, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE5_BA", 0x7bd0, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE5_LEN", 0x7bd4, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_MEM_ZONE6_VA", 0x7bd8, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE6_BA", 0x7bdc, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE6_LEN", 0x7be0, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_MEM_ZONE7_VA", 0x7be4, 0 }, + { "MEM_ZONE_VA", 4, 28 }, + { "CIM_MEM_ZONE7_BA", 0x7be8, 0 }, + { "MEM_ZONE_BA", 6, 26 }, + { "PBT_enable", 5, 1 }, + { "ZONE_DST", 0, 2 }, + { "CIM_MEM_ZONE7_LEN", 0x7bec, 0 }, + { "MEM_ZONE_LEN", 4, 28 }, + { "CIM_GLB_TIMER_CTL", 0x7bf4, 0 }, + { "Timer1En", 4, 1 }, + { "Timer0En", 3, 1 }, + { "TimerEn", 1, 1 }, + { "CIM_GLB_TIMER", 0x7bf8, 0 }, + { "CIM_GLB_TIMER_TICK", 0x7bfc, 0 }, + { "CIM_TIMER0", 0x7c00, 0 }, + { "CIM_TIMER1", 0x7c04, 0 }, + { "CIM_DEBUG_ADDR_TIMEOUT", 0x7c08, 0 }, + { "DAddrTimeOut", 2, 30 }, + { "DAddrTimeOutType", 0, 2 }, + { "CIM_DEBUG_ADDR_ILLEGAL", 0x7c0c, 0 }, + { "DAddrIllegal", 2, 30 }, + { "DAddrIllegalType", 0, 2 }, + { "CIM_DEBUG_PIF_CAUSE_MASK", 0x7c10, 0 }, + { "CIM_DEBUG_PIF_UPACC_CAUSE_MASK", 0x7c14, 0 }, + { "CIM_DEBUG_UP_CAUSE_MASK", 0x7c18, 0 }, + { "CIM_DEBUG_UP_UPACC_CAUSE_MASK", 0x7c1c, 0 }, + { "CIM_PERR_INJECT", 0x7c20, 0 }, + { "MemSel", 1, 5 }, + { "InjectDataErr", 0, 1 }, + { "CIM_PERR_ENABLE", 0x7c24, 0 }, + { "CIM_EEPROM_BUSY_BIT", 0x7c28, 0 }, + { "CIM_MA_TIMER_EN", 0x7c2c, 0 }, + { "slow_timer_enable", 1, 1 }, + { "ma_timer_enable", 0, 1 }, + { "CIM_UP_PO_SINGLE_OUTSTANDING", 0x7c30, 0 }, + { "CIM_CIM_DEBUG_SPARE", 0x7c34, 0 }, + { "CIM_UP_OPERATION_FREQ", 0x7c38, 0 }, + { "CIM_CIM_IBQ_ERR_CODE", 0x7c3c, 0 }, + { "CIM_ULP_TX_PKT_ERR_CODE", 16, 8 }, + { "CIM_PCIE_PKT_ERR_CODE", 8, 8 }, + { "CIM_SGE0_PKT_ERR_CODE", 0, 8 }, + { "CIM_IBQ_DBG_WAIT_COUNTER", 0x7c40, 0 }, + { "CIM_PIO_UP_MST_CFG_SEL", 0x7c44, 0 }, + { "CIM_CGEN", 0x7c48, 0 }, + { "CIM_QUEUE_FEATURE_DISABLE", 0x7c4c, 0 }, + { "pcie_obq_if_disable", 5, 1 }, + { "obq_throuttle_on_eop", 4, 1 }, + { "obq_read_ctl_perf_mode_disable", 3, 1 }, + { "obq_wait_for_eop_flush_disable", 2, 1 }, + { "ibq_rra_dsbl", 1, 1 }, + { "ibq_skid_fifo_eop_flsh_dsbl", 0, 1 }, + { "CIM_CGEN_GLOBAL", 0x7c50, 0 }, + { "CIM_DPSLP_EN", 0x7c54, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e240, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e244, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e248, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e24c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e250, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e254, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e258, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e25c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e260, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e264, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e268, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e26c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e270, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e274, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e278, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e27c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1e280, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1e284, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1e288, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1e28c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1e290, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_DATA", 0x1e640, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e644, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e648, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e64c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e650, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e654, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e658, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e65c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e660, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e664, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e668, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e66c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e670, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e674, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e678, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1e67c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1e680, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1e684, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1e688, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1e68c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1e690, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea40, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea44, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea48, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea4c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea50, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea54, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea58, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea5c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea60, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea64, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea68, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea6c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea70, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea74, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea78, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ea7c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1ea80, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1ea84, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1ea88, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1ea8c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1ea90, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee40, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee44, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee48, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee4c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee50, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee54, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee58, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee5c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee60, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee64, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee68, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee6c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee70, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee74, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee78, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1ee7c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1ee80, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1ee84, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1ee88, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1ee8c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1ee90, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_DATA", 0x1f240, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f244, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f248, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f24c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f250, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f254, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f258, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f25c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f260, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f264, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f268, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f26c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f270, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f274, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f278, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f27c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1f280, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1f284, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1f288, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1f28c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1f290, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_DATA", 0x1f640, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f644, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f648, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f64c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f650, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f654, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f658, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f65c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f660, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f664, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f668, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f66c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f670, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f674, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f678, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1f67c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1f680, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1f684, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1f688, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1f68c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1f690, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa40, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa44, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa48, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa4c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa50, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa54, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa58, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa5c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa60, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa64, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa68, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa6c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa70, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa74, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa78, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fa7c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1fa80, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1fa84, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1fa88, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1fa8c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1fa90, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe40, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe44, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe48, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe4c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe50, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe54, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe58, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe5c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe60, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe64, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe68, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe6c, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe70, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe74, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe78, 0 }, + { "CIM_PF_MAILBOX_DATA", 0x1fe7c, 0 }, + { "CIM_PF_MAILBOX_CTRL", 0x1fe80, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { "CIM_PF_MAILBOX_ACC_STATUS", 0x1fe84, 0 }, + { "MBWrBusy", 31, 1 }, + { "CIM_PF_HOST_INT_ENABLE", 0x1fe88, 0 }, + { "MBMsgRdyIntEn", 19, 1 }, + { "CIM_PF_HOST_INT_CAUSE", 0x1fe8c, 0 }, + { "MBMsgRdyInt", 19, 1 }, + { "CIM_PF_MAILBOX_CTRL_SHADOW_COPY", 0x1fe90, 0 }, + { "MBGeneric", 4, 28 }, + { "MBMsgValid", 3, 1 }, + { "MBIntReq", 2, 1 }, + { "MBOwner", 0, 2 }, + { NULL } +}; + +struct reg_info t6_tp_regs[] = { + { "TP_IN_CONFIG", 0x7d00, 0 }, + { "VLANExtEnPort3", 31, 1 }, + { "VLANExtEnPort2", 30, 1 }, + { "VLANExtEnPort1", 29, 1 }, + { "VLANExtEnPort0", 28, 1 }, + { "TcpOptParserDisCh3", 27, 1 }, + { "TcpOptParserDisCh2", 26, 1 }, + { "TcpOptParserDisCh1", 25, 1 }, + { "TcpOptParserDisCh0", 24, 1 }, + { "CrcPassPrt3", 23, 1 }, + { "CrcPassPrt2", 22, 1 }, + { "CrcPassPrt1", 21, 1 }, + { "CrcPassPrt0", 20, 1 }, + { "VepaMode", 19, 1 }, + { "FipUpEn", 18, 1 }, + { "FcoeUpEn", 17, 1 }, + { "FcoeEnable", 16, 1 }, + { "IPv6Enable", 15, 1 }, + { "NICMode", 14, 1 }, + { "VnTagDefaultVal", 13, 1 }, + { "ECheckUDPLen", 12, 1 }, + { "EReportUdpHdrLen", 11, 1 }, + { "FcoeFPMA", 10, 1 }, + { "VnTagEnable", 9, 1 }, + { "VnTagEthEnable", 8, 1 }, + { "CChecksumCheckIP", 7, 1 }, + { "CChecksumCheckUDP", 6, 1 }, + { "CChecksumCheckTCP", 5, 1 }, + { "CTag", 4, 1 }, + { "CXoffOverride", 3, 1 }, + { "EthUpEn", 2, 1 }, + { "EGreDropEn", 1, 1 }, + { "CFastDemuxEn", 0, 1 }, + { "TP_OUT_CONFIG", 0x7d04, 0 }, + { "PortQfcEn", 28, 4 }, + { "EPktDistChn3", 23, 1 }, + { "EPktDistChn2", 22, 1 }, + { "EPktDistChn1", 21, 1 }, + { "EPktDistChn0", 20, 1 }, + { "TtlMode", 19, 1 }, + { "EQfcDmac", 18, 1 }, + { "ELpbkIncMpsStat", 17, 1 }, + { "IPIDSplitMode", 16, 1 }, + { "CCplAckMode", 13, 1 }, + { "RMWHintEnable", 12, 1 }, + { "EChecksumInsertTCP", 11, 1 }, + { "EChecksumInsertIP", 10, 1 }, + { "EVnTagEn", 9, 1 }, + { "EV6FlwEn", 8, 1 }, + { "EPriority", 7, 1 }, + { "EVlanPrio", 6, 1 }, + { "CChecksumInsertTCP", 5, 1 }, + { "CChecksumInsertIP", 4, 1 }, + { "CRxPktEnc", 3, 1 }, + { "CCPL", 2, 1 }, + { "CRxPktXt", 1, 1 }, + { "CEthernet", 0, 1 }, + { "TP_GLOBAL_CONFIG", 0x7d08, 0 }, + { "SYNCookieParams", 26, 6 }, + { "RXFlowControlDisable", 25, 1 }, + { "TXPacingEnable", 24, 1 }, + { "ActiveFilterCounts", 22, 1 }, + { "ProtectedMode", 21, 1 }, + { "FiveTupleLookup", 17, 2 }, + { "OfdMpsStats", 16, 1 }, + { "DontFragment", 15, 1 }, + { "IPIdentSplit", 14, 1 }, + { "RssSynSteerEnable", 12, 1 }, + { "IssFromCplEnable", 11, 1 }, + { "RssLoopbackEnable", 10, 1 }, + { "TCAMServerUse", 8, 2 }, + { "IPTTL", 0, 8 }, + { "TP_DB_CONFIG", 0x7d0c, 0 }, + { "DBMaxOpCnt", 24, 8 }, + { "CxMaxOpCntDisable", 23, 1 }, + { "CxMaxOpCnt", 16, 7 }, + { "TxMaxOpCntDisable", 15, 1 }, + { "TxMaxOpCnt", 8, 7 }, + { "RxMaxOpCntDisable", 7, 1 }, + { "RxMaxOpCnt", 0, 7 }, + { "TP_CMM_TCB_BASE", 0x7d10, 0 }, + { "TP_CMM_MM_BASE", 0x7d14, 0 }, + { "TP_CMM_TIMER_BASE", 0x7d18, 0 }, + { "TP_CMM_MM_FLST_SIZE", 0x7d1c, 0 }, + { "RxPoolSize", 16, 16 }, + { "TxPoolSize", 0, 16 }, + { "TP_PMM_TX_BASE", 0x7d20, 0 }, + { "TP_PMM_DEFRAG_BASE", 0x7d24, 0 }, + { "TP_PMM_RX_BASE", 0x7d28, 0 }, + { "TP_PMM_RX_PAGE_SIZE", 0x7d2c, 0 }, + { "TP_PMM_RX_MAX_PAGE", 0x7d30, 0 }, + { "PMRxNumChn", 31, 1 }, + { "PMRxMaxPage", 0, 21 }, + { "TP_PMM_TX_PAGE_SIZE", 0x7d34, 0 }, + { "TP_PMM_TX_MAX_PAGE", 0x7d38, 0 }, + { "PMTxNumChn", 30, 2 }, + { "PMTxMaxPage", 0, 21 }, + { "TP_TCP_OPTIONS", 0x7d40, 0 }, + { "MTUDefault", 16, 16 }, + { "MTUEnable", 10, 1 }, + { "SACKTx", 9, 1 }, + { "SACKRx", 8, 1 }, + { "SACKMode", 4, 2 }, + { "WindowScaleMode", 2, 2 }, + { "TimestampsMode", 0, 2 }, + { "TP_DACK_CONFIG", 0x7d44, 0 }, + { "AutoState3", 30, 2 }, + { "AutoState2", 28, 2 }, + { "AutoState1", 26, 2 }, + { "ByteThreshold", 8, 18 }, + { "MSSThreshold", 4, 3 }, + { "AutoCareful", 2, 1 }, + { "AutoEnable", 1, 1 }, + { "Mode", 0, 1 }, + { "TP_PC_CONFIG", 0x7d48, 0 }, + { "EnableFinCheck", 31, 1 }, + { "EnableOcspiFull", 30, 1 }, + { "EnableFLMErrorDDP", 29, 1 }, + { "LockTid", 28, 1 }, + { "DisableInvPend", 27, 1 }, + { "EnableFilterCount", 26, 1 }, + { "RddpCongEn", 25, 1 }, + { "EnableOnFlyPDU", 24, 1 }, + { "EnableMinRcvWnd", 23, 1 }, + { "EnableMaxRcvWnd", 22, 1 }, + { "EnableMibVfPld", 21, 1 }, + { "TxDeferEnable", 20, 1 }, + { "RxCongestionMode", 19, 1 }, + { "HearbeatOnceDACK", 18, 1 }, + { "HearbeatOnceHeap", 17, 1 }, + { "HearbeatDACK", 16, 1 }, + { "TxCongestionMode", 15, 1 }, + { "AcceptLatestRcvAdv", 14, 1 }, + { "DisableSYNData", 13, 1 }, + { "DisableWindowPSH", 12, 1 }, + { "DisableFINOldData", 11, 1 }, + { "EnableFLMError", 10, 1 }, + { "EnableOptMtu", 9, 1 }, + { "FilterPeerFIN", 8, 1 }, + { "EnableFeedbackSend", 7, 1 }, + { "EnableRDMAError", 6, 1 }, + { "EnableFilterNat", 5, 1 }, + { "DisableSepPshFlag", 4, 1 }, + { "EnableOfdoVLAN", 3, 1 }, + { "DisableTimeWait", 2, 1 }, + { "EnableVlanCheck", 1, 1 }, + { "TxDataAckPageEnable", 0, 1 }, + { "TP_PC_CONFIG2", 0x7d4c, 0 }, + { "EnableMtuVfMode", 31, 1 }, + { "EnableMibVfMode", 30, 1 }, + { "DisableLbkCheck", 29, 1 }, + { "EnableUrgDdpOff", 28, 1 }, + { "EnableFilterLpbk", 27, 1 }, + { "DisableTblMmgr", 26, 1 }, + { "CngRecSndNxt", 25, 1 }, + { "EnableLbkChn", 24, 1 }, + { "EnableLroEcn", 23, 1 }, + { "EnablePcmdCheck", 22, 1 }, + { "EnableELbkAFull", 21, 1 }, + { "EnableCLbkAFull", 20, 1 }, + { "EnableOespiFull", 19, 1 }, + { "DisableHitCheck", 18, 1 }, + { "EnableRssErrCheck", 17, 1 }, + { "DisableNewPshFlag", 16, 1 }, + { "EnableRddpRcvAdvClr", 15, 1 }, + { "EnableFinDdpOff", 14, 1 }, + { "EnableArpMiss", 13, 1 }, + { "EnableRstPaws", 12, 1 }, + { "EnableIPv6RSS", 11, 1 }, + { "EnableNonOfdHybRss", 10, 1 }, + { "EnableUDP4TupRss", 9, 1 }, + { "EnableRxPktTmstpRss", 8, 1 }, + { "EnableEPCMDAFull", 7, 1 }, + { "EnableCPCMDAFull", 6, 1 }, + { "EnableEHdrAFull", 5, 1 }, + { "EnableCHdrAFull", 4, 1 }, + { "EnableEMacAFull", 3, 1 }, + { "EnableNonOfdTidRss", 2, 1 }, + { "EnableNonOfdTcbRss", 1, 1 }, + { "EnableTnlOfdClosed", 0, 1 }, + { "TP_TCP_BACKOFF_REG0", 0x7d50, 0 }, + { "TimerBackoffIndex3", 24, 8 }, + { "TimerBackoffIndex2", 16, 8 }, + { "TimerBackoffIndex1", 8, 8 }, + { "TimerBackoffIndex0", 0, 8 }, + { "TP_TCP_BACKOFF_REG1", 0x7d54, 0 }, + { "TimerBackoffIndex7", 24, 8 }, + { "TimerBackoffIndex6", 16, 8 }, + { "TimerBackoffIndex5", 8, 8 }, + { "TimerBackoffIndex4", 0, 8 }, + { "TP_TCP_BACKOFF_REG2", 0x7d58, 0 }, + { "TimerBackoffIndex11", 24, 8 }, + { "TimerBackoffIndex10", 16, 8 }, + { "TimerBackoffIndex9", 8, 8 }, + { "TimerBackoffIndex8", 0, 8 }, + { "TP_TCP_BACKOFF_REG3", 0x7d5c, 0 }, + { "TimerBackoffIndex15", 24, 8 }, + { "TimerBackoffIndex14", 16, 8 }, + { "TimerBackoffIndex13", 8, 8 }, + { "TimerBackoffIndex12", 0, 8 }, + { "TP_PARA_REG0", 0x7d60, 0 }, + { "LimTxThresh", 28, 4 }, + { "InitCwndIdle", 27, 1 }, + { "InitCwnd", 24, 3 }, + { "DupAckThresh", 20, 4 }, + { "EcnCngFifo", 19, 1 }, + { "EcnSynAck", 18, 1 }, + { "EcnThresh", 16, 2 }, + { "EcnMode", 15, 1 }, + { "EcnModeCwr", 14, 1 }, + { "SetTimeEnable", 13, 1 }, + { "CplErrEnable", 12, 1 }, + { "FastTnlCnt", 11, 1 }, + { "ForceShove", 10, 1 }, + { "TpTcamKey", 9, 1 }, + { "SwsMode", 8, 1 }, + { "TsmpMode", 6, 2 }, + { "ByteCountLimit", 4, 2 }, + { "SwsShove", 3, 1 }, + { "TblTimer", 2, 1 }, + { "RxtPace", 1, 1 }, + { "SwsTimer", 0, 1 }, + { "TP_PARA_REG1", 0x7d64, 0 }, + { "InitRwnd", 16, 16 }, + { "InitialSSThresh", 0, 16 }, + { "TP_PARA_REG2", 0x7d68, 0 }, + { "MaxRxData", 16, 16 }, + { "RxCoalesceSize", 0, 16 }, + { "TP_PARA_REG3", 0x7d6c, 0 }, + { "EnableTnlCngLpbk", 31, 1 }, + { "EnableTnlCngFifo", 30, 1 }, + { "EnableTnlCngHdr", 29, 1 }, + { "EnableTnlCngSge", 28, 1 }, + { "RxMacCheck", 27, 1 }, + { "RxSynFilter", 26, 1 }, + { "CngCtrlECN", 25, 1 }, + { "RxDdpOffInit", 24, 1 }, + { "TunnelCngDrop3", 23, 1 }, + { "TunnelCngDrop2", 22, 1 }, + { "TunnelCngDrop1", 21, 1 }, + { "TunnelCngDrop0", 20, 1 }, + { "TxDataAckIdx", 16, 4 }, + { "RxFragEnable", 12, 3 }, + { "TxPaceFixedStrict", 11, 1 }, + { "TxPaceAutoStrict", 10, 1 }, + { "TxPaceFixed", 9, 1 }, + { "TxPaceAuto", 8, 1 }, + { "RxChnTunnel", 7, 1 }, + { "RxUrgTunnel", 6, 1 }, + { "RxUrgMode", 5, 1 }, + { "TxUrgMode", 4, 1 }, + { "CngCtrlMode", 2, 2 }, + { "RxCoalesceEnable", 1, 1 }, + { "RxCoalescePshEn", 0, 1 }, + { "TP_PARA_REG4", 0x7d70, 0 }, + { "IdleCwndHighSpeed", 28, 1 }, + { "RxmtCwndHighSpeed", 27, 1 }, + { "OverdriveHighSpeed", 25, 2 }, + { "ByteCountHighSpeed", 24, 1 }, + { "IdleCwndNewReno", 20, 1 }, + { "RxmtCwndNewReno", 19, 1 }, + { "OverdriveNewReno", 17, 2 }, + { "ByteCountNewReno", 16, 1 }, + { "IdleCwndTahoe", 12, 1 }, + { "RxmtCwndTahoe", 11, 1 }, + { "OverdriveTahoe", 9, 2 }, + { "ByteCountTahoe", 8, 1 }, + { "IdleCwndReno", 4, 1 }, + { "RxmtCwndReno", 3, 1 }, + { "OverdriveReno", 1, 2 }, + { "ByteCountReno", 0, 1 }, + { "TP_PARA_REG5", 0x7d74, 0 }, + { "IndicateSize", 16, 16 }, + { "MaxProxySize", 12, 4 }, + { "EnableReadPdu", 11, 1 }, + { "EnableReadAhead", 10, 1 }, + { "EmptyRqEnable", 9, 1 }, + { "SchdEnable", 8, 1 }, + { "EnableXoffPdu", 7, 1 }, + { "EnableFcoeCheck", 6, 1 }, + { "EnableFragCheck", 5, 1 }, + { "RearmDdpOffset", 4, 1 }, + { "ResetDdpOffset", 3, 1 }, + { "OnFlyDDPEnable", 2, 1 }, + { "EnableRdmaFix", 1, 1 }, + { "PushTimerEnable", 0, 1 }, + { "TP_PARA_REG6", 0x7d78, 0 }, + { "TxPDUSizeAdj", 24, 8 }, + { "TxTcamKey", 22, 1 }, + { "EnableCByp", 21, 1 }, + { "DisablePDUAck", 20, 1 }, + { "EnableCSav", 19, 1 }, + { "EnableDeferPDU", 18, 1 }, + { "EnableFlush", 17, 1 }, + { "EnableBytePersist", 16, 1 }, + { "DisableTmoCng", 15, 1 }, + { "EnableReadAhead", 14, 1 }, + { "AllowExeption", 13, 1 }, + { "EnableDeferACK", 12, 1 }, + { "EnableESnd", 11, 1 }, + { "EnableCSnd", 10, 1 }, + { "EnablePDUE", 9, 1 }, + { "EnablePDUC", 8, 1 }, + { "EnableBUFI", 7, 1 }, + { "EnableBUFE", 6, 1 }, + { "EnableDefer", 5, 1 }, + { "EnableClearRxmtOos", 4, 1 }, + { "DisablePDUCng", 3, 1 }, + { "DisablePDUTimeout", 2, 1 }, + { "DisablePDURxmt", 1, 1 }, + { "DisablePDUxmt", 0, 1 }, + { "TP_PARA_REG7", 0x7d7c, 0 }, + { "PMMaxXferLen1", 16, 16 }, + { "PMMaxXferLen0", 0, 16 }, + { "TP_ENG_CONFIG", 0x7d80, 0 }, + { "TableLatencyDone", 28, 4 }, + { "TableLatencyStart", 24, 4 }, + { "EngineLatencyDelta", 16, 4 }, + { "EngineLatencyMmgr", 12, 4 }, + { "EngineLatencyWireIp6", 8, 4 }, + { "EngineLatencyWire", 4, 4 }, + { "EngineLatencyBase", 0, 4 }, + { "TP_PARA_REG8", 0x7d84, 0 }, + { "EcnAckEct", 2, 1 }, + { "EcnFinEct", 1, 1 }, + { "EcnSynEct", 0, 1 }, + { "TP_ERR_CONFIG", 0x7d8c, 0 }, + { "TnlErrorFPMA", 31, 1 }, + { "TnlErrorPing", 30, 1 }, + { "TnlErrorCsum", 29, 1 }, + { "TnlErrorCsumIP", 28, 1 }, + { "TnlErrorOpaque", 27, 1 }, + { "TnlErrorIp6Opt", 26, 1 }, + { "TnlErrorTcpOpt", 25, 1 }, + { "TnlErrorPktLen", 24, 1 }, + { "TnlErrorTcpHdrLen", 23, 1 }, + { "TnlErrorIpHdrLen", 22, 1 }, + { "TnlErrorEthHdrLen", 21, 1 }, + { "TnlErrorAttack", 20, 1 }, + { "TnlErrorFrag", 19, 1 }, + { "TnlErrorIpVer", 18, 1 }, + { "TnlErrorMac", 17, 1 }, + { "TnlErrorAny", 16, 1 }, + { "DropErrorFPMA", 15, 1 }, + { "DropErrorPing", 14, 1 }, + { "DropErrorCsum", 13, 1 }, + { "DropErrorCsumIP", 12, 1 }, + { "DropErrorOpaque", 11, 1 }, + { "DropErrorIp6Opt", 10, 1 }, + { "DropErrorTcpOpt", 9, 1 }, + { "DropErrorPktLen", 8, 1 }, + { "DropErrorTcpHdrLen", 7, 1 }, + { "DropErrorIpHdrLen", 6, 1 }, + { "DropErrorEthHdrLen", 5, 1 }, + { "DropErrorAttack", 4, 1 }, + { "DropErrorFrag", 3, 1 }, + { "DropErrorIpVer", 2, 1 }, + { "DropErrorMac", 1, 1 }, + { "DropErrorAny", 0, 1 }, + { "TP_TIMER_RESOLUTION", 0x7d90, 0 }, + { "TimerResolution", 16, 8 }, + { "TimestampResolution", 8, 8 }, + { "DelayedACKResolution", 0, 8 }, + { "TP_MSL", 0x7d94, 0 }, + { "TP_RXT_MIN", 0x7d98, 0 }, + { "TP_RXT_MAX", 0x7d9c, 0 }, + { "TP_PERS_MIN", 0x7da0, 0 }, + { "TP_PERS_MAX", 0x7da4, 0 }, + { "TP_KEEP_IDLE", 0x7da8, 0 }, + { "TP_KEEP_INTVL", 0x7dac, 0 }, + { "TP_INIT_SRTT", 0x7db0, 0 }, + { "MaxRtt", 16, 16 }, + { "InitSrtt", 0, 16 }, + { "TP_DACK_TIMER", 0x7db4, 0 }, + { "TP_FINWAIT2_TIMER", 0x7db8, 0 }, + { "TP_FAST_FINWAIT2_TIMER", 0x7dbc, 0 }, + { "TP_SHIFT_CNT", 0x7dc0, 0 }, + { "SynShiftMax", 24, 4 }, + { "RxtShiftMaxR1", 20, 4 }, + { "RxtShiftMaxR2", 16, 4 }, + { "PerShiftBackoffMax", 12, 4 }, + { "PerShiftMax", 8, 4 }, + { "KeepaliveMaxR1", 4, 4 }, + { "KeepaliveMaxR2", 0, 4 }, + { "TP_TM_CONFIG", 0x7dc4, 0 }, + { "TP_TIME_LO", 0x7dc8, 0 }, + { "TP_TIME_HI", 0x7dcc, 0 }, + { "TP_PORT_MTU_0", 0x7dd0, 0 }, + { "Port1MTUValue", 16, 16 }, + { "Port0MTUValue", 0, 16 }, + { "TP_PORT_MTU_1", 0x7dd4, 0 }, + { "Port3MTUValue", 16, 16 }, + { "Port2MTUValue", 0, 16 }, + { "TP_PACE_TABLE", 0x7dd8, 0 }, + { "TP_CCTRL_TABLE", 0x7ddc, 0 }, + { "RowIndex", 16, 16 }, + { "RowValue", 0, 16 }, + { "TP_MTU_TABLE", 0x7de4, 0 }, + { "MTUIndex", 24, 8 }, + { "MTUWidth", 16, 4 }, + { "MTUValue", 0, 14 }, + { "TP_ULP_TABLE", 0x7de8, 0 }, + { "ULPType7Length", 31, 1 }, + { "ULPType7Offset", 28, 3 }, + { "ULPType6Length", 27, 1 }, + { "ULPType6Offset", 24, 3 }, + { "ULPType5Length", 23, 1 }, + { "ULPType5Offset", 20, 3 }, + { "ULPType4Length", 19, 1 }, + { "ULPType4Offset", 16, 3 }, + { "ULPType3Length", 15, 1 }, + { "ULPType3Offset", 12, 3 }, + { "ULPType2Length", 11, 1 }, + { "ULPType2Offset", 8, 3 }, + { "ULPType1Length", 7, 1 }, + { "ULPType1Offset", 4, 3 }, + { "ULPType0Length", 3, 1 }, + { "ULPType0Offset", 0, 3 }, + { "TP_RSS_LKP_TABLE", 0x7dec, 0 }, + { "LkpTblRowVld", 31, 1 }, + { "LkpTblRowIdx", 20, 11 }, + { "LkpTblQueue1", 10, 10 }, + { "LkpTblQueue0", 0, 10 }, + { "TP_RSS_CONFIG", 0x7df0, 0 }, + { "TNL4tupEnIpv6", 31, 1 }, + { "TNL2tupEnIpv6", 30, 1 }, + { "TNL4tupEnIpv4", 29, 1 }, + { "TNL2tupEnIpv4", 28, 1 }, + { "TNLTcpSel", 27, 1 }, + { "TNLIp6Sel", 26, 1 }, + { "TNLVrtSel", 25, 1 }, + { "TNLMapEn", 24, 1 }, + { "TNLFcoeMode", 23, 1 }, + { "TNLFcoeSid", 22, 1 }, + { "TNLFcoeEn", 21, 1 }, + { "HashXor", 20, 1 }, + { "OFDHashSave", 19, 1 }, + { "OFDVrtSel", 18, 1 }, + { "OFDMapEn", 17, 1 }, + { "OFDLkpEn", 16, 1 }, + { "SYN4tupEnIpv6", 15, 1 }, + { "SYN2tupEnIpv6", 14, 1 }, + { "SYN4tupEnIpv4", 13, 1 }, + { "SYN2tupEnIpv4", 12, 1 }, + { "SYNIp6Sel", 11, 1 }, + { "SYNVrtSel", 10, 1 }, + { "SYNMapEn", 9, 1 }, + { "SYNLkpEn", 8, 1 }, + { "ChannelEnable", 7, 1 }, + { "PortEnable", 6, 1 }, + { "TNLAllLookup", 5, 1 }, + { "VirtEnable", 4, 1 }, + { "CongestionEnable", 3, 1 }, + { "HashToeplitz", 2, 1 }, + { "UdpEnable", 1, 1 }, + { "Disable", 0, 1 }, + { "TP_RSS_CONFIG_TNL", 0x7df4, 0 }, + { "MaskSize", 28, 4 }, + { "MaskFilter", 16, 11 }, + { "HashAll", 2, 1 }, + { "HashEth", 1, 1 }, + { "UseWireCh", 0, 1 }, + { "TP_RSS_CONFIG_OFD", 0x7df8, 0 }, + { "MaskSize", 28, 4 }, + { "RRCPLMapEn", 20, 1 }, + { "RRCPLQueWidth", 16, 4 }, + { "FrmwrQueMask", 12, 4 }, + { "TP_RSS_CONFIG_SYN", 0x7dfc, 0 }, + { "MaskSize", 28, 4 }, + { "UseWireCh", 0, 1 }, + { "TP_RSS_CONFIG_VRT", 0x7e00, 0 }, + { "KeyWrAddrX", 30, 2 }, + { "KeyExtend", 26, 1 }, + { "VfRdRg", 25, 1 }, + { "VfRdEn", 24, 1 }, + { "VfPerrEn", 23, 1 }, + { "KeyPerrEn", 22, 1 }, + { "VfVlanEn", 21, 1 }, + { "VfFwEn", 20, 1 }, + { "HashDelay", 16, 4 }, + { "VfWrAddr", 8, 8 }, + { "KeyMode", 6, 2 }, + { "VfWrEn", 5, 1 }, + { "KeyWrEn", 4, 1 }, + { "KeyWrAddr", 0, 4 }, + { "TP_RSS_CONFIG_CNG", 0x7e04, 0 }, + { "ChnCount3", 31, 1 }, + { "ChnCount2", 30, 1 }, + { "ChnCount1", 29, 1 }, + { "ChnCount0", 28, 1 }, + { "ChnUndFlow3", 27, 1 }, + { "ChnUndFlow2", 26, 1 }, + { "ChnUndFlow1", 25, 1 }, + { "ChnUndFlow0", 24, 1 }, + { "ChnOvrFlow3", 23, 1 }, + { "ChnOvrFlow2", 22, 1 }, + { "ChnOvrFlow1", 21, 1 }, + { "ChnOvrFlow0", 20, 1 }, + { "RstChn3", 19, 1 }, + { "RstChn2", 18, 1 }, + { "RstChn1", 17, 1 }, + { "RstChn0", 16, 1 }, + { "UpdVld", 15, 1 }, + { "Xoff", 14, 1 }, + { "UpdChn3", 13, 1 }, + { "UpdChn2", 12, 1 }, + { "UpdChn1", 11, 1 }, + { "UpdChn0", 10, 1 }, + { "Queue", 0, 10 }, + { "TP_LA_TABLE_0", 0x7e10, 0 }, + { "VirtPort1Table", 16, 16 }, + { "VirtPort0Table", 0, 16 }, + { "TP_LA_TABLE_1", 0x7e14, 0 }, + { "VirtPort3Table", 16, 16 }, + { "VirtPort2Table", 0, 16 }, + { "TP_TM_PIO_ADDR", 0x7e18, 0 }, + { "TP_TM_PIO_DATA", 0x7e1c, 0 }, + { "TP_MOD_CONFIG", 0x7e24, 0 }, + { "RxChannelWeight1", 24, 8 }, + { "RXChannelWeight0", 16, 8 }, + { "TimerMode", 8, 8 }, + { "TxChannelXoffEn", 0, 4 }, + { "TP_TX_MOD_QUEUE_REQ_MAP", 0x7e28, 0 }, + { "RX_MOD_WEIGHT", 24, 8 }, + { "TX_MOD_WEIGHT", 16, 8 }, + { "TX_MOD_QUEUE_REQ_MAP", 0, 16 }, + { "TP_TX_MOD_QUEUE_WEIGHT1", 0x7e2c, 0 }, + { "TP_TX_MOD_QUEUE_WEIGHT7", 24, 8 }, + { "TP_TX_MOD_QUEUE_WEIGHT6", 16, 8 }, + { "TP_TX_MOD_QUEUE_WEIGHT5", 8, 8 }, + { "TP_TX_MOD_QUEUE_WEIGHT4", 0, 8 }, + { "TP_TX_MOD_QUEUE_WEIGHT0", 0x7e30, 0 }, + { "TP_TX_MOD_QUEUE_WEIGHT3", 24, 8 }, + { "TP_TX_MOD_QUEUE_WEIGHT2", 16, 8 }, + { "TP_TX_MOD_QUEUE_WEIGHT1", 8, 8 }, + { "TP_TX_MOD_QUEUE_WEIGHT0", 0, 8 }, + { "TP_TX_MOD_CHANNEL_WEIGHT", 0x7e34, 0 }, + { "CH3", 24, 8 }, + { "CH2", 16, 8 }, + { "CH1", 8, 8 }, + { "CH0", 0, 8 }, + { "TP_MOD_RATE_LIMIT", 0x7e38, 0 }, + { "RX_MOD_RATE_LIMIT_INC", 24, 8 }, + { "RX_MOD_RATE_LIMIT_TICK", 16, 8 }, + { "TX_MOD_RATE_LIMIT_INC", 8, 8 }, + { "TX_MOD_RATE_LIMIT_TICK", 0, 8 }, + { "TP_PIO_ADDR", 0x7e40, 0 }, + { "TP_PIO_DATA", 0x7e44, 0 }, + { "TP_RESET", 0x7e4c, 0 }, + { "FlstInitEnable", 1, 1 }, + { "TPReset", 0, 1 }, + { "TP_MIB_INDEX", 0x7e50, 0 }, + { "TP_MIB_DATA", 0x7e54, 0 }, + { "TP_SYNC_TIME_HI", 0x7e58, 0 }, + { "TP_SYNC_TIME_LO", 0x7e5c, 0 }, + { "TP_CMM_MM_RX_FLST_BASE", 0x7e60, 0 }, + { "TP_CMM_MM_TX_FLST_BASE", 0x7e64, 0 }, + { "TP_CMM_MM_PS_FLST_BASE", 0x7e68, 0 }, + { "TP_CMM_MM_MAX_PSTRUCT", 0x7e6c, 0 }, + { "TP_INT_ENABLE", 0x7e70, 0 }, + { "FlmTxFlstEmpty", 30, 1 }, + { "RssLkpPerr", 29, 1 }, + { "FlmPerrSet", 28, 1 }, + { "ProtocolSramPerr", 27, 1 }, + { "ArpLutPerr", 26, 1 }, + { "CmRcfOpPerr", 25, 1 }, + { "CmCachePerr", 24, 1 }, + { "CmRcfDataPerr", 23, 1 }, + { "DbL2tLutPerr", 22, 1 }, + { "DbTxTidPerr", 21, 1 }, + { "DbExtPerr", 20, 1 }, + { "DbOpPerr", 19, 1 }, + { "TmCachePerr", 18, 1 }, + { "ETpOutCplFifoPerr", 17, 1 }, + { "ETpOutTcpFifoPerr", 16, 1 }, + { "ETpOutIpFifoPerr", 15, 1 }, + { "ETpOutEthFifoPerr", 14, 1 }, + { "ETpInCplFifoPerr", 13, 1 }, + { "ETpInTcpOptFifoPerr", 12, 1 }, + { "ETpInTcpFifoPerr", 11, 1 }, + { "ETpInIpFifoPerr", 10, 1 }, + { "ETpInEthFifoPerr", 9, 1 }, + { "CTpOutCplFifoPerr", 8, 1 }, + { "CTpOutPldFifoPerr", 7, 1 }, + { "CTpOutIpFifoPerr", 6, 1 }, + { "CTpOutEthFifoPerr", 5, 1 }, + { "CTpInCplFifoPerr", 4, 1 }, + { "CTpInTcpOpFifoPerr", 3, 1 }, + { "PduFbkFifoPerr", 2, 1 }, + { "SrqTablePerr", 1, 1 }, + { "DelInvFifoPerr", 0, 1 }, + { "TP_INT_CAUSE", 0x7e74, 0 }, + { "FlmTxFlstEmpty", 30, 1 }, + { "RssLkpPerr", 29, 1 }, + { "FlmPerrSet", 28, 1 }, + { "ProtocolSramPerr", 27, 1 }, + { "ArpLutPerr", 26, 1 }, + { "CmRcfOpPerr", 25, 1 }, + { "CmCachePerr", 24, 1 }, + { "CmRcfDataPerr", 23, 1 }, + { "DbL2tLutPerr", 22, 1 }, + { "DbTxTidPerr", 21, 1 }, + { "DbExtPerr", 20, 1 }, + { "DbOpPerr", 19, 1 }, + { "TmCachePerr", 18, 1 }, + { "ETpOutCplFifoPerr", 17, 1 }, + { "ETpOutTcpFifoPerr", 16, 1 }, + { "ETpOutIpFifoPerr", 15, 1 }, + { "ETpOutEthFifoPerr", 14, 1 }, + { "ETpInCplFifoPerr", 13, 1 }, + { "ETpInTcpOptFifoPerr", 12, 1 }, + { "ETpInTcpFifoPerr", 11, 1 }, + { "ETpInIpFifoPerr", 10, 1 }, + { "ETpInEthFifoPerr", 9, 1 }, + { "CTpOutCplFifoPerr", 8, 1 }, + { "CTpOutPldFifoPerr", 7, 1 }, + { "CTpOutIpFifoPerr", 6, 1 }, + { "CTpOutEthFifoPerr", 5, 1 }, + { "CTpInCplFifoPerr", 4, 1 }, + { "CTpInTcpOpFifoPerr", 3, 1 }, + { "PduFbkFifoPerr", 2, 1 }, + { "SrqTablePerr", 1, 1 }, + { "DelInvFifoPerr", 0, 1 }, + { "TP_PER_ENABLE", 0x7e78, 0 }, + { "FlmTxFlstEmpty", 30, 1 }, + { "RssLkpPerr", 29, 1 }, + { "FlmPerrSet", 28, 1 }, + { "ProtocolSramPerr", 27, 1 }, + { "ArpLutPerr", 26, 1 }, + { "CmRcfOpPerr", 25, 1 }, + { "CmCachePerr", 24, 1 }, + { "CmRcfDataPerr", 23, 1 }, + { "DbL2tLutPerr", 22, 1 }, + { "DbTxTidPerr", 21, 1 }, + { "DbExtPerr", 20, 1 }, + { "DbOpPerr", 19, 1 }, + { "TmCachePerr", 18, 1 }, + { "ETpOutCplFifoPerr", 17, 1 }, + { "ETpOutTcpFifoPerr", 16, 1 }, + { "ETpOutIpFifoPerr", 15, 1 }, + { "ETpOutEthFifoPerr", 14, 1 }, + { "ETpInCplFifoPerr", 13, 1 }, + { "ETpInTcpOptFifoPerr", 12, 1 }, + { "ETpInTcpFifoPerr", 11, 1 }, + { "ETpInIpFifoPerr", 10, 1 }, + { "ETpInEthFifoPerr", 9, 1 }, + { "CTpOutCplFifoPerr", 8, 1 }, + { "CTpOutPldFifoPerr", 7, 1 }, + { "CTpOutIpFifoPerr", 6, 1 }, + { "CTpOutEthFifoPerr", 5, 1 }, + { "CTpInCplFifoPerr", 4, 1 }, + { "CTpInTcpOpFifoPerr", 3, 1 }, + { "PduFbkFifoPerr", 2, 1 }, + { "SrqTablePerr", 1, 1 }, + { "DelInvFifoPerr", 0, 1 }, + { "TP_FLM_FREE_PS_CNT", 0x7e80, 0 }, + { "TP_FLM_FREE_RX_CNT", 0x7e84, 0 }, + { "FreeRxPageChn", 28, 1 }, + { "FreeRxPageCount", 0, 21 }, + { "TP_FLM_FREE_TX_CNT", 0x7e88, 0 }, + { "FreeTxPageChn", 28, 2 }, + { "FreeTxPageCount", 0, 21 }, + { "TP_TM_HEAP_PUSH_CNT", 0x7e8c, 0 }, + { "TP_TM_HEAP_POP_CNT", 0x7e90, 0 }, + { "TP_TM_DACK_PUSH_CNT", 0x7e94, 0 }, + { "TP_TM_DACK_POP_CNT", 0x7e98, 0 }, + { "TP_TM_MOD_PUSH_CNT", 0x7e9c, 0 }, + { "TP_MOD_POP_CNT", 0x7ea0, 0 }, + { "TP_TIMER_SEPARATOR", 0x7ea4, 0 }, + { "TimerSeparator", 16, 16 }, + { "DisableTimeFreeze", 0, 1 }, + { "TP_STAMP_TIME", 0x7ea8, 0 }, + { "TP_DEBUG_FLAGS", 0x7eac, 0 }, + { "RxTimerCompBuffer", 27, 1 }, + { "RxTimerDackFirst", 26, 1 }, + { "RxTimerDack", 25, 1 }, + { "RxTimerHeartbeat", 24, 1 }, + { "RxPawsDrop", 23, 1 }, + { "RxUrgDataDrop", 22, 1 }, + { "RxFutureData", 21, 1 }, + { "RxRcvRxmData", 20, 1 }, + { "RxRcvOooDataFin", 19, 1 }, + { "RxRcvOooData", 18, 1 }, + { "RxRcvWndZero", 17, 1 }, + { "RxRcvWndLtMss", 16, 1 }, + { "TxDfrFast", 13, 1 }, + { "TxRxmMisc", 12, 1 }, + { "TxDupAckInc", 11, 1 }, + { "TxRxmUrg", 10, 1 }, + { "TxRxmFin", 9, 1 }, + { "TxRxmSyn", 8, 1 }, + { "TxRxmNewReno", 7, 1 }, + { "TxRxmFast", 6, 1 }, + { "TxRxmTimer", 5, 1 }, + { "TxRxmTimerKeepalive", 4, 1 }, + { "TxRxmTimerPersist", 3, 1 }, + { "TxRcvAdvShrunk", 2, 1 }, + { "TxRcvAdvZero", 1, 1 }, + { "TxRcvAdvLtMss", 0, 1 }, + { "TP_RX_SCHED", 0x7eb0, 0 }, + { "CommitReset1", 31, 1 }, + { "CommitReset0", 30, 1 }, + { "ForceCong1", 29, 1 }, + { "ForceCong0", 28, 1 }, + { "EnableLpbkFull1", 26, 2 }, + { "EnableLpbkFull0", 24, 2 }, + { "EnableFifoFull1", 22, 2 }, + { "EnablePcmdFull1", 20, 2 }, + { "EnableHdrFull1", 18, 2 }, + { "EnableFifoFull0", 16, 2 }, + { "EnablePcmdFull0", 14, 2 }, + { "EnableHdrFull0", 12, 2 }, + { "TP_TX_SCHED", 0x7eb4, 0 }, + { "CommitReset3", 31, 1 }, + { "CommitReset2", 30, 1 }, + { "CommitReset1", 29, 1 }, + { "CommitReset0", 28, 1 }, + { "ForceCong3", 27, 1 }, + { "ForceCong2", 26, 1 }, + { "ForceCong1", 25, 1 }, + { "ForceCong0", 24, 1 }, + { "CommitLimit3", 18, 6 }, + { "CommitLimit2", 12, 6 }, + { "CommitLimit1", 6, 6 }, + { "CommitLimit0", 0, 6 }, + { "TP_FX_SCHED", 0x7eb8, 0 }, + { "TxChnXoff3", 19, 1 }, + { "TxChnXoff2", 18, 1 }, + { "TxChnXoff1", 17, 1 }, + { "TxChnXoff0", 16, 1 }, + { "TxModXoff7", 15, 1 }, + { "TxModXoff6", 14, 1 }, + { "TxModXoff5", 13, 1 }, + { "TxModXoff4", 12, 1 }, + { "TxModXoff3", 11, 1 }, + { "TxModXoff2", 10, 1 }, + { "TxModXoff1", 9, 1 }, + { "TxModXoff0", 8, 1 }, + { "RxChnXoff3", 7, 1 }, + { "RxChnXoff2", 6, 1 }, + { "RxChnXoff1", 5, 1 }, + { "RxChnXoff0", 4, 1 }, + { "RxModXoff1", 1, 1 }, + { "RxModXoff0", 0, 1 }, + { "TP_TX_ORATE", 0x7ebc, 0 }, + { "OfdRate3", 24, 8 }, + { "OfdRate2", 16, 8 }, + { "OfdRate1", 8, 8 }, + { "OfdRate0", 0, 8 }, + { "TP_IX_SCHED0", 0x7ec0, 0 }, + { "TP_IX_SCHED1", 0x7ec4, 0 }, + { "TP_IX_SCHED2", 0x7ec8, 0 }, + { "TP_IX_SCHED3", 0x7ecc, 0 }, + { "TP_TX_TRATE", 0x7ed0, 0 }, + { "TnlRate3", 24, 8 }, + { "TnlRate2", 16, 8 }, + { "TnlRate1", 8, 8 }, + { "TnlRate0", 0, 8 }, + { "TP_DBG_LA_CONFIG", 0x7ed4, 0 }, + { "DbgLaOpcEnable", 24, 8 }, + { "DbgLaWhlf", 23, 1 }, + { "DbgLaWptr", 16, 7 }, + { "DbgLaMode", 14, 2 }, + { "DbgLaFatalFreeze", 13, 1 }, + { "DbgLaEnable", 12, 1 }, + { "DbgLaRptr", 0, 7 }, + { "TP_DBG_LA_DATAL", 0x7ed8, 0 }, + { "TP_DBG_LA_DATAH", 0x7edc, 0 }, + { "TP_PROTOCOL_CNTRL", 0x7ee8, 0 }, + { "WriteEnable", 31, 1 }, + { "TcamEnable", 10, 1 }, + { "BlockSelect", 8, 2 }, + { "LineAddress", 1, 7 }, + { "RequestDone", 0, 1 }, + { "TP_PROTOCOL_DATA0", 0x7eec, 0 }, + { "TP_PROTOCOL_DATA1", 0x7ef0, 0 }, + { "TP_PROTOCOL_DATA2", 0x7ef4, 0 }, + { "TP_PROTOCOL_DATA3", 0x7ef8, 0 }, + { "TP_PROTOCOL_DATA4", 0x7efc, 0 }, + { NULL } +}; + +struct reg_info t6_ulp_tx_regs[] = { + { "ULP_TX_CONFIG", 0x8dc0, 0 }, + { "ULIMIT_EXCLUSIVE_FIX", 16, 1 }, + { "ISO_A_FLAG_EN", 15, 1 }, + { "IWARP_SEQ_FLIT_DIS", 14, 1 }, + { "MR_SIZE_FIX_EN", 13, 1 }, + { "T10_ISO_FIX_EN", 12, 1 }, + { "CPL_FLAGS_UPDATE_EN", 11, 1 }, + { "IWARP_SEQ_UPDATE_EN", 10, 1 }, + { "SEQ_UPDATE_EN", 9, 1 }, + { "ERR_ITT_EN", 8, 1 }, + { "atomic_fix_dis", 7, 1 }, + { "PHYS_ADDR_RESP_EN", 6, 1 }, + { "ENDIANESS_CHANGE", 5, 1 }, + { "ERR_RTAG_EN", 4, 1 }, + { "TSO_ETHLEN_EN", 3, 1 }, + { "emsg_more_info", 2, 1 }, + { "LOSDR", 1, 1 }, + { "extra_tag_insertion_enable", 0, 1 }, + { "ULP_TX_PERR_INJECT", 0x8dc4, 0 }, + { "MemSel", 1, 5 }, + { "InjectDataErr", 0, 1 }, + { "ULP_TX_INT_ENABLE", 0x8dc8, 0 }, + { "Pbl_bound_err_ch3", 31, 1 }, + { "Pbl_bound_err_ch2", 30, 1 }, + { "Pbl_bound_err_ch1", 29, 1 }, + { "Pbl_bound_err_ch0", 28, 1 }, + { "sge2ulp_fifo_perr_set3", 27, 1 }, + { "sge2ulp_fifo_perr_set2", 26, 1 }, + { "sge2ulp_fifo_perr_set1", 25, 1 }, + { "sge2ulp_fifo_perr_set0", 24, 1 }, + { "cim2ulp_fifo_perr_set3", 23, 1 }, + { "cim2ulp_fifo_perr_set2", 22, 1 }, + { "cim2ulp_fifo_perr_set1", 21, 1 }, + { "cim2ulp_fifo_perr_set0", 20, 1 }, + { "CQE_fifo_perr_set3", 19, 1 }, + { "CQE_fifo_perr_set2", 18, 1 }, + { "CQE_fifo_perr_set1", 17, 1 }, + { "CQE_fifo_perr_set0", 16, 1 }, + { "pbl_fifo_perr_set3", 15, 1 }, + { "pbl_fifo_perr_set2", 14, 1 }, + { "pbl_fifo_perr_set1", 13, 1 }, + { "pbl_fifo_perr_set0", 12, 1 }, + { "cmd_fifo_perr_set3", 11, 1 }, + { "cmd_fifo_perr_set2", 10, 1 }, + { "cmd_fifo_perr_set1", 9, 1 }, + { "cmd_fifo_perr_set0", 8, 1 }, + { "lso_hdr_sram_perr_set3", 7, 1 }, + { "lso_hdr_sram_perr_set2", 6, 1 }, + { "lso_hdr_sram_perr_set1", 5, 1 }, + { "lso_hdr_sram_perr_set0", 4, 1 }, + { "ULP_TX_INT_CAUSE", 0x8dcc, 0 }, + { "Pbl_bound_err_ch3", 31, 1 }, + { "Pbl_bound_err_ch2", 30, 1 }, + { "Pbl_bound_err_ch1", 29, 1 }, + { "Pbl_bound_err_ch0", 28, 1 }, + { "sge2ulp_fifo_perr_set3", 27, 1 }, + { "sge2ulp_fifo_perr_set2", 26, 1 }, + { "sge2ulp_fifo_perr_set1", 25, 1 }, + { "sge2ulp_fifo_perr_set0", 24, 1 }, + { "cim2ulp_fifo_perr_set3", 23, 1 }, + { "cim2ulp_fifo_perr_set2", 22, 1 }, + { "cim2ulp_fifo_perr_set1", 21, 1 }, + { "cim2ulp_fifo_perr_set0", 20, 1 }, + { "CQE_fifo_perr_set3", 19, 1 }, + { "CQE_fifo_perr_set2", 18, 1 }, + { "CQE_fifo_perr_set1", 17, 1 }, + { "CQE_fifo_perr_set0", 16, 1 }, + { "pbl_fifo_perr_set3", 15, 1 }, + { "pbl_fifo_perr_set2", 14, 1 }, + { "pbl_fifo_perr_set1", 13, 1 }, + { "pbl_fifo_perr_set0", 12, 1 }, + { "cmd_fifo_perr_set3", 11, 1 }, + { "cmd_fifo_perr_set2", 10, 1 }, + { "cmd_fifo_perr_set1", 9, 1 }, + { "cmd_fifo_perr_set0", 8, 1 }, + { "lso_hdr_sram_perr_set3", 7, 1 }, + { "lso_hdr_sram_perr_set2", 6, 1 }, + { "lso_hdr_sram_perr_set1", 5, 1 }, + { "lso_hdr_sram_perr_set0", 4, 1 }, + { "ULP_TX_PERR_ENABLE", 0x8dd0, 0 }, + { "sge2ulp_fifo_perr_set3", 27, 1 }, + { "sge2ulp_fifo_perr_set2", 26, 1 }, + { "sge2ulp_fifo_perr_set1", 25, 1 }, + { "sge2ulp_fifo_perr_set0", 24, 1 }, + { "cim2ulp_fifo_perr_set3", 23, 1 }, + { "cim2ulp_fifo_perr_set2", 22, 1 }, + { "cim2ulp_fifo_perr_set1", 21, 1 }, + { "cim2ulp_fifo_perr_set0", 20, 1 }, + { "CQE_fifo_perr_set3", 19, 1 }, + { "CQE_fifo_perr_set2", 18, 1 }, + { "CQE_fifo_perr_set1", 17, 1 }, + { "CQE_fifo_perr_set0", 16, 1 }, + { "pbl_fifo_perr_set3", 15, 1 }, + { "pbl_fifo_perr_set2", 14, 1 }, + { "pbl_fifo_perr_set1", 13, 1 }, + { "pbl_fifo_perr_set0", 12, 1 }, + { "cmd_fifo_perr_set3", 11, 1 }, + { "cmd_fifo_perr_set2", 10, 1 }, + { "cmd_fifo_perr_set1", 9, 1 }, + { "cmd_fifo_perr_set0", 8, 1 }, + { "lso_hdr_sram_perr_set3", 7, 1 }, + { "lso_hdr_sram_perr_set2", 6, 1 }, + { "lso_hdr_sram_perr_set1", 5, 1 }, + { "lso_hdr_sram_perr_set0", 4, 1 }, + { "ULP_TX_TPT_LLIMIT", 0x8dd4, 0 }, + { "ULP_TX_TPT_ULIMIT", 0x8dd8, 0 }, + { "ULP_TX_PBL_LLIMIT", 0x8ddc, 0 }, + { "ULP_TX_PBL_ULIMIT", 0x8de0, 0 }, + { "ULP_TX_TLS_CTL", 0x8de4, 0 }, + { "TlsPerrEn", 4, 1 }, + { "TlsPathCtl", 3, 1 }, + { "TlsDisableIFuse", 2, 1 }, + { "TlsDisableCFuse", 1, 1 }, + { "TlsDisable", 0, 1 }, + { "ULP_TX_CPL_PACK_SIZE1", 0x8df8, 0 }, + { "Ch3Size1", 24, 8 }, + { "Ch2Size1", 16, 8 }, + { "Ch1Size1", 8, 8 }, + { "Ch0Size1", 0, 8 }, + { "ULP_TX_CPL_PACK_SIZE2", 0x8dfc, 0 }, + { "Ch3Size2", 24, 8 }, + { "Ch2Size2", 16, 8 }, + { "Ch1Size2", 8, 8 }, + { "Ch0Size2", 0, 8 }, + { "ULP_TX_ERR_MSG2CIM", 0x8e00, 0 }, + { "ULP_TX_ERR_TABLE_BASE", 0x8e04, 0 }, + { "ULP_TX_ERR_CNT_CH0", 0x8e10, 0 }, + { "ULP_TX_ERR_CNT_CH1", 0x8e14, 0 }, + { "ULP_TX_ERR_CNT_CH2", 0x8e18, 0 }, + { "ULP_TX_ERR_CNT_CH3", 0x8e1c, 0 }, + { "ULP_TX_FC_SOF", 0x8e20, 0 }, + { "SOF_FS3", 24, 8 }, + { "SOF_FS2", 16, 8 }, + { "SOF_3", 8, 8 }, + { "SOF_2", 0, 8 }, + { "ULP_TX_FC_EOF", 0x8e24, 0 }, + { "EOF_LS3", 24, 8 }, + { "EOF_LS2", 16, 8 }, + { "EOF_3", 8, 8 }, + { "EOF_2", 0, 8 }, + { "ULP_TX_CGEN_GLOBAL", 0x8e28, 0 }, + { "ULP_TX_CGEN", 0x8e2c, 0 }, + { "ULP_TX_CGEN_Storage", 8, 4 }, + { "ULP_TX_CGEN_RDMA", 4, 4 }, + { "ULP_TX_CGEN_Channel", 0, 4 }, + { "ULP_TX_MEM_CFG", 0x8e30, 0 }, + { "ULP_TX_PERR_INJECT_2", 0x8e34, 0 }, + { "MemSel", 1, 5 }, + { "InjectDataErr", 0, 1 }, + { "ULP_TX_FPGA_CMD_CTRL", 0x8e38, 0 }, + { "ULP_TX_FPGA_CMD_0", 0x8e3c, 0 }, + { "ULP_TX_FPGA_CMD_1", 0x8e40, 0 }, + { "ULP_TX_FPGA_CMD_2", 0x8e44, 0 }, + { "ULP_TX_FPGA_CMD_3", 0x8e48, 0 }, + { "ULP_TX_FPGA_CMD_4", 0x8e4c, 0 }, + { "ULP_TX_FPGA_CMD_5", 0x8e50, 0 }, + { "ULP_TX_FPGA_CMD_6", 0x8e54, 0 }, + { "ULP_TX_FPGA_CMD_7", 0x8e58, 0 }, + { "ULP_TX_FPGA_CMD_8", 0x8e5c, 0 }, + { "ULP_TX_FPGA_CMD_9", 0x8e60, 0 }, + { "ULP_TX_FPGA_CMD_10", 0x8e64, 0 }, + { "ULP_TX_FPGA_CMD_11", 0x8e68, 0 }, + { "ULP_TX_FPGA_CMD_12", 0x8e6c, 0 }, + { "ULP_TX_FPGA_CMD_13", 0x8e70, 0 }, + { "ULP_TX_FPGA_CMD_14", 0x8e74, 0 }, + { "ULP_TX_FPGA_CMD_15", 0x8e78, 0 }, + { "ULP_TX_INT_ENABLE_2", 0x8e7c, 0 }, + { "edma_in_fifo_perr_set3", 31, 1 }, + { "edma_in_fifo_perr_set2", 30, 1 }, + { "edma_in_fifo_perr_set1", 29, 1 }, + { "edma_in_fifo_perr_set0", 28, 1 }, + { "align_ctl_fifo_perr_set3", 27, 1 }, + { "align_ctl_fifo_perr_set2", 26, 1 }, + { "align_ctl_fifo_perr_set1", 25, 1 }, + { "align_ctl_fifo_perr_set0", 24, 1 }, + { "sge_fifo_perr_set3", 23, 1 }, + { "sge_fifo_perr_set2", 22, 1 }, + { "sge_fifo_perr_set1", 21, 1 }, + { "sge_fifo_perr_set0", 20, 1 }, + { "stag_fifo_perr_set3", 19, 1 }, + { "stag_fifo_perr_set2", 18, 1 }, + { "stag_fifo_perr_set1", 17, 1 }, + { "stag_fifo_perr_set0", 16, 1 }, + { "map_fifo_perr_set3", 15, 1 }, + { "map_fifo_perr_set2", 14, 1 }, + { "map_fifo_perr_set1", 13, 1 }, + { "map_fifo_perr_set0", 12, 1 }, + { "dma_fifo_perr_set3", 11, 1 }, + { "dma_fifo_perr_set2", 10, 1 }, + { "dma_fifo_perr_set1", 9, 1 }, + { "dma_fifo_perr_set0", 8, 1 }, + { "fso_hdr_sram_perr_set3", 7, 1 }, + { "fso_hdr_sram_perr_set2", 6, 1 }, + { "fso_hdr_sram_perr_set1", 5, 1 }, + { "fso_hdr_sram_perr_set0", 4, 1 }, + { "t10_pi_sram_perr_set3", 3, 1 }, + { "t10_pi_sram_perr_set2", 2, 1 }, + { "t10_pi_sram_perr_set1", 1, 1 }, + { "t10_pi_sram_perr_set0", 0, 1 }, + { "ULP_TX_INT_CAUSE_2", 0x8e80, 0 }, + { "edma_in_fifo_perr_set3", 31, 1 }, + { "edma_in_fifo_perr_set2", 30, 1 }, + { "edma_in_fifo_perr_set1", 29, 1 }, + { "edma_in_fifo_perr_set0", 28, 1 }, + { "align_ctl_fifo_perr_set3", 27, 1 }, + { "align_ctl_fifo_perr_set2", 26, 1 }, + { "align_ctl_fifo_perr_set1", 25, 1 }, + { "align_ctl_fifo_perr_set0", 24, 1 }, + { "sge_fifo_perr_set3", 23, 1 }, + { "sge_fifo_perr_set2", 22, 1 }, + { "sge_fifo_perr_set1", 21, 1 }, + { "sge_fifo_perr_set0", 20, 1 }, + { "stag_fifo_perr_set3", 19, 1 }, + { "stag_fifo_perr_set2", 18, 1 }, + { "stag_fifo_perr_set1", 17, 1 }, + { "stag_fifo_perr_set0", 16, 1 }, + { "map_fifo_perr_set3", 15, 1 }, + { "map_fifo_perr_set2", 14, 1 }, + { "map_fifo_perr_set1", 13, 1 }, + { "map_fifo_perr_set0", 12, 1 }, + { "dma_fifo_perr_set3", 11, 1 }, + { "dma_fifo_perr_set2", 10, 1 }, + { "dma_fifo_perr_set1", 9, 1 }, + { "dma_fifo_perr_set0", 8, 1 }, + { "fso_hdr_sram_perr_set3", 7, 1 }, + { "fso_hdr_sram_perr_set2", 6, 1 }, + { "fso_hdr_sram_perr_set1", 5, 1 }, + { "fso_hdr_sram_perr_set0", 4, 1 }, + { "t10_pi_sram_perr_set3", 3, 1 }, + { "t10_pi_sram_perr_set2", 2, 1 }, + { "t10_pi_sram_perr_set1", 1, 1 }, + { "t10_pi_sram_perr_set0", 0, 1 }, + { "ULP_TX_PERR_ENABLE_2", 0x8e84, 0 }, + { "edma_in_fifo_perr_set3", 31, 1 }, + { "edma_in_fifo_perr_set2", 30, 1 }, + { "edma_in_fifo_perr_set1", 29, 1 }, + { "edma_in_fifo_perr_set0", 28, 1 }, + { "align_ctl_fifo_perr_set3", 27, 1 }, + { "align_ctl_fifo_perr_set2", 26, 1 }, + { "align_ctl_fifo_perr_set1", 25, 1 }, + { "align_ctl_fifo_perr_set0", 24, 1 }, + { "sge_fifo_perr_set3", 23, 1 }, + { "sge_fifo_perr_set2", 22, 1 }, + { "sge_fifo_perr_set1", 21, 1 }, + { "sge_fifo_perr_set0", 20, 1 }, + { "stag_fifo_perr_set3", 19, 1 }, + { "stag_fifo_perr_set2", 18, 1 }, + { "stag_fifo_perr_set1", 17, 1 }, + { "stag_fifo_perr_set0", 16, 1 }, + { "map_fifo_perr_set3", 15, 1 }, + { "map_fifo_perr_set2", 14, 1 }, + { "map_fifo_perr_set1", 13, 1 }, + { "map_fifo_perr_set0", 12, 1 }, + { "dma_fifo_perr_set3", 11, 1 }, + { "dma_fifo_perr_set2", 10, 1 }, + { "dma_fifo_perr_set1", 9, 1 }, + { "dma_fifo_perr_set0", 8, 1 }, + { "fso_hdr_sram_perr_set3", 7, 1 }, + { "fso_hdr_sram_perr_set2", 6, 1 }, + { "fso_hdr_sram_perr_set1", 5, 1 }, + { "fso_hdr_sram_perr_set0", 4, 1 }, + { "t10_pi_sram_perr_set3", 3, 1 }, + { "t10_pi_sram_perr_set2", 2, 1 }, + { "t10_pi_sram_perr_set1", 1, 1 }, + { "t10_pi_sram_perr_set0", 0, 1 }, + { "ULP_TX_SE_CNT_ERR", 0x8ea0, 0 }, + { "ERR_CH3", 12, 4 }, + { "ERR_CH2", 8, 4 }, + { "ERR_CH1", 4, 4 }, + { "ERR_CH0", 0, 4 }, + { "ULP_TX_SE_CNT_CLR", 0x8ea4, 0 }, + { "CLR_DROP", 16, 4 }, + { "CLR_CH3", 12, 4 }, + { "CLR_CH2", 8, 4 }, + { "CLR_CH1", 4, 4 }, + { "CLR_CH0", 0, 4 }, + { "ULP_TX_SE_CNT_CH0", 0x8ea8, 0 }, + { "SOP_CNT_ULP2TP", 28, 4 }, + { "EOP_CNT_ULP2TP", 24, 4 }, + { "SOP_CNT_LSO_IN", 20, 4 }, + { "EOP_CNT_LSO_IN", 16, 4 }, + { "SOP_CNT_ALG_IN", 12, 4 }, + { "EOP_CNT_ALG_IN", 8, 4 }, + { "SOP_CNT_CIM2ULP", 4, 4 }, + { "EOP_CNT_CIM2ULP", 0, 4 }, + { "ULP_TX_SE_CNT_CH1", 0x8eac, 0 }, + { "SOP_CNT_ULP2TP", 28, 4 }, + { "EOP_CNT_ULP2TP", 24, 4 }, + { "SOP_CNT_LSO_IN", 20, 4 }, + { "EOP_CNT_LSO_IN", 16, 4 }, + { "SOP_CNT_ALG_IN", 12, 4 }, + { "EOP_CNT_ALG_IN", 8, 4 }, + { "SOP_CNT_CIM2ULP", 4, 4 }, + { "EOP_CNT_CIM2ULP", 0, 4 }, + { "ULP_TX_SE_CNT_CH2", 0x8eb0, 0 }, + { "SOP_CNT_ULP2TP", 28, 4 }, + { "EOP_CNT_ULP2TP", 24, 4 }, + { "SOP_CNT_LSO_IN", 20, 4 }, + { "EOP_CNT_LSO_IN", 16, 4 }, + { "SOP_CNT_ALG_IN", 12, 4 }, + { "EOP_CNT_ALG_IN", 8, 4 }, + { "SOP_CNT_CIM2ULP", 4, 4 }, + { "EOP_CNT_CIM2ULP", 0, 4 }, + { "ULP_TX_SE_CNT_CH3", 0x8eb4, 0 }, + { "SOP_CNT_ULP2TP", 28, 4 }, + { "EOP_CNT_ULP2TP", 24, 4 }, + { "SOP_CNT_LSO_IN", 20, 4 }, + { "EOP_CNT_LSO_IN", 16, 4 }, + { "SOP_CNT_ALG_IN", 12, 4 }, + { "EOP_CNT_ALG_IN", 8, 4 }, + { "SOP_CNT_CIM2ULP", 4, 4 }, + { "EOP_CNT_CIM2ULP", 0, 4 }, + { "ULP_TX_DROP_CNT", 0x8eb8, 0 }, + { "DROP_INVLD_MC_CH3", 28, 4 }, + { "DROP_INVLD_MC_CH2", 24, 4 }, + { "DROP_INVLD_MC_CH1", 20, 4 }, + { "DROP_INVLD_MC_CH0", 16, 4 }, + { "DROP_CH3", 12, 4 }, + { "DROP_CH2", 8, 4 }, + { "DROP_CH1", 4, 4 }, + { "DROP_CH0", 0, 4 }, + { "ULP_TX_CSU_REVISION", 0x8ebc, 0 }, + { "ULP_TX_LA_RDPTR_0", 0x8ec0, 0 }, + { "ULP_TX_LA_RDDATA_0", 0x8ec4, 0 }, + { "ULP_TX_LA_WRPTR_0", 0x8ec8, 0 }, + { "ULP_TX_LA_RESERVED_0", 0x8ecc, 0 }, + { "ULP_TX_LA_RDPTR_1", 0x8ed0, 0 }, + { "ULP_TX_LA_RDDATA_1", 0x8ed4, 0 }, + { "ULP_TX_LA_WRPTR_1", 0x8ed8, 0 }, + { "ULP_TX_LA_RESERVED_1", 0x8edc, 0 }, + { "ULP_TX_LA_RDPTR_2", 0x8ee0, 0 }, + { "ULP_TX_LA_RDDATA_2", 0x8ee4, 0 }, + { "ULP_TX_LA_WRPTR_2", 0x8ee8, 0 }, + { "ULP_TX_LA_RESERVED_2", 0x8eec, 0 }, + { "ULP_TX_LA_RDPTR_3", 0x8ef0, 0 }, + { "ULP_TX_LA_RDDATA_3", 0x8ef4, 0 }, + { "ULP_TX_LA_WRPTR_3", 0x8ef8, 0 }, + { "ULP_TX_LA_RESERVED_3", 0x8efc, 0 }, + { "ULP_TX_LA_RDPTR_4", 0x8f00, 0 }, + { "ULP_TX_LA_RDDATA_4", 0x8f04, 0 }, + { "ULP_TX_LA_WRPTR_4", 0x8f08, 0 }, + { "ULP_TX_LA_RESERVED_4", 0x8f0c, 0 }, + { "ULP_TX_LA_RDPTR_5", 0x8f10, 0 }, + { "ULP_TX_LA_RDDATA_5", 0x8f14, 0 }, + { "ULP_TX_LA_WRPTR_5", 0x8f18, 0 }, + { "ULP_TX_LA_RESERVED_5", 0x8f1c, 0 }, + { "ULP_TX_LA_RDPTR_6", 0x8f20, 0 }, + { "ULP_TX_LA_RDDATA_6", 0x8f24, 0 }, + { "ULP_TX_LA_WRPTR_6", 0x8f28, 0 }, + { "ULP_TX_LA_RESERVED_6", 0x8f2c, 0 }, + { "ULP_TX_LA_RDPTR_7", 0x8f30, 0 }, + { "ULP_TX_LA_RDDATA_7", 0x8f34, 0 }, + { "ULP_TX_LA_WRPTR_7", 0x8f38, 0 }, + { "ULP_TX_LA_RESERVED_7", 0x8f3c, 0 }, + { "ULP_TX_LA_RDPTR_8", 0x8f40, 0 }, + { "ULP_TX_LA_RDDATA_8", 0x8f44, 0 }, + { "ULP_TX_LA_WRPTR_8", 0x8f48, 0 }, + { "ULP_TX_LA_RESERVED_8", 0x8f4c, 0 }, + { "ULP_TX_LA_RDPTR_9", 0x8f50, 0 }, + { "ULP_TX_LA_RDDATA_9", 0x8f54, 0 }, + { "ULP_TX_LA_WRPTR_9", 0x8f58, 0 }, + { "ULP_TX_LA_RESERVED_9", 0x8f5c, 0 }, + { "ULP_TX_LA_RDPTR_10", 0x8f60, 0 }, + { "ULP_TX_LA_RDDATA_10", 0x8f64, 0 }, + { "ULP_TX_LA_WRPTR_10", 0x8f68, 0 }, + { "ULP_TX_LA_RESERVED_10", 0x8f6c, 0 }, + { "ULP_TX_ASIC_DEBUG_CTRL", 0x8f70, 0 }, + { "ULP_TX_CPL_TX_DATA_FLAGS_MASK", 0x8f88, 0 }, + { "bypass_first", 26, 1 }, + { "bypass_middle", 25, 1 }, + { "bypass_last", 24, 1 }, + { "push_first", 22, 1 }, + { "push_middle", 21, 1 }, + { "push_last", 20, 1 }, + { "save_first", 18, 1 }, + { "save_middle", 17, 1 }, + { "save_last", 16, 1 }, + { "flush_first", 14, 1 }, + { "flush_middle", 13, 1 }, + { "flush_last", 12, 1 }, + { "urgent_first", 10, 1 }, + { "urgent_middle", 9, 1 }, + { "urgent_last", 8, 1 }, + { "more_first", 6, 1 }, + { "more_middle", 5, 1 }, + { "more_last", 4, 1 }, + { "shove_first", 2, 1 }, + { "shove_middle", 1, 1 }, + { "shove_last", 0, 1 }, + { "ULP_TX_TLS_IND_CMD", 0x8fb8, 0 }, + { "ULP_TX_TLS_IND_DATA", 0x8fbc, 0 }, + { "ULP_TX_ASIC_DEBUG_0", 0x8f74, 0 }, + { "ULP_TX_ASIC_DEBUG_1", 0x8f78, 0 }, + { "ULP_TX_ASIC_DEBUG_2", 0x8f7c, 0 }, + { "ULP_TX_ASIC_DEBUG_3", 0x8f80, 0 }, + { "ULP_TX_ASIC_DEBUG_4", 0x8f84, 0 }, + { NULL } +}; + +struct reg_info t6_pm_rx_regs[] = { + { "PM_RX_CFG", 0x8fc0, 0 }, + { "ch1_output", 27, 5 }, + { "strobe1", 16, 1 }, + { "ch1_input", 11, 5 }, + { "ch2_input", 6, 5 }, + { "ch3_input", 1, 5 }, + { "strobe0", 0, 1 }, + { "PM_RX_MODE", 0x8fc4, 0 }, + { "use_bundle_len", 4, 1 }, + { "stat_to_ch", 3, 1 }, + { "stat_from_ch", 1, 2 }, + { "prefetch_enable", 0, 1 }, + { "PM_RX_STAT_CONFIG", 0x8fc8, 0 }, + { "PM_RX_STAT_COUNT", 0x8fcc, 0 }, + { "PM_RX_DBG_CTRL", 0x8fd0, 0 }, + { "OspiWrBusy", 21, 2 }, + { "IspiWrBusy", 17, 4 }, + { "PMDbgAddr", 0, 17 }, + { "PM_RX_DBG_DATA", 0x8fd4, 0 }, + { "PM_RX_INT_ENABLE", 0x8fd8, 0 }, + { "ospi_overflow1", 28, 1 }, + { "ospi_overflow0", 27, 1 }, + { "ma_intf_sdc_err", 26, 1 }, + { "bundle_len_ParErr", 25, 1 }, + { "bundle_len_ovfl", 24, 1 }, + { "sdc_err", 23, 1 }, + { "zero_e_cmd_error", 22, 1 }, + { "iespi0_fifo2x_Rx_framing_error", 21, 1 }, + { "iespi1_fifo2x_Rx_framing_error", 20, 1 }, + { "iespi2_fifo2x_Rx_framing_error", 19, 1 }, + { "iespi3_fifo2x_Rx_framing_error", 18, 1 }, + { "iespi0_Rx_framing_error", 17, 1 }, + { "iespi1_Rx_framing_error", 16, 1 }, + { "iespi2_Rx_framing_error", 15, 1 }, + { "iespi3_Rx_framing_error", 14, 1 }, + { "iespi0_Tx_framing_error", 13, 1 }, + { "iespi1_Tx_framing_error", 12, 1 }, + { "iespi2_Tx_framing_error", 11, 1 }, + { "iespi3_Tx_framing_error", 10, 1 }, + { "ocspi0_Rx_framing_error", 9, 1 }, + { "ocspi1_Rx_framing_error", 8, 1 }, + { "ocspi0_Tx_framing_error", 7, 1 }, + { "ocspi1_Tx_framing_error", 6, 1 }, + { "ocspi0_ofifo2x_Tx_framing_error", 5, 1 }, + { "ocspi1_ofifo2x_Tx_framing_error", 4, 1 }, + { "ocspi_par_error", 3, 1 }, + { "db_options_par_error", 2, 1 }, + { "iespi_par_error", 1, 1 }, + { "e_pcmd_par_error", 0, 1 }, + { "PM_RX_INT_CAUSE", 0x8fdc, 0 }, + { "ospi_overflow1", 28, 1 }, + { "ospi_overflow0", 27, 1 }, + { "ma_intf_sdc_err", 26, 1 }, + { "bundle_len_ParErr", 25, 1 }, + { "bundle_len_ovfl", 24, 1 }, + { "sdc_err", 23, 1 }, + { "zero_e_cmd_error", 22, 1 }, + { "iespi0_fifo2x_Rx_framing_error", 21, 1 }, + { "iespi1_fifo2x_Rx_framing_error", 20, 1 }, + { "iespi2_fifo2x_Rx_framing_error", 19, 1 }, + { "iespi3_fifo2x_Rx_framing_error", 18, 1 }, + { "iespi0_Rx_framing_error", 17, 1 }, + { "iespi1_Rx_framing_error", 16, 1 }, + { "iespi2_Rx_framing_error", 15, 1 }, + { "iespi3_Rx_framing_error", 14, 1 }, + { "iespi0_Tx_framing_error", 13, 1 }, + { "iespi1_Tx_framing_error", 12, 1 }, + { "iespi2_Tx_framing_error", 11, 1 }, + { "iespi3_Tx_framing_error", 10, 1 }, + { "ocspi0_Rx_framing_error", 9, 1 }, + { "ocspi1_Rx_framing_error", 8, 1 }, + { "ocspi0_Tx_framing_error", 7, 1 }, + { "ocspi1_Tx_framing_error", 6, 1 }, + { "ocspi0_ofifo2x_Tx_framing_error", 5, 1 }, + { "ocspi1_ofifo2x_Tx_framing_error", 4, 1 }, + { "ocspi_par_error", 3, 1 }, + { "db_options_par_error", 2, 1 }, + { "iespi_par_error", 1, 1 }, + { "e_pcmd_par_error", 0, 1 }, + { NULL } +}; + +struct reg_info t6_pm_tx_regs[] = { + { "PM_TX_CFG", 0x8fe0, 0 }, + { "ch1_output", 27, 5 }, + { "ch2_output", 22, 5 }, + { "ch3_output", 17, 5 }, + { "strobe1", 16, 1 }, + { "ch1_input", 11, 5 }, + { "ch2_input", 6, 5 }, + { "ch3_input", 1, 5 }, + { "strobe0", 0, 1 }, + { "PM_TX_MODE", 0x8fe4, 0 }, + { "cong_thresh3", 25, 7 }, + { "cong_thresh2", 18, 7 }, + { "cong_thresh1", 11, 7 }, + { "cong_thresh0", 4, 7 }, + { "use_bundle_len", 3, 1 }, + { "stat_channel", 1, 2 }, + { "prefetch_enable", 0, 1 }, + { "PM_TX_STAT_CONFIG", 0x8fe8, 0 }, + { "PM_TX_STAT_COUNT", 0x8fec, 0 }, + { "PM_TX_DBG_CTRL", 0x8ff0, 0 }, + { "OspiWrBusy", 21, 4 }, + { "IspiWrBusy", 17, 4 }, + { "PMDbgAddr", 0, 17 }, + { "PM_TX_DBG_DATA", 0x8ff4, 0 }, + { "PM_TX_INT_ENABLE", 0x8ff8, 0 }, + { "pcmd_len_ovfl0", 31, 1 }, + { "pcmd_len_ovfl1", 30, 1 }, + { "pcmd_len_ovfl2", 29, 1 }, + { "zero_c_cmd_error", 28, 1 }, + { "icspi0_fifo2x_Rx_framing_error", 27, 1 }, + { "icspi1_fifo2x_Rx_framing_error", 26, 1 }, + { "icspi2_fifo2x_Rx_framing_error", 25, 1 }, + { "icspi3_fifo2x_Rx_framing_error", 24, 1 }, + { "icspi0_Rx_framing_error", 23, 1 }, + { "icspi1_Rx_framing_error", 22, 1 }, + { "icspi2_Rx_framing_error", 21, 1 }, + { "icspi3_Rx_framing_error", 20, 1 }, + { "icspi0_Tx_framing_error", 19, 1 }, + { "icspi1_Tx_framing_error", 18, 1 }, + { "icspi2_Tx_framing_error", 17, 1 }, + { "icspi3_Tx_framing_error", 16, 1 }, + { "oespi0_Rx_framing_error", 15, 1 }, + { "oespi1_Rx_framing_error", 14, 1 }, + { "oespi2_Rx_framing_error", 13, 1 }, + { "oespi3_Rx_framing_error", 12, 1 }, + { "oespi0_Tx_framing_error", 11, 1 }, + { "oespi1_Tx_framing_error", 10, 1 }, + { "oespi2_Tx_framing_error", 9, 1 }, + { "oespi3_Tx_framing_error", 8, 1 }, + { "oespi0_ofifo2x_Tx_framing_error", 7, 1 }, + { "oespi1_ofifo2x_Tx_framing_error", 6, 1 }, + { "oespi2_ofifo2x_Tx_framing_error", 5, 1 }, + { "oespi3_ofifo2x_Tx_framing_error", 4, 1 }, + { "oespi_par_error", 3, 1 }, + { "db_options_par_error", 2, 1 }, + { "icspi_par_error", 1, 1 }, + { "c_pcmd_par_error", 0, 1 }, + { "PM_TX_INT_CAUSE", 0x8ffc, 0 }, + { "pcmd_len_ovfl0", 31, 1 }, + { "pcmd_len_ovfl1", 30, 1 }, + { "pcmd_len_ovfl2", 29, 1 }, + { "zero_c_cmd_error", 28, 1 }, + { "icspi0_fifo2x_Rx_framing_error", 27, 1 }, + { "icspi1_fifo2x_Rx_framing_error", 26, 1 }, + { "icspi2_fifo2x_Rx_framing_error", 25, 1 }, + { "icspi3_fifo2x_Rx_framing_error", 24, 1 }, + { "icspi0_Rx_framing_error", 23, 1 }, + { "icspi1_Rx_framing_error", 22, 1 }, + { "icspi2_Rx_framing_error", 21, 1 }, + { "icspi3_Rx_framing_error", 20, 1 }, + { "icspi0_Tx_framing_error", 19, 1 }, + { "icspi1_Tx_framing_error", 18, 1 }, + { "icspi2_Tx_framing_error", 17, 1 }, + { "icspi3_Tx_framing_error", 16, 1 }, + { "oespi0_Rx_framing_error", 15, 1 }, + { "oespi1_Rx_framing_error", 14, 1 }, + { "oespi2_Rx_framing_error", 13, 1 }, + { "oespi3_Rx_framing_error", 12, 1 }, + { "oespi0_Tx_framing_error", 11, 1 }, + { "oespi1_Tx_framing_error", 10, 1 }, + { "oespi2_Tx_framing_error", 9, 1 }, + { "oespi3_Tx_framing_error", 8, 1 }, + { "oespi0_ofifo2x_Tx_framing_error", 7, 1 }, + { "oespi1_ofifo2x_Tx_framing_error", 6, 1 }, + { "oespi2_ofifo2x_Tx_framing_error", 5, 1 }, + { "oespi3_ofifo2x_Tx_framing_error", 4, 1 }, + { "ospi_or_bundle_len_par_err", 3, 1 }, + { "db_options_par_error", 2, 1 }, + { "icspi_par_error", 1, 1 }, + { "c_pcmd_par_error", 0, 1 }, + { NULL } +}; + +struct reg_info t6_mps_regs[] = { + { "MPS_CMN_CTL", 0x9000, 0 }, + { "TX_PORT_STATS_MODE", 8, 1 }, + { "T5Mode", 7, 1 }, + { "SpeedMode", 5, 2 }, + { "LpbkCrdtCtrl", 4, 1 }, + { "Detect8023", 3, 1 }, + { "VFDirectAccess", 2, 1 }, + { "NumPorts", 0, 2 }, + { "MPS_INT_ENABLE", 0x9004, 0 }, + { "StatIntEnb", 5, 1 }, + { "TxIntEnb", 4, 1 }, + { "RxIntEnb", 3, 1 }, + { "TrcIntEnb", 2, 1 }, + { "ClsIntEnb", 1, 1 }, + { "PLIntEnb", 0, 1 }, + { "MPS_INT_CAUSE", 0x9008, 0 }, + { "StatInt", 5, 1 }, + { "TxInt", 4, 1 }, + { "RxInt", 3, 1 }, + { "TrcInt", 2, 1 }, + { "ClsInt", 1, 1 }, + { "PLInt", 0, 1 }, + { "MPS_CGEN_GLOBAL", 0x900c, 0 }, + { "MPS_VF_TX_CTL_31_0", 0x9010, 0 }, + { "MPS_VF_TX_CTL_63_32", 0x9014, 0 }, + { "MPS_VF_TX_CTL_95_64", 0x9018, 0 }, + { "MPS_VF_TX_CTL_127_96", 0x901c, 0 }, + { "MPS_VF_TX_CTL_159_128", 0x9100, 0 }, + { "MPS_VF_TX_CTL_191_160", 0x9104, 0 }, + { "MPS_VF_TX_CTL_223_192", 0x9108, 0 }, + { "MPS_VF_TX_CTL_255_224", 0x910c, 0 }, + { "MPS_VF_RX_CTL_31_0", 0x9020, 0 }, + { "MPS_VF_RX_CTL_63_32", 0x9024, 0 }, + { "MPS_VF_RX_CTL_95_64", 0x9028, 0 }, + { "MPS_VF_RX_CTL_127_96", 0x902c, 0 }, + { "MPS_VF_RX_CTL_159_128", 0x9110, 0 }, + { "MPS_VF_RX_CTL_191_160", 0x9114, 0 }, + { "MPS_VF_RX_CTL_223_192", 0x9118, 0 }, + { "MPS_VF_RX_CTL_255_224", 0x911c, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP0", 0x9030, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP1", 0x9034, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP2", 0x9038, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP3", 0x903c, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP0", 0x9040, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP1", 0x9044, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP2", 0x9048, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP3", 0x904c, 0 }, + { "MPS_TP_CSIDE_MUX_CTL_P0", 0x9050, 0 }, + { "MPS_TP_CSIDE_MUX_CTL_P1", 0x9054, 0 }, + { "MPS_WOL_CTL_MODE", 0x9058, 0 }, + { "MPS_FPGA_DEBUG", 0x9060, 0 }, + { "FPGA_PTP_PORT", 9, 2 }, + { "LPBK_EN", 8, 1 }, + { "CH_MAP1", 2, 2 }, + { "CH_MAP0", 0, 2 }, + { "MPS_DEBUG_CTL", 0x9068, 0 }, + { "DbgModeCtl_H", 11, 1 }, + { "DbgSel_H", 6, 5 }, + { "DbgModeCtl_L", 5, 1 }, + { "DbgSel_L", 0, 5 }, + { "MPS_DEBUG_DATA_REG_L", 0x906c, 0 }, + { "MPS_DEBUG_DATA_REG_H", 0x9070, 0 }, + { "MPS_TOP_SPARE", 0x9074, 0 }, + { "TopSpare", 8, 24 }, + { "oVlanSelLpbk3", 7, 1 }, + { "oVlanSelLpbk2", 6, 1 }, + { "oVlanSelLpbk1", 5, 1 }, + { "oVlanSelLpbk0", 4, 1 }, + { "oVlanSelMac3", 3, 1 }, + { "oVlanSelMac2", 2, 1 }, + { "oVlanSelMac1", 1, 1 }, + { "oVlanSelMac0", 0, 1 }, + { "MPS_BUILD_REVISION", 0x9078, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH0", 0x907c, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH1", 0x9080, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH2", 0x9084, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH3", 0x9088, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH4", 0x908c, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH5", 0x9090, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH6", 0x9094, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH7", 0x9098, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH8", 0x909c, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH9", 0x90a0, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH10", 0x90a4, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH11", 0x90a8, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH12", 0x90ac, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH13", 0x90b0, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH14", 0x90b4, 0 }, + { "MPS_TX_PAUSE_DURATION_BUF_GRP_TH15", 0x90b8, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH0", 0x90bc, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH1", 0x90c0, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH2", 0x90c4, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH3", 0x90c8, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH4", 0x90cc, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH5", 0x90d0, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH6", 0x90d4, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH7", 0x90d8, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH8", 0x90dc, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH9", 0x90e0, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH10", 0x90e4, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH11", 0x90e8, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH12", 0x90ec, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH13", 0x90f0, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH14", 0x90f4, 0 }, + { "MPS_TX_PAUSE_RETRANS_BUF_GRP_TH15", 0x90f8, 0 }, + { "MPS_FPGA_BIST_CFG_P0", 0x9120, 0 }, + { "AddrMask", 16, 16 }, + { "BaseAddr", 0, 16 }, + { "MPS_FPGA_BIST_CFG_P1", 0x9124, 0 }, + { "AddrMask", 16, 16 }, + { "BaseAddr", 0, 16 }, + { "MPS_PORT_CTL", 0x30000, 0 }, + { "LpbkEn", 31, 1 }, + { "TxEn", 30, 1 }, + { "RxEn", 29, 1 }, + { "PPPEn", 28, 1 }, + { "FCSStripEn", 27, 1 }, + { "PPPAndPause", 26, 1 }, + { "PrioPPPEnMap", 16, 8 }, + { "MPS_PORT_PAUSE_CTL", 0x30004, 0 }, + { "MPS_PORT_TX_PAUSE_CTL", 0x30008, 0 }, + { "RegSendOff", 24, 8 }, + { "RegSendOn", 16, 8 }, + { "SgeSendEn", 8, 8 }, + { "RxSendEn", 0, 8 }, + { "MPS_PORT_TX_PAUSE_CTL2", 0x3000c, 0 }, + { "MPS_PORT_RX_PAUSE_CTL", 0x30010, 0 }, + { "RegHaltOn", 8, 8 }, + { "RxHaltEn", 0, 8 }, + { "MPS_PORT_TX_PAUSE_STATUS", 0x30014, 0 }, + { "RegSending", 16, 8 }, + { "SgeSending", 8, 8 }, + { "RxSending", 0, 8 }, + { "MPS_PORT_RX_PAUSE_STATUS", 0x30018, 0 }, + { "RegHalted", 8, 8 }, + { "RxHalted", 0, 8 }, + { "MPS_PORT_TX_PAUSE_DEST_L", 0x3001c, 0 }, + { "MPS_PORT_TX_PAUSE_DEST_H", 0x30020, 0 }, + { "MPS_PORT_TX_PAUSE_SOURCE_L", 0x30024, 0 }, + { "MPS_PORT_TX_PAUSE_SOURCE_H", 0x30028, 0 }, + { "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3002c, 0 }, + { "Prty7", 14, 2 }, + { "Prty6", 12, 2 }, + { "Prty5", 10, 2 }, + { "Prty4", 8, 2 }, + { "Prty3", 6, 2 }, + { "Prty2", 4, 2 }, + { "Prty1", 2, 2 }, + { "Prty0", 0, 2 }, + { "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x30030, 0 }, + { "Prty7", 28, 4 }, + { "Prty6", 24, 4 }, + { "Prty5", 20, 4 }, + { "Prty4", 16, 4 }, + { "Prty3", 12, 4 }, + { "Prty2", 8, 4 }, + { "Prty1", 4, 4 }, + { "Prty0", 0, 4 }, + { "MPS_PORT_CTL", 0x34000, 0 }, + { "LpbkEn", 31, 1 }, + { "TxEn", 30, 1 }, + { "RxEn", 29, 1 }, + { "PPPEn", 28, 1 }, + { "FCSStripEn", 27, 1 }, + { "PPPAndPause", 26, 1 }, + { "PrioPPPEnMap", 16, 8 }, + { "MPS_PORT_PAUSE_CTL", 0x34004, 0 }, + { "MPS_PORT_TX_PAUSE_CTL", 0x34008, 0 }, + { "RegSendOff", 24, 8 }, + { "RegSendOn", 16, 8 }, + { "SgeSendEn", 8, 8 }, + { "RxSendEn", 0, 8 }, + { "MPS_PORT_TX_PAUSE_CTL2", 0x3400c, 0 }, + { "MPS_PORT_RX_PAUSE_CTL", 0x34010, 0 }, + { "RegHaltOn", 8, 8 }, + { "RxHaltEn", 0, 8 }, + { "MPS_PORT_TX_PAUSE_STATUS", 0x34014, 0 }, + { "RegSending", 16, 8 }, + { "SgeSending", 8, 8 }, + { "RxSending", 0, 8 }, + { "MPS_PORT_RX_PAUSE_STATUS", 0x34018, 0 }, + { "RegHalted", 8, 8 }, + { "RxHalted", 0, 8 }, + { "MPS_PORT_TX_PAUSE_DEST_L", 0x3401c, 0 }, + { "MPS_PORT_TX_PAUSE_DEST_H", 0x34020, 0 }, + { "MPS_PORT_TX_PAUSE_SOURCE_L", 0x34024, 0 }, + { "MPS_PORT_TX_PAUSE_SOURCE_H", 0x34028, 0 }, + { "MPS_PORT_PRTY_BUFFER_GROUP_MAP", 0x3402c, 0 }, + { "Prty7", 14, 2 }, + { "Prty6", 12, 2 }, + { "Prty5", 10, 2 }, + { "Prty4", 8, 2 }, + { "Prty3", 6, 2 }, + { "Prty2", 4, 2 }, + { "Prty1", 2, 2 }, + { "Prty0", 0, 2 }, + { "MPS_PORT_PRTY_BUFFER_GROUP_TH_MAP", 0x34030, 0 }, + { "Prty7", 28, 4 }, + { "Prty6", 24, 4 }, + { "Prty5", 20, 4 }, + { "Prty4", 16, 4 }, + { "Prty3", 12, 4 }, + { "Prty2", 8, 4 }, + { "Prty1", 4, 4 }, + { "Prty0", 0, 4 }, + { "MPS_PF_CTL", 0x1e2c0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_PF_CTL", 0x1e6c0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_PF_CTL", 0x1eac0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_PF_CTL", 0x1eec0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_PF_CTL", 0x1f2c0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_PF_CTL", 0x1f6c0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_PF_CTL", 0x1fac0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_PF_CTL", 0x1fec0, 0 }, + { "TxEn", 1, 1 }, + { "RxEn", 0, 1 }, + { "MPS_RX_CTL", 0x11000, 0 }, + { "FILT_VLAN_SEL", 17, 1 }, + { "CBA_EN", 16, 1 }, + { "BLK_SNDR", 12, 4 }, + { "CMPRS", 8, 4 }, + { "SNF", 0, 8 }, + { "MPS_RX_PORT_MUX_CTL", 0x11004, 0 }, + { "CTL_P1", 4, 4 }, + { "CTL_P0", 0, 4 }, + { "MPS_RX_FIFO_0_CTL", 0x11008, 0 }, + { "MPS_RX_FIFO_1_CTL", 0x1100c, 0 }, + { "MPS_RX_FIFO_2_CTL", 0x11010, 0 }, + { "MPS_RX_FIFO_3_CTL", 0x11014, 0 }, + { "MPS_RX_PG_HYST_BG0", 0x11048, 0 }, + { "EN", 31, 1 }, + { "TH", 0, 11 }, + { "MPS_RX_PG_HYST_BG1", 0x1104c, 0 }, + { "EN", 31, 1 }, + { "TH", 0, 11 }, + { "MPS_RX_PG_HYST_BG2", 0x11050, 0 }, + { "EN", 31, 1 }, + { "TH", 0, 11 }, + { "MPS_RX_PG_HYST_BG3", 0x11054, 0 }, + { "EN", 31, 1 }, + { "TH", 0, 11 }, + { "MPS_RX_OCH_CTL", 0x11058, 0 }, + { "DROP_WT", 27, 5 }, + { "TRUNC_WT", 22, 5 }, + { "DRAIN", 13, 5 }, + { "DROP", 8, 5 }, + { "STOP", 0, 5 }, + { "MPS_RX_LPBK_BP0", 0x1105c, 0 }, + { "MPS_RX_LPBK_BP1", 0x11060, 0 }, + { "MPS_RX_LPBK_BP2", 0x11064, 0 }, + { "MPS_RX_LPBK_BP3", 0x11068, 0 }, + { "MPS_RX_PORT_GAP", 0x1106c, 0 }, + { "MPS_RX_PERR_INT_CAUSE", 0x11074, 0 }, + { "INT_ERR_INT", 24, 1 }, + { "FF", 23, 1 }, + { "RPLC", 19, 1 }, + { "ATRB", 18, 1 }, + { "PPM1", 10, 1 }, + { "PPM0", 9, 1 }, + { "MPS_RX_PERR_INT_ENABLE", 0x11078, 0 }, + { "INT_ERR_INT", 24, 1 }, + { "FF", 23, 1 }, + { "RPLC", 19, 1 }, + { "ATRB", 18, 1 }, + { "PPM1", 10, 1 }, + { "PPM0", 9, 1 }, + { "MPS_RX_PERR_ENABLE", 0x1107c, 0 }, + { "INT_ERR_INT", 24, 1 }, + { "FF", 23, 1 }, + { "RPLC", 19, 1 }, + { "ATRB", 18, 1 }, + { "PPM1", 10, 1 }, + { "PPM0", 9, 1 }, + { "MPS_RX_PERR_INJECT", 0x11080, 0 }, + { "MemSel", 1, 5 }, + { "InjectDataErr", 0, 1 }, + { "MPS_RX_FUNC_INT_CAUSE", 0x11084, 0 }, + { "MTU_ERR_INT3", 19, 1 }, + { "MTU_ERR_INT2", 18, 1 }, + { "MTU_ERR_INT1", 17, 1 }, + { "MTU_ERR_INT0", 16, 1 }, + { "SE_CNT_ERR_INT", 15, 1 }, + { "FRM_ERR_INT", 14, 1 }, + { "LEN_ERR_INT", 13, 1 }, + { "INT_ERR_INT", 8, 5 }, + { "PG_TH_INT7", 7, 1 }, + { "PG_TH_INT6", 6, 1 }, + { "PG_TH_INT5", 5, 1 }, + { "PG_TH_INT4", 4, 1 }, + { "PG_TH_INT3", 3, 1 }, + { "PG_TH_INT2", 2, 1 }, + { "PG_TH_INT1", 1, 1 }, + { "PG_TH_INT0", 0, 1 }, + { "MPS_RX_FUNC_INT_ENABLE", 0x11088, 0 }, + { "MTU_ERR_INT3", 19, 1 }, + { "MTU_ERR_INT2", 18, 1 }, + { "MTU_ERR_INT1", 17, 1 }, + { "MTU_ERR_INT0", 16, 1 }, + { "SE_CNT_ERR_INT", 15, 1 }, + { "FRM_ERR_INT", 14, 1 }, + { "LEN_ERR_INT", 13, 1 }, + { "INT_ERR_INT", 8, 5 }, + { "PG_TH_INT7", 7, 1 }, + { "PG_TH_INT6", 6, 1 }, + { "PG_TH_INT5", 5, 1 }, + { "PG_TH_INT4", 4, 1 }, + { "PG_TH_INT3", 3, 1 }, + { "PG_TH_INT2", 2, 1 }, + { "PG_TH_INT1", 1, 1 }, + { "PG_TH_INT0", 0, 1 }, + { "MPS_RX_REPL_CTL", 0x11098, 0 }, + { "MPS_RX_PPP_ATRB", 0x1109c, 0 }, + { "ETYPE", 16, 16 }, + { "OPCODE", 0, 16 }, + { "MPS_RX_QFC0_ATRB", 0x110a0, 0 }, + { "ETYPE", 16, 16 }, + { "DA", 0, 16 }, + { "MPS_RX_QFC1_ATRB", 0x110a4, 0 }, + { "MPS_RX_PT_ARB0", 0x110a8, 0 }, + { "LPBK_WT", 16, 14 }, + { "MAC_WT", 0, 14 }, + { "MPS_RX_PT_ARB1", 0x110ac, 0 }, + { "LPBK_WT", 16, 14 }, + { "MAC_WT", 0, 14 }, + { "MPS_RX_PT_ARB2", 0x110b0, 0 }, + { "LPBK_WT", 16, 14 }, + { "MAC_WT", 0, 14 }, + { "MPS_PF_OUT_EN", 0x110b4, 0 }, + { "MPS_BMC_MTU", 0x110b8, 0 }, + { "MPS_BMC_PKT_CNT", 0x110bc, 0 }, + { "MPS_BMC_BYTE_CNT", 0x110c0, 0 }, + { "MPS_PFVF_ATRB_CTL", 0x110c4, 0 }, + { "RD_WRN", 31, 1 }, + { "PFVF", 0, 9 }, + { "MPS_PFVF_ATRB", 0x110c8, 0 }, + { "PF", 28, 3 }, + { "OFF", 18, 1 }, + { "NV_DROP", 17, 1 }, + { "MODE", 16, 1 }, + { "FULL_FRAME_MODE", 14, 1 }, + { "MTU", 0, 14 }, + { "MPS_PFVF_ATRB_FLTR0", 0x110cc, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR1", 0x110d0, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR2", 0x110d4, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR3", 0x110d8, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR4", 0x110dc, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR5", 0x110e0, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR6", 0x110e4, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR7", 0x110e8, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR8", 0x110ec, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR9", 0x110f0, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR10", 0x110f4, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR11", 0x110f8, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR12", 0x110fc, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR13", 0x11100, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR14", 0x11104, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PFVF_ATRB_FLTR15", 0x11108, 0 }, + { "VLAN_EN", 16, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_RPLC_MAP_CTL", 0x1110c, 0 }, + { "RD_WRN", 31, 1 }, + { "ADDR", 0, 10 }, + { "MPS_PF_RPLCT_MAP", 0x11110, 0 }, + { "MPS_VF_RPLCT_MAP0", 0x11114, 0 }, + { "MPS_VF_RPLCT_MAP1", 0x11118, 0 }, + { "MPS_VF_RPLCT_MAP2", 0x1111c, 0 }, + { "MPS_VF_RPLCT_MAP3", 0x11120, 0 }, + { "MPS_VF_RPLCT_MAP4", 0x11300, 0 }, + { "MPS_VF_RPLCT_MAP5", 0x11304, 0 }, + { "MPS_VF_RPLCT_MAP6", 0x11308, 0 }, + { "MPS_VF_RPLCT_MAP7", 0x1130c, 0 }, + { "MPS_MEM_DBG_CTL", 0x1112c, 0 }, + { "PKD", 17, 1 }, + { "PGD", 16, 1 }, + { "ADDR", 0, 16 }, + { "MPS_PKD_MEM_DATA0", 0x11130, 0 }, + { "MPS_PKD_MEM_DATA1", 0x11134, 0 }, + { "MPS_PKD_MEM_DATA2", 0x11138, 0 }, + { "MPS_PGD_MEM_DATA", 0x1113c, 0 }, + { "MPS_RX_SE_CNT_ERR", 0x11140, 0 }, + { "MPS_RX_SE_CNT_CLR", 0x11144, 0 }, + { "MPS_RX_SE_CNT_IN0", 0x11148, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_IN1", 0x1114c, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_IN2", 0x11150, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_IN3", 0x11154, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_IN4", 0x11158, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_IN5", 0x1115c, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_IN6", 0x11160, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_IN7", 0x11164, 0 }, + { "SOP_CNT_PM", 24, 8 }, + { "EOP_CNT_PM", 16, 8 }, + { "SOP_CNT_IN", 8, 8 }, + { "EOP_CNT_IN", 0, 8 }, + { "MPS_RX_SE_CNT_OUT01", 0x11168, 0 }, + { "SOP_CNT_1", 24, 8 }, + { "EOP_CNT_1", 16, 8 }, + { "SOP_CNT_0", 8, 8 }, + { "EOP_CNT_0", 0, 8 }, + { "MPS_RX_SE_CNT_OUT23", 0x1116c, 0 }, + { "SOP_CNT_3", 24, 8 }, + { "EOP_CNT_3", 16, 8 }, + { "SOP_CNT_2", 8, 8 }, + { "EOP_CNT_2", 0, 8 }, + { "MPS_RX_SPI_ERR", 0x11170, 0 }, + { "LEN_ERR", 21, 4 }, + { "ERR", 0, 21 }, + { "MPS_RX_IN_BUS_STATE", 0x11174, 0 }, + { "ST3", 24, 8 }, + { "ST2", 16, 8 }, + { "ST1", 8, 8 }, + { "ST0", 0, 8 }, + { "MPS_RX_OUT_BUS_STATE", 0x11178, 0 }, + { "ST_NCSI", 23, 9 }, + { "ST_TP", 0, 23 }, + { "MPS_RX_DBG_CTL", 0x1117c, 0 }, + { "OUT_DBG_CHNL", 8, 3 }, + { "DBG_PKD_QSEL", 7, 1 }, + { "DBG_CDS_INV", 6, 1 }, + { "IN_DBG_PORT", 3, 3 }, + { "IN_DBG_CHNL", 0, 3 }, + { "MPS_RX_SPARE", 0x11190, 0 }, + { "MPS_RX_PTP_ETYPE", 0x11194, 0 }, + { "PETYPE2", 16, 16 }, + { "PETYPE1", 0, 16 }, + { "MPS_RX_PTP_TCP", 0x11198, 0 }, + { "PTCPORT2", 16, 16 }, + { "PTCPORT1", 0, 16 }, + { "MPS_RX_PTP_UDP", 0x1119c, 0 }, + { "PUDPORT2", 16, 16 }, + { "PUDPORT1", 0, 16 }, + { "MPS_RX_PTP_CTL", 0x111a0, 0 }, + { "MIN_PTP_SPACE", 24, 7 }, + { "PUDP2EN", 20, 4 }, + { "PUDP1EN", 16, 4 }, + { "PTCP2EN", 12, 4 }, + { "PTCP1EN", 8, 4 }, + { "PETYPE2EN", 4, 4 }, + { "PETYPE1EN", 0, 4 }, + { "MPS_RX_PAUSE_GEN_TH_0_0", 0x111a4, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_0_1", 0x111a8, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_0_2", 0x111ac, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_0_3", 0x111b0, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_1_0", 0x111b4, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_1_1", 0x111b8, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_1_2", 0x111bc, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_1_3", 0x111c0, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_2_0", 0x111c4, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_2_1", 0x111c8, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_2_2", 0x111cc, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_2_3", 0x111d0, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_3_0", 0x111d4, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_3_1", 0x111d8, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_3_2", 0x111dc, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_PAUSE_GEN_TH_3_3", 0x111e0, 0 }, + { "TH_HIGH", 16, 16 }, + { "TH_LOW", 0, 16 }, + { "MPS_RX_MAC_CLS_DROP_CNT0", 0x111e4, 0 }, + { "MPS_RX_MAC_CLS_DROP_CNT1", 0x111e8, 0 }, + { "MPS_RX_MAC_CLS_DROP_CNT2", 0x111ec, 0 }, + { "MPS_RX_MAC_CLS_DROP_CNT3", 0x111f0, 0 }, + { "MPS_RX_LPBK_CLS_DROP_CNT0", 0x111f4, 0 }, + { "MPS_RX_LPBK_CLS_DROP_CNT1", 0x111f8, 0 }, + { "MPS_RX_LPBK_CLS_DROP_CNT2", 0x111fc, 0 }, + { "MPS_RX_LPBK_CLS_DROP_CNT3", 0x11200, 0 }, + { "MPS_RX_CGEN", 0x11204, 0 }, + { "MPS_RX_CGEN_NCSI", 12, 1 }, + { "MPS_RX_CGEN_OUT", 8, 4 }, + { "MPS_RX_CGEN_LPBK_IN", 4, 4 }, + { "MPS_RX_CGEN_MAC_IN", 0, 4 }, + { "MPS_RX_MAC_BG_PG_CNT0", 0x11208, 0 }, + { "MAC_USED", 16, 11 }, + { "MAC_ALLOC", 0, 11 }, + { "MPS_RX_MAC_BG_PG_CNT1", 0x1120c, 0 }, + { "MAC_USED", 16, 11 }, + { "MAC_ALLOC", 0, 11 }, + { "MPS_RX_MAC_BG_PG_CNT2", 0x11210, 0 }, + { "MAC_USED", 16, 11 }, + { "MAC_ALLOC", 0, 11 }, + { "MPS_RX_MAC_BG_PG_CNT3", 0x11214, 0 }, + { "MAC_USED", 16, 11 }, + { "MAC_ALLOC", 0, 11 }, + { "MPS_RX_LPBK_BG_PG_CNT0", 0x11218, 0 }, + { "LPBK_USED", 16, 11 }, + { "LPBK_ALLOC", 0, 11 }, + { "MPS_RX_LPBK_BG_PG_CNT1", 0x1121c, 0 }, + { "LPBK_USED", 16, 11 }, + { "LPBK_ALLOC", 0, 11 }, + { "MPS_RX_CONGESTION_THRESHOLD_BG0", 0x11220, 0 }, + { "CONG_EN", 31, 1 }, + { "CONG_TH", 0, 20 }, + { "MPS_RX_CONGESTION_THRESHOLD_BG1", 0x11224, 0 }, + { "CONG_EN", 31, 1 }, + { "CONG_TH", 0, 20 }, + { "MPS_RX_CONGESTION_THRESHOLD_BG2", 0x11228, 0 }, + { "CONG_EN", 31, 1 }, + { "CONG_TH", 0, 20 }, + { "MPS_RX_CONGESTION_THRESHOLD_BG3", 0x1122c, 0 }, + { "CONG_EN", 31, 1 }, + { "CONG_TH", 0, 20 }, + { "MPS_RX_GRE_PROT_TYPE", 0x11230, 0 }, + { "NVGRE_EN", 9, 1 }, + { "GRE_EN", 8, 1 }, + { "GRE", 0, 8 }, + { "MPS_RX_VXLAN_TYPE", 0x11234, 0 }, + { "VXLAN_EN", 16, 1 }, + { "VXLAN", 0, 16 }, + { "MPS_RX_GENEVE_TYPE", 0x11238, 0 }, + { "GENEVE_EN", 16, 1 }, + { "GENEVE", 0, 16 }, + { "MPS_RX_INNER_HDR_IVLAN", 0x1123c, 0 }, + { "IVLAN_EN", 16, 1 }, + { "IVLAN_ETYPE", 0, 16 }, + { "MPS_RX_ENCAP_NVGRE", 0x11240, 0 }, + { "ETYPE_EN", 16, 1 }, + { "ETYPE", 0, 16 }, + { "MPS_RX_ENCAP_GENEVE", 0x11244, 0 }, + { "ETYPE_EN", 16, 1 }, + { "ETYPE", 0, 16 }, + { "MPS_RX_TCP", 0x11248, 0 }, + { "PROT_TYPE_EN", 8, 1 }, + { "PROT_TYPE", 0, 8 }, + { "MPS_RX_UDP", 0x1124c, 0 }, + { "PROT_TYPE_EN", 8, 1 }, + { "PROT_TYPE", 0, 8 }, + { "MPS_RX_PAUSE", 0x11250, 0 }, + { "MPS_RX_LENGTH", 0x11254, 0 }, + { "SAP_VALUE", 16, 16 }, + { "LENGTH_ETYPE", 0, 16 }, + { "MPS_RX_CTL_ORG", 0x11258, 0 }, + { "CTL_VALUE", 24, 8 }, + { "ORG_VALUE", 0, 24 }, + { "MPS_RX_IPV4", 0x1125c, 0 }, + { "MPS_RX_IPV6", 0x11260, 0 }, + { "MPS_RX_TTL", 0x11264, 0 }, + { "TTL_IPV4", 10, 8 }, + { "TTL_IPV6", 2, 8 }, + { "TTL_CHK_EN_IPV4", 1, 1 }, + { "TTL_CHK_EN_IPV6", 0, 1 }, + { "MPS_RX_DEFAULT_VNI", 0x11268, 0 }, + { "MPS_RX_PRS_CTL", 0x1126c, 0 }, + { "CTL_CHK_EN", 28, 1 }, + { "ORG_CHK_EN", 27, 1 }, + { "SAP_CHK_EN", 26, 1 }, + { "VXLAN_FLAG_CHK_EN", 25, 1 }, + { "VXLAN_FLAG_MASK", 17, 8 }, + { "VXLAN_FLAG", 9, 8 }, + { "GRE_VER_CHK_EN", 8, 1 }, + { "GRE_VER", 5, 3 }, + { "GENEVE_VER_CHK_EN", 4, 1 }, + { "GENEVE_VER", 2, 2 }, + { "DIP_EN", 1, 1 }, + { "MPS_RX_PRS_CTL_2", 0x11270, 0 }, + { "EN_UDP_CSUM_CHK", 4, 1 }, + { "EN_UDP_LEN_CHK", 3, 1 }, + { "EN_IP_CSUM_CHK", 2, 1 }, + { "EN_IP_PAYLOAD_LEN_CHK", 1, 1 }, + { "IPV6_UDP_CSUM_COMPAT", 0, 1 }, + { "MPS_RX_MPS2NCSI_CNT", 0x11274, 0 }, + { "MPS_RX_MAX_TNL_HDR_LEN", 0x11278, 0 }, + { "MPS_RX_PAUSE_DA_H", 0x1127c, 0 }, + { "MPS_RX_PAUSE_DA_L", 0x11280, 0 }, + { "MPS_RX_CNT_NVGRE_PKT_MAC0", 0x11284, 0 }, + { "MPS_RX_CNT_VXLAN_PKT_MAC0", 0x11288, 0 }, + { "MPS_RX_CNT_GENEVE_PKT_MAC0", 0x1128c, 0 }, + { "MPS_RX_CNT_TNL_ERR_PKT_MAC0", 0x11290, 0 }, + { "MPS_RX_CNT_NVGRE_PKT_MAC1", 0x11294, 0 }, + { "MPS_RX_CNT_VXLAN_PKT_MAC1", 0x11298, 0 }, + { "MPS_RX_CNT_GENEVE_PKT_MAC1", 0x1129c, 0 }, + { "MPS_RX_CNT_TNL_ERR_PKT_MAC1", 0x112a0, 0 }, + { "MPS_RX_CNT_NVGRE_PKT_LPBK0", 0x112a4, 0 }, + { "MPS_RX_CNT_VXLAN_PKT_LPBK0", 0x112a8, 0 }, + { "MPS_RX_CNT_GENEVE_PKT_LPBK0", 0x112ac, 0 }, + { "MPS_RX_CNT_TNL_ERR_PKT_LPBK0", 0x112b0, 0 }, + { "MPS_RX_CNT_NVGRE_PKT_LPBK1", 0x112b4, 0 }, + { "MPS_RX_CNT_VXLAN_PKT_LPBK1", 0x112b8, 0 }, + { "MPS_RX_CNT_GENEVE_PKT_LPBK1", 0x112bc, 0 }, + { "MPS_RX_CNT_TNL_ERR_PKT_LPBK1", 0x112c0, 0 }, + { "MPS_RX_CNT_NVGRE_PKT_TO_TP0", 0x112c4, 0 }, + { "MPS_RX_CNT_VXLAN_PKT_TO_TP0", 0x112c8, 0 }, + { "MPS_RX_CNT_GENEVE_PKT_TO_TP0", 0x112cc, 0 }, + { "MPS_RX_CNT_TNL_ERR_PKT_TO_TP0", 0x112d0, 0 }, + { "MPS_RX_CNT_NVGRE_PKT_TO_TP1", 0x112d4, 0 }, + { "MPS_RX_CNT_VXLAN_PKT_TO_TP1", 0x112d8, 0 }, + { "MPS_RX_CNT_GENEVE_PKT_TO_TP1", 0x112dc, 0 }, + { "MPS_RX_CNT_TNL_ERR_PKT_TO_TP1", 0x112e0, 0 }, + { "MPS_PORT_RX_CTL", 0x30100, 0 }, + { "HASH_PRIO_SEL_LPBK", 25, 1 }, + { "HASH_PRIO_SEL_MAC", 24, 1 }, + { "HASH_EN_LPBK", 23, 1 }, + { "HASH_EN_MAC", 22, 1 }, + { "PTP_FWD_UP", 21, 1 }, + { "NO_RPLCT_M", 20, 1 }, + { "RPLCT_SEL_L", 18, 2 }, + { "FLTR_VLAN_SEL", 17, 1 }, + { "PRIO_VLAN_SEL", 16, 1 }, + { "CHK_8023_LEN_M", 15, 1 }, + { "CHK_8023_LEN_L", 14, 1 }, + { "NIV_DROP", 13, 1 }, + { "NOV_DROP", 12, 1 }, + { "CLS_PRT", 11, 1 }, + { "RX_QFC_EN", 10, 1 }, + { "QFC_FWD_UP", 9, 1 }, + { "PPP_FWD_UP", 8, 1 }, + { "PAUSE_FWD_UP", 7, 1 }, + { "LPBK_BP", 6, 1 }, + { "PASS_NO_MATCH", 5, 1 }, + { "IVLAN_EN", 4, 1 }, + { "OVLAN_EN3", 3, 1 }, + { "OVLAN_EN2", 2, 1 }, + { "OVLAN_EN1", 1, 1 }, + { "OVLAN_EN0", 0, 1 }, + { "MPS_PORT_RX_MTU", 0x30104, 0 }, + { "MPS_PORT_RX_PF_MAP", 0x30108, 0 }, + { "MPS_PORT_RX_VF_MAP0", 0x3010c, 0 }, + { "MPS_PORT_RX_VF_MAP1", 0x30110, 0 }, + { "MPS_PORT_RX_VF_MAP2", 0x30114, 0 }, + { "MPS_PORT_RX_VF_MAP3", 0x30118, 0 }, + { "MPS_PORT_RX_VF_MAP4", 0x30150, 0 }, + { "MPS_PORT_RX_VF_MAP5", 0x30154, 0 }, + { "MPS_PORT_RX_VF_MAP6", 0x30158, 0 }, + { "MPS_PORT_RX_VF_MAP7", 0x3015c, 0 }, + { "MPS_PORT_RX_IVLAN", 0x3011c, 0 }, + { "MPS_PORT_RX_OVLAN0", 0x30120, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_OVLAN1", 0x30124, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_OVLAN2", 0x30128, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_OVLAN3", 0x3012c, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_RSS_HASH", 0x30130, 0 }, + { "MPS_PORT_RX_RSS_CONTROL", 0x30134, 0 }, + { "RSS_CTRL", 16, 8 }, + { "QUE_NUM", 0, 16 }, + { "MPS_PORT_RX_CTL1", 0x30138, 0 }, + { "FIXED_PFVF_MAC", 14, 1 }, + { "FIXED_PFVF_LPBK", 13, 1 }, + { "FIXED_PFVF_LPBK_OV", 12, 1 }, + { "FIXED_PF", 9, 3 }, + { "FIXED_VF_VLD", 8, 1 }, + { "FIXED_VF", 0, 8 }, + { "MPS_PORT_RX_SPARE", 0x3013c, 0 }, + { "MPS_PORT_RX_PTP_RSS_HASH", 0x30140, 0 }, + { "MPS_PORT_RX_PTP_RSS_CONTROL", 0x30144, 0 }, + { "RSS_CTRL", 16, 8 }, + { "QUE_NUM", 0, 16 }, + { "MPS_PORT_RX_TS_VLD", 0x30148, 0 }, + { "MPS_PORT_RX_TNL_LKP_INNER_SEL", 0x3014c, 0 }, + { "MPS_PORT_RX_PRS_DEBUG_FLAG_MAC", 0x30160, 0 }, + { "Outer_IPv4_n_Inner_IPv4", 31, 1 }, + { "Outer_IPv4_n_Inner_IPv6", 30, 1 }, + { "Outer_IPv6_n_Inner_IPv4", 29, 1 }, + { "Outer_IPv6_n_Inner_IPv6", 28, 1 }, + { "Outer_IPv4_n_VLAN_NVGRE", 27, 1 }, + { "Outer_IPv6_n_VLAN_NVGRE", 26, 1 }, + { "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 }, + { "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 }, + { "Outer_IPv4_n_VLAN_GRE", 23, 1 }, + { "Outer_IPv6_n_VLAN_GRE", 22, 1 }, + { "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 }, + { "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 }, + { "Outer_IPv4_n_VLAN_VXLAN", 19, 1 }, + { "Outer_IPv6_n_VLAN_VXLAN", 18, 1 }, + { "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 }, + { "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 }, + { "Outer_IPv4_n_VLAN_GENEVE", 15, 1 }, + { "Outer_IPv6_n_VLAN_GENEVE", 14, 1 }, + { "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 }, + { "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 }, + { "Err_Tnl_Hdr_Len", 11, 1 }, + { "non_runt_frame", 10, 1 }, + { "Inner_VLAN_VLD", 9, 1 }, + { "Err_IP_Payload_Len", 8, 1 }, + { "Err_UDP_Payload_Len", 7, 1 }, + { "MPS_PORT_RX_PRS_DEBUG_FLAG_LPBK", 0x30164, 0 }, + { "Outer_IPv4_n_Inner_IPv4", 31, 1 }, + { "Outer_IPv4_n_Inner_IPv6", 30, 1 }, + { "Outer_IPv6_n_Inner_IPv4", 29, 1 }, + { "Outer_IPv6_n_Inner_IPv6", 28, 1 }, + { "Outer_IPv4_n_VLAN_NVGRE", 27, 1 }, + { "Outer_IPv6_n_VLAN_NVGRE", 26, 1 }, + { "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 }, + { "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 }, + { "Outer_IPv4_n_VLAN_GRE", 23, 1 }, + { "Outer_IPv6_n_VLAN_GRE", 22, 1 }, + { "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 }, + { "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 }, + { "Outer_IPv4_n_VLAN_VXLAN", 19, 1 }, + { "Outer_IPv6_n_VLAN_VXLAN", 18, 1 }, + { "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 }, + { "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 }, + { "Outer_IPv4_n_VLAN_GENEVE", 15, 1 }, + { "Outer_IPv6_n_VLAN_GENEVE", 14, 1 }, + { "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 }, + { "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 }, + { "Err_Tnl_Hdr_Len", 11, 1 }, + { "Inner_VLAN_VLD", 10, 1 }, + { "Err_IP_Payload_Len", 9, 1 }, + { "Err_UDP_Payload_Len", 8, 1 }, + { "MPS_PORT_RX_REPL_VECT_SEL", 0x30168, 0 }, + { "DIS_REPL_VECT_SEL", 4, 1 }, + { "REPL_VECT_SEL", 0, 4 }, + { "MPS_PORT_RX_CTL", 0x34100, 0 }, + { "HASH_PRIO_SEL_LPBK", 25, 1 }, + { "HASH_PRIO_SEL_MAC", 24, 1 }, + { "HASH_EN_LPBK", 23, 1 }, + { "HASH_EN_MAC", 22, 1 }, + { "PTP_FWD_UP", 21, 1 }, + { "NO_RPLCT_M", 20, 1 }, + { "RPLCT_SEL_L", 18, 2 }, + { "FLTR_VLAN_SEL", 17, 1 }, + { "PRIO_VLAN_SEL", 16, 1 }, + { "CHK_8023_LEN_M", 15, 1 }, + { "CHK_8023_LEN_L", 14, 1 }, + { "NIV_DROP", 13, 1 }, + { "NOV_DROP", 12, 1 }, + { "CLS_PRT", 11, 1 }, + { "RX_QFC_EN", 10, 1 }, + { "QFC_FWD_UP", 9, 1 }, + { "PPP_FWD_UP", 8, 1 }, + { "PAUSE_FWD_UP", 7, 1 }, + { "LPBK_BP", 6, 1 }, + { "PASS_NO_MATCH", 5, 1 }, + { "IVLAN_EN", 4, 1 }, + { "OVLAN_EN3", 3, 1 }, + { "OVLAN_EN2", 2, 1 }, + { "OVLAN_EN1", 1, 1 }, + { "OVLAN_EN0", 0, 1 }, + { "MPS_PORT_RX_MTU", 0x34104, 0 }, + { "MPS_PORT_RX_PF_MAP", 0x34108, 0 }, + { "MPS_PORT_RX_VF_MAP0", 0x3410c, 0 }, + { "MPS_PORT_RX_VF_MAP1", 0x34110, 0 }, + { "MPS_PORT_RX_VF_MAP2", 0x34114, 0 }, + { "MPS_PORT_RX_VF_MAP3", 0x34118, 0 }, + { "MPS_PORT_RX_VF_MAP4", 0x34150, 0 }, + { "MPS_PORT_RX_VF_MAP5", 0x34154, 0 }, + { "MPS_PORT_RX_VF_MAP6", 0x34158, 0 }, + { "MPS_PORT_RX_VF_MAP7", 0x3415c, 0 }, + { "MPS_PORT_RX_IVLAN", 0x3411c, 0 }, + { "MPS_PORT_RX_OVLAN0", 0x34120, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_OVLAN1", 0x34124, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_OVLAN2", 0x34128, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_OVLAN3", 0x3412c, 0 }, + { "OVLAN_MASK", 16, 16 }, + { "OVLAN_ETYPE", 0, 16 }, + { "MPS_PORT_RX_RSS_HASH", 0x34130, 0 }, + { "MPS_PORT_RX_RSS_CONTROL", 0x34134, 0 }, + { "RSS_CTRL", 16, 8 }, + { "QUE_NUM", 0, 16 }, + { "MPS_PORT_RX_CTL1", 0x34138, 0 }, + { "FIXED_PFVF_MAC", 14, 1 }, + { "FIXED_PFVF_LPBK", 13, 1 }, + { "FIXED_PFVF_LPBK_OV", 12, 1 }, + { "FIXED_PF", 9, 3 }, + { "FIXED_VF_VLD", 8, 1 }, + { "FIXED_VF", 0, 8 }, + { "MPS_PORT_RX_SPARE", 0x3413c, 0 }, + { "MPS_PORT_RX_PTP_RSS_HASH", 0x34140, 0 }, + { "MPS_PORT_RX_PTP_RSS_CONTROL", 0x34144, 0 }, + { "RSS_CTRL", 16, 8 }, + { "QUE_NUM", 0, 16 }, + { "MPS_PORT_RX_TS_VLD", 0x34148, 0 }, + { "MPS_PORT_RX_TNL_LKP_INNER_SEL", 0x3414c, 0 }, + { "MPS_PORT_RX_PRS_DEBUG_FLAG_MAC", 0x34160, 0 }, + { "Outer_IPv4_n_Inner_IPv4", 31, 1 }, + { "Outer_IPv4_n_Inner_IPv6", 30, 1 }, + { "Outer_IPv6_n_Inner_IPv4", 29, 1 }, + { "Outer_IPv6_n_Inner_IPv6", 28, 1 }, + { "Outer_IPv4_n_VLAN_NVGRE", 27, 1 }, + { "Outer_IPv6_n_VLAN_NVGRE", 26, 1 }, + { "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 }, + { "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 }, + { "Outer_IPv4_n_VLAN_GRE", 23, 1 }, + { "Outer_IPv6_n_VLAN_GRE", 22, 1 }, + { "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 }, + { "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 }, + { "Outer_IPv4_n_VLAN_VXLAN", 19, 1 }, + { "Outer_IPv6_n_VLAN_VXLAN", 18, 1 }, + { "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 }, + { "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 }, + { "Outer_IPv4_n_VLAN_GENEVE", 15, 1 }, + { "Outer_IPv6_n_VLAN_GENEVE", 14, 1 }, + { "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 }, + { "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 }, + { "Err_Tnl_Hdr_Len", 11, 1 }, + { "non_runt_frame", 10, 1 }, + { "Inner_VLAN_VLD", 9, 1 }, + { "Err_IP_Payload_Len", 8, 1 }, + { "Err_UDP_Payload_Len", 7, 1 }, + { "MPS_PORT_RX_PRS_DEBUG_FLAG_LPBK", 0x34164, 0 }, + { "Outer_IPv4_n_Inner_IPv4", 31, 1 }, + { "Outer_IPv4_n_Inner_IPv6", 30, 1 }, + { "Outer_IPv6_n_Inner_IPv4", 29, 1 }, + { "Outer_IPv6_n_Inner_IPv6", 28, 1 }, + { "Outer_IPv4_n_VLAN_NVGRE", 27, 1 }, + { "Outer_IPv6_n_VLAN_NVGRE", 26, 1 }, + { "Outer_IPv4_n_Double_VLAN_NVGRE", 25, 1 }, + { "Outer_IPv6_n_Double_VLAN_NVGRE", 24, 1 }, + { "Outer_IPv4_n_VLAN_GRE", 23, 1 }, + { "Outer_IPv6_n_VLAN_GRE", 22, 1 }, + { "Outer_IPv4_n_Double_VLAN_GRE", 21, 1 }, + { "Outer_IPv6_n_Double_VLAN_GRE", 20, 1 }, + { "Outer_IPv4_n_VLAN_VXLAN", 19, 1 }, + { "Outer_IPv6_n_VLAN_VXLAN", 18, 1 }, + { "Outer_IPv4_n_Double_VLAN_VXLAN", 17, 1 }, + { "Outer_IPv6_n_Double_VLAN_VXLAN", 16, 1 }, + { "Outer_IPv4_n_VLAN_GENEVE", 15, 1 }, + { "Outer_IPv6_n_VLAN_GENEVE", 14, 1 }, + { "Outer_IPv4_n_Double_VLAN_GENEVE", 13, 1 }, + { "Outer_IPv6_n_Double_VLAN_GENEVE", 12, 1 }, + { "Err_Tnl_Hdr_Len", 11, 1 }, + { "Inner_VLAN_VLD", 10, 1 }, + { "Err_IP_Payload_Len", 9, 1 }, + { "Err_UDP_Payload_Len", 8, 1 }, + { "MPS_PORT_RX_REPL_VECT_SEL", 0x34168, 0 }, + { "DIS_REPL_VECT_SEL", 4, 1 }, + { "REPL_VECT_SEL", 0, 4 }, + { "MPS_TX_PRTY_SEL", 0x9400, 0 }, + { "Ch2_Prty", 12, 3 }, + { "Ch1_Prty", 8, 3 }, + { "Ch0_Prty", 4, 3 }, + { "TP_Source", 2, 2 }, + { "NCSI_Source", 0, 2 }, + { "MPS_TX_INT_ENABLE", 0x9404, 0 }, + { "PortErr", 16, 1 }, + { "FRMERR", 15, 1 }, + { "SECNTERR", 14, 1 }, + { "BUBBLE", 13, 1 }, + { "TxDescFifo", 9, 4 }, + { "TxDataFifo", 5, 4 }, + { "Ncsi", 4, 1 }, + { "TP", 0, 4 }, + { "MPS_TX_INT_CAUSE", 0x9408, 0 }, + { "PortErr", 16, 1 }, + { "FRMERR", 15, 1 }, + { "SECNTERR", 14, 1 }, + { "BUBBLE", 13, 1 }, + { "TxDescFifo", 9, 4 }, + { "TxDataFifo", 5, 4 }, + { "Ncsi", 4, 1 }, + { "TP", 0, 4 }, + { "MPS_TX_NCSI2MPS_CNT", 0x940c, 0 }, + { "MPS_TX_PERR_ENABLE", 0x9410, 0 }, + { "TxDescFifo", 9, 4 }, + { "TxDataFifo", 5, 4 }, + { "Ncsi", 4, 1 }, + { "TP", 0, 4 }, + { "MPS_TX_PERR_INJECT", 0x9414, 0 }, + { "MemSel", 1, 5 }, + { "InjectDataErr", 0, 1 }, + { "MPS_TX_SE_CNT_TP01", 0x9418, 0 }, + { "SOP_CNT_1", 24, 8 }, + { "EOP_CNT_1", 16, 8 }, + { "SOP_CNT_0", 8, 8 }, + { "EOP_CNT_0", 0, 8 }, + { "MPS_TX_SE_CNT_TP23", 0x941c, 0 }, + { "SOP_CNT_3", 24, 8 }, + { "EOP_CNT_3", 16, 8 }, + { "SOP_CNT_2", 8, 8 }, + { "EOP_CNT_2", 0, 8 }, + { "MPS_TX_SE_CNT_MAC01", 0x9420, 0 }, + { "SOP_CNT_1", 24, 8 }, + { "EOP_CNT_1", 16, 8 }, + { "SOP_CNT_0", 8, 8 }, + { "EOP_CNT_0", 0, 8 }, + { "MPS_TX_SE_CNT_MAC23", 0x9424, 0 }, + { "SOP_CNT_3", 24, 8 }, + { "EOP_CNT_3", 16, 8 }, + { "SOP_CNT_2", 8, 8 }, + { "EOP_CNT_2", 0, 8 }, + { "MPS_TX_SECNT_SPI_BUBBLE_ERR", 0x9428, 0 }, + { "Bubble", 16, 8 }, + { "Spi", 8, 8 }, + { "SeCnt", 0, 8 }, + { "MPS_TX_SECNT_BUBBLE_CLR", 0x942c, 0 }, + { "NcsiSeCnt", 20, 1 }, + { "LpbkSeCnt", 16, 4 }, + { "Bubble", 8, 8 }, + { "SeCnt", 0, 8 }, + { "MPS_TX_PORT_ERR", 0x9430, 0 }, + { "Lpbkpt3", 7, 1 }, + { "Lpbkpt2", 6, 1 }, + { "Lpbkpt1", 5, 1 }, + { "Lpbkpt0", 4, 1 }, + { "pt3", 3, 1 }, + { "pt2", 2, 1 }, + { "pt1", 1, 1 }, + { "pt0", 0, 1 }, + { "MPS_TX_LPBK_DROP_BP_CTL_CH0", 0x9434, 0 }, + { "BpEn", 1, 1 }, + { "DropEn", 0, 1 }, + { "MPS_TX_LPBK_DROP_BP_CTL_CH1", 0x9438, 0 }, + { "BpEn", 1, 1 }, + { "DropEn", 0, 1 }, + { "MPS_TX_LPBK_DROP_BP_CTL_CH2", 0x943c, 0 }, + { "BpEn", 1, 1 }, + { "DropEn", 0, 1 }, + { "MPS_TX_LPBK_DROP_BP_CTL_CH3", 0x9440, 0 }, + { "BpEn", 1, 1 }, + { "DropEn", 0, 1 }, + { "MPS_TX_DEBUG_REG_TP2TX_10", 0x9444, 0 }, + { "SOPCh1", 31, 1 }, + { "EOPCh1", 30, 1 }, + { "SizeCh1", 27, 3 }, + { "ErrCh1", 26, 1 }, + { "FullCh1", 25, 1 }, + { "ValidCh1", 24, 1 }, + { "DataCh1", 16, 8 }, + { "SOPCh0", 15, 1 }, + { "EOPCh0", 14, 1 }, + { "SizeCh0", 11, 3 }, + { "ErrCh0", 10, 1 }, + { "FullCh0", 9, 1 }, + { "ValidCh0", 8, 1 }, + { "DataCh0", 0, 8 }, + { "MPS_TX_DEBUG_REG_TP2TX_32", 0x9448, 0 }, + { "SOPCh3", 31, 1 }, + { "EOPCh3", 30, 1 }, + { "SizeCh3", 27, 3 }, + { "ErrCh3", 26, 1 }, + { "FullCh3", 25, 1 }, + { "ValidCh3", 24, 1 }, + { "DataCh3", 16, 8 }, + { "SOPCh2", 15, 1 }, + { "EOPCh2", 14, 1 }, + { "SizeCh2", 11, 3 }, + { "ErrCh2", 10, 1 }, + { "FullCh2", 9, 1 }, + { "ValidCh2", 8, 1 }, + { "DataCh2", 0, 8 }, + { "MPS_TX_DEBUG_REG_TX2MAC_10", 0x944c, 0 }, + { "SOPPt1", 31, 1 }, + { "EOPPt1", 30, 1 }, + { "SizePt1", 27, 3 }, + { "ErrPt1", 26, 1 }, + { "FullPt1", 25, 1 }, + { "ValidPt1", 24, 1 }, + { "DataPt1", 16, 8 }, + { "SOPPt0", 15, 1 }, + { "EOPPt0", 14, 1 }, + { "SizePt0", 11, 3 }, + { "ErrPt0", 10, 1 }, + { "FullPt0", 9, 1 }, + { "ValidPt0", 8, 1 }, + { "DataPt0", 0, 8 }, + { "MPS_TX_DEBUG_REG_TX2MAC_32", 0x9450, 0 }, + { "SOPPt3", 31, 1 }, + { "EOPPt3", 30, 1 }, + { "SizePt3", 27, 3 }, + { "ErrPt3", 26, 1 }, + { "FullPt3", 25, 1 }, + { "ValidPt3", 24, 1 }, + { "DataPt3", 16, 8 }, + { "SOPPt2", 15, 1 }, + { "EOPPt2", 14, 1 }, + { "SizePt2", 11, 3 }, + { "ErrPt2", 10, 1 }, + { "FullPt2", 9, 1 }, + { "ValidPt2", 8, 1 }, + { "DataPt2", 0, 8 }, + { "MPS_TX_SGE_CH_PAUSE_IGNR", 0x9454, 0 }, + { "MPS_TX_DEBUG_SUBPART_SEL", 0x9458, 0 }, + { "SubPrtH", 11, 5 }, + { "PortH", 8, 3 }, + { "SubPrtL", 3, 5 }, + { "PortL", 0, 3 }, + { "MPS_TX_PAD_CTL", 0x945c, 0 }, + { "LpbkPadEnPt3", 7, 1 }, + { "LpbkPadEnPt2", 6, 1 }, + { "LpbkPadEnPt1", 5, 1 }, + { "LpbkPadEnPt0", 4, 1 }, + { "MacPadEnPt3", 3, 1 }, + { "MacPadEnPt2", 2, 1 }, + { "MacPadEnPt1", 1, 1 }, + { "MacPadEnPt0", 0, 1 }, + { "MPS_TX_PFVF_PORT_DROP_TP", 0x9460, 0 }, + { "TP2MPS_Ch1", 8, 8 }, + { "TP2MPS_Ch0", 0, 8 }, + { "MPS_TX_PFVF_PORT_DROP_NCSI", 0x9464, 0 }, + { "MPS_TX_PFVF_PORT_DROP_CTL", 0x9468, 0 }, + { "PFNOVFDROP", 5, 1 }, + { "NCSI_Ch4_CLR", 4, 1 }, + { "TP2MPS_Ch1_CLR", 1, 1 }, + { "TP2MPS_Ch0_CLR", 0, 1 }, + { "MPS_TX_CGEN", 0x946c, 0 }, + { "TxOutLpbk3_CGEN", 31, 1 }, + { "TxOutLpbk2_CGEN", 30, 1 }, + { "TxOutLpbk1_CGEN", 29, 1 }, + { "TxOutLpbk0_CGEN", 28, 1 }, + { "TxOutMAC3_CGEN", 27, 1 }, + { "TxOutMAC2_CGEN", 26, 1 }, + { "TxOutMAC1_CGEN", 25, 1 }, + { "TxOutMAC0_CGEN", 24, 1 }, + { "TxSchLpbk3_CGEN", 23, 1 }, + { "TxSchLpbk2_CGEN", 22, 1 }, + { "TxSchLpbk1_CGEN", 21, 1 }, + { "TxSchLpbk0_CGEN", 20, 1 }, + { "TxSchMAC3_CGEN", 19, 1 }, + { "TxSchMAC2_CGEN", 18, 1 }, + { "TxSchMAC1_CGEN", 17, 1 }, + { "TxSchMAC0_CGEN", 16, 1 }, + { "TxInCh4_CGEN", 15, 1 }, + { "TxInCh3_CGEN", 14, 1 }, + { "TxInCh2_CGEN", 13, 1 }, + { "TxInCh1_CGEN", 12, 1 }, + { "TxInCh0_CGEN", 11, 1 }, + { "MPS_TX_CGEN_DYNAMIC", 0x9470, 0 }, + { "TxOutLpbk3_CGEN", 31, 1 }, + { "TxOutLpbk2_CGEN", 30, 1 }, + { "TxOutLpbk1_CGEN", 29, 1 }, + { "TxOutLpbk0_CGEN", 28, 1 }, + { "TxOutMAC3_CGEN", 27, 1 }, + { "TxOutMAC2_CGEN", 26, 1 }, + { "TxOutMAC1_CGEN", 25, 1 }, + { "TxOutMAC0_CGEN", 24, 1 }, + { "TxSchLpbk3_CGEN", 23, 1 }, + { "TxSchLpbk2_CGEN", 22, 1 }, + { "TxSchLpbk1_CGEN", 21, 1 }, + { "TxSchLpbk0_CGEN", 20, 1 }, + { "TxSchMAC3_CGEN", 19, 1 }, + { "TxSchMAC2_CGEN", 18, 1 }, + { "TxSchMAC1_CGEN", 17, 1 }, + { "TxSchMAC0_CGEN", 16, 1 }, + { "TxInCh4_CGEN", 15, 1 }, + { "TxInCh3_CGEN", 14, 1 }, + { "TxInCh2_CGEN", 13, 1 }, + { "TxInCh1_CGEN", 12, 1 }, + { "TxInCh0_CGEN", 11, 1 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1e2e0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1e6e0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1eae0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1eee0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1f2e0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1f6e0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1fae0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PF_TX_QINQ_VLAN", 0x1fee0, 0 }, + { "ProtocolID", 16, 16 }, + { "Priority", 13, 3 }, + { "CFI", 12, 1 }, + { "Tag", 0, 12 }, + { "MPS_PORT_TX_MAC_RELOAD_CH0", 0x30190, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH1", 0x30194, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH2", 0x30198, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3019c, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH4", 0x301a0, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x301a8, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x301ac, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x301b0, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x301b4, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x301b8, 0 }, + { "MPS_PORT_TX_FIFO_CTL", 0x301c4, 0 }, + { "OUT_TH", 22, 8 }, + { "IN_TH", 14, 8 }, + { "FifoTh", 5, 9 }, + { "FifoEn", 4, 1 }, + { "MaxPktCnt", 0, 4 }, + { "MPS_PORT_FPGA_PAUSE_CTL", 0x301c8, 0 }, + { "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x301d0, 0 }, + { "off_pending", 8, 8 }, + { "on_pending", 0, 8 }, + { "MPS_PORT_TX_MAC_RELOAD_CH0", 0x34190, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH1", 0x34194, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH2", 0x34198, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH3", 0x3419c, 0 }, + { "MPS_PORT_TX_MAC_RELOAD_CH4", 0x341a0, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH0", 0x341a8, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH1", 0x341ac, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH2", 0x341b0, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH3", 0x341b4, 0 }, + { "MPS_PORT_TX_LPBK_RELOAD_CH4", 0x341b8, 0 }, + { "MPS_PORT_TX_FIFO_CTL", 0x341c4, 0 }, + { "OUT_TH", 22, 8 }, + { "IN_TH", 14, 8 }, + { "FifoTh", 5, 9 }, + { "FifoEn", 4, 1 }, + { "MaxPktCnt", 0, 4 }, + { "MPS_PORT_FPGA_PAUSE_CTL", 0x341c8, 0 }, + { "MPS_PORT_TX_PAUSE_PENDING_STATUS", 0x341d0, 0 }, + { "off_pending", 8, 8 }, + { "on_pending", 0, 8 }, + { "MPS_TRC_CFG", 0x9800, 0 }, + { "TrcMultiRSSFilter", 5, 1 }, + { "TrcFifoEmpty", 4, 1 }, + { "TrcIgnoreDropInput", 3, 1 }, + { "TrcKeepDuplicates", 2, 1 }, + { "TrcEn", 1, 1 }, + { "TrcMultiFilter", 0, 1 }, + { "MPS_TRC_FILTER0_RSS_HASH", 0x9804, 0 }, + { "MPS_TRC_FILTER0_RSS_CONTROL", 0x9808, 0 }, + { "RssControl", 16, 8 }, + { "QueueNumber", 0, 16 }, + { "MPS_TRC_FILTER1_RSS_HASH", 0x9ff0, 0 }, + { "MPS_TRC_FILTER1_RSS_CONTROL", 0x9ff4, 0 }, + { "RssControl", 16, 8 }, + { "QueueNumber", 0, 16 }, + { "MPS_TRC_FILTER2_RSS_HASH", 0x9ff8, 0 }, + { "MPS_TRC_FILTER2_RSS_CONTROL", 0x9ffc, 0 }, + { "RssControl", 16, 8 }, + { "QueueNumber", 0, 16 }, + { "MPS_TRC_FILTER3_RSS_HASH", 0xa000, 0 }, + { "MPS_TRC_FILTER3_RSS_CONTROL", 0xa004, 0 }, + { "RssControl", 16, 8 }, + { "QueueNumber", 0, 16 }, + { "MPS_TRC_RSS_HASH", 0xa008, 0 }, + { "MPS_TRC_RSS_CONTROL", 0xa00c, 0 }, + { "RssControl", 16, 8 }, + { "QueueNumber", 0, 16 }, + { "MPS_TRC_VF_OFF_FILTER_0", 0xa010, 0 }, + { "TrcMPS2TP_MacOnly", 22, 1 }, + { "TrcAllMPS2TP", 21, 1 }, + { "TrcAllTP2MPS", 20, 1 }, + { "TrcAllVf", 19, 1 }, + { "OffEn", 18, 1 }, + { "VfFiltEn", 17, 1 }, + { "VfFiltMask", 9, 8 }, + { "VfFiltValid", 8, 1 }, + { "VfFiltData", 0, 8 }, + { "MPS_TRC_VF_OFF_FILTER_1", 0xa014, 0 }, + { "TrcMPS2TP_MacOnly", 22, 1 }, + { "TrcAllMPS2TP", 21, 1 }, + { "TrcAllTP2MPS", 20, 1 }, + { "TrcAllVf", 19, 1 }, + { "OffEn", 18, 1 }, + { "VfFiltEn", 17, 1 }, + { "VfFiltMask", 9, 8 }, + { "VfFiltValid", 8, 1 }, + { "VfFiltData", 0, 8 }, + { "MPS_TRC_VF_OFF_FILTER_2", 0xa018, 0 }, + { "TrcMPS2TP_MacOnly", 22, 1 }, + { "TrcAllMPS2TP", 21, 1 }, + { "TrcAllTP2MPS", 20, 1 }, + { "TrcAllVf", 19, 1 }, + { "OffEn", 18, 1 }, + { "VfFiltEn", 17, 1 }, + { "VfFiltMask", 9, 8 }, + { "VfFiltValid", 8, 1 }, + { "VfFiltData", 0, 8 }, + { "MPS_TRC_VF_OFF_FILTER_3", 0xa01c, 0 }, + { "TrcMPS2TP_MacOnly", 22, 1 }, + { "TrcAllMPS2TP", 21, 1 }, + { "TrcAllTP2MPS", 20, 1 }, + { "TrcAllVf", 19, 1 }, + { "OffEn", 18, 1 }, + { "VfFiltEn", 17, 1 }, + { "VfFiltMask", 9, 8 }, + { "VfFiltValid", 8, 1 }, + { "VfFiltData", 0, 8 }, + { "MPS_TRC_CGEN", 0xa020, 0 }, + { "MPS_TRC_FILTER_MATCH_CTL_A", 0x9810, 0 }, + { "TfInsertActLen", 27, 1 }, + { "TfInsertTimer", 26, 1 }, + { "TfInvertMatch", 25, 1 }, + { "TfPktTooLarge", 24, 1 }, + { "TfEn", 23, 1 }, + { "TfPort", 18, 5 }, + { "TfDrop", 17, 1 }, + { "TfSopEopErr", 16, 1 }, + { "TfLength", 8, 5 }, + { "TfOffset", 0, 5 }, + { "MPS_TRC_FILTER_MATCH_CTL_A", 0x9814, 0 }, + { "TfInsertActLen", 27, 1 }, + { "TfInsertTimer", 26, 1 }, + { "TfInvertMatch", 25, 1 }, + { "TfPktTooLarge", 24, 1 }, + { "TfEn", 23, 1 }, + { "TfPort", 18, 5 }, + { "TfDrop", 17, 1 }, + { "TfSopEopErr", 16, 1 }, + { "TfLength", 8, 5 }, + { "TfOffset", 0, 5 }, + { "MPS_TRC_FILTER_MATCH_CTL_A", 0x9818, 0 }, + { "TfInsertActLen", 27, 1 }, + { "TfInsertTimer", 26, 1 }, + { "TfInvertMatch", 25, 1 }, + { "TfPktTooLarge", 24, 1 }, + { "TfEn", 23, 1 }, + { "TfPort", 18, 5 }, + { "TfDrop", 17, 1 }, + { "TfSopEopErr", 16, 1 }, + { "TfLength", 8, 5 }, + { "TfOffset", 0, 5 }, + { "MPS_TRC_FILTER_MATCH_CTL_A", 0x981c, 0 }, + { "TfInsertActLen", 27, 1 }, + { "TfInsertTimer", 26, 1 }, + { "TfInvertMatch", 25, 1 }, + { "TfPktTooLarge", 24, 1 }, + { "TfEn", 23, 1 }, + { "TfPort", 18, 5 }, + { "TfDrop", 17, 1 }, + { "TfSopEopErr", 16, 1 }, + { "TfLength", 8, 5 }, + { "TfOffset", 0, 5 }, + { "MPS_TRC_FILTER_MATCH_CTL_B", 0x9820, 0 }, + { "TfMinPktSize", 16, 9 }, + { "TfCaptureMax", 0, 14 }, + { "MPS_TRC_FILTER_MATCH_CTL_B", 0x9824, 0 }, + { "TfMinPktSize", 16, 9 }, + { "TfCaptureMax", 0, 14 }, + { "MPS_TRC_FILTER_MATCH_CTL_B", 0x9828, 0 }, + { "TfMinPktSize", 16, 9 }, + { "TfCaptureMax", 0, 14 }, + { "MPS_TRC_FILTER_MATCH_CTL_B", 0x982c, 0 }, + { "TfMinPktSize", 16, 9 }, + { "TfCaptureMax", 0, 14 }, + { "MPS_TRC_FILTER_RUNT_CTL", 0x9830, 0 }, + { "MPS_TRC_FILTER_RUNT_CTL", 0x9834, 0 }, + { "MPS_TRC_FILTER_RUNT_CTL", 0x9838, 0 }, + { "MPS_TRC_FILTER_RUNT_CTL", 0x983c, 0 }, + { "MPS_TRC_FILTER_DROP", 0x9840, 0 }, + { "TfDropInpCount", 16, 16 }, + { "TfDropBufferCount", 0, 16 }, + { "MPS_TRC_FILTER_DROP", 0x9844, 0 }, + { "TfDropInpCount", 16, 16 }, + { "TfDropBufferCount", 0, 16 }, + { "MPS_TRC_FILTER_DROP", 0x9848, 0 }, + { "TfDropInpCount", 16, 16 }, + { "TfDropBufferCount", 0, 16 }, + { "MPS_TRC_FILTER_DROP", 0x984c, 0 }, + { "TfDropInpCount", 16, 16 }, + { "TfDropBufferCount", 0, 16 }, + { "MPS_TRC_PERR_INJECT", 0x9850, 0 }, + { "MemSel", 1, 4 }, + { "InjectDataErr", 0, 1 }, + { "MPS_TRC_PERR_ENABLE", 0x9854, 0 }, + { "MiscPerr", 8, 1 }, + { "PktFifo", 4, 4 }, + { "FiltMem", 0, 4 }, + { "MPS_TRC_INT_ENABLE", 0x9858, 0 }, + { "PLErrEnb", 9, 1 }, + { "MiscPerr", 8, 1 }, + { "PktFifo", 4, 4 }, + { "FiltMem", 0, 4 }, + { "MPS_TRC_INT_CAUSE", 0x985c, 0 }, + { "PLErrEnb", 9, 1 }, + { "MiscPerr", 8, 1 }, + { "PktFifo", 4, 4 }, + { "FiltMem", 0, 4 }, + { "MPS_TRC_TIMESTAMP_L", 0x9860, 0 }, + { "MPS_TRC_TIMESTAMP_H", 0x9864, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c00, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c04, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c08, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c0c, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c10, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c14, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c18, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c1c, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c20, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c24, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c28, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c2c, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c30, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c34, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c38, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c3c, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c40, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c44, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c48, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c4c, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c50, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c54, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c58, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c5c, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c60, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c64, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c68, 0 }, + { "MPS_TRC_FILTER0_MATCH", 0x9c6c, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c80, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c84, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c88, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c8c, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c90, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c94, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c98, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9c9c, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9ca0, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9ca4, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9ca8, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cac, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cb0, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cb4, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cb8, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cbc, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cc0, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cc4, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cc8, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9ccc, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cd0, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cd4, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cd8, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cdc, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9ce0, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9ce4, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9ce8, 0 }, + { "MPS_TRC_FILTER0_DONT_CARE", 0x9cec, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d00, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d04, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d08, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d0c, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d10, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d14, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d18, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d1c, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d20, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d24, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d28, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d2c, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d30, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d34, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d38, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d3c, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d40, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d44, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d48, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d4c, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d50, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d54, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d58, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d5c, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d60, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d64, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d68, 0 }, + { "MPS_TRC_FILTER1_MATCH", 0x9d6c, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d80, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d84, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d88, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d8c, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d90, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d94, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d98, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9d9c, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9da0, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9da4, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9da8, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dac, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9db0, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9db4, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9db8, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dbc, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dc0, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dc4, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dc8, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dcc, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dd0, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dd4, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dd8, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9ddc, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9de0, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9de4, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9de8, 0 }, + { "MPS_TRC_FILTER1_DONT_CARE", 0x9dec, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e00, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e04, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e08, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e0c, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e10, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e14, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e18, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e1c, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e20, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e24, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e28, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e2c, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e30, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e34, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e38, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e3c, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e40, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e44, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e48, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e4c, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e50, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e54, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e58, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e5c, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e60, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e64, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e68, 0 }, + { "MPS_TRC_FILTER2_MATCH", 0x9e6c, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e80, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e84, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e88, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e8c, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e90, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e94, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e98, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9e9c, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ea0, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ea4, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ea8, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9eac, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9eb0, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9eb4, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9eb8, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ebc, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ec0, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ec4, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ec8, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ecc, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ed0, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ed4, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ed8, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9edc, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ee0, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ee4, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9ee8, 0 }, + { "MPS_TRC_FILTER2_DONT_CARE", 0x9eec, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f00, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f04, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f08, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f0c, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f10, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f14, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f18, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f1c, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f20, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f24, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f28, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f2c, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f30, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f34, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f38, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f3c, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f40, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f44, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f48, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f4c, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f50, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f54, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f58, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f5c, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f60, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f64, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f68, 0 }, + { "MPS_TRC_FILTER3_MATCH", 0x9f6c, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f80, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f84, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f88, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f8c, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f90, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f94, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f98, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9f9c, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fa0, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fa4, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fa8, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fac, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fb0, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fb4, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fb8, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fbc, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fc0, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fc4, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fc8, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fcc, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fd0, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fd4, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fd8, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fdc, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fe0, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fe4, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fe8, 0 }, + { "MPS_TRC_FILTER3_DONT_CARE", 0x9fec, 0 }, + { "MPS_STAT_CTL", 0x9600, 0 }, + { "StatStopCtrl", 10, 1 }, + { "StopStat", 9, 1 }, + { "StatWriteCtrl", 8, 1 }, + { "CountLbPF", 7, 1 }, + { "CountLbVF", 6, 1 }, + { "CountPauseMCRx", 5, 1 }, + { "CountPauseStatRx", 4, 1 }, + { "CountPauseMCTx", 3, 1 }, + { "CountPauseStatTx", 2, 1 }, + { "CountVFinPF", 1, 1 }, + { "LpbkErrStat", 0, 1 }, + { "MPS_STAT_INT_ENABLE", 0x9608, 0 }, + { "MPS_STAT_INT_CAUSE", 0x960c, 0 }, + { "MPS_STAT_PERR_INT_ENABLE_SRAM", 0x9610, 0 }, + { "Rxbg", 27, 2 }, + { "Rxpf", 22, 5 }, + { "Txpf", 18, 4 }, + { "Rxport", 11, 7 }, + { "Lbport", 6, 5 }, + { "Txport", 0, 6 }, + { "MPS_STAT_PERR_INT_CAUSE_SRAM", 0x9614, 0 }, + { "Rxbg", 27, 2 }, + { "Rxpf", 22, 5 }, + { "Txpf", 18, 4 }, + { "Rxport", 11, 7 }, + { "Lbport", 6, 5 }, + { "Txport", 0, 6 }, + { "MPS_STAT_PERR_ENABLE_SRAM", 0x9618, 0 }, + { "Rxbg", 27, 2 }, + { "Rxpf", 22, 5 }, + { "Txpf", 18, 4 }, + { "Rxport", 11, 7 }, + { "Lbport", 6, 5 }, + { "Txport", 0, 6 }, + { "MPS_STAT_PERR_INT_ENABLE_TX_FIFO", 0x961c, 0 }, + { "TxCh", 20, 4 }, + { "Tx", 12, 8 }, + { "Pause", 8, 4 }, + { "Drop", 0, 8 }, + { "MPS_STAT_PERR_INT_CAUSE_TX_FIFO", 0x9620, 0 }, + { "TxCh", 20, 4 }, + { "Tx", 12, 8 }, + { "Pause", 8, 4 }, + { "Drop", 0, 8 }, + { "MPS_STAT_PERR_ENABLE_TX_FIFO", 0x9624, 0 }, + { "TxCh", 20, 4 }, + { "Tx", 12, 8 }, + { "Pause", 8, 4 }, + { "Drop", 0, 8 }, + { "MPS_STAT_PERR_INT_ENABLE_RX_FIFO", 0x9628, 0 }, + { "Pause", 20, 4 }, + { "Lpbk", 16, 4 }, + { "Nq", 8, 8 }, + { "PV", 4, 4 }, + { "Mac", 0, 4 }, + { "MPS_STAT_PERR_INT_CAUSE_RX_FIFO", 0x962c, 0 }, + { "Pause", 20, 4 }, + { "Lpbk", 16, 4 }, + { "Nq", 8, 8 }, + { "PV", 4, 4 }, + { "Mac", 0, 4 }, + { "MPS_STAT_PERR_ENABLE_RX_FIFO", 0x9630, 0 }, + { "Pause", 20, 4 }, + { "Lpbk", 16, 4 }, + { "Nq", 8, 8 }, + { "PV", 4, 4 }, + { "Mac", 0, 4 }, + { "MPS_STAT_PERR_INJECT", 0x9634, 0 }, + { "MemSel", 1, 7 }, + { "InjectDataErr", 0, 1 }, + { "MPS_STAT_DEBUG_SUB_SEL", 0x9638, 0 }, + { "SubPrtH", 5, 5 }, + { "SubPrtL", 0, 5 }, + { "MPS_STAT_RX_BG_0_MAC_DROP_FRAME_L", 0x9640, 0 }, + { "MPS_STAT_RX_BG_0_MAC_DROP_FRAME_H", 0x9644, 0 }, + { "MPS_STAT_RX_BG_1_MAC_DROP_FRAME_L", 0x9648, 0 }, + { "MPS_STAT_RX_BG_1_MAC_DROP_FRAME_H", 0x964c, 0 }, + { "MPS_STAT_RX_BG_2_MAC_DROP_FRAME_L", 0x9650, 0 }, + { "MPS_STAT_RX_BG_2_MAC_DROP_FRAME_H", 0x9654, 0 }, + { "MPS_STAT_RX_BG_3_MAC_DROP_FRAME_L", 0x9658, 0 }, + { "MPS_STAT_RX_BG_3_MAC_DROP_FRAME_H", 0x965c, 0 }, + { "MPS_STAT_RX_BG_0_LB_DROP_FRAME_L", 0x9660, 0 }, + { "MPS_STAT_RX_BG_0_LB_DROP_FRAME_H", 0x9664, 0 }, + { "MPS_STAT_RX_BG_1_LB_DROP_FRAME_L", 0x9668, 0 }, + { "MPS_STAT_RX_BG_1_LB_DROP_FRAME_H", 0x966c, 0 }, + { "MPS_STAT_RX_BG_2_LB_DROP_FRAME_L", 0x9670, 0 }, + { "MPS_STAT_RX_BG_2_LB_DROP_FRAME_H", 0x9674, 0 }, + { "MPS_STAT_RX_BG_3_LB_DROP_FRAME_L", 0x9678, 0 }, + { "MPS_STAT_RX_BG_3_LB_DROP_FRAME_H", 0x967c, 0 }, + { "MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_L", 0x9680, 0 }, + { "MPS_STAT_RX_BG_0_MAC_TRUNC_FRAME_H", 0x9684, 0 }, + { "MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_L", 0x9688, 0 }, + { "MPS_STAT_RX_BG_1_MAC_TRUNC_FRAME_H", 0x968c, 0 }, + { "MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_L", 0x9690, 0 }, + { "MPS_STAT_RX_BG_2_MAC_TRUNC_FRAME_H", 0x9694, 0 }, + { "MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_L", 0x9698, 0 }, + { "MPS_STAT_RX_BG_3_MAC_TRUNC_FRAME_H", 0x969c, 0 }, + { "MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_L", 0x96a0, 0 }, + { "MPS_STAT_RX_BG_0_LB_TRUNC_FRAME_H", 0x96a4, 0 }, + { "MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_L", 0x96a8, 0 }, + { "MPS_STAT_RX_BG_1_LB_TRUNC_FRAME_H", 0x96ac, 0 }, + { "MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_L", 0x96b0, 0 }, + { "MPS_STAT_RX_BG_2_LB_TRUNC_FRAME_H", 0x96b4, 0 }, + { "MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_L", 0x96b8, 0 }, + { "MPS_STAT_RX_BG_3_LB_TRUNC_FRAME_H", 0x96bc, 0 }, + { "MPS_STAT_PERR_INT_ENABLE_SRAM1", 0x96c0, 0 }, + { "Rxvf", 5, 3 }, + { "Txvf", 0, 5 }, + { "MPS_STAT_PERR_INT_CAUSE_SRAM1", 0x96c4, 0 }, + { "Rxvf", 5, 3 }, + { "Txvf", 0, 5 }, + { "MPS_STAT_PERR_ENABLE_SRAM1", 0x96c8, 0 }, + { "Rxvf", 5, 3 }, + { "Txvf", 0, 5 }, + { "MPS_STAT_STOP_UPD_BG", 0x96cc, 0 }, + { "MPS_STAT_STOP_UPD_PORT", 0x96d0, 0 }, + { "PtLpbk", 8, 4 }, + { "PtTx", 4, 4 }, + { "PtRx", 0, 4 }, + { "MPS_STAT_STOP_UPD_PF", 0x96d4, 0 }, + { "PFTx", 8, 8 }, + { "PFRx", 0, 8 }, + { "MPS_STAT_STOP_UPD_TX_VF_0_31", 0x96d8, 0 }, + { "MPS_STAT_STOP_UPD_TX_VF_32_63", 0x96dc, 0 }, + { "MPS_STAT_STOP_UPD_TX_VF_64_95", 0x96e0, 0 }, + { "MPS_STAT_STOP_UPD_TX_VF_96_127", 0x96e4, 0 }, + { "MPS_STAT_STOP_UPD_TX_VF_128_159", 0x9710, 0 }, + { "MPS_STAT_STOP_UPD_TX_VF_160_191", 0x9714, 0 }, + { "MPS_STAT_STOP_UPD_TX_VF_192_223", 0x9718, 0 }, + { "MPS_STAT_STOP_UPD_TX_VF_224_255", 0x971c, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_0_31", 0x96e8, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_32_63", 0x96ec, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_64_95", 0x96f0, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_96_127", 0x96f4, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_128_159", 0x96f8, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_160_191", 0x96fc, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_192_223", 0x9700, 0 }, + { "MPS_STAT_STOP_UPD_RX_VF_224_255", 0x9704, 0 }, + { "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x30400, 0 }, + { "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x30404, 0 }, + { "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x30408, 0 }, + { "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3040c, 0 }, + { "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x30410, 0 }, + { "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x30414, 0 }, + { "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x30418, 0 }, + { "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3041c, 0 }, + { "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x30420, 0 }, + { "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x30424, 0 }, + { "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x30428, 0 }, + { "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3042c, 0 }, + { "MPS_PORT_STAT_TX_PORT_64B_L", 0x30430, 0 }, + { "MPS_PORT_STAT_TX_PORT_64B_H", 0x30434, 0 }, + { "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x30438, 0 }, + { "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3043c, 0 }, + { "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x30440, 0 }, + { "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x30444, 0 }, + { "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x30448, 0 }, + { "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3044c, 0 }, + { "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x30450, 0 }, + { "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x30454, 0 }, + { "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x30458, 0 }, + { "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3045c, 0 }, + { "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x30460, 0 }, + { "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x30464, 0 }, + { "MPS_PORT_STAT_TX_PORT_DROP_L", 0x30468, 0 }, + { "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3046c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x30470, 0 }, + { "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x30474, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x30478, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3047c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x30480, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x30484, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x30488, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3048c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x30490, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x30494, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x30498, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3049c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x304a0, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x304a4, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x304a8, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x304ac, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x304b0, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x304b4, 0 }, + { "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x304c0, 0 }, + { "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x304c4, 0 }, + { "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x304c8, 0 }, + { "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x304cc, 0 }, + { "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x304d0, 0 }, + { "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x304d4, 0 }, + { "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x304d8, 0 }, + { "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x304dc, 0 }, + { "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x304e0, 0 }, + { "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x304e4, 0 }, + { "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x304e8, 0 }, + { "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x304ec, 0 }, + { "MPS_PORT_STAT_LB_PORT_64B_L", 0x304f0, 0 }, + { "MPS_PORT_STAT_LB_PORT_64B_H", 0x304f4, 0 }, + { "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x304f8, 0 }, + { "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x304fc, 0 }, + { "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x30500, 0 }, + { "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x30504, 0 }, + { "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x30508, 0 }, + { "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3050c, 0 }, + { "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x30510, 0 }, + { "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x30514, 0 }, + { "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x30518, 0 }, + { "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3051c, 0 }, + { "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x30520, 0 }, + { "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x30524, 0 }, + { "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x30528, 0 }, + { "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3052c, 0 }, + { "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x30540, 0 }, + { "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x30544, 0 }, + { "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x30548, 0 }, + { "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3054c, 0 }, + { "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x30550, 0 }, + { "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x30554, 0 }, + { "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x30558, 0 }, + { "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3055c, 0 }, + { "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x30560, 0 }, + { "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x30564, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x30568, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3056c, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x30570, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x30574, 0 }, + { "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x30578, 0 }, + { "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3057c, 0 }, + { "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x30580, 0 }, + { "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x30584, 0 }, + { "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x30588, 0 }, + { "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3058c, 0 }, + { "MPS_PORT_STAT_RX_PORT_64B_L", 0x30590, 0 }, + { "MPS_PORT_STAT_RX_PORT_64B_H", 0x30594, 0 }, + { "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x30598, 0 }, + { "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3059c, 0 }, + { "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x305a0, 0 }, + { "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x305a4, 0 }, + { "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x305a8, 0 }, + { "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x305ac, 0 }, + { "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x305b0, 0 }, + { "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x305b4, 0 }, + { "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x305b8, 0 }, + { "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x305bc, 0 }, + { "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x305c0, 0 }, + { "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x305c4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x305c8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x305cc, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x305d0, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x305d4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x305d8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x305dc, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x305e0, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x305e4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x305e8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x305ec, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x305f0, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x305f4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x305f8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x305fc, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x30600, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x30604, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x30608, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3060c, 0 }, + { "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x30610, 0 }, + { "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x30614, 0 }, + { "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x30618, 0 }, + { "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3061c, 0 }, + { "MPS_PORT_STAT_TX_PORT_BYTES_L", 0x34400, 0 }, + { "MPS_PORT_STAT_TX_PORT_BYTES_H", 0x34404, 0 }, + { "MPS_PORT_STAT_TX_PORT_FRAMES_L", 0x34408, 0 }, + { "MPS_PORT_STAT_TX_PORT_FRAMES_H", 0x3440c, 0 }, + { "MPS_PORT_STAT_TX_PORT_BCAST_L", 0x34410, 0 }, + { "MPS_PORT_STAT_TX_PORT_BCAST_H", 0x34414, 0 }, + { "MPS_PORT_STAT_TX_PORT_MCAST_L", 0x34418, 0 }, + { "MPS_PORT_STAT_TX_PORT_MCAST_H", 0x3441c, 0 }, + { "MPS_PORT_STAT_TX_PORT_UCAST_L", 0x34420, 0 }, + { "MPS_PORT_STAT_TX_PORT_UCAST_H", 0x34424, 0 }, + { "MPS_PORT_STAT_TX_PORT_ERROR_L", 0x34428, 0 }, + { "MPS_PORT_STAT_TX_PORT_ERROR_H", 0x3442c, 0 }, + { "MPS_PORT_STAT_TX_PORT_64B_L", 0x34430, 0 }, + { "MPS_PORT_STAT_TX_PORT_64B_H", 0x34434, 0 }, + { "MPS_PORT_STAT_TX_PORT_65B_127B_L", 0x34438, 0 }, + { "MPS_PORT_STAT_TX_PORT_65B_127B_H", 0x3443c, 0 }, + { "MPS_PORT_STAT_TX_PORT_128B_255B_L", 0x34440, 0 }, + { "MPS_PORT_STAT_TX_PORT_128B_255B_H", 0x34444, 0 }, + { "MPS_PORT_STAT_TX_PORT_256B_511B_L", 0x34448, 0 }, + { "MPS_PORT_STAT_TX_PORT_256B_511B_H", 0x3444c, 0 }, + { "MPS_PORT_STAT_TX_PORT_512B_1023B_L", 0x34450, 0 }, + { "MPS_PORT_STAT_TX_PORT_512B_1023B_H", 0x34454, 0 }, + { "MPS_PORT_STAT_TX_PORT_1024B_1518B_L", 0x34458, 0 }, + { "MPS_PORT_STAT_TX_PORT_1024B_1518B_H", 0x3445c, 0 }, + { "MPS_PORT_STAT_TX_PORT_1519B_MAX_L", 0x34460, 0 }, + { "MPS_PORT_STAT_TX_PORT_1519B_MAX_H", 0x34464, 0 }, + { "MPS_PORT_STAT_TX_PORT_DROP_L", 0x34468, 0 }, + { "MPS_PORT_STAT_TX_PORT_DROP_H", 0x3446c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PAUSE_L", 0x34470, 0 }, + { "MPS_PORT_STAT_TX_PORT_PAUSE_H", 0x34474, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP0_L", 0x34478, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP0_H", 0x3447c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP1_L", 0x34480, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP1_H", 0x34484, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP2_L", 0x34488, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP2_H", 0x3448c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP3_L", 0x34490, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP3_H", 0x34494, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP4_L", 0x34498, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP4_H", 0x3449c, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP5_L", 0x344a0, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP5_H", 0x344a4, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP6_L", 0x344a8, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP6_H", 0x344ac, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP7_L", 0x344b0, 0 }, + { "MPS_PORT_STAT_TX_PORT_PPP7_H", 0x344b4, 0 }, + { "MPS_PORT_STAT_LB_PORT_BYTES_L", 0x344c0, 0 }, + { "MPS_PORT_STAT_LB_PORT_BYTES_H", 0x344c4, 0 }, + { "MPS_PORT_STAT_LB_PORT_FRAMES_L", 0x344c8, 0 }, + { "MPS_PORT_STAT_LB_PORT_FRAMES_H", 0x344cc, 0 }, + { "MPS_PORT_STAT_LB_PORT_BCAST_L", 0x344d0, 0 }, + { "MPS_PORT_STAT_LB_PORT_BCAST_H", 0x344d4, 0 }, + { "MPS_PORT_STAT_LB_PORT_MCAST_L", 0x344d8, 0 }, + { "MPS_PORT_STAT_LB_PORT_MCAST_H", 0x344dc, 0 }, + { "MPS_PORT_STAT_LB_PORT_UCAST_L", 0x344e0, 0 }, + { "MPS_PORT_STAT_LB_PORT_UCAST_H", 0x344e4, 0 }, + { "MPS_PORT_STAT_LB_PORT_ERROR_L", 0x344e8, 0 }, + { "MPS_PORT_STAT_LB_PORT_ERROR_H", 0x344ec, 0 }, + { "MPS_PORT_STAT_LB_PORT_64B_L", 0x344f0, 0 }, + { "MPS_PORT_STAT_LB_PORT_64B_H", 0x344f4, 0 }, + { "MPS_PORT_STAT_LB_PORT_65B_127B_L", 0x344f8, 0 }, + { "MPS_PORT_STAT_LB_PORT_65B_127B_H", 0x344fc, 0 }, + { "MPS_PORT_STAT_LB_PORT_128B_255B_L", 0x34500, 0 }, + { "MPS_PORT_STAT_LB_PORT_128B_255B_H", 0x34504, 0 }, + { "MPS_PORT_STAT_LB_PORT_256B_511B_L", 0x34508, 0 }, + { "MPS_PORT_STAT_LB_PORT_256B_511B_H", 0x3450c, 0 }, + { "MPS_PORT_STAT_LB_PORT_512B_1023B_L", 0x34510, 0 }, + { "MPS_PORT_STAT_LB_PORT_512B_1023B_H", 0x34514, 0 }, + { "MPS_PORT_STAT_LB_PORT_1024B_1518B_L", 0x34518, 0 }, + { "MPS_PORT_STAT_LB_PORT_1024B_1518B_H", 0x3451c, 0 }, + { "MPS_PORT_STAT_LB_PORT_1519B_MAX_L", 0x34520, 0 }, + { "MPS_PORT_STAT_LB_PORT_1519B_MAX_H", 0x34524, 0 }, + { "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_L", 0x34528, 0 }, + { "MPS_PORT_STAT_LB_PORT_DROP_FRAMES_H", 0x3452c, 0 }, + { "MPS_PORT_STAT_RX_PORT_BYTES_L", 0x34540, 0 }, + { "MPS_PORT_STAT_RX_PORT_BYTES_H", 0x34544, 0 }, + { "MPS_PORT_STAT_RX_PORT_FRAMES_L", 0x34548, 0 }, + { "MPS_PORT_STAT_RX_PORT_FRAMES_H", 0x3454c, 0 }, + { "MPS_PORT_STAT_RX_PORT_BCAST_L", 0x34550, 0 }, + { "MPS_PORT_STAT_RX_PORT_BCAST_H", 0x34554, 0 }, + { "MPS_PORT_STAT_RX_PORT_MCAST_L", 0x34558, 0 }, + { "MPS_PORT_STAT_RX_PORT_MCAST_H", 0x3455c, 0 }, + { "MPS_PORT_STAT_RX_PORT_UCAST_L", 0x34560, 0 }, + { "MPS_PORT_STAT_RX_PORT_UCAST_H", 0x34564, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_ERROR_L", 0x34568, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_ERROR_H", 0x3456c, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_L", 0x34570, 0 }, + { "MPS_PORT_STAT_RX_PORT_MTU_CRC_ERROR_H", 0x34574, 0 }, + { "MPS_PORT_STAT_RX_PORT_CRC_ERROR_L", 0x34578, 0 }, + { "MPS_PORT_STAT_RX_PORT_CRC_ERROR_H", 0x3457c, 0 }, + { "MPS_PORT_STAT_RX_PORT_LEN_ERROR_L", 0x34580, 0 }, + { "MPS_PORT_STAT_RX_PORT_LEN_ERROR_H", 0x34584, 0 }, + { "MPS_PORT_STAT_RX_PORT_SYM_ERROR_L", 0x34588, 0 }, + { "MPS_PORT_STAT_RX_PORT_SYM_ERROR_H", 0x3458c, 0 }, + { "MPS_PORT_STAT_RX_PORT_64B_L", 0x34590, 0 }, + { "MPS_PORT_STAT_RX_PORT_64B_H", 0x34594, 0 }, + { "MPS_PORT_STAT_RX_PORT_65B_127B_L", 0x34598, 0 }, + { "MPS_PORT_STAT_RX_PORT_65B_127B_H", 0x3459c, 0 }, + { "MPS_PORT_STAT_RX_PORT_128B_255B_L", 0x345a0, 0 }, + { "MPS_PORT_STAT_RX_PORT_128B_255B_H", 0x345a4, 0 }, + { "MPS_PORT_STAT_RX_PORT_256B_511B_L", 0x345a8, 0 }, + { "MPS_PORT_STAT_RX_PORT_256B_511B_H", 0x345ac, 0 }, + { "MPS_PORT_STAT_RX_PORT_512B_1023B_L", 0x345b0, 0 }, + { "MPS_PORT_STAT_RX_PORT_512B_1023B_H", 0x345b4, 0 }, + { "MPS_PORT_STAT_RX_PORT_1024B_1518B_L", 0x345b8, 0 }, + { "MPS_PORT_STAT_RX_PORT_1024B_1518B_H", 0x345bc, 0 }, + { "MPS_PORT_STAT_RX_PORT_1519B_MAX_L", 0x345c0, 0 }, + { "MPS_PORT_STAT_RX_PORT_1519B_MAX_H", 0x345c4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PAUSE_L", 0x345c8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PAUSE_H", 0x345cc, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP0_L", 0x345d0, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP0_H", 0x345d4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP1_L", 0x345d8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP1_H", 0x345dc, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP2_L", 0x345e0, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP2_H", 0x345e4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP3_L", 0x345e8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP3_H", 0x345ec, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP4_L", 0x345f0, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP4_H", 0x345f4, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP5_L", 0x345f8, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP5_H", 0x345fc, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP6_L", 0x34600, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP6_H", 0x34604, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP7_L", 0x34608, 0 }, + { "MPS_PORT_STAT_RX_PORT_PPP7_H", 0x3460c, 0 }, + { "MPS_PORT_STAT_RX_PORT_LESS_64B_L", 0x34610, 0 }, + { "MPS_PORT_STAT_RX_PORT_LESS_64B_H", 0x34614, 0 }, + { "MPS_PORT_STAT_RX_PORT_MAC_ERROR_L", 0x34618, 0 }, + { "MPS_PORT_STAT_RX_PORT_MAC_ERROR_H", 0x3461c, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1e300, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1e304, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1e308, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1e30c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1e310, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1e314, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1e318, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1e31c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1e320, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1e324, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1e328, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1e32c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1e330, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1e334, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1e338, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1e33c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1e340, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1e344, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1e348, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1e34c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1e350, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1e354, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1e358, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1e35c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1e360, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1e364, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1e368, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1e36c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1e370, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1e374, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1e378, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1e37c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1e380, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1e384, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1e700, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1e704, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1e708, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1e70c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1e710, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1e714, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1e718, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1e71c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1e720, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1e724, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1e728, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1e72c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1e730, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1e734, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1e738, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1e73c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1e740, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1e744, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1e748, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1e74c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1e750, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1e754, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1e758, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1e75c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1e760, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1e764, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1e768, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1e76c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1e770, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1e774, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1e778, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1e77c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1e780, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1e784, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1eb00, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1eb04, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1eb08, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1eb0c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1eb10, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1eb14, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1eb18, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1eb1c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1eb20, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1eb24, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1eb28, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1eb2c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1eb30, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1eb34, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1eb38, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1eb3c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1eb40, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1eb44, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1eb48, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1eb4c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1eb50, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1eb54, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1eb58, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1eb5c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1eb60, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1eb64, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1eb68, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1eb6c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1eb70, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1eb74, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1eb78, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1eb7c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1eb80, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1eb84, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1ef00, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1ef04, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1ef08, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1ef0c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1ef10, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1ef14, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1ef18, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1ef1c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1ef20, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1ef24, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1ef28, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1ef2c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1ef30, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1ef34, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1ef38, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1ef3c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1ef40, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1ef44, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1ef48, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1ef4c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1ef50, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1ef54, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1ef58, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1ef5c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1ef60, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1ef64, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1ef68, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1ef6c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1ef70, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1ef74, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1ef78, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1ef7c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1ef80, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1ef84, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1f300, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1f304, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1f308, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1f30c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1f310, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1f314, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1f318, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1f31c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1f320, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1f324, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1f328, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1f32c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1f330, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1f334, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1f338, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1f33c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1f340, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1f344, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1f348, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1f34c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1f350, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1f354, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1f358, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1f35c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1f360, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1f364, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1f368, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1f36c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1f370, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1f374, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1f378, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1f37c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1f380, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1f384, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1f700, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1f704, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1f708, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1f70c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1f710, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1f714, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1f718, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1f71c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1f720, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1f724, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1f728, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1f72c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1f730, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1f734, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1f738, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1f73c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1f740, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1f744, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1f748, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1f74c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1f750, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1f754, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1f758, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1f75c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1f760, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1f764, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1f768, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1f76c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1f770, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1f774, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1f778, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1f77c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1f780, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1f784, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1fb00, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1fb04, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1fb08, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1fb0c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1fb10, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1fb14, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1fb18, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1fb1c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1fb20, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1fb24, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1fb28, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1fb2c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1fb30, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1fb34, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1fb38, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1fb3c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1fb40, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1fb44, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1fb48, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1fb4c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1fb50, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1fb54, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1fb58, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1fb5c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1fb60, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1fb64, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1fb68, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1fb6c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1fb70, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1fb74, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1fb78, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1fb7c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1fb80, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1fb84, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_L", 0x1ff00, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_BYTES_H", 0x1ff04, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_L", 0x1ff08, 0 }, + { "MPS_PF_STAT_TX_PF_BCAST_FRAMES_H", 0x1ff0c, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_L", 0x1ff10, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_BYTES_H", 0x1ff14, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_L", 0x1ff18, 0 }, + { "MPS_PF_STAT_TX_PF_MCAST_FRAMES_H", 0x1ff1c, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_L", 0x1ff20, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_BYTES_H", 0x1ff24, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_L", 0x1ff28, 0 }, + { "MPS_PF_STAT_TX_PF_UCAST_FRAMES_H", 0x1ff2c, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_L", 0x1ff30, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_BYTES_H", 0x1ff34, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_L", 0x1ff38, 0 }, + { "MPS_PF_STAT_TX_PF_OFFLOAD_FRAMES_H", 0x1ff3c, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_L", 0x1ff40, 0 }, + { "MPS_PF_STAT_RX_PF_BYTES_H", 0x1ff44, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_L", 0x1ff48, 0 }, + { "MPS_PF_STAT_RX_PF_FRAMES_H", 0x1ff4c, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_L", 0x1ff50, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_BYTES_H", 0x1ff54, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_L", 0x1ff58, 0 }, + { "MPS_PF_STAT_RX_PF_BCAST_FRAMES_H", 0x1ff5c, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_L", 0x1ff60, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_BYTES_H", 0x1ff64, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_L", 0x1ff68, 0 }, + { "MPS_PF_STAT_RX_PF_MCAST_FRAMES_H", 0x1ff6c, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_L", 0x1ff70, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_BYTES_H", 0x1ff74, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_L", 0x1ff78, 0 }, + { "MPS_PF_STAT_RX_PF_UCAST_FRAMES_H", 0x1ff7c, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_L", 0x1ff80, 0 }, + { "MPS_PF_STAT_RX_PF_ERR_FRAMES_H", 0x1ff84, 0 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30200, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30204, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30208, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3020c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30210, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30214, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30218, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3021c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30220, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30224, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30228, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3022c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30230, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30234, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30238, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3023c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30240, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30244, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30248, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3024c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30250, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30254, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30258, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3025c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30260, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30264, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30268, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3026c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30270, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30274, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30278, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3027c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30280, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30284, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30288, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3028c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30290, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30294, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30298, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3029c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302a0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302a4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302a8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302ac, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302b0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302b4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302b8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302bc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302c0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302c4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302c8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302cc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302d0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302d4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302d8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302dc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302e0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302e4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302e8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302ec, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302f0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302f4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302f8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x302fc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x30300, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34200, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34204, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34208, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3420c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34210, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34214, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34218, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3421c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34220, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34224, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34228, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3422c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34230, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34234, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34238, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3423c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34240, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34244, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34248, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3424c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34250, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34254, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34258, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3425c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34260, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34264, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34268, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3426c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34270, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34274, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34278, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3427c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34280, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34284, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34288, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3428c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34290, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34294, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34298, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x3429c, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342a0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342a4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342a8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342ac, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342b0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342b4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342b8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342bc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342c0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342c4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342c8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342cc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342d0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342d4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342d8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342dc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342e0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342e4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342e8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342ec, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342f0, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342f4, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342f8, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x342fc, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_SRAM", 0x34300, 0 }, + { "DisEncapOuterRplct", 23, 1 }, + { "DisEncap", 22, 1 }, + { "Valid", 21, 1 }, + { "PortMap", 17, 4 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_HASH_CTL", 0x30304, 0 }, + { "UnicastEnable", 31, 1 }, + { "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x30308, 0 }, + { "Enable", 31, 1 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3030c, 0 }, + { "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x30310, 0 }, + { "MatchAll", 18, 1 }, + { "MatchBoth", 17, 1 }, + { "Valid", 16, 1 }, + { "DA", 0, 16 }, + { "MPS_PORT_CLS_BMC_VLAN", 0x30314, 0 }, + { "BMC_VLAN_SEL", 13, 1 }, + { "Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PORT_CLS_CTL", 0x30318, 0 }, + { "LPBK_TCAM1_HIT_PRIORITY", 14, 1 }, + { "LPBK_TCAM0_HIT_PRIORITY", 13, 1 }, + { "LPBK_TCAM_PRIORITY", 12, 1 }, + { "LPBK_SMAC_TCAM_SEL", 10, 2 }, + { "LPBK_DMAC_TCAM_SEL", 8, 2 }, + { "TCAM1_HIT_PRIORITY", 7, 1 }, + { "TCAM0_HIT_PRIORITY", 6, 1 }, + { "TCAM_PRIORITY", 5, 1 }, + { "SMAC_TCAM_SEL", 3, 2 }, + { "DMAC_TCAM_SEL", 1, 2 }, + { "PF_VLAN_SEL", 0, 1 }, + { "MPS_PORT_CLS_NCSI_ETH_TYPE", 0x3031c, 0 }, + { "EthType1", 16, 16 }, + { "EthType2", 0, 16 }, + { "MPS_PORT_CLS_NCSI_ETH_TYPE_EN", 0x30320, 0 }, + { "EN1", 1, 1 }, + { "EN2", 0, 1 }, + { "MPS_PORT_CLS_HASH_CTL", 0x34304, 0 }, + { "UnicastEnable", 31, 1 }, + { "MPS_PORT_CLS_PROMISCUOUS_CTL", 0x34308, 0 }, + { "Enable", 31, 1 }, + { "MultiListen", 16, 1 }, + { "Priority", 13, 3 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_PORT_CLS_BMC_MAC_ADDR_L", 0x3430c, 0 }, + { "MPS_PORT_CLS_BMC_MAC_ADDR_H", 0x34310, 0 }, + { "MatchAll", 18, 1 }, + { "MatchBoth", 17, 1 }, + { "Valid", 16, 1 }, + { "DA", 0, 16 }, + { "MPS_PORT_CLS_BMC_VLAN", 0x34314, 0 }, + { "BMC_VLAN_SEL", 13, 1 }, + { "Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_PORT_CLS_CTL", 0x34318, 0 }, + { "LPBK_TCAM1_HIT_PRIORITY", 14, 1 }, + { "LPBK_TCAM0_HIT_PRIORITY", 13, 1 }, + { "LPBK_TCAM_PRIORITY", 12, 1 }, + { "LPBK_SMAC_TCAM_SEL", 10, 2 }, + { "LPBK_DMAC_TCAM_SEL", 8, 2 }, + { "TCAM1_HIT_PRIORITY", 7, 1 }, + { "TCAM0_HIT_PRIORITY", 6, 1 }, + { "TCAM_PRIORITY", 5, 1 }, + { "SMAC_TCAM_SEL", 3, 2 }, + { "DMAC_TCAM_SEL", 1, 2 }, + { "PF_VLAN_SEL", 0, 1 }, + { "MPS_PORT_CLS_NCSI_ETH_TYPE", 0x3431c, 0 }, + { "EthType1", 16, 16 }, + { "EthType2", 0, 16 }, + { "MPS_PORT_CLS_NCSI_ETH_TYPE_EN", 0x34320, 0 }, + { "EN1", 1, 1 }, + { "EN2", 0, 1 }, + { "MPS_CLS_CTL", 0xd000, 0 }, + { "VlanClsEn_in", 7, 1 }, + { "DisTcamParChk", 6, 1 }, + { "VlanLkpEn", 5, 1 }, + { "MemWriteFault", 4, 1 }, + { "MemWriteWaiting", 3, 1 }, + { "CimNoPromiscuous", 2, 1 }, + { "HypervisorOnly", 1, 1 }, + { "VlanClsEn", 0, 1 }, + { "MPS_CLS_ARB_WEIGHT", 0xd004, 0 }, + { "PlWeight", 16, 5 }, + { "CimWeight", 8, 5 }, + { "LpbkWeight", 0, 5 }, + { "MPS_CLS_NCSI_ETH_TYPE", 0xd008, 0 }, + { "EthType1", 16, 16 }, + { "EthType2", 0, 16 }, + { "MPS_CLS_NCSI_ETH_TYPE_EN", 0xd00c, 0 }, + { "EN1", 1, 1 }, + { "EN2", 0, 1 }, + { "MPS_CLS_BMC_MAC_ADDR_L", 0xd010, 0 }, + { "MPS_CLS_BMC_MAC_ADDR_H", 0xd014, 0 }, + { "MatchAll", 18, 1 }, + { "MatchBoth", 17, 1 }, + { "Valid", 16, 1 }, + { "DA", 0, 16 }, + { "MPS_CLS_BMC_VLAN", 0xd018, 0 }, + { "Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_PERR_INJECT", 0xd01c, 0 }, + { "MemSel", 1, 2 }, + { "InjectDataErr", 0, 1 }, + { "MPS_CLS_PERR_ENABLE", 0xd020, 0 }, + { "HashSRAM", 2, 1 }, + { "MatchTCAM", 1, 1 }, + { "MatchSRAM", 0, 1 }, + { "MPS_CLS_INT_ENABLE", 0xd024, 0 }, + { "PLErrEnb", 3, 1 }, + { "HashSRAM", 2, 1 }, + { "MatchTCAM", 1, 1 }, + { "MatchSRAM", 0, 1 }, + { "MPS_CLS_INT_CAUSE", 0xd028, 0 }, + { "PLErrEnb", 3, 1 }, + { "HashSRAM", 2, 1 }, + { "MatchTCAM", 1, 1 }, + { "MatchSRAM", 0, 1 }, + { "MPS_CLS_PL_TEST_DATA_L", 0xd02c, 0 }, + { "MPS_CLS_PL_TEST_DATA_H", 0xd030, 0 }, + { "MPS_CLS_PL_TEST_RES_DATA", 0xd034, 0 }, + { "Cls_Spare", 28, 4 }, + { "Cls_Priority", 25, 3 }, + { "Cls_Replicate", 24, 1 }, + { "Cls_Index", 15, 9 }, + { "Cls_VF", 7, 8 }, + { "Cls_VF_Vld", 6, 1 }, + { "Cls_PF", 3, 3 }, + { "Cls_Match", 0, 3 }, + { "MPS_CLS_PL_TEST_CTL", 0xd038, 0 }, + { "MPS_CLS_PORT_BMC_CTL", 0xd03c, 0 }, + { "MPS_CLS_MATCH_CNT_TCAM", 0xd100, 0 }, + { "MPS_CLS_MATCH_CNT_HASH", 0xd104, 0 }, + { "MPS_CLS_MATCH_CNT_BCAST", 0xd108, 0 }, + { "MPS_CLS_MATCH_CNT_BMC", 0xd10c, 0 }, + { "MPS_CLS_MATCH_CNT_PROM", 0xd110, 0 }, + { "MPS_CLS_MATCH_CNT_HPROM", 0xd114, 0 }, + { "MPS_CLS_MISS_CNT", 0xd118, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd200, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd220, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd240, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd260, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd280, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd2a0, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd2c0, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_L", 0xd2e0, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd204, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd224, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd244, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd264, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd284, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd2a4, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd2c4, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_DA_H", 0xd2e4, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd208, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd228, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd248, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd268, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd288, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd2a8, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd2c8, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_L", 0xd2e8, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd20c, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd22c, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd24c, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd26c, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd28c, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd2ac, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd2cc, 0 }, + { "MPS_CLS_REQUEST_TRACE_MAC_SA_H", 0xd2ec, 0 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd210, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd230, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd250, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd270, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd290, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd2b0, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd2d0, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_PORT_VLAN", 0xd2f0, 0 }, + { "ClsTrcVlanVld", 31, 1 }, + { "ClsTrcVlanId", 16, 12 }, + { "ClsTrcReqPort", 0, 4 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd214, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd234, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd254, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd274, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd294, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd2b4, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd2d4, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_REQUEST_TRACE_ENCAP", 0xd2f4, 0 }, + { "ClsTrcLkpType", 31, 1 }, + { "ClsTrcDIPHit", 30, 1 }, + { "ClsTrcVNI", 0, 24 }, + { "MPS_CLS_RESULT_TRACE", 0xd300, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_RESULT_TRACE", 0xd304, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_RESULT_TRACE", 0xd308, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_RESULT_TRACE", 0xd30c, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_RESULT_TRACE", 0xd310, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_RESULT_TRACE", 0xd314, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_RESULT_TRACE", 0xd318, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_RESULT_TRACE", 0xd31c, 0 }, + { "ClsTrcPortNum", 31, 1 }, + { "ClsTrcPriority", 28, 3 }, + { "ClsTrcMultiListen", 27, 1 }, + { "ClsTrcReplicate", 26, 1 }, + { "ClsTrcPortMap", 24, 2 }, + { "ClsTrcMatch", 21, 3 }, + { "ClsTrcIndex", 12, 9 }, + { "ClsTrcVF_Vld", 11, 1 }, + { "ClsTrcPF", 3, 8 }, + { "ClsTrcVF", 0, 3 }, + { "MPS_CLS_VLAN_TABLE", 0xdfc0, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfc4, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfc8, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfcc, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfd0, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfd4, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfd8, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfdc, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_VLAN_TABLE", 0xdfe0, 0 }, + { "VLAN_Mask", 16, 12 }, + { "PF", 13, 3 }, + { "VLAN_Valid", 12, 1 }, + { "VLAN_ID", 0, 12 }, + { "MPS_CLS_DIPIPV4_ID_TABLE", 0x12000, 0 }, + { "MPS_CLS_DIPIPV4_ID_TABLE", 0x12008, 0 }, + { "MPS_CLS_DIPIPV4_ID_TABLE", 0x12010, 0 }, + { "MPS_CLS_DIPIPV4_ID_TABLE", 0x12018, 0 }, + { "MPS_CLS_DIPIPV4_MASK_TABLE", 0x12004, 0 }, + { "MPS_CLS_DIPIPV4_MASK_TABLE", 0x1200c, 0 }, + { "MPS_CLS_DIPIPV4_MASK_TABLE", 0x12014, 0 }, + { "MPS_CLS_DIPIPV4_MASK_TABLE", 0x1201c, 0 }, + { "MPS_CLS_DIPIPV6ID_0_TABLE", 0x12020, 0 }, + { "MPS_CLS_DIPIPV6ID_0_TABLE", 0x12040, 0 }, + { "MPS_CLS_DIPIPV6ID_1_TABLE", 0x12024, 0 }, + { "MPS_CLS_DIPIPV6ID_1_TABLE", 0x12044, 0 }, + { "MPS_CLS_DIPIPV6ID_2_TABLE", 0x12028, 0 }, + { "MPS_CLS_DIPIPV6ID_2_TABLE", 0x12048, 0 }, + { "MPS_CLS_DIPIPV6ID_3_TABLE", 0x1202c, 0 }, + { "MPS_CLS_DIPIPV6ID_3_TABLE", 0x1204c, 0 }, + { "MPS_CLS_DIPIPV6MASK_0_TABLE", 0x12030, 0 }, + { "MPS_CLS_DIPIPV6MASK_0_TABLE", 0x12050, 0 }, + { "MPS_CLS_DIPIPV6MASK_1_TABLE", 0x12034, 0 }, + { "MPS_CLS_DIPIPV6MASK_1_TABLE", 0x12054, 0 }, + { "MPS_CLS_DIPIPV6MASK_2_TABLE", 0x12038, 0 }, + { "MPS_CLS_DIPIPV6MASK_2_TABLE", 0x12058, 0 }, + { "MPS_CLS_DIPIPV6MASK_3_TABLE", 0x1203c, 0 }, + { "MPS_CLS_DIPIPV6MASK_3_TABLE", 0x1205c, 0 }, + { "MPS_RX_HASH_LKP_TABLE", 0x12060, 0 }, + { "MPS_RX_HASH_LKP_TABLE", 0x12064, 0 }, + { "MPS_RX_HASH_LKP_TABLE", 0x12068, 0 }, + { "MPS_RX_HASH_LKP_TABLE", 0x1206c, 0 }, + { "MPS_CLS_SRAM_L", 0xe000, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe008, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe010, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe018, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe020, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe028, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe030, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe038, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe040, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe048, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe050, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe058, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe060, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe068, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe070, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe078, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe080, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe088, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe090, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe098, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe0f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe100, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe108, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe110, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe118, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe120, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe128, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe130, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe138, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe140, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe148, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe150, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe158, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe160, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe168, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe170, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe178, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe180, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe188, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe190, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe198, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe1f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe200, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe208, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe210, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe218, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe220, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe228, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe230, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe238, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe240, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe248, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe250, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe258, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe260, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe268, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe270, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe278, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe280, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe288, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe290, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe298, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe2f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe300, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe308, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe310, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe318, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe320, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe328, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe330, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe338, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe340, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe348, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe350, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe358, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe360, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe368, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe370, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe378, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe380, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe388, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe390, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe398, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe3f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe400, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe408, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe410, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe418, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe420, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe428, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe430, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe438, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe440, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe448, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe450, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe458, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe460, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe468, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe470, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe478, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe480, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe488, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe490, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe498, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe4f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe500, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe508, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe510, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe518, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe520, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe528, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe530, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe538, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe540, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe548, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe550, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe558, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe560, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe568, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe570, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe578, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe580, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe588, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe590, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe598, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe5f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe600, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe608, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe610, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe618, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe620, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe628, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe630, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe638, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe640, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe648, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe650, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe658, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe660, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe668, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe670, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe678, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe680, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe688, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe690, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe698, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe6f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe700, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe708, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe710, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe718, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe720, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe728, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe730, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe738, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe740, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe748, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe750, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe758, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe760, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe768, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe770, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe778, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe780, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe788, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe790, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe798, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe7f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe800, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe808, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe810, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe818, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe820, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe828, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe830, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe838, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe840, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe848, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe850, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe858, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe860, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe868, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe870, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe878, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe880, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe888, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe890, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe898, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe8f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe900, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe908, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe910, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe918, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe920, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe928, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe930, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe938, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe940, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe948, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe950, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe958, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe960, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe968, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe970, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe978, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe980, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe988, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe990, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe998, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9a0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9a8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9b0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9b8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9c0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9c8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9d0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9d8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9e0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9e8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9f0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xe9f8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea00, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea08, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea10, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea18, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea20, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea28, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea30, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea38, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea40, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea48, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea50, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea58, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea60, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea68, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea70, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea78, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea80, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea88, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea90, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xea98, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeaa0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeaa8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeab0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeab8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeac0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeac8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xead0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xead8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeae0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeae8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeaf0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeaf8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb00, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb08, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb10, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb18, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb20, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb28, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb30, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb38, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb40, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb48, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb50, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb58, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb60, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb68, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb70, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb78, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb80, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb88, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb90, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeb98, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeba0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeba8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebb0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebb8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebc0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebc8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebd0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebd8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebe0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebe8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebf0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xebf8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec00, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec08, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec10, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec18, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec20, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec28, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec30, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec38, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec40, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec48, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec50, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec58, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec60, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec68, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec70, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec78, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec80, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec88, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec90, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xec98, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeca0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeca8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecb0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecb8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecc0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecc8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecd0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecd8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xece0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xece8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecf0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xecf8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed00, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed08, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed10, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed18, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed20, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed28, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed30, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed38, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed40, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed48, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed50, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed58, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed60, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed68, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed70, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed78, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed80, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed88, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed90, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xed98, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeda0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeda8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedb0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedb8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedc0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedc8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedd0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedd8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xede0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xede8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedf0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xedf8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee00, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee08, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee10, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee18, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee20, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee28, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee30, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee38, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee40, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee48, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee50, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee58, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee60, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee68, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee70, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee78, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee80, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee88, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee90, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xee98, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeea0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeea8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeeb0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeeb8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeec0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeec8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeed0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeed8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeee0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeee8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeef0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeef8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef00, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef08, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef10, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef18, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef20, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef28, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef30, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef38, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef40, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef48, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef50, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef58, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef60, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef68, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef70, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef78, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef80, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef88, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef90, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xef98, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefa0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefa8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefb0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefb8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefc0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefc8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefd0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefd8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefe0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xefe8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeff0, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_L", 0xeff8, 0 }, + { "DisEncapOuterRplct", 31, 1 }, + { "DisEncap", 30, 1 }, + { "MultiListen3", 29, 1 }, + { "MultiListen2", 28, 1 }, + { "MultiListen1", 27, 1 }, + { "MultiListen0", 26, 1 }, + { "Priority3", 23, 3 }, + { "Priority2", 20, 3 }, + { "Priority1", 17, 3 }, + { "Priority0", 14, 3 }, + { "Valid", 13, 1 }, + { "Replicate", 12, 1 }, + { "PF", 9, 3 }, + { "VF_Valid", 8, 1 }, + { "VF", 0, 8 }, + { "MPS_CLS_SRAM_H", 0xe004, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe00c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe014, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe01c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe024, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe02c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe034, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe03c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe044, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe04c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe054, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe05c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe064, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe06c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe074, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe07c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe084, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe08c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe094, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe09c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe0fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe104, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe10c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe114, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe11c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe124, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe12c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe134, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe13c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe144, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe14c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe154, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe15c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe164, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe16c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe174, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe17c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe184, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe18c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe194, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe19c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe1fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe204, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe20c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe214, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe21c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe224, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe22c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe234, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe23c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe244, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe24c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe254, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe25c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe264, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe26c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe274, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe27c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe284, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe28c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe294, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe29c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe2fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe304, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe30c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe314, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe31c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe324, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe32c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe334, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe33c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe344, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe34c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe354, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe35c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe364, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe36c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe374, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe37c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe384, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe38c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe394, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe39c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe3fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe404, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe40c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe414, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe41c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe424, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe42c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe434, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe43c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe444, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe44c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe454, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe45c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe464, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe46c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe474, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe47c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe484, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe48c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe494, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe49c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe4fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe504, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe50c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe514, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe51c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe524, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe52c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe534, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe53c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe544, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe54c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe554, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe55c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe564, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe56c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe574, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe57c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe584, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe58c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe594, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe59c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe5fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe604, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe60c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe614, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe61c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe624, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe62c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe634, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe63c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe644, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe64c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe654, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe65c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe664, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe66c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe674, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe67c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe684, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe68c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe694, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe69c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe6fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe704, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe70c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe714, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe71c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe724, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe72c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe734, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe73c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe744, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe74c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe754, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe75c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe764, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe76c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe774, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe77c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe784, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe78c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe794, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe79c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe7fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe804, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe80c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe814, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe81c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe824, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe82c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe834, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe83c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe844, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe84c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe854, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe85c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe864, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe86c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe874, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe87c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe884, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe88c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe894, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe89c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe8fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe904, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe90c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe914, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe91c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe924, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe92c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe934, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe93c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe944, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe94c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe954, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe95c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe964, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe96c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe974, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe97c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe984, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe98c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe994, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe99c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9a4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9ac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9b4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9bc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9c4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9cc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9d4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9dc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9e4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9ec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9f4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xe9fc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea04, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea0c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea14, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea1c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea24, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea2c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea34, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea3c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea44, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea4c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea54, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea5c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea64, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea6c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea74, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea7c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea84, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea8c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea94, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xea9c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeaa4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeaac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeab4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeabc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeac4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeacc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xead4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeadc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeae4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeaec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeaf4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeafc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb04, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb0c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb14, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb1c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb24, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb2c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb34, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb3c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb44, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb4c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb54, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb5c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb64, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb6c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb74, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb7c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb84, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb8c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb94, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeb9c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeba4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebb4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebbc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebc4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebcc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebd4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebdc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebe4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebf4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xebfc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec04, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec0c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec14, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec1c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec24, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec2c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec34, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec3c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec44, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec4c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec54, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec5c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec64, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec6c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec74, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec7c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec84, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec8c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec94, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xec9c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeca4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecb4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecbc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecc4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeccc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecd4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecdc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xece4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecf4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xecfc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed04, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed0c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed14, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed1c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed24, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed2c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed34, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed3c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed44, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed4c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed54, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed5c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed64, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed6c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed74, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed7c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed84, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed8c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed94, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xed9c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeda4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedb4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedbc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedc4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedcc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedd4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeddc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xede4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedf4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xedfc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee04, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee0c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee14, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee1c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee24, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee2c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee34, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee3c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee44, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee4c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee54, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee5c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee64, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee6c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee74, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee7c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee84, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee8c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee94, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xee9c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeea4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeeac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeeb4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeebc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeec4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeecc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeed4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeedc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeee4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeeec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeef4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeefc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef04, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef0c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef14, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef1c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef24, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef2c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef34, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef3c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef44, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef4c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef54, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef5c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef64, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef6c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef74, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef7c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef84, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef8c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef94, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xef9c, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefa4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefac, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefb4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefbc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefc4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefcc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefd4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefdc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefe4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xefec, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeff4, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_SRAM_H", 0xeffc, 0 }, + { "MacParity2", 10, 1 }, + { "MacParity1", 9, 1 }, + { "MacParity0", 8, 1 }, + { "MacParityMaskSize", 4, 4 }, + { "PortMap", 0, 4 }, + { "MPS_CLS_TCAM_DATA0", 0xf000, 0 }, + { "MPS_CLS_TCAM_DATA1", 0xf004, 0 }, + { "VIDL", 16, 16 }, + { "DMACH", 0, 16 }, + { "MPS_CLS_TCAM_DATA2_CTL", 0xf008, 0 }, + { "CtlCmdType", 31, 1 }, + { "CtlReqID", 30, 1 }, + { "CtlTcamSel", 25, 1 }, + { "CtlTcamIndex", 17, 8 }, + { "CtlXYBitSel", 16, 1 }, + { "DataPortNum", 12, 4 }, + { "DataLkpType", 10, 2 }, + { "DataDipHit", 8, 1 }, + { "DataVIDH2", 7, 1 }, + { "DataVIDH1", 0, 7 }, + { "MPS_CLS_TCAM_RDATA0_REQ_ID0", 0xf010, 0 }, + { "MPS_CLS_TCAM_RDATA1_REQ_ID0", 0xf014, 0 }, + { "VIDL", 16, 16 }, + { "DMACH", 0, 16 }, + { "MPS_CLS_TCAM_RDATA2_REQ_ID0", 0xf018, 0 }, + { "DataPortNum", 12, 4 }, + { "DataLkpType", 10, 2 }, + { "DataDipHit", 8, 1 }, + { "DataVIDH2", 7, 1 }, + { "DataVIDH1", 0, 7 }, + { "MPS_CLS_TCAM_RDATA0_REQ_ID1", 0xf020, 0 }, + { "MPS_CLS_TCAM_RDATA1_REQ_ID1", 0xf024, 0 }, + { "VIDL", 16, 16 }, + { "DMACH", 0, 16 }, + { "MPS_CLS_TCAM_RDATA2_REQ_ID1", 0xf028, 0 }, + { "DataPortNum", 12, 4 }, + { "DataLkpType", 10, 2 }, + { "DataDipHit", 8, 1 }, + { "DataVIDH2", 7, 1 }, + { "DataVIDH1", 0, 7 }, + { NULL } +}; + +struct reg_info t6_cpl_switch_regs[] = { + { "CPL_SWITCH_CNTRL", 0x19040, 0 }, + { "cpl_pkt_tid", 8, 24 }, + { "cim_split_enable", 6, 1 }, + { "cim_truncate_enable", 5, 1 }, + { "cim_to_up_full_size", 4, 1 }, + { "cpu_no_enable", 3, 1 }, + { "switch_table_enable", 2, 1 }, + { "sge_enable", 1, 1 }, + { "cim_enable", 0, 1 }, + { "CPL_SWITCH_TBL_IDX", 0x19044, 0 }, + { "CPL_SWITCH_TBL_DATA", 0x19048, 0 }, + { "CPL_SWITCH_ZERO_ERROR", 0x1904c, 0 }, + { "zero_cmd_ch1", 8, 8 }, + { "zero_cmd_ch0", 0, 8 }, + { "CPL_INTR_ENABLE", 0x19050, 0 }, + { "perr_cpl_128to128_1", 7, 1 }, + { "perr_cpl_128to128_0", 6, 1 }, + { "cim_op_map_perr", 5, 1 }, + { "cim_ovfl_error", 4, 1 }, + { "tp_framing_error", 3, 1 }, + { "sge_framing_error", 2, 1 }, + { "cim_framing_error", 1, 1 }, + { "zero_switch_error", 0, 1 }, + { "CPL_INTR_CAUSE", 0x19054, 0 }, + { "perr_cpl_128to128_1", 7, 1 }, + { "perr_cpl_128to128_0", 6, 1 }, + { "cim_op_map_perr", 5, 1 }, + { "cim_ovfl_error", 4, 1 }, + { "tp_framing_error", 3, 1 }, + { "sge_framing_error", 2, 1 }, + { "cim_framing_error", 1, 1 }, + { "zero_switch_error", 0, 1 }, + { "CPL_MAP_TBL_IDX", 0x19058, 0 }, + { "cim_split_opcode_program", 8, 1 }, + { "cpl_map_tbl_idx", 0, 8 }, + { "CPL_MAP_TBL_DATA", 0x1905c, 0 }, + { NULL } +}; + +struct reg_info t6_smb_regs[] = { + { "SMB_GLOBAL_TIME_CFG", 0x19060, 0 }, + { "MacroCntCfg", 8, 5 }, + { "MicroCntCfg", 0, 8 }, + { "SMB_MST_TIMEOUT_CFG", 0x19064, 0 }, + { "SMB_MST_CTL_CFG", 0x19068, 0 }, + { "MstFifoDbg", 31, 1 }, + { "MstFifoDbgClr", 30, 1 }, + { "MstRxByteCfg", 12, 6 }, + { "MstTxByteCfg", 6, 6 }, + { "MstReset", 1, 1 }, + { "MstCtlEn", 0, 1 }, + { "SMB_MST_CTL_STS", 0x1906c, 0 }, + { "MstRxByteCnt", 12, 6 }, + { "MstTxByteCnt", 6, 6 }, + { "MstBusySts", 0, 1 }, + { "SMB_MST_TX_FIFO_RDWR", 0x19070, 0 }, + { "SMB_MST_RX_FIFO_RDWR", 0x19074, 0 }, + { "SMB_SLV_TIMEOUT_CFG", 0x19078, 0 }, + { "SMB_SLV_CTL_CFG", 0x1907c, 0 }, + { "SlvFifoDbg", 31, 1 }, + { "SlvFifoDbgClr", 30, 1 }, + { "SlvCrcOutBitInv", 21, 1 }, + { "SlvCrcOutBitRev", 20, 1 }, + { "SlvCrcInBitRev", 19, 1 }, + { "SlvCrcPreset", 11, 8 }, + { "SlvAddrCfg", 4, 7 }, + { "SlvAlrtSet", 2, 1 }, + { "SlvReset", 1, 1 }, + { "SlvCtlEn", 0, 1 }, + { "SMB_SLV_CTL_STS", 0x19080, 0 }, + { "SlvFifoTxCnt", 12, 6 }, + { "SlvFifoCnt", 6, 6 }, + { "SlvAlrtSts", 2, 1 }, + { "SlvBusySts", 0, 1 }, + { "SMB_SLV_FIFO_RDWR", 0x19084, 0 }, + { "SMB_INT_ENABLE", 0x1908c, 0 }, + { "MstTxFifoParEn", 21, 1 }, + { "MstRxFifoParEn", 20, 1 }, + { "SlvFifoParEn", 19, 1 }, + { "SlvUnExpBusStopEn", 18, 1 }, + { "SlvUnExpBusStartEn", 17, 1 }, + { "SlvCommandCodeInvEn", 16, 1 }, + { "SlvByteCntErrEn", 15, 1 }, + { "SlvUnExpAckMstEn", 14, 1 }, + { "SlvUnExpNackMstEn", 13, 1 }, + { "SlvNoBusStopEn", 12, 1 }, + { "SlvNoRepStartEn", 11, 1 }, + { "SlvRxAddrIntEn", 10, 1 }, + { "SlvRxPecErrIntEn", 9, 1 }, + { "SlvPrepToArpIntEn", 8, 1 }, + { "SlvTimeOutIntEn", 7, 1 }, + { "SlvErrIntEn", 6, 1 }, + { "SlvDoneIntEn", 5, 1 }, + { "SlvRxRdyIntEn", 4, 1 }, + { "MstTimeOutIntEn", 3, 1 }, + { "MstNAckIntEn", 2, 1 }, + { "MstLostArbIntEn", 1, 1 }, + { "MstDoneIntEn", 0, 1 }, + { "SMB_INT_CAUSE", 0x19090, 0 }, + { "MstTxFifoParInt", 21, 1 }, + { "MstRxFifoParInt", 20, 1 }, + { "SlvFifoParInt", 19, 1 }, + { "SlvUnExpBusStopInt", 18, 1 }, + { "SlvUnExpBusStartInt", 17, 1 }, + { "SlvCommandCodeInvInt", 16, 1 }, + { "SlvByteCntErrInt", 15, 1 }, + { "SlvUnExpAckMstInt", 14, 1 }, + { "SlvUnExpNackMstInt", 13, 1 }, + { "SlvNoBusStopInt", 12, 1 }, + { "SlvNoRepStartInt", 11, 1 }, + { "SlvRxAddrInt", 10, 1 }, + { "SlvRxPecErrInt", 9, 1 }, + { "SlvPrepToArpInt", 8, 1 }, + { "SlvTimeOutInt", 7, 1 }, + { "SlvErrInt", 6, 1 }, + { "SlvDoneInt", 5, 1 }, + { "SlvRxRdyInt", 4, 1 }, + { "MstTimeOutInt", 3, 1 }, + { "MstNAckInt", 2, 1 }, + { "MstLostArbInt", 1, 1 }, + { "MstDoneInt", 0, 1 }, + { "SMB_DEBUG_DATA", 0x19094, 0 }, + { "DebugDataH", 16, 16 }, + { "DebugDataL", 0, 16 }, + { "SMB_PERR_EN", 0x19098, 0 }, + { "MstTxFifo", 21, 1 }, + { "MstRxFifo", 19, 1 }, + { "SlvFifo", 18, 1 }, + { "MstTxFifoPerrEn", 2, 1 }, + { "MstRxFifoPerrEn", 1, 1 }, + { "SlvFifoPerrEn", 0, 1 }, + { "SMB_PERR_INJ", 0x1909c, 0 }, + { "MstTxInjDataErr", 3, 1 }, + { "MstRxInjDataErr", 2, 1 }, + { "SlvInjDataErr", 1, 1 }, + { "FifoInjDataErrEn", 0, 1 }, + { "SMB_SLV_ARP_CTL", 0x190a0, 0 }, + { "ArpCommandCode", 2, 8 }, + { "ArpAddrRes", 1, 1 }, + { "ArpAddrVal", 0, 1 }, + { "SMB_ARP_UDID0", 0x190a4, 0 }, + { "SMB_ARP_UDID1", 0x190a8, 0 }, + { "SubsystemVendorID", 16, 16 }, + { "SubsystemDeviceID", 0, 16 }, + { "SMB_ARP_UDID2", 0x190ac, 0 }, + { "DeviceID", 16, 16 }, + { "Interface", 0, 16 }, + { "SMB_ARP_UDID3", 0x190b0, 0 }, + { "DeviceCap", 24, 8 }, + { "VersionID", 16, 8 }, + { "VendorID", 0, 16 }, + { "SMB_SLV_AUX_ADDR0", 0x190b4, 0 }, + { "AuxAddr0Val", 6, 1 }, + { "AuxAddr0", 0, 6 }, + { "SMB_SLV_AUX_ADDR1", 0x190b8, 0 }, + { "AuxAddr1Val", 6, 1 }, + { "AuxAddr1", 0, 6 }, + { "SMB_SLV_AUX_ADDR2", 0x190bc, 0 }, + { "AuxAddr2Val", 6, 1 }, + { "AuxAddr2", 0, 6 }, + { "SMB_SLV_AUX_ADDR3", 0x190c0, 0 }, + { "AuxAddr3Val", 6, 1 }, + { "AuxAddr3", 0, 6 }, + { "SMB_COMMAND_CODE0", 0x190c4, 0 }, + { "SMB_COMMAND_CODE1", 0x190c8, 0 }, + { "SMB_COMMAND_CODE2", 0x190cc, 0 }, + { "SMB_COMMAND_CODE3", 0x190d0, 0 }, + { "SMB_COMMAND_CODE4", 0x190d4, 0 }, + { "SMB_COMMAND_CODE5", 0x190d8, 0 }, + { "SMB_COMMAND_CODE6", 0x190dc, 0 }, + { "SMB_COMMAND_CODE7", 0x190e0, 0 }, + { "SMB_MICRO_CNT_CLK_CFG", 0x190e4, 0 }, + { "MacroCntClkCfg", 8, 5 }, + { "MicroCntClkCfg", 0, 8 }, + { "SMB_CTL_STATUS", 0x190e8, 0 }, + { "MstBusBusy", 2, 1 }, + { "SlvBusBusy", 1, 1 }, + { "BusBusy", 0, 1 }, + { NULL } +}; + +struct reg_info t6_i2cm_regs[] = { + { "I2CM_CFG", 0x190f0, 0 }, + { "I2CM_DATA", 0x190f4, 0 }, + { "I2CM_OP", 0x190f8, 0 }, + { "Busy", 31, 1 }, + { "Ack", 30, 1 }, + { "Cont", 1, 1 }, + { "Op", 0, 1 }, + { NULL } +}; + +struct reg_info t6_mi_regs[] = { + { "MI_CFG", 0x19100, 0 }, + { "T4_St", 14, 1 }, + { "ClkDiv", 5, 8 }, + { "St", 3, 2 }, + { "PreEn", 2, 1 }, + { "MDIInv", 1, 1 }, + { "MDIO_1P2V_Sel", 0, 1 }, + { "MI_ADDR", 0x19104, 0 }, + { "PhyAddr", 5, 5 }, + { "RegAddr", 0, 5 }, + { "MI_DATA", 0x19108, 0 }, + { "MI_OP", 0x1910c, 0 }, + { "Busy", 31, 1 }, + { "St", 3, 2 }, + { "Inc", 2, 1 }, + { "Op", 0, 2 }, + { NULL } +}; + +struct reg_info t6_uart_regs[] = { + { "UART_CONFIG", 0x19110, 0 }, + { "StopBits", 22, 2 }, + { "Parity", 20, 2 }, + { "DataBits", 16, 4 }, + { "ClkDiv", 0, 12 }, + { NULL } +}; + +struct reg_info t6_pmu_regs[] = { + { "PMU_PART_CG_PWRMODE", 0x19120, 0 }, + { "PL_DIS_PRTY_CHK", 20, 1 }, + { "SGE_Part_CGEn", 19, 1 }, + { "PDP_Part_CGEn", 18, 1 }, + { "TP_Part_CGEn", 17, 1 }, + { "EDC0_Part_CGEn", 16, 1 }, + { "EDC1_Part_CGEn", 15, 1 }, + { "LE_Part_CGEn", 14, 1 }, + { "MA_Part_CGEn", 13, 1 }, + { "PCIE_Part_CGEn", 10, 1 }, + { "InitPowerMode", 0, 2 }, + { "PMU_SLEEPMODE_WAKEUP", 0x19124, 0 }, + { "GlobalDeepSleepEn", 6, 1 }, + { "HWWakeUpEn", 5, 1 }, + { "Port3SleepMode", 4, 1 }, + { "Port2SleepMode", 3, 1 }, + { "Port1SleepMode", 2, 1 }, + { "Port0SleepMode", 1, 1 }, + { "WakeUp", 0, 1 }, + { NULL } +}; + +struct reg_info t6_ulp_rx_regs[] = { + { "ULP_RX_CTL", 0x19150, 0 }, + { "PCMD1Threshold", 24, 8 }, + { "PCMD0Threshold", 16, 8 }, + { "disable_0B_STAG_ERR", 14, 1 }, + { "RDMA_0b_wr_opcode", 10, 4 }, + { "RDMA_0b_wr_pass", 9, 1 }, + { "STAG_RQE", 8, 1 }, + { "RDMA_State_En", 7, 1 }, + { "Crc1_En", 6, 1 }, + { "RDMA_0b_wr_cqe", 5, 1 }, + { "PCIE_Atrb_En", 4, 1 }, + { "RDMA_permissive_mode", 3, 1 }, + { "PagePodME", 2, 1 }, + { "IscsiTagTcb", 1, 1 }, + { "TddpTagTcb", 0, 1 }, + { "ULP_RX_INT_ENABLE", 0x19154, 0 }, + { "SE_CNT_MISMATCH_1", 26, 1 }, + { "SE_CNT_MISMATCH_0", 25, 1 }, + { "ENABLE_CTX_1", 24, 1 }, + { "ENABLE_CTX_0", 23, 1 }, + { "ENABLE_FF", 22, 1 }, + { "ENABLE_APF_1", 21, 1 }, + { "ENABLE_APF_0", 20, 1 }, + { "ENABLE_AF_1", 19, 1 }, + { "ENABLE_AF_0", 18, 1 }, + { "ENABLE_DDPDF_1", 17, 1 }, + { "ENABLE_DDPMF_1", 16, 1 }, + { "ENABLE_MEMRF_1", 15, 1 }, + { "ENABLE_PRSDF_1", 14, 1 }, + { "ENABLE_DDPDF_0", 13, 1 }, + { "ENABLE_DDPMF_0", 12, 1 }, + { "ENABLE_MEMRF_0", 11, 1 }, + { "ENABLE_PRSDF_0", 10, 1 }, + { "ENABLE_PCMDF_1", 9, 1 }, + { "ENABLE_TPTCF_1", 8, 1 }, + { "ENABLE_DDPCF_1", 7, 1 }, + { "ENABLE_MPARF_1", 6, 1 }, + { "ENABLE_MPARC_1", 5, 1 }, + { "ENABLE_PCMDF_0", 4, 1 }, + { "ENABLE_TPTCF_0", 3, 1 }, + { "ENABLE_DDPCF_0", 2, 1 }, + { "ENABLE_MPARF_0", 1, 1 }, + { "ENABLE_MPARC_0", 0, 1 }, + { "ULP_RX_INT_CAUSE", 0x19158, 0 }, + { "SE_CNT_MISMATCH_1", 26, 1 }, + { "SE_CNT_MISMATCH_0", 25, 1 }, + { "CAUSE_CTX_1", 24, 1 }, + { "CAUSE_CTX_0", 23, 1 }, + { "CAUSE_FF", 22, 1 }, + { "CAUSE_APF_1", 21, 1 }, + { "CAUSE_APF_0", 20, 1 }, + { "CAUSE_AF_1", 19, 1 }, + { "CAUSE_AF_0", 18, 1 }, + { "CAUSE_DDPDF_1", 17, 1 }, + { "CAUSE_DDPMF_1", 16, 1 }, + { "CAUSE_MEMRF_1", 15, 1 }, + { "CAUSE_PRSDF_1", 14, 1 }, + { "CAUSE_DDPDF_0", 13, 1 }, + { "CAUSE_DDPMF_0", 12, 1 }, + { "CAUSE_MEMRF_0", 11, 1 }, + { "CAUSE_PRSDF_0", 10, 1 }, + { "CAUSE_PCMDF_1", 9, 1 }, + { "CAUSE_TPTCF_1", 8, 1 }, + { "CAUSE_DDPCF_1", 7, 1 }, + { "CAUSE_MPARF_1", 6, 1 }, + { "CAUSE_MPARC_1", 5, 1 }, + { "CAUSE_PCMDF_0", 4, 1 }, + { "CAUSE_TPTCF_0", 3, 1 }, + { "CAUSE_DDPCF_0", 2, 1 }, + { "CAUSE_MPARF_0", 1, 1 }, + { "CAUSE_MPARC_0", 0, 1 }, + { "ULP_RX_ISCSI_LLIMIT", 0x1915c, 0 }, + { "IscsiLlimit", 6, 26 }, + { "ULP_RX_ISCSI_ULIMIT", 0x19160, 0 }, + { "IscsiUlimit", 6, 26 }, + { "ULP_RX_ISCSI_TAGMASK", 0x19164, 0 }, + { "IscsiTagMask", 6, 26 }, + { "ULP_RX_ISCSI_PSZ", 0x19168, 0 }, + { "Hpz3", 24, 4 }, + { "Hpz2", 16, 4 }, + { "Hpz1", 8, 4 }, + { "Hpz0", 0, 4 }, + { "ULP_RX_TDDP_LLIMIT", 0x1916c, 0 }, + { "TddpLlimit", 6, 26 }, + { "ULP_RX_TDDP_ULIMIT", 0x19170, 0 }, + { "TddpUlimit", 6, 26 }, + { "ULP_RX_TDDP_TAGMASK", 0x19174, 0 }, + { "TddpTagMask", 6, 26 }, + { "ULP_RX_TDDP_PSZ", 0x19178, 0 }, + { "Hpz3", 24, 4 }, + { "Hpz2", 16, 4 }, + { "Hpz1", 8, 4 }, + { "Hpz0", 0, 4 }, + { "ULP_RX_STAG_LLIMIT", 0x1917c, 0 }, + { "ULP_RX_STAG_ULIMIT", 0x19180, 0 }, + { "ULP_RX_RQ_LLIMIT", 0x19184, 0 }, + { "ULP_RX_RQ_ULIMIT", 0x19188, 0 }, + { "ULP_RX_PBL_LLIMIT", 0x1918c, 0 }, + { "ULP_RX_PBL_ULIMIT", 0x19190, 0 }, + { "ULP_RX_CTX_BASE", 0x19194, 0 }, + { "ULP_RX_PERR_ENABLE", 0x1919c, 0 }, + { "PERR_SE_CNT_MISMATCH_1", 26, 1 }, + { "PERR_SE_CNT_MISMATCH_0", 25, 1 }, + { "PERR_ENABLE_CTX_1", 24, 1 }, + { "PERR_ENABLE_CTX_0", 23, 1 }, + { "PERR_ENABLE_FF", 22, 1 }, + { "PERR_ENABLE_APF_1", 21, 1 }, + { "PERR_ENABLE_APF_0", 20, 1 }, + { "PERR_ENABLE_AF_1", 19, 1 }, + { "PERR_ENABLE_AF_0", 18, 1 }, + { "PERR_ENABLE_DDPDF_1", 17, 1 }, + { "PERR_ENABLE_DDPMF_1", 16, 1 }, + { "PERR_ENABLE_MEMRF_1", 15, 1 }, + { "PERR_ENABLE_PRSDF_1", 14, 1 }, + { "PERR_ENABLE_DDPDF_0", 13, 1 }, + { "PERR_ENABLE_DDPMF_0", 12, 1 }, + { "PERR_ENABLE_MEMRF_0", 11, 1 }, + { "PERR_ENABLE_PRSDF_0", 10, 1 }, + { "PERR_ENABLE_PCMDF_1", 9, 1 }, + { "PERR_ENABLE_TPTCF_1", 8, 1 }, + { "PERR_ENABLE_DDPCF_1", 7, 1 }, + { "PERR_ENABLE_MPARF_1", 6, 1 }, + { "PERR_ENABLE_MPARC_1", 5, 1 }, + { "PERR_ENABLE_PCMDF_0", 4, 1 }, + { "PERR_ENABLE_TPTCF_0", 3, 1 }, + { "PERR_ENABLE_DDPCF_0", 2, 1 }, + { "PERR_ENABLE_MPARF_0", 1, 1 }, + { "PERR_ENABLE_MPARC_0", 0, 1 }, + { "ULP_RX_PERR_INJECT", 0x191a0, 0 }, + { "MemSel", 1, 5 }, + { "InjectDataErr", 0, 1 }, + { "ULP_RX_RQUDP_LLIMIT", 0x191a4, 0 }, + { "ULP_RX_RQUDP_ULIMIT", 0x191a8, 0 }, + { "ULP_RX_CTX_ACC_CH0", 0x191ac, 0 }, + { "REQ", 21, 1 }, + { "WB", 20, 1 }, + { "TID", 0, 20 }, + { "ULP_RX_CTX_ACC_CH1", 0x191b0, 0 }, + { "REQ", 21, 1 }, + { "WB", 20, 1 }, + { "TID", 0, 20 }, + { "ULP_RX_SE_CNT_ERR", 0x191d0, 0 }, + { "ERR_CH1", 4, 4 }, + { "ERR_CH0", 0, 4 }, + { "ULP_RX_SE_CNT_CLR", 0x191d4, 0 }, + { "CLR_CH0", 4, 4 }, + { "CLR_CH1", 0, 4 }, + { "ULP_RX_SE_CNT_CH0", 0x191d8, 0 }, + { "SOP_CNT_OUT0", 28, 4 }, + { "EOP_CNT_OUT0", 24, 4 }, + { "SOP_CNT_AL0", 20, 4 }, + { "EOP_CNT_AL0", 16, 4 }, + { "SOP_CNT_MR0", 12, 4 }, + { "EOP_CNT_MR0", 8, 4 }, + { "SOP_CNT_IN0", 4, 4 }, + { "EOP_CNT_IN0", 0, 4 }, + { "ULP_RX_SE_CNT_CH1", 0x191dc, 0 }, + { "SOP_CNT_OUT1", 28, 4 }, + { "EOP_CNT_OUT1", 24, 4 }, + { "SOP_CNT_AL1", 20, 4 }, + { "EOP_CNT_AL1", 16, 4 }, + { "SOP_CNT_MR1", 12, 4 }, + { "EOP_CNT_MR1", 8, 4 }, + { "SOP_CNT_IN1", 4, 4 }, + { "EOP_CNT_IN1", 0, 4 }, + { "ULP_RX_DBG_CTL", 0x191e0, 0 }, + { "EN_DBG_H", 17, 1 }, + { "EN_DBG_L", 16, 1 }, + { "SEL_H", 8, 8 }, + { "SEL_L", 0, 8 }, + { "ULP_RX_DBG_DATAH", 0x191e4, 0 }, + { "ULP_RX_DBG_DATAL", 0x191e8, 0 }, + { "ULP_RX_LA_CHNL", 0x19238, 0 }, + { "ULP_RX_LA_CTL", 0x1923c, 0 }, + { "ULP_RX_LA_RDPTR", 0x19240, 0 }, + { "ULP_RX_LA_RDDATA", 0x19244, 0 }, + { "ULP_RX_LA_WRPTR", 0x19248, 0 }, + { "ULP_RX_LA_RESERVED", 0x1924c, 0 }, + { "ULP_RX_CQE_GEN_EN", 0x19250, 0 }, + { "Termimate_msg", 1, 1 }, + { "Terminate_with_err", 0, 1 }, + { "ULP_RX_ATOMIC_OPCODES", 0x19254, 0 }, + { "atomic_req_qno", 22, 2 }, + { "atomic_rsp_qno", 20, 2 }, + { "immediate_qno", 18, 2 }, + { "immediate_with_se_qno", 16, 2 }, + { "atomic_wr_opcode", 12, 4 }, + { "atomic_rd_opcode", 8, 4 }, + { "immediate_opcode", 4, 4 }, + { "immediate_with_se_opcode", 0, 4 }, + { "ULP_RX_T10_CRC_ENDIAN_SWITCHING", 0x19258, 0 }, + { "ULP_RX_MISC_FEATURE_ENABLE", 0x1925c, 0 }, + { "iscsi_dcrc_error_cmp_en", 25, 1 }, + { "IscsiTagPI", 24, 1 }, + { "ddp_version_1", 22, 2 }, + { "ddp_version_0", 20, 2 }, + { "rdma_version_1", 18, 2 }, + { "rdma_version_0", 16, 2 }, + { "pbl_bound_check_w_pglen", 15, 1 }, + { "zbyte_fix_disable", 14, 1 }, + { "t10_offset_update_en", 13, 1 }, + { "ulp_insert_pi", 12, 1 }, + { "pdu_dpi", 11, 1 }, + { "iscsi_eff_offset_en", 10, 1 }, + { "iscsi_all_cmp_mode", 9, 1 }, + { "iscsi_enable_hdr_cmd", 8, 1 }, + { "iscsi_force_cmp_mode", 7, 1 }, + { "iscsi_enable_cmp_mode", 6, 1 }, + { "pio_rdma_send_rqe", 5, 1 }, + { "terminate_status_en", 4, 1 }, + { "multiple_pref_enable", 3, 1 }, + { "umudp_pbl_pref_enable", 2, 1 }, + { "rdma_pbl_pref_en", 1, 1 }, + { "sdc_crc_prot_en", 0, 1 }, + { "ULP_RX_CH0_CGEN", 0x19260, 0 }, + { "BYPASS_CGEN", 7, 1 }, + { "TDDP_CGEN", 6, 1 }, + { "ISCSI_CGEN", 5, 1 }, + { "RDMA_CGEN", 4, 1 }, + { "CHANNEL_CGEN", 3, 1 }, + { "All_DataPath_CGEN", 2, 1 }, + { "T10Diff_DataPath_CGEN", 1, 1 }, + { "Rdma_DataPath_CGEN", 0, 1 }, + { "ULP_RX_CH1_CGEN", 0x19264, 0 }, + { "BYPASS_CGEN", 7, 1 }, + { "TDDP_CGEN", 6, 1 }, + { "ISCSI_CGEN", 5, 1 }, + { "RDMA_CGEN", 4, 1 }, + { "CHANNEL_CGEN", 3, 1 }, + { "All_DataPath_CGEN", 2, 1 }, + { "T10Diff_DataPath_CGEN", 1, 1 }, + { "Rdma_DataPath_CGEN", 0, 1 }, + { "ULP_RX_RFE_DISABLE", 0x19268, 0 }, + { "ULP_RX_INT_ENABLE_2", 0x1926c, 0 }, + { "ULPRX2MA_IntfPerr", 8, 1 }, + { "ALN_SDC_ERR_1", 7, 1 }, + { "ALN_SDC_ERR_0", 6, 1 }, + { "PF_UNTAGGED_TPT_1", 5, 1 }, + { "PF_UNTAGGED_TPT_0", 4, 1 }, + { "PF_PBL_1", 3, 1 }, + { "PF_PBL_0", 2, 1 }, + { "DDP_HINT_1", 1, 1 }, + { "DDP_HINT_0", 0, 1 }, + { "ULP_RX_INT_CAUSE_2", 0x19270, 0 }, + { "ULPRX2MA_IntfPerr", 8, 1 }, + { "ALN_SDC_ERR_1", 7, 1 }, + { "ALN_SDC_ERR_0", 6, 1 }, + { "PF_UNTAGGED_TPT_1", 5, 1 }, + { "PF_UNTAGGED_TPT_0", 4, 1 }, + { "PF_PBL_1", 3, 1 }, + { "PF_PBL_0", 2, 1 }, + { "DDP_HINT_1", 1, 1 }, + { "DDP_HINT_0", 0, 1 }, + { "ULP_RX_PERR_ENABLE_2", 0x19274, 0 }, + { "ENABLE_ULPRX2MA_IntfPerr", 8, 1 }, + { "ENABLE_ALN_SDC_ERR_1", 7, 1 }, + { "ENABLE_ALN_SDC_ERR_0", 6, 1 }, + { "ENABLE_PF_UNTAGGED_TPT_1", 5, 1 }, + { "ENABLE_PF_UNTAGGED_TPT_0", 4, 1 }, + { "ENABLE_PF_PBL_1", 3, 1 }, + { "ENABLE_PF_PBL_0", 2, 1 }, + { "ENABLE_DDP_HINT_1", 1, 1 }, + { "ENABLE_DDP_HINT_0", 0, 1 }, + { "ULP_RX_RQE_PBL_MULTIPLE_OUTSTANDING_CNT", 0x19278, 0 }, + { "ULP_RX_ATOMIC_LEN", 0x1927c, 0 }, + { "atomic_rpl_len", 16, 8 }, + { "atomic_req_len", 8, 8 }, + { "atomic_immediate_len", 0, 8 }, + { "ULP_RX_CGEN_GLOBAL", 0x19280, 0 }, + { "ULP_RX_CTX_SKIP_MA_REQ", 0x19284, 0 }, + { "clear_ctx_err_cnt1", 3, 1 }, + { "clear_ctx_err_cnt0", 2, 1 }, + { "skip_ma_req_en1", 1, 1 }, + { "skip_ma_req_en0", 0, 1 }, + { "ULP_RX_CHNL0_CTX_ERROR_COUNT_PER_TID", 0x19288, 0 }, + { "ULP_RX_CHNL1_CTX_ERROR_COUNT_PER_TID", 0x1928c, 0 }, + { "ULP_RX_MSN_CHECK_ENABLE", 0x19290, 0 }, + { "Rd_or_Term_msn_check_enable", 2, 1 }, + { "atomic_op_msn_check_enable", 1, 1 }, + { "send_msn_check_enable", 0, 1 }, + { "ULP_RX_TLS_PP_LLIMIT", 0x192a4, 0 }, + { "TlsPpLlimit", 6, 26 }, + { "ULP_RX_TLS_PP_ULIMIT", 0x192a8, 0 }, + { "TlsPpUlimit", 6, 26 }, + { "ULP_RX_TLS_KEY_LLIMIT", 0x192ac, 0 }, + { "TlsKeyLlimit", 8, 24 }, + { "ULP_RX_TLS_KEY_ULIMIT", 0x192b0, 0 }, + { "TlsKeyUlimit", 8, 24 }, + { "ULP_RX_TLS_CTL", 0x192bc, 0 }, + { "TlsPerrEn", 4, 1 }, + { "TlsDisableIFuse", 2, 1 }, + { "TlsDisableCFuse", 1, 1 }, + { "TlsDisable", 0, 1 }, + { "ULP_RX_TLS_IND_CMD", 0x19348, 0 }, + { "ULP_RX_TLS_IND_DATA", 0x1934c, 0 }, + { NULL } +}; + +struct reg_info t6_sf_regs[] = { + { "SF_DATA", 0x193f8, 0 }, + { "SF_OP", 0x193fc, 0 }, + { "Busy", 31, 1 }, + { "Lock", 4, 1 }, + { "Cont", 3, 1 }, + { "ByteCnt", 1, 2 }, + { "Op", 0, 1 }, + { NULL } +}; + +struct reg_info t6_pl_regs[] = { + { "PL_PF_INT_CAUSE", 0x1e3c0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1e3c4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1e3c8, 0 }, + { "PL_PF_INT_CAUSE", 0x1e7c0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1e7c4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1e7c8, 0 }, + { "PL_PF_INT_CAUSE", 0x1ebc0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1ebc4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1ebc8, 0 }, + { "PL_PF_INT_CAUSE", 0x1efc0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1efc4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1efc8, 0 }, + { "PL_PF_INT_CAUSE", 0x1f3c0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1f3c4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1f3c8, 0 }, + { "PL_PF_INT_CAUSE", 0x1f7c0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1f7c4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1f7c8, 0 }, + { "PL_PF_INT_CAUSE", 0x1fbc0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1fbc4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1fbc8, 0 }, + { "PL_PF_INT_CAUSE", 0x1ffc0, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_INT_ENABLE", 0x1ffc4, 0 }, + { "SW", 3, 1 }, + { "CIM", 1, 1 }, + { "MPS", 0, 1 }, + { "PL_PF_CTL", 0x1ffc8, 0 }, + { "PL_WHOAMI", 0x19400, 0 }, + { "PortxMap", 24, 3 }, + { "SourceBus", 16, 2 }, + { "SourcePF", 9, 3 }, + { "IsVF", 8, 1 }, + { "VFID", 0, 8 }, + { "PL_PERR_CAUSE", 0x19404, 0 }, + { "UART", 28, 1 }, + { "ULP_TX", 27, 1 }, + { "SGE", 26, 1 }, + { "HMA", 25, 1 }, + { "CPL_SWITCH", 24, 1 }, + { "ULP_RX", 23, 1 }, + { "PM_RX", 22, 1 }, + { "PM_TX", 21, 1 }, + { "MA", 20, 1 }, + { "TP", 19, 1 }, + { "LE", 18, 1 }, + { "EDC1", 17, 1 }, + { "EDC0", 16, 1 }, + { "MC0", 15, 1 }, + { "PCIE", 14, 1 }, + { "PMU", 13, 1 }, + { "MAC", 9, 1 }, + { "SMB", 8, 1 }, + { "SF", 7, 1 }, + { "PL", 6, 1 }, + { "NCSI", 5, 1 }, + { "MPS", 4, 1 }, + { "MI", 3, 1 }, + { "DBG", 2, 1 }, + { "I2CM", 1, 1 }, + { "CIM", 0, 1 }, + { "PL_PERR_ENABLE", 0x19408, 0 }, + { "UART", 28, 1 }, + { "ULP_TX", 27, 1 }, + { "SGE", 26, 1 }, + { "HMA", 25, 1 }, + { "CPL_SWITCH", 24, 1 }, + { "ULP_RX", 23, 1 }, + { "PM_RX", 22, 1 }, + { "PM_TX", 21, 1 }, + { "MA", 20, 1 }, + { "TP", 19, 1 }, + { "LE", 18, 1 }, + { "EDC1", 17, 1 }, + { "EDC0", 16, 1 }, + { "MC0", 15, 1 }, + { "PCIE", 14, 1 }, + { "PMU", 13, 1 }, + { "MAC", 9, 1 }, + { "SMB", 8, 1 }, + { "SF", 7, 1 }, + { "PL", 6, 1 }, + { "NCSI", 5, 1 }, + { "MPS", 4, 1 }, + { "MI", 3, 1 }, + { "DBG", 2, 1 }, + { "I2CM", 1, 1 }, + { "CIM", 0, 1 }, + { "PL_INT_CAUSE", 0x1940c, 0 }, + { "FLR", 30, 1 }, + { "SW_CIM", 29, 1 }, + { "UART", 28, 1 }, + { "ULP_TX", 27, 1 }, + { "SGE", 26, 1 }, + { "HMA", 25, 1 }, + { "CPL_SWITCH", 24, 1 }, + { "ULP_RX", 23, 1 }, + { "PM_RX", 22, 1 }, + { "PM_TX", 21, 1 }, + { "MA", 20, 1 }, + { "TP", 19, 1 }, + { "LE", 18, 1 }, + { "EDC1", 17, 1 }, + { "EDC0", 16, 1 }, + { "MC0", 15, 1 }, + { "PCIE", 14, 1 }, + { "PMU", 13, 1 }, + { "MAC1", 10, 1 }, + { "MAC0", 9, 1 }, + { "SMB", 8, 1 }, + { "SF", 7, 1 }, + { "PL", 6, 1 }, + { "NCSI", 5, 1 }, + { "MPS", 4, 1 }, + { "MI", 3, 1 }, + { "DBG", 2, 1 }, + { "I2CM", 1, 1 }, + { "CIM", 0, 1 }, + { "PL_INT_ENABLE", 0x19410, 0 }, + { "FLR", 30, 1 }, + { "SW_CIM", 29, 1 }, + { "UART", 28, 1 }, + { "ULP_TX", 27, 1 }, + { "SGE", 26, 1 }, + { "HMA", 25, 1 }, + { "CPL_SWITCH", 24, 1 }, + { "ULP_RX", 23, 1 }, + { "PM_RX", 22, 1 }, + { "PM_TX", 21, 1 }, + { "MA", 20, 1 }, + { "TP", 19, 1 }, + { "LE", 18, 1 }, + { "EDC1", 17, 1 }, + { "EDC0", 16, 1 }, + { "MC0", 15, 1 }, + { "PCIE", 14, 1 }, + { "PMU", 13, 1 }, + { "MAC1", 10, 1 }, + { "MAC0", 9, 1 }, + { "SMB", 8, 1 }, + { "SF", 7, 1 }, + { "PL", 6, 1 }, + { "NCSI", 5, 1 }, + { "MPS", 4, 1 }, + { "MI", 3, 1 }, + { "DBG", 2, 1 }, + { "I2CM", 1, 1 }, + { "CIM", 0, 1 }, + { "PL_INT_MAP0", 0x19414, 0 }, + { "MapNCSI", 16, 9 }, + { "MapDefault", 0, 9 }, + { "PL_INT_MAP1", 0x19418, 0 }, + { "MapMAC1", 16, 9 }, + { "MapMAC0", 0, 9 }, + { "PL_INT_MAP3", 0x19420, 0 }, + { "MapMI", 16, 9 }, + { "MapSMB", 0, 9 }, + { "PL_INT_MAP4", 0x19424, 0 }, + { "MapDBG", 16, 9 }, + { "MapI2CM", 0, 9 }, + { "PL_RST", 0x19428, 0 }, + { "AutoPciePause", 4, 1 }, + { "FatalPerrEn", 3, 1 }, + { "SWIntCIM", 2, 1 }, + { "PIORst", 1, 1 }, + { "PIORstMode", 0, 1 }, + { "PL_PL_INT_CAUSE", 0x19430, 0 }, + { "PL_BusPerr", 6, 1 }, + { "FatalPerr", 4, 1 }, + { "InvalidAccess", 3, 1 }, + { "Timeout", 2, 1 }, + { "PLErr", 1, 1 }, + { "PL_PL_INT_ENABLE", 0x19434, 0 }, + { "PL_BusPerr", 6, 1 }, + { "FatalPerr", 4, 1 }, + { "InvalidAccess", 3, 1 }, + { "Timeout", 2, 1 }, + { "PLErr", 1, 1 }, + { "PL_PL_PERR_ENABLE", 0x19438, 0 }, + { "PL_BusPerr", 6, 1 }, + { "PL_REV", 0x1943c, 0 }, + { "ChipID", 4, 4 }, + { "Rev", 0, 4 }, + { "PL_PCIE_LINK", 0x19440, 0 }, + { "LN0_AESTAT", 27, 3 }, + { "LN0_AECMD", 24, 3 }, + { "StateCfgInitF", 16, 8 }, + { "StateCfgInit", 12, 4 }, + { "PHY_STATUS", 10, 1 }, + { "SPEED", 8, 2 }, + { "PERstTimeout", 7, 1 }, + { "LTSSMEnable", 6, 1 }, + { "LTSSM", 0, 6 }, + { "PL_PCIE_CTL_STAT", 0x19444, 0 }, + { "Status", 16, 16 }, + { "Control", 0, 16 }, + { "PL_SEMAPHORE_CTL", 0x1944c, 0 }, + { "LockStatus", 16, 8 }, + { "OwnerOverride", 8, 1 }, + { "EnablePF", 0, 8 }, + { "PL_SEMAPHORE_LOCK", 0x19450, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_SEMAPHORE_LOCK", 0x19454, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_SEMAPHORE_LOCK", 0x19458, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_SEMAPHORE_LOCK", 0x1945c, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_SEMAPHORE_LOCK", 0x19460, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_SEMAPHORE_LOCK", 0x19464, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_SEMAPHORE_LOCK", 0x19468, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_SEMAPHORE_LOCK", 0x1946c, 0 }, + { "Lock", 31, 1 }, + { "SourceBus", 3, 2 }, + { "SourcePF", 0, 3 }, + { "PL_PORTX_MAP", 0x19474, 0 }, + { "MAP7", 28, 3 }, + { "MAP6", 24, 3 }, + { "MAP5", 20, 3 }, + { "MAP4", 16, 3 }, + { "MAP3", 12, 3 }, + { "MAP2", 8, 3 }, + { "MAP1", 4, 3 }, + { "MAP0", 0, 3 }, + { "PL_VF_SLICE_L", 0x19490, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_L", 0x19498, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_L", 0x194a0, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_L", 0x194a8, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_L", 0x194b0, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_L", 0x194b8, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_L", 0x194c0, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_L", 0x194c8, 0 }, + { "LimitAddr", 16, 10 }, + { "BaseAddr", 0, 10 }, + { "PL_VF_SLICE_H", 0x19494, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_VF_SLICE_H", 0x1949c, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_VF_SLICE_H", 0x194a4, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_VF_SLICE_H", 0x194ac, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_VF_SLICE_H", 0x194b4, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_VF_SLICE_H", 0x194bc, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_VF_SLICE_H", 0x194c4, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_VF_SLICE_H", 0x194cc, 0 }, + { "ModIndx", 16, 3 }, + { "ModOffset", 0, 10 }, + { "PL_TIMEOUT_CTL", 0x194f0, 0 }, + { "PerrCapture", 16, 1 }, + { "Timeout", 0, 16 }, + { "PL_TIMEOUT_STATUS0", 0x194f4, 0 }, + { "Addr", 2, 28 }, + { "PL_TIMEOUT_STATUS1", 0x194f8, 0 }, + { "Valid", 31, 1 }, + { "ValidPerr", 30, 1 }, + { "Write", 22, 1 }, + { "Bus", 20, 2 }, + { "PF", 16, 3 }, + { "VFID", 0, 9 }, + { NULL } +}; + +struct reg_info t6_le_regs[] = { + { "LE_DB_ID", 0x19c00, 0 }, + { "LE_DB_CONFIG", 0x19c04, 0 }, + { "CHK_FUL_TUP_ZERO", 27, 1 }, + { "PRI_HASH", 26, 1 }, + { "EXTN_HASH_IPV4", 25, 1 }, + { "PROTOCOLMASKEN", 24, 1 }, + { "SRVRSRAMEN", 22, 1 }, + { "HASHEN", 20, 1 }, + { "ASLIPCOMPEN_IPV4", 18, 1 }, + { "BUILD", 16, 1 }, + { "IGNR_TUP_ZERO", 9, 1 }, + { "IGNR_LIP_ZERO", 8, 1 }, + { "CLCAM_INIT_BUSY", 7, 1 }, + { "CLCAM_INIT", 6, 1 }, + { "MTCAM_INIT_BUSY", 5, 1 }, + { "MTCAM_INIT", 4, 1 }, + { "REGION_EN", 0, 4 }, + { "LE_DB_EXEC_CTRL", 0x19c08, 0 }, + { "TPDB_IF_PAUSE_ACK", 10, 1 }, + { "TPDB_IF_PAUSE_REQ", 9, 1 }, + { "ERRSTOP_EN", 8, 1 }, + { "CMDLIMIT", 0, 8 }, + { "LE_DB_PS_CTRL", 0x19c0c, 0 }, + { "SRAMDEEPSLEEP_STAT", 11, 1 }, + { "CLTCAMDEEPSLEEP_STAT", 10, 1 }, + { "TCAMDEEPSLEEP_STAT", 9, 1 }, + { "SRAMDEEPSLEEP", 8, 1 }, + { "CLTCAMDEEPSLEEP", 7, 1 }, + { "TCAMDEEPSLEEP", 6, 1 }, + { "SRVRAMCLKOFF", 5, 1 }, + { "HASHCLKOFF", 4, 1 }, + { "LE_DB_ACTIVE_TABLE_START_INDEX", 0x19c10, 0 }, + { "LE_DB_NORM_FILT_TABLE_START_INDEX", 0x19c14, 0 }, + { "LE_DB_SRVR_START_INDEX", 0x19c18, 0 }, + { "LE_DB_HPRI_FILT_TABLE_START_INDEX", 0x19c1c, 0 }, + { "LE_DB_ACT_CNT_IPV4", 0x19c20, 0 }, + { "LE_DB_ACT_CNT_IPV6", 0x19c24, 0 }, + { "LE_DB_ACT_CNT_IPV4_TCAM", 0x19c94, 0 }, + { "LE_DB_ACT_CNT_IPV6_TCAM", 0x19c98, 0 }, + { "LE_DB_REQ_RSP_CNT", 0x19ce4, 0 }, + { "RspCnt", 16, 16 }, + { "ReqCnt", 0, 16 }, + { "LE_HASH_COLLISION", 0x19fc4, 0 }, + { "LE_GLOBAL_COLLISION", 0x19fc8, 0 }, + { "LE_DB_HASH_CONFIG", 0x19c28, 0 }, + { "NUMHASHBKT", 20, 5 }, + { "HASHTBLSIZE", 3, 17 }, + { "LE_DB_MIN_NUM_ACTV_TCAM_ENTRIES", 0x19c2c, 0 }, + { "LE_DB_MAX_NUM_HASH_ENTRIES", 0x19c70, 0 }, + { "LE_DB_RSP_CODE_0", 0x19c74, 0 }, + { "SUCCESS", 25, 5 }, + { "TCAM_ACTV_SUCC", 20, 5 }, + { "HASH_ACTV_SUCC", 15, 5 }, + { "TCAM_SRVR_HIT", 10, 5 }, + { "SRAM_SRVR_HIT", 5, 5 }, + { "TCAM_ACTV_HIT", 0, 5 }, + { "LE_DB_RSP_CODE_1", 0x19c78, 0 }, + { "HASH_ACTV_HIT", 25, 5 }, + { "MISS", 20, 5 }, + { "NORM_FILT_HIT", 15, 5 }, + { "HPRI_FILT_HIT", 10, 5 }, + { "ACTV_OPEN_ERR", 5, 5 }, + { "ACTV_FULL_ERR", 0, 5 }, + { "LE_DB_RSP_CODE_2", 0x19c7c, 0 }, + { "SRCH_RGN_HIT", 25, 5 }, + { "CLIP_FAIL", 20, 5 }, + { "LIP_ZERO_ERR", 15, 5 }, + { "UNKNOWN_CMD", 10, 5 }, + { "CMD_TID_ERR", 5, 5 }, + { "INTERNAL_ERR", 0, 5 }, + { "LE_DB_RSP_CODE_3", 0x19c80, 0 }, + { "SRAM_SRVR_HIT_ACTF", 25, 5 }, + { "TCAM_SRVR_HIT_ACTF", 20, 5 }, + { "INVLDRD", 15, 5 }, + { "TUPLZERO", 10, 5 }, + { "LE_DB_HASH_TBL_BASE_ADDR", 0x19c30, 0 }, + { "HASHTBLADDR", 4, 28 }, + { "LE_TCAM_SIZE", 0x19c34, 0 }, + { "LE_DB_INT_ENABLE", 0x19c38, 0 }, + { "ClipSubErr", 29, 1 }, + { "ClCamFifoerr", 28, 1 }, + { "HashTblMemCrcErr", 27, 1 }, + { "CTcamInvldEnt", 26, 1 }, + { "TcamInvldEnt", 25, 1 }, + { "TotCntErr", 24, 1 }, + { "CmdPrsrIntErr", 23, 1 }, + { "CmdTidErr", 22, 1 }, + { "ActRgnFull", 21, 1 }, + { "ActCntIPv6Tzero", 20, 1 }, + { "ActCntIPv4Tzero", 19, 1 }, + { "ActCntIPv6zero", 18, 1 }, + { "ActCntIPv4zero", 17, 1 }, + { "MaifwrIntPerr", 16, 1 }, + { "HashTblMemAccErr", 15, 1 }, + { "TcamCrcErr", 14, 1 }, + { "TcamIntPerr", 13, 1 }, + { "VfSramPerr", 12, 1 }, + { "SrvSramPerr", 11, 1 }, + { "SsramIntPerr", 10, 1 }, + { "ClCamIntPerr", 9, 1 }, + { "ClCamCrcParErr", 8, 1 }, + { "HashTblAccFail", 7, 1 }, + { "TcamAccFail", 6, 1 }, + { "SrvSramAccFail", 5, 1 }, + { "ClipTcamAccFail", 4, 1 }, + { "UnknownCmd", 3, 1 }, + { "LIP0", 2, 1 }, + { "LIPMiss", 1, 1 }, + { "PipelineErr", 0, 1 }, + { "LE_DB_INT_CAUSE", 0x19c3c, 0 }, + { "ClipSubErr", 29, 1 }, + { "ClCamFifoerr", 28, 1 }, + { "HashTblMemCrcErr", 27, 1 }, + { "CTcamInvldEnt", 26, 1 }, + { "TcamInvldEnt", 25, 1 }, + { "TotCntErr", 24, 1 }, + { "CmdPrsrIntErr", 23, 1 }, + { "CmdTidErr", 22, 1 }, + { "ActRgnFull", 21, 1 }, + { "ActCntIPv6Tzero", 20, 1 }, + { "ActCntIPv4Tzero", 19, 1 }, + { "ActCntIPv6zero", 18, 1 }, + { "ActCntIPv4zero", 17, 1 }, + { "MaifwrIntPerr", 16, 1 }, + { "HashTblMemAccErr", 15, 1 }, + { "TcamCrcErr", 14, 1 }, + { "TcamIntPerr", 13, 1 }, + { "VfSramPerr", 12, 1 }, + { "SrvSramPerr", 11, 1 }, + { "SsramIntPerr", 10, 1 }, + { "ClCamIntPerr", 9, 1 }, + { "ClCamCrcParErr", 8, 1 }, + { "HashTblAccFail", 7, 1 }, + { "TcamAccFail", 6, 1 }, + { "SrvSramAccFail", 5, 1 }, + { "ClipTcamAccFail", 4, 1 }, + { "UnknownCmd", 3, 1 }, + { "LIP0", 2, 1 }, + { "LIPMiss", 1, 1 }, + { "PipelineErr", 0, 1 }, + { "LE_PERR_ENABLE", 0x19cf8, 0 }, + { "BkChkPeriod", 22, 10 }, + { "TcamBkChkEn", 21, 1 }, + { "MaifwrIntPerr", 16, 1 }, + { "HashTblMemAccErr", 15, 1 }, + { "TcamCrcErr", 14, 1 }, + { "TcamIntPerr", 13, 1 }, + { "VfSramPerr", 12, 1 }, + { "SrvSramPerr", 11, 1 }, + { "SsramIntPerr", 10, 1 }, + { "ClCamIntPerr", 9, 1 }, + { "ClCamCrcParErr", 8, 1 }, + { "HashTblAccFail", 7, 1 }, + { "TcamAccFail", 6, 1 }, + { "SrvSramAccFail", 5, 1 }, + { "ClipTcamAccFail", 4, 1 }, + { "ClCamFifoerr", 2, 1 }, + { "HashTblMemCrcErr", 1, 1 }, + { "PipelineErr", 0, 1 }, + { "LE_DB_ERR_CMD_TID", 0x19c48, 0 }, + { "ERR_CID", 22, 8 }, + { "ERR_PROT", 20, 2 }, + { "ERR_TID", 0, 20 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c50, 0 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c54, 0 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c58, 0 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c5c, 0 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c60, 0 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c64, 0 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c68, 0 }, + { "LE_DB_DBG_MATCH_DATA_MASK", 0x19c6c, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19ca0, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19ca4, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19ca8, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19cac, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19cb0, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19cb4, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19cb8, 0 }, + { "LE_DB_DBG_MATCH_DATA", 0x19cbc, 0 }, + { "LE_DB_DBG_MATCH_CMD_IDX_MASK", 0x19c40, 0 }, + { "CMD_CMP_MASK", 20, 5 }, + { "TID_CMP_MASK", 0, 20 }, + { "LE_DB_DBG_MATCH_CMD_IDX_DATA", 0x19c44, 0 }, + { "CMD_CMP", 20, 5 }, + { "TID_CMP", 0, 20 }, + { "LE_DB_DBGI_CONFIG", 0x19cf0, 0 }, + { "DBGICMDRANGE", 22, 3 }, + { "DBGICMDMSKREAD", 21, 1 }, + { "DBGICMDSEARCH", 20, 1 }, + { "DBGICMDREAD", 19, 1 }, + { "DBGICMDLEARN", 18, 1 }, + { "DBGICMDWRITE", 17, 1 }, + { "DBGICMDIPv6", 16, 1 }, + { "DBGICMDBUSY", 3, 1 }, + { "DBGICMDSTRT", 2, 1 }, + { "DBGICMDMODE", 0, 2 }, + { "LE_DB_DBGI_REQ_CMD", 0x19cf4, 0 }, + { "DBGICMD", 20, 4 }, + { "DBGITID", 0, 20 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d00, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d04, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d08, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d0c, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d10, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d14, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d18, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d1c, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d20, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d24, 0 }, + { "LE_DB_DBGI_REQ_DATA", 0x19d28, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d50, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d54, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d58, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d5c, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d60, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d64, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d68, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d6c, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d70, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d74, 0 }, + { "LE_DB_DBGI_REQ_MASK", 0x19d78, 0 }, + { "LE_DB_DBGI_RSP_STATUS", 0x19d94, 0 }, + { "DBGIRspTid", 12, 20 }, + { "DBGIRspMsg", 8, 4 }, + { "DBGIRspLearn", 2, 1 }, + { "DBGIRspHit", 1, 1 }, + { "DBGIRspValid", 0, 1 }, + { "LE_DBG_SEL", 0x19d98, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19da0, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19da4, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19da8, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19dac, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19db0, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19db4, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19db8, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19dbc, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19dc0, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19dc4, 0 }, + { "LE_DB_DBGI_RSP_DATA", 0x19dc8, 0 }, + { "LE_DB_TCAM_TID_BASE", 0x19df0, 0 }, + { "LE_DB_CLCAM_TID_BASE", 0x19df4, 0 }, + { "LE_DB_HASH_TID_BASE", 0x19df8, 0 }, + { "LE_DB_SSRAM_TID_BASE", 0x19dfc, 0 }, + { "LE_DB_ACTIVE_MASK_IPV4", 0x19e00, 0 }, + { "LE_DB_ACTIVE_MASK_IPV4", 0x19e04, 0 }, + { "LE_DB_ACTIVE_MASK_IPV4", 0x19e08, 0 }, + { "LE_DB_ACTIVE_MASK_IPV4", 0x19e0c, 0 }, + { "LE_DB_ACTIVE_MASK_IPV4", 0x19e10, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e50, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e54, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e58, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e5c, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e60, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e64, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e68, 0 }, + { "LE_DB_ACTIVE_MASK_IPV6", 0x19e6c, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19ea0, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19ea4, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19ea8, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19eac, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19eb0, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19eb4, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19eb8, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV4", 0x19ebc, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19ec4, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19ec8, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19ecc, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19ed0, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19ed4, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19ed8, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19edc, 0 }, + { "LE_DB_HASH_MASK_GEN_IPV6", 0x19ee0, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV4", 0x19ee4, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV4", 0x19ee8, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV4", 0x19eec, 0 }, + { "LE_DB_PSV_FILTER_MASK_FLT_IPV4", 0x19ef0, 0 }, + { "LE_DB_PSV_FILTER_MASK_FLT_IPV4", 0x19ef4, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f04, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f08, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f0c, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f10, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f14, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f18, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f1c, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f20, 0 }, + { "LE_DB_PSV_FILTER_MASK_TUP_IPV6", 0x19f24, 0 }, + { "LE_DB_PSV_FILTER_MASK_FLT_IPV6", 0x19f28, 0 }, + { "LE_DB_PSV_FILTER_MASK_FLT_IPV6", 0x19f2c, 0 }, + { "LE_DB_SRVR_SRAM_CONFIG", 0x19f34, 0 }, + { "PRI_HFILT", 4, 1 }, + { "PRI_SRVR", 3, 1 }, + { "PRI_FILT", 2, 1 }, + { "SRVRINITBUSY", 1, 1 }, + { "SRVRINIT", 0, 1 }, + { "LE_DB_SRVR_VF_SRCH_TABLE_CTRL", 0x19f38, 0 }, + { "VFLUTBUSY", 10, 1 }, + { "VFLUTSTART", 9, 1 }, + { "RDWR", 8, 1 }, + { "VFINDEX", 0, 8 }, + { "LE_DB_SRVR_VF_SRCH_TABLE_DATA", 0x19f3c, 0 }, + { "SRCHHADDR", 12, 12 }, + { "SRCHLADDR", 0, 12 }, + { "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f40, 0 }, + { "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f44, 0 }, + { "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f48, 0 }, + { "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f4c, 0 }, + { "LE_DB_SECOND_ACTIVE_MASK_IPV4", 0x19f50, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f90, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f94, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f98, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19f9c, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fa0, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fa4, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fa8, 0 }, + { "LE_DB_SECOND_GEN_HASH_MASK_IPV4", 0x19fac, 0 }, + { "LE_DEBUG_LA_CONFIG", 0x19fd0, 0 }, + { "LE_REQ_DEBUG_LA_DATA", 0x19fd4, 0 }, + { "LE_REQ_DEBUG_LA_WRPTR", 0x19fd8, 0 }, + { "LE_RSP_DEBUG_LA_DATA", 0x19fdc, 0 }, + { "LE_RSP_DEBUG_LA_WRPTR", 0x19fe0, 0 }, + { "LE_DEBUG_LA_SEL_DATA", 0x19fe4, 0 }, + { NULL } +}; + +struct reg_info t6_ncsi_regs[] = { + { "NCSI_PORT_CFGREG", 0x1a000, 0 }, + { "WireEn", 28, 4 }, + { "strp_crc", 24, 4 }, + { "rx_halt", 22, 1 }, + { "flush_rx_fifo", 21, 1 }, + { "hw_arb_en", 20, 1 }, + { "soft_pkg_sel", 19, 1 }, + { "err_discard_en", 18, 1 }, + { "max_pkt_size", 4, 14 }, + { "rx_byte_swap", 3, 1 }, + { "tx_byte_swap", 2, 1 }, + { "NCSI_RST_CTRL", 0x1a004, 0 }, + { "mac_ref_rst", 2, 1 }, + { "mac_rx_rst", 1, 1 }, + { "mac_tx_rst", 0, 1 }, + { "NCSI_CH0_SADDR_LOW", 0x1a010, 0 }, + { "NCSI_CH0_SADDR_HIGH", 0x1a014, 0 }, + { "CHO_SADDR_EN", 31, 1 }, + { "CH0_SADDR_HIGH", 0, 16 }, + { "NCSI_CH1_SADDR_LOW", 0x1a018, 0 }, + { "NCSI_CH1_SADDR_HIGH", 0x1a01c, 0 }, + { "CH1_SADDR_EN", 31, 1 }, + { "CH1_SADDR_HIGH", 0, 16 }, + { "NCSI_CH2_SADDR_LOW", 0x1a020, 0 }, + { "NCSI_CH2_SADDR_HIGH", 0x1a024, 0 }, + { "CH2_SADDR_EN", 31, 1 }, + { "CH2_SADDR_HIGH", 0, 16 }, + { "NCSI_CH3_SADDR_LOW", 0x1a028, 0 }, + { "NCSI_CH3_SADDR_HIGH", 0x1a02c, 0 }, + { "CH3_SADDR_EN", 31, 1 }, + { "CH3_SADDR_HIGH", 0, 16 }, + { "NCSI_WORK_REQHDR_0", 0x1a030, 0 }, + { "NCSI_WORK_REQHDR_1", 0x1a034, 0 }, + { "NCSI_WORK_REQHDR_2", 0x1a038, 0 }, + { "NCSI_WORK_REQHDR_3", 0x1a03c, 0 }, + { "NCSI_MPS_HDR_LO", 0x1a040, 0 }, + { "NCSI_MPS_HDR_HI", 0x1a044, 0 }, + { "NCSI_CTL", 0x1a048, 0 }, + { "STRIP_OVLAN", 3, 1 }, + { "bmc_drop_non_bc", 2, 1 }, + { "bmc_rx_fwd_all", 1, 1 }, + { "FWD_BMC", 0, 1 }, + { "NCSI_NCSI_ETYPE", 0x1a04c, 0 }, + { "NCSI_RX_FIFO_CNT", 0x1a050, 0 }, + { "NCSI_RX_ERR_CNT", 0x1a054, 0 }, + { "NCSI_RX_OF_CNT", 0x1a058, 0 }, + { "NCSI_RX_MS_CNT", 0x1a05c, 0 }, + { "NCSI_RX_IE_CNT", 0x1a060, 0 }, + { "NCSI_MPS_DEMUX_CNT", 0x1a064, 0 }, + { "MPS2CIM_CNT", 16, 9 }, + { "MPS2BMC_CNT", 0, 9 }, + { "NCSI_CIM_DEMUX_CNT", 0x1a068, 0 }, + { "CIM2MPS_CNT", 16, 9 }, + { "CIM2BMC_CNT", 0, 9 }, + { "NCSI_TX_FIFO_CNT", 0x1a06c, 0 }, + { "NCSI_SE_CNT_CTL", 0x1a0b0, 0 }, + { "NCSI_SE_CNT_MPS", 0x1a0b4, 0 }, + { "NCSI_SE_CNT_CIM", 0x1a0b8, 0 }, + { "NCSI_BUS_DEBUG", 0x1a0bc, 0 }, + { "NCSI_LA_RDPTR", 0x1a0c0, 0 }, + { "NCSI_LA_RDDATA", 0x1a0c4, 0 }, + { "NCSI_LA_WRPTR", 0x1a0c8, 0 }, + { "NCSI_LA_RESERVED", 0x1a0cc, 0 }, + { "NCSI_LA_CTL", 0x1a0d0, 0 }, + { "NCSI_INT_ENABLE", 0x1a0d4, 0 }, + { "CIM_DM_prty_err", 8, 1 }, + { "MPS_DM_prty_err", 7, 1 }, + { "token", 6, 1 }, + { "arb_done", 5, 1 }, + { "arb_started", 4, 1 }, + { "WOL", 3, 1 }, + { "MACInt", 2, 1 }, + { "TXFIFO_prty_err", 1, 1 }, + { "RXFIFO_prty_err", 0, 1 }, + { "NCSI_INT_CAUSE", 0x1a0d8, 0 }, + { "CIM_DM_prty_err", 8, 1 }, + { "MPS_DM_prty_err", 7, 1 }, + { "token", 6, 1 }, + { "arb_done", 5, 1 }, + { "arb_started", 4, 1 }, + { "WOL", 3, 1 }, + { "MACInt", 2, 1 }, + { "TXFIFO_prty_err", 1, 1 }, + { "RXFIFO_prty_err", 0, 1 }, + { "NCSI_STATUS", 0x1a0dc, 0 }, + { "Master", 1, 1 }, + { "arb_status", 0, 1 }, + { "NCSI_PAUSE_CTRL", 0x1a0e0, 0 }, + { "NCSI_PAUSE_TIMEOUT", 0x1a0e4, 0 }, + { "NCSI_PAUSE_WM", 0x1a0ec, 0 }, + { "PauseHWM", 16, 11 }, + { "PauseLWM", 0, 11 }, + { "NCSI_DEBUG", 0x1a0f0, 0 }, + { "TxFIFO_empty", 4, 1 }, + { "TxFIFO_full", 3, 1 }, + { "PKG_ID", 0, 3 }, + { "NCSI_PERR_INJECT", 0x1a0f4, 0 }, + { "MemSel", 1, 1 }, + { "InjectDataErr", 0, 1 }, + { "NCSI_PERR_ENABLE", 0x1a0f8, 0 }, + { "CIM_DM_prty_err", 8, 1 }, + { "MPS_DM_prty_err", 7, 1 }, + { "TXFIFO_prty_err", 1, 1 }, + { "RXFIFO_prty_err", 0, 1 }, + { "NCSI_MACB_NETWORK_CTRL", 0x1a100, 0 }, + { "TxSndZeroPause", 12, 1 }, + { "TxSndPause", 11, 1 }, + { "TxStop", 10, 1 }, + { "TxStart", 9, 1 }, + { "BackPress", 8, 1 }, + { "StatWrEn", 7, 1 }, + { "IncrStat", 6, 1 }, + { "ClearStat", 5, 1 }, + { "EnMgmtPort", 4, 1 }, + { "TxEn", 3, 1 }, + { "RxEn", 2, 1 }, + { "LoopLocal", 1, 1 }, + { "LoopPHY", 0, 1 }, + { "NCSI_MACB_NETWORK_CFG", 0x1a104, 0 }, + { "PClkDiv128", 22, 1 }, + { "CopyPause", 21, 1 }, + { "NonStdPreOK", 20, 1 }, + { "NoFCS", 19, 1 }, + { "RxEnHalfDup", 18, 1 }, + { "NoCopyFCS", 17, 1 }, + { "LenChkEn", 16, 1 }, + { "RxBufOffset", 14, 2 }, + { "PauseEn", 13, 1 }, + { "RetryTest", 12, 1 }, + { "PClkDiv", 10, 2 }, + { "ExtClass", 9, 1 }, + { "En1536Frame", 8, 1 }, + { "UCastHashEn", 7, 1 }, + { "MCastHashEn", 6, 1 }, + { "RxBCastDis", 5, 1 }, + { "CopyAllFrames", 4, 1 }, + { "JumboEn", 3, 1 }, + { "SerEn", 2, 1 }, + { "FullDuplex", 1, 1 }, + { "Speed", 0, 1 }, + { "NCSI_MACB_NETWORK_STATUS", 0x1a108, 0 }, + { "PHYMgmtStatus", 2, 1 }, + { "MDIStatus", 1, 1 }, + { "LinkStatus", 0, 1 }, + { "NCSI_MACB_TX_STATUS", 0x1a114, 0 }, + { "UnderrunErr", 6, 1 }, + { "TxComplete", 5, 1 }, + { "BufferExhausted", 4, 1 }, + { "TxProgress", 3, 1 }, + { "RetryLimit", 2, 1 }, + { "ColEvent", 1, 1 }, + { "UsedBitRead", 0, 1 }, + { "NCSI_MACB_RX_BUF_QPTR", 0x1a118, 0 }, + { "RxBufQPtr", 2, 30 }, + { "NCSI_MACB_TX_BUF_QPTR", 0x1a11c, 0 }, + { "TxBufQPtr", 2, 30 }, + { "NCSI_MACB_RX_STATUS", 0x1a120, 0 }, + { "RxOverrunErr", 2, 1 }, + { "FrameRcvd", 1, 1 }, + { "NoRxBuf", 0, 1 }, + { "NCSI_MACB_INT_STATUS", 0x1a124, 0 }, + { "PauseTimeZero", 13, 1 }, + { "PauseRcvd", 12, 1 }, + { "HRespNotOK", 11, 1 }, + { "RxOverrun", 10, 1 }, + { "LinkChange", 9, 1 }, + { "TxComplete", 7, 1 }, + { "TxBufErr", 6, 1 }, + { "RetryLimitErr", 5, 1 }, + { "TxBufUnderrun", 4, 1 }, + { "TxUsedBitRead", 3, 1 }, + { "RxUsedBitRead", 2, 1 }, + { "RxComplete", 1, 1 }, + { "MgmtFrameSent", 0, 1 }, + { "NCSI_MACB_INT_EN", 0x1a128, 0 }, + { "PauseTimeZero", 13, 1 }, + { "PauseRcvd", 12, 1 }, + { "HRespNotOK", 11, 1 }, + { "RxOverrun", 10, 1 }, + { "LinkChange", 9, 1 }, + { "TxComplete", 7, 1 }, + { "TxBufErr", 6, 1 }, + { "RetryLimitErr", 5, 1 }, + { "TxBufUnderrun", 4, 1 }, + { "TxUsedBitRead", 3, 1 }, + { "RxUsedBitRead", 2, 1 }, + { "RxComplete", 1, 1 }, + { "MgmtFrameSent", 0, 1 }, + { "NCSI_MACB_INT_DIS", 0x1a12c, 0 }, + { "PauseTimeZero", 13, 1 }, + { "PauseRcvd", 12, 1 }, + { "HRespNotOK", 11, 1 }, + { "RxOverrun", 10, 1 }, + { "LinkChange", 9, 1 }, + { "TxComplete", 7, 1 }, + { "TxBufErr", 6, 1 }, + { "RetryLimitErr", 5, 1 }, + { "TxBufUnderrun", 4, 1 }, + { "TxUsedBitRead", 3, 1 }, + { "RxUsedBitRead", 2, 1 }, + { "RxComplete", 1, 1 }, + { "MgmtFrameSent", 0, 1 }, + { "NCSI_MACB_INT_MASK", 0x1a130, 0 }, + { "PauseTimeZero", 13, 1 }, + { "PauseRcvd", 12, 1 }, + { "HRespNotOK", 11, 1 }, + { "RxOverrun", 10, 1 }, + { "LinkChange", 9, 1 }, + { "TxComplete", 7, 1 }, + { "TxBufErr", 6, 1 }, + { "RetryLimitErr", 5, 1 }, + { "TxBufUnderrun", 4, 1 }, + { "TxUsedBitRead", 3, 1 }, + { "RxUsedBitRead", 2, 1 }, + { "RxComplete", 1, 1 }, + { "MgmtFrameSent", 0, 1 }, + { "NCSI_MACB_PAUSE_TIME", 0x1a138, 0 }, + { "NCSI_MACB_PAUSE_FRAMES_RCVD", 0x1a13c, 0 }, + { "NCSI_MACB_TX_FRAMES_OK", 0x1a140, 0 }, + { "NCSI_MACB_SINGLE_COL_FRAMES", 0x1a144, 0 }, + { "NCSI_MACB_MUL_COL_FRAMES", 0x1a148, 0 }, + { "NCSI_MACB_RX_FRAMES_OK", 0x1a14c, 0 }, + { "NCSI_MACB_FCS_ERR", 0x1a150, 0 }, + { "NCSI_MACB_ALIGN_ERR", 0x1a154, 0 }, + { "NCSI_MACB_DEF_TX_FRAMES", 0x1a158, 0 }, + { "NCSI_MACB_LATE_COL", 0x1a15c, 0 }, + { "NCSI_MACB_EXCESSIVE_COL", 0x1a160, 0 }, + { "NCSI_MACB_TX_UNDERRUN_ERR", 0x1a164, 0 }, + { "NCSI_MACB_CARRIER_SENSE_ERR", 0x1a168, 0 }, + { "NCSI_MACB_RX_RESOURCE_ERR", 0x1a16c, 0 }, + { "NCSI_MACB_RX_OVERRUN_ERR", 0x1a170, 0 }, + { "NCSI_MACB_RX_SYMBOL_ERR", 0x1a174, 0 }, + { "NCSI_MACB_RX_OVERSIZE_FRAME", 0x1a178, 0 }, + { "NCSI_MACB_RX_JABBER_ERR", 0x1a17c, 0 }, + { "NCSI_MACB_RX_UNDERSIZE_FRAME", 0x1a180, 0 }, + { "NCSI_MACB_SQE_TEST_ERR", 0x1a184, 0 }, + { "NCSI_MACB_LENGTH_ERR", 0x1a188, 0 }, + { "NCSI_MACB_TX_PAUSE_FRAMES", 0x1a18c, 0 }, + { "NCSI_MACB_HASH_LOW", 0x1a190, 0 }, + { "NCSI_MACB_HASH_HIGH", 0x1a194, 0 }, + { "NCSI_MACB_SPECIFIC_1_LOW", 0x1a198, 0 }, + { "NCSI_MACB_SPECIFIC_1_HIGH", 0x1a19c, 0 }, + { "NCSI_MACB_SPECIFIC_2_LOW", 0x1a1a0, 0 }, + { "NCSI_MACB_SPECIFIC_2_HIGH", 0x1a1a4, 0 }, + { "NCSI_MACB_SPECIFIC_3_LOW", 0x1a1a8, 0 }, + { "NCSI_MACB_SPECIFIC_3_HIGH", 0x1a1ac, 0 }, + { "NCSI_MACB_SPECIFIC_4_LOW", 0x1a1b0, 0 }, + { "NCSI_MACB_SPECIFIC_4_HIGH", 0x1a1b4, 0 }, + { "NCSI_MACB_TYPE_ID", 0x1a1b8, 0 }, + { "NCSI_MACB_TX_PAUSE_QUANTUM", 0x1a1bc, 0 }, + { "NCSI_MACB_USER_IO", 0x1a1c0, 0 }, + { "UserProgInput", 16, 16 }, + { "UserProgOutput", 0, 16 }, + { "NCSI_MACB_WOL_CFG", 0x1a1c4, 0 }, + { "MCHashEn", 19, 1 }, + { "Specific1En", 18, 1 }, + { "ARPEn", 17, 1 }, + { "MagicPktEn", 16, 1 }, + { "ARPIPAddr", 0, 16 }, + { "NCSI_MACB_REV_STATUS", 0x1a1fc, 0 }, + { "PartRef", 16, 16 }, + { "DesRev", 0, 16 }, + { NULL } +}; + +struct reg_info t6_mac_regs[] = { + { "MAC_PORT_CFG", 0x30800, 0 }, + { "MAC_Clk_Sel", 29, 3 }, + { "Ena_err_rsp", 28, 1 }, + { "SinkTx", 27, 1 }, + { "SinkTxOnLinkDown", 26, 1 }, + { "debug_clr", 25, 1 }, + { "LoopNoFwd", 24, 1 }, + { "pll_sel", 23, 1 }, + { "port_map", 20, 3 }, + { "Smux_Rx_Loop", 19, 1 }, + { "Rx_Lane_Swap", 18, 1 }, + { "Tx_Lane_Swap", 17, 1 }, + { "Aec_pat_data", 15, 1 }, + { "Signal_Det", 14, 1 }, + { "macclk_sel", 13, 1 }, + { "xgmii_sel", 12, 1 }, + { "debug_port_sel", 10, 2 }, + { "SmuxTxSel", 9, 1 }, + { "SmuxRxSel", 8, 1 }, + { "Enable_25G", 7, 1 }, + { "Enable_50G", 6, 1 }, + { "PortSpeed", 4, 2 }, + { "Rx_Byte_Swap", 3, 1 }, + { "Tx_Byte_Swap", 2, 1 }, + { "debug_tx_rx_sel", 1, 1 }, + { "Port_Sel", 0, 1 }, + { "MAC_PORT_RESET_CTRL", 0x30804, 0 }, + { "TWGDSK_HSSC16B", 31, 1 }, + { "EEE_RESET", 30, 1 }, + { "PTP_TIMER", 29, 1 }, + { "MtipRefReset", 28, 1 }, + { "MAC100G40G_RESET", 27, 1 }, + { "MAC10G1G_RESET", 26, 1 }, + { "MtipRegReset", 25, 1 }, + { "PCS1G_RESET", 24, 1 }, + { "AEC3Reset", 23, 1 }, + { "AEC2Reset", 22, 1 }, + { "AEC1Reset", 21, 1 }, + { "AEC0Reset", 20, 1 }, + { "AET3Reset", 19, 1 }, + { "AET2Reset", 18, 1 }, + { "AET1Reset", 17, 1 }, + { "AET0Reset", 16, 1 }, + { "PCS10G_RESET", 15, 1 }, + { "PCS40G_RESET", 14, 1 }, + { "PCS100G_RESET", 13, 1 }, + { "TXIF_Reset", 12, 1 }, + { "RXIF_Reset", 11, 1 }, + { "AuxExt_Reset", 10, 1 }, + { "MtipSd3TxRst", 9, 1 }, + { "MtipSd2TxRst", 8, 1 }, + { "MtipSd1TxRst", 7, 1 }, + { "MtipSd0TxRst", 6, 1 }, + { "MtipSd3RxRst", 5, 1 }, + { "MtipSd2RxRst", 4, 1 }, + { "MtipSd1RxRst", 3, 1 }, + { "WOL_Reset", 2, 1 }, + { "MtipSd0RxRst", 1, 1 }, + { "HSS_Reset", 0, 1 }, + { "MAC_PORT_LED_CFG", 0x30808, 0 }, + { "Led1_Cfg1", 14, 2 }, + { "Led0_Cfg1", 12, 2 }, + { "Led1_tlo", 11, 1 }, + { "Led1_thi", 10, 1 }, + { "Led0_tlo", 9, 1 }, + { "Led0_thi", 8, 1 }, + { "Led1_Cfg", 5, 3 }, + { "Led1_Polarity_Inv", 4, 1 }, + { "Led0_Cfg", 1, 3 }, + { "Led0_Polarity_Inv", 0, 1 }, + { "MAC_PORT_LED_COUNTHI", 0x3080c, 0 }, + { "MAC_PORT_LED_COUNTLO", 0x30810, 0 }, + { "MAC_PORT_CFG3", 0x30814, 0 }, + { "REF_Clk_Sel", 30, 2 }, + { "sgmii_sd_sig_det", 29, 1 }, + { "sgmii_sgpcs_ena", 28, 1 }, + { "FPGA_PTP_PORT", 26, 2 }, + { "FCSDisCtrl", 25, 1 }, + { "SigDetCtrl", 24, 1 }, + { "tx_lane", 23, 1 }, + { "rx_lane", 22, 1 }, + { "se_clr", 21, 1 }, + { "an_ena", 17, 4 }, + { "sd_rx_clk_ena", 13, 4 }, + { "sd_tx_clk_ena", 9, 4 }, + { "SGMIISEL", 8, 1 }, + { "HSSPLLSEL", 4, 4 }, + { "HSSC16C20SEL", 0, 4 }, + { "MAC_PORT_CFG2", 0x30818, 0 }, + { "Rx_Polarity_Inv", 28, 4 }, + { "Tx_Polarity_Inv", 24, 4 }, + { "InstanceNum", 22, 2 }, + { "StopOnPerr", 21, 1 }, + { "an_data_ctl", 19, 1 }, + { "PatEn", 18, 1 }, + { "MagicEn", 17, 1 }, + { "T5_AEC_PMA_TX_READY", 4, 4 }, + { "T5_AEC_PMA_RX_READY", 0, 4 }, + { "MAC_PORT_PKT_COUNT", 0x3081c, 0 }, + { "tx_sop_count", 24, 8 }, + { "tx_eop_count", 16, 8 }, + { "rx_sop_count", 8, 8 }, + { "rx_eop_count", 0, 8 }, + { "MAC_PORT_CFG4", 0x30820, 0 }, + { "AEC3_RX_WIDTH", 14, 2 }, + { "AEC2_RX_WIDTH", 12, 2 }, + { "AEC1_RX_WIDTH", 10, 2 }, + { "AEC0_RX_WIDTH", 8, 2 }, + { "AEC3_TX_WIDTH", 6, 2 }, + { "AEC2_TX_WIDTH", 4, 2 }, + { "AEC1_TX_WIDTH", 2, 2 }, + { "AEC0_TX_WIDTH", 0, 2 }, + { "MAC_PORT_MAGIC_MACID_LO", 0x30824, 0 }, + { "MAC_PORT_MAGIC_MACID_HI", 0x30828, 0 }, + { "MAC_PORT_MTIP_RESET_CTRL", 0x3082c, 0 }, + { "an_reset_sd_tx_clk", 31, 1 }, + { "an_reset_sd_rx_clk", 30, 1 }, + { "sgmii_reset_tx_clk", 29, 1 }, + { "sgmii_reset_rx_clk", 28, 1 }, + { "sgmii_reset_ref_clk", 27, 1 }, + { "pcs10g_reset_xfi_rxclk", 26, 1 }, + { "pcs10g_reset_xfi_txclk", 25, 1 }, + { "pcs10g_reset_sd_tx_clk", 24, 1 }, + { "pcs10g_reset_sd_rx_clk", 23, 1 }, + { "pcs40g_reset_rxclk", 22, 1 }, + { "pcs40g_reset_sd_tx_clk", 21, 1 }, + { "pcs40g_reset_sd0_rx_clk", 20, 1 }, + { "pcs40g_reset_sd1_rx_clk", 19, 1 }, + { "pcs40g_reset_sd2_rx_clk", 18, 1 }, + { "pcs40g_reset_sd3_rx_clk", 17, 1 }, + { "pcs100g_reset_cgmii_rxclk", 16, 1 }, + { "pcs100g_reset_cgmii_txclk", 15, 1 }, + { "pcs100g_reset_tx_clk", 14, 1 }, + { "pcs100g_reset_sd0_rx_clk", 13, 1 }, + { "pcs100g_reset_sd1_rx_clk", 12, 1 }, + { "pcs100g_reset_sd2_rx_clk", 11, 1 }, + { "pcs100g_reset_sd3_rx_clk", 10, 1 }, + { "mac40g100g_reset_txclk", 9, 1 }, + { "mac40g100g_reset_rxclk", 8, 1 }, + { "mac40g100g_reset_ff_tx_clk", 7, 1 }, + { "mac40g100g_reset_ff_rx_clk", 6, 1 }, + { "mac40g100g_reset_ts_clk", 5, 1 }, + { "mac1g10g_reset_rxclk", 4, 1 }, + { "mac1g10g_reset_txclk", 3, 1 }, + { "mac1g10g_reset_ff_rx_clk", 2, 1 }, + { "mac1g10g_reset_ff_tx_clk", 1, 1 }, + { "xgmii_clk_reset", 0, 1 }, + { "MAC_PORT_MTIP_GATE_CTRL", 0x30830, 0 }, + { "an_gate_sd_tx_clk", 31, 1 }, + { "an_gate_sd_rx_clk", 30, 1 }, + { "sgmii_gate_tx_clk", 29, 1 }, + { "sgmii_gate_rx_clk", 28, 1 }, + { "sgmii_gate_ref_clk", 27, 1 }, + { "pcs10g_gate_xfi_rxclk", 26, 1 }, + { "pcs10g_gate_xfi_txclk", 25, 1 }, + { "pcs10g_gate_sd_tx_clk", 24, 1 }, + { "pcs10g_gate_sd_rx_clk", 23, 1 }, + { "pcs40g_gate_rxclk", 22, 1 }, + { "pcs40g_gate_sd_tx_clk", 21, 1 }, + { "pcs40g_gate_sd_rx_clk", 20, 1 }, + { "pcs100g_gate_cgmii_rxclk", 19, 1 }, + { "pcs100g_gate_cgmii_txclk", 18, 1 }, + { "pcs100g_gate_tx_clk", 17, 1 }, + { "pcs100g_gate_sd_rx_clk", 16, 1 }, + { "mac40g100g_gate_txclk", 15, 1 }, + { "mac40g100g_gate_rxclk", 14, 1 }, + { "mac40g100g_gate_ff_tx_clk", 13, 1 }, + { "mac40g100g_gate_ff_rx_clk", 12, 1 }, + { "mac40g100g_ts_clk", 11, 1 }, + { "mac1g10g_gate_rxclk", 10, 1 }, + { "mac1g10g_gate_txclk", 9, 1 }, + { "mac1g10g_gate_ff_rx_clk", 8, 1 }, + { "mac1g10g_gate_ff_tx_clk", 7, 1 }, + { "aec_rx", 6, 1 }, + { "aec_tx", 5, 1 }, + { "pcs100g_clk_enable", 4, 1 }, + { "pcs40g_clk_enable", 3, 1 }, + { "pcs10g_clk_enable", 2, 1 }, + { "pcs1g_clk_enable", 1, 1 }, + { "an_clk_enable", 0, 1 }, + { "MAC_PORT_LINK_STATUS", 0x30834, 0 }, + { "hi_ber", 7, 1 }, + { "an_done", 6, 1 }, + { "align_done", 5, 1 }, + { "block_lock", 4, 1 }, + { "remflt", 3, 1 }, + { "locflt", 2, 1 }, + { "linkup", 1, 1 }, + { "linkdn", 0, 1 }, + { "MAC_PORT_AEC_ADD_CTL_STAT_0", 0x30838, 0 }, + { "AEC_SYS_LANE_TYPE_3", 11, 1 }, + { "AEC_SYS_LANE_TYPE_2", 10, 1 }, + { "AEC_SYS_LANE_TYPE_1", 9, 1 }, + { "AEC_SYS_LANE_TYPE_0", 8, 1 }, + { "AEC_SYS_LANE_SELECT_3", 6, 2 }, + { "AEC_SYS_LANE_SELECT_2", 4, 2 }, + { "AEC_SYS_LANE_SELECT_1", 2, 2 }, + { "AEC_SYS_LANE_SELECT_O", 0, 2 }, + { "MAC_PORT_AEC_ADD_CTL_STAT_1", 0x3083c, 0 }, + { "AEC_RX_UNKNOWN_LANE_3", 11, 1 }, + { "AEC_RX_UNKNOWN_LANE_2", 10, 1 }, + { "AEC_RX_UNKNOWN_LANE_1", 9, 1 }, + { "AEC_RX_UNKNOWN_LANE_0", 8, 1 }, + { "AEC_RX_LANE_ID_3", 6, 2 }, + { "AEC_RX_LANE_ID_2", 4, 2 }, + { "AEC_RX_LANE_ID_1", 2, 2 }, + { "AEC_RX_LANE_ID_O", 0, 2 }, + { "MAC_PORT_AEC_XGMII_TIMER_LO_40G", 0x30840, 0 }, + { "MAC_PORT_AEC_XGMII_TIMER_HI_40G", 0x30844, 0 }, + { "MAC_PORT_AEC_XGMII_TIMER_LO_100G", 0x30848, 0 }, + { "MAC_PORT_AEC_XGMII_TIMER_HI_100G", 0x3084c, 0 }, + { "MAC_PORT_AEC_DEBUG_LO_0", 0x30850, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_0", 0x30854, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_AEC_DEBUG_LO_1", 0x30858, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_1", 0x3085c, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_AEC_DEBUG_LO_2", 0x30860, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_2", 0x30864, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_AEC_DEBUG_LO_3", 0x30868, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_3", 0x3086c, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_MAC_DEBUG_RO", 0x30870, 0 }, + { "mac40g100g_tx_underflow", 13, 1 }, + { "mac1g10g_magic_ind", 12, 1 }, + { "mac1g10g_ff_rx_empty", 11, 1 }, + { "mac1g10g_ff_tx_ovr_err", 10, 1 }, + { "mac1g10g_if_mode_ena", 8, 2 }, + { "mac1g10g_mii_ena_10", 7, 1 }, + { "mac1g10g_pause_on", 6, 1 }, + { "mac1g10g_pfc_mode", 5, 1 }, + { "mac1g10g_rx_sfd_o", 4, 1 }, + { "mac1g10g_tx_empty", 3, 1 }, + { "mac1g10g_tx_sfd_o", 2, 1 }, + { "mac1g10g_tx_ts_frm_out", 1, 1 }, + { "mac1g10g_tx_underflow", 0, 1 }, + { "MAC_PORT_MAC_CTRL_RW", 0x30874, 0 }, + { "mac40g100g_ff_tx_pfc_xoff", 17, 8 }, + { "mac40g100g_tx_loc_fault", 16, 1 }, + { "mac40g100g_tx_rem_fault", 15, 1 }, + { "mac40g_loop_bck", 14, 1 }, + { "mac1g10g_magic_ena", 13, 1 }, + { "mac1g10g_if_mode_set", 11, 2 }, + { "mac1g10g_tx_loc_fault", 10, 1 }, + { "mac1g10g_tx_rem_fault", 9, 1 }, + { "mac1g10g_xoff_gen", 1, 8 }, + { "mac1g_loop_bck", 0, 1 }, + { "MAC_PORT_PCS_DEBUG0_RO", 0x30878, 0 }, + { "fpga_lock", 26, 4 }, + { "an_done", 25, 1 }, + { "an_int", 24, 1 }, + { "an_pcs_rx_clk_ena", 23, 1 }, + { "an_pcs_tx_clk_ena", 22, 1 }, + { "an_select", 17, 5 }, + { "an_prog", 16, 1 }, + { "pcs40g_block_lock", 12, 4 }, + { "pcs40g_ber_timer_done", 11, 1 }, + { "pcs10g_fec_locked", 10, 1 }, + { "pcs10g_block_lock", 9, 1 }, + { "sgmii_gmii_col", 8, 1 }, + { "sgmii_gmii_crs", 7, 1 }, + { "sgmii_sd_loopback", 6, 1 }, + { "sgmii_sg_an_done", 5, 1 }, + { "sgmii_sg_hd", 4, 1 }, + { "sgmii_sg_page_rx", 3, 1 }, + { "sgmii_sg_rx_sync", 2, 1 }, + { "sgmii_sg_speed", 0, 2 }, + { "MAC_PORT_PCS_CTRL_RW", 0x3087c, 0 }, + { "tx_li_fault", 31, 1 }, + { "pad", 30, 1 }, + { "blk_stb_val", 22, 8 }, + { "debug_sel", 18, 4 }, + { "sgmii_loop", 15, 3 }, + { "an_dis_timer", 14, 1 }, + { "pcs100g_ber_timer_short", 13, 1 }, + { "pcs100g_tx_lane_thresh", 9, 4 }, + { "pcs100g_vl_intvl", 8, 1 }, + { "sgmii_tx_lane_ckmult", 4, 3 }, + { "sgmii_tx_lane_thresh", 0, 4 }, + { "MAC_PORT_PCS_DEBUG1_RO", 0x30880, 0 }, + { "pcs100g_align_lock", 21, 1 }, + { "pcs100g_ber_timer_done", 20, 1 }, + { "pcs100g_block_lock", 0, 20 }, + { "MAC_PORT_PERR_INT_EN_100G", 0x30884, 0 }, + { "Perr_rx_fec100g_dly", 29, 1 }, + { "Perr_rx_fec100g", 28, 1 }, + { "Perr_rx3_fec100g_dk", 27, 1 }, + { "Perr_rx2_fec100g_dk", 26, 1 }, + { "Perr_rx1_fec100g_dk", 25, 1 }, + { "Perr_rx0_fec100g_dk", 24, 1 }, + { "Perr_tx3_pcs100g", 23, 1 }, + { "Perr_tx2_pcs100g", 22, 1 }, + { "Perr_tx1_pcs100g", 21, 1 }, + { "Perr_tx0_pcs100g", 20, 1 }, + { "Perr_rx19_pcs100g", 19, 1 }, + { "Perr_rx18_pcs100g", 18, 1 }, + { "Perr_rx17_pcs100g", 17, 1 }, + { "Perr_rx16_pcs100g", 16, 1 }, + { "Perr_rx15_pcs100g", 15, 1 }, + { "Perr_rx14_pcs100g", 14, 1 }, + { "Perr_rx13_pcs100g", 13, 1 }, + { "Perr_rx12_pcs100g", 12, 1 }, + { "Perr_rx11_pcs100g", 11, 1 }, + { "Perr_rx10_pcs100g", 10, 1 }, + { "Perr_rx9_pcs100g", 9, 1 }, + { "Perr_rx8_pcs100g", 8, 1 }, + { "Perr_rx7_pcs100g", 7, 1 }, + { "Perr_rx6_pcs100g", 6, 1 }, + { "Perr_rx5_pcs100g", 5, 1 }, + { "Perr_rx4_pcs100g", 4, 1 }, + { "Perr_rx3_pcs100g", 3, 1 }, + { "Perr_rx2_pcs100g", 2, 1 }, + { "Perr_rx1_pcs100g", 1, 1 }, + { "Perr_rx0_pcs100g", 0, 1 }, + { "MAC_PORT_PERR_INT_CAUSE_100G", 0x30888, 0 }, + { "Perr_rx_fec100g_dly", 29, 1 }, + { "Perr_rx_fec100g", 28, 1 }, + { "Perr_rx3_fec100g_dk", 27, 1 }, + { "Perr_rx2_fec100g_dk", 26, 1 }, + { "Perr_rx1_fec100g_dk", 25, 1 }, + { "Perr_rx0_fec100g_dk", 24, 1 }, + { "Perr_tx3_pcs100g", 23, 1 }, + { "Perr_tx2_pcs100g", 22, 1 }, + { "Perr_tx1_pcs100g", 21, 1 }, + { "Perr_tx0_pcs100g", 20, 1 }, + { "Perr_rx19_pcs100g", 19, 1 }, + { "Perr_rx18_pcs100g", 18, 1 }, + { "Perr_rx17_pcs100g", 17, 1 }, + { "Perr_rx16_pcs100g", 16, 1 }, + { "Perr_rx15_pcs100g", 15, 1 }, + { "Perr_rx14_pcs100g", 14, 1 }, + { "Perr_rx13_pcs100g", 13, 1 }, + { "Perr_rx12_pcs100g", 12, 1 }, + { "Perr_rx11_pcs100g", 11, 1 }, + { "Perr_rx10_pcs100g", 10, 1 }, + { "Perr_rx9_pcs100g", 9, 1 }, + { "Perr_rx8_pcs100g", 8, 1 }, + { "Perr_rx7_pcs100g", 7, 1 }, + { "Perr_rx6_pcs100g", 6, 1 }, + { "Perr_rx5_pcs100g", 5, 1 }, + { "Perr_rx4_pcs100g", 4, 1 }, + { "Perr_rx3_pcs100g", 3, 1 }, + { "Perr_rx2_pcs100g", 2, 1 }, + { "Perr_rx1_pcs100g", 1, 1 }, + { "Perr_rx0_pcs100g", 0, 1 }, + { "MAC_PORT_PERR_ENABLE_100G", 0x3088c, 0 }, + { "Perr_rx_fec100g_dly", 29, 1 }, + { "Perr_rx_fec100g", 28, 1 }, + { "Perr_rx3_fec100g_dk", 27, 1 }, + { "Perr_rx2_fec100g_dk", 26, 1 }, + { "Perr_rx1_fec100g_dk", 25, 1 }, + { "Perr_rx0_fec100g_dk", 24, 1 }, + { "Perr_tx3_pcs100g", 23, 1 }, + { "Perr_tx2_pcs100g", 22, 1 }, + { "Perr_tx1_pcs100g", 21, 1 }, + { "Perr_tx0_pcs100g", 20, 1 }, + { "Perr_rx19_pcs100g", 19, 1 }, + { "Perr_rx18_pcs100g", 18, 1 }, + { "Perr_rx17_pcs100g", 17, 1 }, + { "Perr_rx16_pcs100g", 16, 1 }, + { "Perr_rx15_pcs100g", 15, 1 }, + { "Perr_rx14_pcs100g", 14, 1 }, + { "Perr_rx13_pcs100g", 13, 1 }, + { "Perr_rx12_pcs100g", 12, 1 }, + { "Perr_rx11_pcs100g", 11, 1 }, + { "Perr_rx10_pcs100g", 10, 1 }, + { "Perr_rx9_pcs100g", 9, 1 }, + { "Perr_rx8_pcs100g", 8, 1 }, + { "Perr_rx7_pcs100g", 7, 1 }, + { "Perr_rx6_pcs100g", 6, 1 }, + { "Perr_rx5_pcs100g", 5, 1 }, + { "Perr_rx4_pcs100g", 4, 1 }, + { "Perr_rx3_pcs100g", 3, 1 }, + { "Perr_rx2_pcs100g", 2, 1 }, + { "Perr_rx1_pcs100g", 1, 1 }, + { "Perr_rx0_pcs100g", 0, 1 }, + { "MAC_PORT_MAC_STAT_DEBUG", 0x30890, 0 }, + { "MAC_PORT_MAC_25G_50G_AM0", 0x30894, 0 }, + { "MAC_PORT_MAC_25G_50G_AM1", 0x30898, 0 }, + { "MAC_PORT_MAC_25G_50G_AM2", 0x3089c, 0 }, + { "MAC_PORT_MAC_25G_50G_AM3", 0x308a0, 0 }, + { "MAC_PORT_MAC_AN_STATE_STATUS", 0x308a4, 0 }, + { "MAC_PORT_EPIO_DATA0", 0x308c0, 0 }, + { "MAC_PORT_EPIO_DATA1", 0x308c4, 0 }, + { "MAC_PORT_EPIO_DATA2", 0x308c8, 0 }, + { "MAC_PORT_EPIO_DATA3", 0x308cc, 0 }, + { "MAC_PORT_EPIO_OP", 0x308d0, 0 }, + { "Busy", 31, 1 }, + { "Write", 8, 1 }, + { "Address", 0, 8 }, + { "MAC_PORT_WOL_STATUS", 0x308d4, 0 }, + { "MagicDetected", 31, 1 }, + { "PatDetected", 30, 1 }, + { "ClearMagic", 4, 1 }, + { "ClearMatch", 3, 1 }, + { "MatchedFilter", 0, 3 }, + { "MAC_PORT_INT_EN", 0x308d8, 0 }, + { "pps", 30, 1 }, + { "tx_ts_avail", 29, 1 }, + { "single_alarm", 28, 1 }, + { "periodic_alarm", 27, 1 }, + { "PatDetWake", 26, 1 }, + { "MagicWake", 25, 1 }, + { "SigDetChg", 24, 1 }, + { "AE_Train_Local", 22, 1 }, + { "HSSPLL_LOCK", 21, 1 }, + { "HSSPRT_READY", 20, 1 }, + { "AutoNeg_Done", 19, 1 }, + { "PCS_Link_Good", 12, 1 }, + { "PCS_Link_Fail", 11, 1 }, + { "RxFifoOverFlow", 10, 1 }, + { "HSSPRBSErr", 9, 1 }, + { "HSSEyeQual", 8, 1 }, + { "RemoteFault", 7, 1 }, + { "LocalFault", 6, 1 }, + { "MAC_Link_Down", 5, 1 }, + { "MAC_Link_Up", 4, 1 }, + { "an_page_rcvd", 2, 1 }, + { "TxFifo_prty_err", 1, 1 }, + { "RxFifo_prty_err", 0, 1 }, + { "MAC_PORT_INT_CAUSE", 0x308dc, 0 }, + { "pps", 30, 1 }, + { "tx_ts_avail", 29, 1 }, + { "single_alarm", 28, 1 }, + { "periodic_alarm", 27, 1 }, + { "PatDetWake", 26, 1 }, + { "MagicWake", 25, 1 }, + { "SigDetChg", 24, 1 }, + { "AE_Train_Local", 22, 1 }, + { "HSSPLL_LOCK", 21, 1 }, + { "HSSPRT_READY", 20, 1 }, + { "AutoNeg_Done", 19, 1 }, + { "PCS_Link_Good", 12, 1 }, + { "PCS_Link_Fail", 11, 1 }, + { "RxFifoOverFlow", 10, 1 }, + { "HSSPRBSErr", 9, 1 }, + { "HSSEyeQual", 8, 1 }, + { "RemoteFault", 7, 1 }, + { "LocalFault", 6, 1 }, + { "MAC_Link_Down", 5, 1 }, + { "MAC_Link_Up", 4, 1 }, + { "an_page_rcvd", 2, 1 }, + { "TxFifo_prty_err", 1, 1 }, + { "RxFifo_prty_err", 0, 1 }, + { "MAC_PORT_PERR_INT_EN", 0x308e0, 0 }, + { "Perr_pkt_ram", 31, 1 }, + { "Perr_mask_ram", 30, 1 }, + { "Perr_crc_ram", 29, 1 }, + { "rx_mac40g", 28, 1 }, + { "tx_mac40g", 27, 1 }, + { "rx_st_mac40g", 26, 1 }, + { "tx_st_mac40g", 25, 1 }, + { "tx_mac1g10g", 24, 1 }, + { "rx_mac1g10g", 23, 1 }, + { "rx_status_mac1g10g", 22, 1 }, + { "rx_st_mac1g10g", 21, 1 }, + { "tx_st_mac1g10g", 20, 1 }, + { "Perr_tx0_pcs40g", 19, 1 }, + { "Perr_tx1_pcs40g", 18, 1 }, + { "Perr_tx2_pcs40g", 17, 1 }, + { "Perr_tx3_pcs40g", 16, 1 }, + { "Perr_tx0_fec40g", 15, 1 }, + { "Perr_tx1_fec40g", 14, 1 }, + { "Perr_tx2_fec40g", 13, 1 }, + { "Perr_tx3_fec40g", 12, 1 }, + { "Perr_rx0_pcs40g", 11, 1 }, + { "Perr_rx1_pcs40g", 10, 1 }, + { "Perr_rx2_pcs40g", 9, 1 }, + { "Perr_rx3_pcs40g", 8, 1 }, + { "Perr_rx0_fec40g", 7, 1 }, + { "Perr_rx1_fec40g", 6, 1 }, + { "Perr_rx2_fec40g", 5, 1 }, + { "Perr_rx3_fec40g", 4, 1 }, + { "Perr_rx_pcs10g_lpbk", 3, 1 }, + { "Perr_rx_pcs10g", 2, 1 }, + { "Perr_rx_pcs1g", 1, 1 }, + { "Perr_tx_pcs1g", 0, 1 }, + { "MAC_PORT_PERR_INT_CAUSE", 0x308e4, 0 }, + { "Perr_pkt_ram", 31, 1 }, + { "Perr_mask_ram", 30, 1 }, + { "Perr_crc_ram", 29, 1 }, + { "rx_mac40g", 28, 1 }, + { "tx_mac40g", 27, 1 }, + { "rx_st_mac40g", 26, 1 }, + { "tx_st_mac40g", 25, 1 }, + { "tx_mac1g10g", 24, 1 }, + { "rx_mac1g10g", 23, 1 }, + { "rx_status_mac1g10g", 22, 1 }, + { "rx_st_mac1g10g", 21, 1 }, + { "tx_st_mac1g10g", 20, 1 }, + { "Perr_tx0_pcs40g", 19, 1 }, + { "Perr_tx1_pcs40g", 18, 1 }, + { "Perr_tx2_pcs40g", 17, 1 }, + { "Perr_tx3_pcs40g", 16, 1 }, + { "Perr_tx0_fec40g", 15, 1 }, + { "Perr_tx1_fec40g", 14, 1 }, + { "Perr_tx2_fec40g", 13, 1 }, + { "Perr_tx3_fec40g", 12, 1 }, + { "Perr_rx0_pcs40g", 11, 1 }, + { "Perr_rx1_pcs40g", 10, 1 }, + { "Perr_rx2_pcs40g", 9, 1 }, + { "Perr_rx3_pcs40g", 8, 1 }, + { "Perr_rx0_fec40g", 7, 1 }, + { "Perr_rx1_fec40g", 6, 1 }, + { "Perr_rx2_fec40g", 5, 1 }, + { "Perr_rx3_fec40g", 4, 1 }, + { "Perr_rx_pcs10g_lpbk", 3, 1 }, + { "Perr_rx_pcs10g", 2, 1 }, + { "Perr_rx_pcs1g", 1, 1 }, + { "Perr_tx_pcs1g", 0, 1 }, + { "MAC_PORT_PERR_ENABLE", 0x308e8, 0 }, + { "Perr_pkt_ram", 31, 1 }, + { "Perr_mask_ram", 30, 1 }, + { "Perr_crc_ram", 29, 1 }, + { "rx_mac40g", 28, 1 }, + { "tx_mac40g", 27, 1 }, + { "rx_st_mac40g", 26, 1 }, + { "tx_st_mac40g", 25, 1 }, + { "tx_mac1g10g", 24, 1 }, + { "rx_mac1g10g", 23, 1 }, + { "rx_status_mac1g10g", 22, 1 }, + { "rx_st_mac1g10g", 21, 1 }, + { "tx_st_mac1g10g", 20, 1 }, + { "Perr_tx0_pcs40g", 19, 1 }, + { "Perr_tx1_pcs40g", 18, 1 }, + { "Perr_tx2_pcs40g", 17, 1 }, + { "Perr_tx3_pcs40g", 16, 1 }, + { "Perr_tx0_fec40g", 15, 1 }, + { "Perr_tx1_fec40g", 14, 1 }, + { "Perr_tx2_fec40g", 13, 1 }, + { "Perr_tx3_fec40g", 12, 1 }, + { "Perr_rx0_pcs40g", 11, 1 }, + { "Perr_rx1_pcs40g", 10, 1 }, + { "Perr_rx2_pcs40g", 9, 1 }, + { "Perr_rx3_pcs40g", 8, 1 }, + { "Perr_rx0_fec40g", 7, 1 }, + { "Perr_rx1_fec40g", 6, 1 }, + { "Perr_rx2_fec40g", 5, 1 }, + { "Perr_rx3_fec40g", 4, 1 }, + { "Perr_rx_pcs10g_lpbk", 3, 1 }, + { "Perr_rx_pcs10g", 2, 1 }, + { "Perr_rx_pcs1g", 1, 1 }, + { "Perr_tx_pcs1g", 0, 1 }, + { "MAC_PORT_PERR_INJECT", 0x308ec, 0 }, + { "MemSel", 1, 6 }, + { "InjectDataErr", 0, 1 }, + { "MAC_PORT_HSS_CFG0", 0x308f0, 0 }, + { "TXDTS", 31, 1 }, + { "TXCTS", 30, 1 }, + { "TXBTS", 29, 1 }, + { "TXATS", 28, 1 }, + { "TXDOBS", 27, 1 }, + { "TXCOBS", 26, 1 }, + { "TXBOBS", 25, 1 }, + { "TXAOBS", 24, 1 }, + { "HSSREFCLKVALIDA", 20, 1 }, + { "HSSREFCLKVALIDB", 19, 1 }, + { "HSSRESYNCA", 18, 1 }, + { "HSSAVDHI", 17, 1 }, + { "HSSRESYNCB", 16, 1 }, + { "HSSRECCALA", 15, 1 }, + { "HSSRXACMODE", 14, 1 }, + { "HSSRECCALB", 13, 1 }, + { "HSSPLLBYPA", 12, 1 }, + { "HSSPLLBYPB", 11, 1 }, + { "HSSPDWNPLLA", 10, 1 }, + { "HSSPDWNPLLB", 9, 1 }, + { "HSSVCOSELA", 8, 1 }, + { "HSSVCOSELB", 7, 1 }, + { "HSSCALCOMP", 6, 1 }, + { "HSSCALENAB", 5, 1 }, + { "HSSEXTC16SEL", 4, 1 }, + { "MAC_PORT_HSS_CFG1", 0x308f4, 0 }, + { "RXACONFIGSEL", 30, 2 }, + { "RXAQUIET", 29, 1 }, + { "RXAREFRESH", 28, 1 }, + { "RXBCONFIGSEL", 26, 2 }, + { "RXBQUIET", 25, 1 }, + { "RXBREFRESH", 24, 1 }, + { "RXCCONFIGSEL", 22, 2 }, + { "RXCQUIET", 21, 1 }, + { "RXCREFRESH", 20, 1 }, + { "RXDCONFIGSEL", 18, 2 }, + { "RXDQUIET", 17, 1 }, + { "RXDREFRESH", 16, 1 }, + { "TXACONFIGSEL", 14, 2 }, + { "TXAQUIET", 13, 1 }, + { "TXAREFRESH", 12, 1 }, + { "TXBCONFIGSEL", 10, 2 }, + { "TXBQUIET", 9, 1 }, + { "TXBREFRESH", 8, 1 }, + { "TXCCONFIGSEL", 6, 2 }, + { "TXCQUIET", 5, 1 }, + { "TXCREFRESH", 4, 1 }, + { "TXDCONFIGSEL", 2, 2 }, + { "TXDQUIET", 1, 1 }, + { "TXDREFRESH", 0, 1 }, + { "MAC_PORT_HSS_CFG2", 0x308f8, 0 }, + { "RXAASSTCLK", 31, 1 }, + { "T5RXAPRBSRST", 30, 1 }, + { "RXBASSTCLK", 29, 1 }, + { "T5RXBPRBSRST", 28, 1 }, + { "RXCASSTCLK", 27, 1 }, + { "T5RXCPRBSRST", 26, 1 }, + { "RXDASSTCLK", 25, 1 }, + { "T5RXDPRBSRST", 24, 1 }, + { "RXDDATASYNC", 23, 1 }, + { "RXCDATASYNC", 22, 1 }, + { "RXBDATASYNC", 21, 1 }, + { "RXADATASYNC", 20, 1 }, + { "RXDEARLYIN", 19, 1 }, + { "RXDLATEIN", 18, 1 }, + { "RXDPHSLOCK", 17, 1 }, + { "RXDPHSDNIN", 16, 1 }, + { "RXDPHSUPIN", 15, 1 }, + { "RXCEARLYIN", 14, 1 }, + { "RXCLATEIN", 13, 1 }, + { "RXCPHSLOCK", 12, 1 }, + { "RXCPHSDNIN", 11, 1 }, + { "RXCPHSUPIN", 10, 1 }, + { "RXBEARLYIN", 9, 1 }, + { "RXBLATEIN", 8, 1 }, + { "RXBPHSLOCK", 7, 1 }, + { "RXBPHSDNIN", 6, 1 }, + { "RXBPHSUPIN", 5, 1 }, + { "RXAEARLYIN", 4, 1 }, + { "RXALATEIN", 3, 1 }, + { "RXAPHSLOCK", 2, 1 }, + { "RXAPHSDNIN", 1, 1 }, + { "RXAPHSUPIN", 0, 1 }, + { "MAC_PORT_HSS_CFG3", 0x308fc, 0 }, + { "HSSCALSSTN", 22, 6 }, + { "HSSCALSSTP", 16, 6 }, + { "HSSPLLCONFIGB", 8, 8 }, + { "HSSPLLCONFIGA", 0, 8 }, + { "MAC_PORT_HSS_CFG4", 0x30900, 0 }, + { "HSSREFDIVA", 24, 4 }, + { "HSSREFDIVB", 20, 4 }, + { "HSSPLLDIV2B", 19, 1 }, + { "HSSPLLDIV2A", 18, 1 }, + { "HSSDIVSELA", 9, 9 }, + { "HSSDIVSELB", 0, 9 }, + { "MAC_PORT_HSS_STATUS", 0x30904, 0 }, + { "RXDERROFLOW", 19, 1 }, + { "RXCERROFLOW", 18, 1 }, + { "RXBERROFLOW", 17, 1 }, + { "RXAERROFLOW", 16, 1 }, + { "RXDPRBSSYNC", 15, 1 }, + { "RXCPRBSSYNC", 14, 1 }, + { "RXBPRBSSYNC", 13, 1 }, + { "RXAPRBSSYNC", 12, 1 }, + { "RXDPRBSERR", 11, 1 }, + { "RXCPRBSERR", 10, 1 }, + { "RXBPRBSERR", 9, 1 }, + { "RXAPRBSERR", 8, 1 }, + { "RXDSIGDET", 7, 1 }, + { "RXCSIGDET", 6, 1 }, + { "RXBSIGDET", 5, 1 }, + { "RXASIGDET", 4, 1 }, + { "HSSPLLLOCKB", 3, 1 }, + { "HSSPLLLOCKA", 2, 1 }, + { "HSSPRTREADYB", 1, 1 }, + { "HSSPRTREADYA", 0, 1 }, + { "MAC_PORT_HSS_EEE_STATUS", 0x30908, 0 }, + { "RXAQUIET_STATUS", 15, 1 }, + { "RXAREFRESH_STATUS", 14, 1 }, + { "RXBQUIET_STATUS", 13, 1 }, + { "RXBREFRESH_STATUS", 12, 1 }, + { "RXCQUIET_STATUS", 11, 1 }, + { "RXCREFRESH_STATUS", 10, 1 }, + { "RXDQUIET_STATUS", 9, 1 }, + { "RXDREFRESH_STATUS", 8, 1 }, + { "TXAQUIET_STATUS", 7, 1 }, + { "TXAREFRESH_STATUS", 6, 1 }, + { "TXBQUIET_STATUS", 5, 1 }, + { "TXBREFRESH_STATUS", 4, 1 }, + { "TXCQUIET_STATUS", 3, 1 }, + { "TXCREFRESH_STATUS", 2, 1 }, + { "TXDQUIET_STATUS", 1, 1 }, + { "TXDREFRESH_STATUS", 0, 1 }, + { "MAC_PORT_HSS_SIGDET_STATUS", 0x3090c, 0 }, + { "MAC_PORT_HSS_PL_CTL", 0x30910, 0 }, + { "TOV", 16, 8 }, + { "TSU", 8, 8 }, + { "IPW", 0, 8 }, + { "MAC_PORT_RUNT_FRAME", 0x30914, 0 }, + { "runtclear", 16, 1 }, + { "runt", 0, 16 }, + { "MAC_PORT_EEE_STATUS", 0x30918, 0 }, + { "eee_tx_10g_state", 10, 2 }, + { "eee_rx_10g_state", 8, 2 }, + { "eee_tx_1g_state", 6, 2 }, + { "eee_rx_1g_state", 4, 2 }, + { "pma_rx_refresh", 3, 1 }, + { "pma_rx_quiet", 2, 1 }, + { "pma_tx_refresh", 1, 1 }, + { "pma_tx_quiet", 0, 1 }, + { "MAC_PORT_CGEN", 0x3091c, 0 }, + { "CGEN", 8, 1 }, + { "sd7_CGEN", 7, 1 }, + { "sd6_CGEN", 6, 1 }, + { "sd5_CGEN", 5, 1 }, + { "sd4_CGEN", 4, 1 }, + { "sd3_CGEN", 3, 1 }, + { "sd2_CGEN", 2, 1 }, + { "sd1_CGEN", 1, 1 }, + { "sd0_CGEN", 0, 1 }, + { "MAC_PORT_CGEN_MTIP", 0x30920, 0 }, + { "MACSEG5_CGEN", 11, 1 }, + { "PCSSEG5_CGEN", 10, 1 }, + { "MACSEG4_CGEN", 9, 1 }, + { "PCSSEG4_CGEN", 8, 1 }, + { "MACSEG3_CGEN", 7, 1 }, + { "PCSSEG3_CGEN", 6, 1 }, + { "MACSEG2_CGEN", 5, 1 }, + { "PCSSEG2_CGEN", 4, 1 }, + { "MACSEG1_CGEN", 3, 1 }, + { "PCSSEG1_CGEN", 2, 1 }, + { "MACSEG0_CGEN", 1, 1 }, + { "PCSSEG0_CGEN", 0, 1 }, + { "MAC_PORT_TX_TS_ID", 0x30924, 0 }, + { "MAC_PORT_TX_TS_VAL_LO", 0x30928, 0 }, + { "MAC_PORT_TX_TS_VAL_HI", 0x3092c, 0 }, + { "MAC_PORT_EEE_CTL", 0x30930, 0 }, + { "EEE_CTRL", 2, 30 }, + { "TICK_START", 1, 1 }, + { "En", 0, 1 }, + { "MAC_PORT_EEE_TX_CTL", 0x30934, 0 }, + { "WAKE_TIMER", 16, 16 }, + { "HSS_TIMER", 5, 4 }, + { "HSS_CTL", 4, 1 }, + { "LPI_ACTIVE", 3, 1 }, + { "LPI_TXHOLD", 2, 1 }, + { "LPI_REQ", 1, 1 }, + { "EEE_TX_RESET", 0, 1 }, + { "MAC_PORT_EEE_RX_CTL", 0x30938, 0 }, + { "WAKE_TIMER", 16, 16 }, + { "HSS_TIMER", 5, 4 }, + { "HSS_CTL", 4, 1 }, + { "LPI_IND", 1, 1 }, + { "EEE_RX_RESET", 0, 1 }, + { "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3093c, 0 }, + { "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x30940, 0 }, + { "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x30944, 0 }, + { "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x30948, 0 }, + { "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3094c, 0 }, + { "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x30950, 0 }, + { "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x30954, 0 }, + { "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x30958, 0 }, + { "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3095c, 0 }, + { "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x30960, 0 }, + { "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x30964, 0 }, + { "MAC_PORT_EEE_WF_COUNT", 0x30968, 0 }, + { "wake_cnt_clr", 16, 1 }, + { "wake_cnt", 0, 16 }, + { "MAC_PORT_PTP_TIMER_RD0_LO", 0x3096c, 0 }, + { "MAC_PORT_PTP_TIMER_RD0_HI", 0x30970, 0 }, + { "MAC_PORT_PTP_TIMER_RD1_LO", 0x30974, 0 }, + { "MAC_PORT_PTP_TIMER_RD1_HI", 0x30978, 0 }, + { "MAC_PORT_PTP_TIMER_WR_LO", 0x3097c, 0 }, + { "MAC_PORT_PTP_TIMER_WR_HI", 0x30980, 0 }, + { "MAC_PORT_PTP_TIMER_OFFSET_0", 0x30984, 0 }, + { "MAC_PORT_PTP_TIMER_OFFSET_1", 0x30988, 0 }, + { "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3098c, 0 }, + { "MAC_PORT_PTP_SUM_LO", 0x30990, 0 }, + { "MAC_PORT_PTP_SUM_HI", 0x30994, 0 }, + { "MAC_PORT_PTP_TIMER_INCR0", 0x30998, 0 }, + { "Y", 16, 16 }, + { "X", 0, 16 }, + { "MAC_PORT_PTP_TIMER_INCR1", 0x3099c, 0 }, + { "Y_TICK", 16, 16 }, + { "X_TICK", 0, 16 }, + { "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x309a0, 0 }, + { "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x309a4, 0 }, + { "B", 16, 16 }, + { "A", 0, 16 }, + { "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x309a8, 0 }, + { "MAC_PORT_PTP_CFG", 0x309ac, 0 }, + { "ALARM_EN", 21, 1 }, + { "ALARM_START", 20, 1 }, + { "PPS_EN", 19, 1 }, + { "FRZ", 18, 1 }, + { "OFFSER_ADJUST_SIGN", 17, 1 }, + { "ADD_OFFSET", 16, 1 }, + { "CYCLE1", 8, 8 }, + { "Q", 0, 8 }, + { "MAC_PORT_PTP_PPS", 0x309b0, 0 }, + { "MAC_PORT_PTP_SINGLE_ALARM", 0x309b4, 0 }, + { "MAC_PORT_PTP_PERIODIC_ALARM", 0x309b8, 0 }, + { "MAC_PORT_PTP_STATUS", 0x309bc, 0 }, + { "MAC_PORT_MTIP_REVISION", 0x30a00, 0 }, + { "CUSTREV", 16, 16 }, + { "VER", 8, 8 }, + { "REV", 0, 8 }, + { "MAC_PORT_MTIP_SCRATCH", 0x30a04, 0 }, + { "MAC_PORT_MTIP_COMMAND_CONFIG", 0x30a08, 0 }, + { "TX_FLUSH", 22, 1 }, + { "RX_SFD_ANY", 21, 1 }, + { "PAUSE_PFC_COMP", 20, 1 }, + { "PFC_MODE", 19, 1 }, + { "RS_COL_CNT_EXT", 18, 1 }, + { "NO_LGTH_CHECK", 17, 1 }, + { "SEND_IDLE", 16, 1 }, + { "PHY_TXENA", 15, 1 }, + { "RX_ERR_DISC", 14, 1 }, + { "CMD_FRAME_ENA", 13, 1 }, + { "SW_RESET", 12, 1 }, + { "TX_PAD_EN", 11, 1 }, + { "LOOPBACK_EN", 10, 1 }, + { "TX_ADDR_INS", 9, 1 }, + { "PAUSE_IGNORE", 8, 1 }, + { "PAUSE_FWD", 7, 1 }, + { "CRC_FWD", 6, 1 }, + { "PAD_EN", 5, 1 }, + { "PROMIS_EN", 4, 1 }, + { "WAN_MODE", 3, 1 }, + { "RX_ENA", 1, 1 }, + { "TX_ENA", 0, 1 }, + { "MAC_PORT_MTIP_MAC_ADDR_0", 0x30a0c, 0 }, + { "MAC_PORT_MTIP_MAC_ADDR_1", 0x30a10, 0 }, + { "MAC_PORT_MTIP_FRM_LENGTH", 0x30a14, 0 }, + { "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x30a1c, 0 }, + { "AVAIL", 16, 16 }, + { "EMPTY", 0, 16 }, + { "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x30a20, 0 }, + { "AVAIL", 16, 16 }, + { "EMPTY", 0, 16 }, + { "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x30a24, 0 }, + { "AlmstFull", 16, 16 }, + { "AlmstEmpty", 0, 16 }, + { "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x30a28, 0 }, + { "AlmstFull", 16, 16 }, + { "AlmstEmpty", 0, 16 }, + { "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x30a2c, 0 }, + { "ENABLE", 8, 1 }, + { "ADDR", 0, 6 }, + { "MAC_PORT_MTIP_MAC_STATUS", 0x30a40, 0 }, + { "TS_AVAIL", 3, 1 }, + { "PHY_LOS", 2, 1 }, + { "RX_REM_FAULT", 1, 1 }, + { "RX_LOC_FAULT", 0, 1 }, + { "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x30a44, 0 }, + { "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x30a48, 0 }, + { "MAC_PORT_MTIP_INIT_CREDIT", 0x30a4c, 0 }, + { "MAC_PORT_MTIP_CURRENT_CREDIT", 0x30a50, 0 }, + { "MAC_PORT_RX_PAUSE_STATUS", 0x30a74, 0 }, + { "MAC_PORT_MTIP_TS_TIMESTAMP", 0x30a7c, 0 }, + { "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x30a80, 0 }, + { "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x30a84, 0 }, + { "MAC_PORT_AFRAMESRECEIVEDOK", 0x30a88, 0 }, + { "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x30a8c, 0 }, + { "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x30a90, 0 }, + { "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x30a94, 0 }, + { "MAC_PORT_AALIGNMENTERRORS", 0x30a98, 0 }, + { "MAC_PORT_AALIGNMENTERRORSHI", 0x30a9c, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x30aa0, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x30aa4, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x30aa8, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x30aac, 0 }, + { "MAC_PORT_AFRAMETOOLONGERRORS", 0x30ab0, 0 }, + { "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x30ab4, 0 }, + { "MAC_PORT_AINRANGELENGTHERRORS", 0x30ab8, 0 }, + { "MAC_PORT_AINRANGELENGTHERRORSHI", 0x30abc, 0 }, + { "MAC_PORT_VLANTRANSMITTEDOK", 0x30ac0, 0 }, + { "MAC_PORT_VLANTRANSMITTEDOKHI", 0x30ac4, 0 }, + { "MAC_PORT_VLANRECEIVEDOK", 0x30ac8, 0 }, + { "MAC_PORT_VLANRECEIVEDOKHI", 0x30acc, 0 }, + { "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x30ad0, 0 }, + { "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x30ad4, 0 }, + { "MAC_PORT_AOCTETSRECEIVEDOK", 0x30ad8, 0 }, + { "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x30adc, 0 }, + { "MAC_PORT_IFINUCASTPKTS", 0x30ae0, 0 }, + { "MAC_PORT_IFINUCASTPKTSHI", 0x30ae4, 0 }, + { "MAC_PORT_IFINMULTICASTPKTS", 0x30ae8, 0 }, + { "MAC_PORT_IFINMULTICASTPKTSHI", 0x30aec, 0 }, + { "MAC_PORT_IFINBROADCASTPKTS", 0x30af0, 0 }, + { "MAC_PORT_IFINBROADCASTPKTSHI", 0x30af4, 0 }, + { "MAC_PORT_IFOUTERRORS", 0x30af8, 0 }, + { "MAC_PORT_IFOUTERRORSHI", 0x30afc, 0 }, + { "MAC_PORT_IFOUTUCASTPKTS", 0x30b08, 0 }, + { "MAC_PORT_IFOUTUCASTPKTSHI", 0x30b0c, 0 }, + { "MAC_PORT_IFOUTMULTICASTPKTS", 0x30b10, 0 }, + { "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x30b14, 0 }, + { "MAC_PORT_IFOUTBROADCASTPKTS", 0x30b18, 0 }, + { "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x30b1c, 0 }, + { "MAC_PORT_ETHERSTATSDROPEVENTS", 0x30b20, 0 }, + { "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x30b24, 0 }, + { "MAC_PORT_ETHERSTATSOCTETS", 0x30b28, 0 }, + { "MAC_PORT_ETHERSTATSOCTETSHI", 0x30b2c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS", 0x30b30, 0 }, + { "MAC_PORT_ETHERSTATSPKTSHI", 0x30b34, 0 }, + { "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x30b38, 0 }, + { "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x30b3c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x30b40, 0 }, + { "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x30b44, 0 }, + { "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x30b48, 0 }, + { "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x30b4c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x30b50, 0 }, + { "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x30b54, 0 }, + { "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x30b58, 0 }, + { "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x30b5c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x30b60, 0 }, + { "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x30b64, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x30b68, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x30b6c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x30b70, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x30b74, 0 }, + { "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x30b78, 0 }, + { "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x30b7c, 0 }, + { "MAC_PORT_ETHERSTATSJABBERS", 0x30b80, 0 }, + { "MAC_PORT_ETHERSTATSJABBERSHI", 0x30b84, 0 }, + { "MAC_PORT_ETHERSTATSFRAGMENTS", 0x30b88, 0 }, + { "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x30b8c, 0 }, + { "MAC_PORT_IFINERRORS", 0x30b90, 0 }, + { "MAC_PORT_IFINERRORSHI", 0x30b94, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x30b98, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x30b9c, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x30ba0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x30ba4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x30ba8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x30bac, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x30bb0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x30bb4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x30bb8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x30bbc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x30bc0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x30bc4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x30bc8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x30bcc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x30bd0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x30bd4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x30bd8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x30bdc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x30be0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x30be4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x30be8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x30bec, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x30bf0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x30bf4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x30bf8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x30bfc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x30c00, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x30c04, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x30c08, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x30c0c, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x30c10, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x30c14, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x30c18, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x30c1c, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x30c20, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x30c24, 0 }, + { "MAC_PORT_MTIP_1G10G_REVISION", 0x30d00, 0 }, + { "CUSTREV", 16, 16 }, + { "VER", 8, 8 }, + { "REV", 0, 8 }, + { "MAC_PORT_MTIP_1G10G_SCRATCH", 0x30d04, 0 }, + { "MAC_PORT_MTIP_1G10G_COMMAND_CONFIG", 0x30d08, 0 }, + { "SHORT_DISCARD", 25, 1 }, + { "REG_LOWP_RXEMPTY", 24, 1 }, + { "TX_LOWP_ENA", 23, 1 }, + { "TX_FLUSH", 22, 1 }, + { "SFD_ANY", 21, 1 }, + { "PAUSE_PFC_COMP", 20, 1 }, + { "PFC_MODE", 19, 1 }, + { "COL_CNT_ExT", 18, 1 }, + { "NO_LGTH_CHECK", 17, 1 }, + { "FORCE_SEND_IDLE", 16, 1 }, + { "PHY_TXENA", 15, 1 }, + { "RX_ERR_DISC", 14, 1 }, + { "CNTL_FRM_ENA", 13, 1 }, + { "SW_RESET", 12, 1 }, + { "TX_PAD_EN", 11, 1 }, + { "LOOP_ENA", 10, 1 }, + { "TX_ADDR_INS", 9, 1 }, + { "PAUSE_IGNORE", 8, 1 }, + { "PAUSE_FWD", 7, 1 }, + { "CRC_FWD", 6, 1 }, + { "PAD_EN", 5, 1 }, + { "PROMIS_EN", 4, 1 }, + { "WAN_MODE", 3, 1 }, + { "RX_ENAMAC", 1, 1 }, + { "TX_ENAMAC", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_MAC_ADDR_0", 0x30d0c, 0 }, + { "MAC_PORT_MTIP_1G10G_MAC_ADDR_1", 0x30d10, 0 }, + { "MAC_PORT_MTIP_1G10G_FRM_LENGTH_TX_MTU", 0x30d14, 0 }, + { "SET_LEN", 16, 16 }, + { "FRM_LEN_SET", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_RX_FIFO_SECTIONS", 0x30d1c, 0 }, + { "EMPTY", 16, 16 }, + { "AVAIL", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_TX_FIFO_SECTIONS", 0x30d20, 0 }, + { "EMPTY", 16, 16 }, + { "AVAIL", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_RX_FIFO_ALMOST_F_E", 0x30d24, 0 }, + { "AlmostFull", 16, 16 }, + { "AlmostEmpty", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_TX_FIFO_ALMOST_F_E", 0x30d28, 0 }, + { "AlmostFull", 16, 16 }, + { "AlmostEmpty", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_HASHTABLE_LOAD", 0x30d2c, 0 }, + { "MAC_PORT_MTIP_1G10G_MDIO_CFG_STATUS", 0x30d30, 0 }, + { "Clk_divisor", 7, 9 }, + { "ENA_CLAUSE", 6, 1 }, + { "PREAMBLE_DISABLE", 5, 1 }, + { "Hold_time_setting", 2, 3 }, + { "MDIO_read_error", 1, 1 }, + { "MDIO_Busy", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_MDIO_COMMAND", 0x30d34, 0 }, + { "READ_MODE", 15, 1 }, + { "POST_INCR_READ", 14, 1 }, + { "Port_PHY_Addr", 5, 5 }, + { "Device_Reg_Addr", 0, 5 }, + { "MAC_PORT_MTIP_1G10G_MDIO_DATA", 0x30d38, 0 }, + { "MAC_PORT_MTIP_1G10G_MDIO_REGADDR", 0x30d3c, 0 }, + { "MAC_PORT_MTIP_1G10G_STATUS", 0x30d40, 0 }, + { "RX_LINT_FAULT", 7, 1 }, + { "RX_EMPTY", 6, 1 }, + { "TX_EMPTY", 5, 1 }, + { "RX_LOWP", 4, 1 }, + { "TS_AVAIL", 3, 1 }, + { "PHY_LOS", 2, 1 }, + { "RX_REM_FAULT", 1, 1 }, + { "RX_LOC_FAULT", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_TX_IPG_LENGTH", 0x30d44, 0 }, + { "MAC_PORT_MTIP_1G10G_CREDIT_TRIGGER", 0x30d48, 0 }, + { "MAC_PORT_MTIP_1G10G_INIT_CREDIT", 0x30d4c, 0 }, + { "MAC_PORT_MTIP_1G10G_CL01_PAUSE_QUANTA", 0x30d54, 0 }, + { "CL1_PAUSE_QUANTA", 16, 16 }, + { "CL0_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL23_PAUSE_QUANTA", 0x30d58, 0 }, + { "CL3_PAUSE_QUANTA", 16, 16 }, + { "CL2_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL45_PAUSE_QUANTA", 0x30d5c, 0 }, + { "CL5_PAUSE_QUANTA", 16, 16 }, + { "CL4_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL67_PAUSE_QUANTA", 0x30d60, 0 }, + { "CL7_PAUSE_QUANTA", 16, 16 }, + { "CL6_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL01_QUANTA_THRESH", 0x30d64, 0 }, + { "CL1_QUANTA_THRESH", 16, 16 }, + { "CL0_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL23_QUANTA_THRESH", 0x30d68, 0 }, + { "CL3_QUANTA_THRESH", 16, 16 }, + { "CL2_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL45_QUANTA_THRESH", 0x30d6c, 0 }, + { "CL5_QUANTA_THRESH", 16, 16 }, + { "CL4_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL67_QUANTA_THRESH", 0x30d70, 0 }, + { "CL7_QUANTA_THRESH", 16, 16 }, + { "CL6_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_RX_PAUSE_STATUS", 0x30d74, 0 }, + { "MAC_PORT_MTIP_1G10G_TS_TIMESTAMP", 0x30d7c, 0 }, + { "MAC_PORT_MTIP_1G10G_STATN_CONFIG", 0x30de0, 0 }, + { "CLEAR", 2, 1 }, + { "CLEAR_ON_READ", 1, 1 }, + { "SATURATE", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETS", 0x30e00, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETSHI", 0x30e04, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_OCTETSOK", 0x30e08, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_OCTETSOKHI", 0x30e0c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORS", 0x30e10, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORSHI", 0x30e14, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMES", 0x30e18, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMESHI", 0x30e1c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_FRAMESOK", 0x30e20, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_FRAMESOKHI", 0x30e24, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_CRCERRORS", 0x30e28, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_CRCERRORSHI", 0x30e2c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_VLANOK", 0x30e30, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_VLANOKHI", 0x30e34, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINERRORS", 0x30e38, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINERRORSHI", 0x30e3c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTS", 0x30e40, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTSHI", 0x30e44, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTS", 0x30e48, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTSHI", 0x30e4c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTS", 0x30e50, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTSHI", 0x30e54, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTS", 0x30e58, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTSHI", 0x30e5c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS", 0x30e60, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTSHI", 0x30e64, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTS", 0x30e68, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTSHI", 0x30e6c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETS", 0x30e70, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETSHI", 0x30e74, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETS", 0x30e78, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETSHI", 0x30e7c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETS", 0x30e80, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETSHI", 0x30e84, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETS", 0x30e88, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETSHI", 0x30e8c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETS", 0x30e90, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x30e94, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETS", 0x30e98, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x30e9c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAX", 0x30ea0, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAXHI", 0x30ea4, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTS", 0x30ea8, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTSHI", 0x30eac, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERS", 0x30eb0, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERSHI", 0x30eb4, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTS", 0x30eb8, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTSHI", 0x30ebc, 0 }, + { "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVED", 0x30ec0, 0 }, + { "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVEDHI", 0x30ec4, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONG", 0x30ec8, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONGHI", 0x30ecc, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORS", 0x30ed0, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORSHI", 0x30ed4, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETS", 0x30f00, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETSHI", 0x30f04, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_OCTETSOK", 0x30f08, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_OCTETSOKHI", 0x30f0c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORS", 0x30f10, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORSHI", 0x30f14, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMES", 0x30f18, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMESHI", 0x30f1c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_FRAMESOK", 0x30f20, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_FRAMESOKHI", 0x30f24, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_CRCERRORS", 0x30f28, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_CRCERRORSHI", 0x30f2c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_VLANOK", 0x30f30, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_VLANOKHI", 0x30f34, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORS", 0x30f38, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORSHI", 0x30f3c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTS", 0x30f40, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTSHI", 0x30f44, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTS", 0x30f48, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTSHI", 0x30f4c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTS", 0x30f50, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTSHI", 0x30f54, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTS", 0x30f58, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTSHI", 0x30f5c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS", 0x30f60, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTSHI", 0x30f64, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTS", 0x30f68, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTSHI", 0x30f6c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETS", 0x30f70, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETSHI", 0x30f74, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETS", 0x30f78, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETSHI", 0x30f7c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETS", 0x30f80, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETSHI", 0x30f84, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETS", 0x30f88, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETSHI", 0x30f8c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETS", 0x30f90, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x30f94, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETS", 0x30f98, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x30f9c, 0 }, + { "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTU", 0x30fa0, 0 }, + { "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTUHI", 0x30fa4, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMES", 0x30fc0, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMESHI", 0x30fc4, 0 }, + { "MAC_PORT_MTIP_1G10G_IF_MODE", 0x31000, 0 }, + { "MII_ENA_10", 4, 1 }, + { "IF_MODE", 0, 2 }, + { "MAC_PORT_MTIP_1G10G_IF_STATUS", 0x31004, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0", 0x31080, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0HI", 0x31084, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1", 0x31088, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1HI", 0x3108c, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2", 0x31090, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2HI", 0x31094, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3", 0x31098, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3HI", 0x3109c, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4", 0x310a0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4HI", 0x310a4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5", 0x310a8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5HI", 0x310ac, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6", 0x310b0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6HI", 0x310b4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7", 0x310b8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7HI", 0x310bc, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0", 0x310c0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0HI", 0x310c4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1", 0x310c8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1HI", 0x310cc, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2", 0x310d0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2HI", 0x310d4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3", 0x310d8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3HI", 0x310dc, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4", 0x310e0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4HI", 0x310e4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5", 0x310e8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5HI", 0x310ec, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6", 0x310f0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6HI", 0x310f4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7", 0x310f8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7HI", 0x310fc, 0 }, + { "MAC_PORT_MTIP_SGMII_CONTROL", 0x31200, 0 }, + { "Reset", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_Sel", 13, 1 }, + { "AN_EN", 12, 1 }, + { "PWR_DWN", 11, 1 }, + { "Isolate", 10, 1 }, + { "AN_RESTART", 9, 1 }, + { "DUPLEx_MODE", 8, 1 }, + { "Collision_Test", 7, 1 }, + { "Speed_Sel1", 6, 1 }, + { "MAC_PORT_MTIP_SGMII_STATUS", 0x31204, 0 }, + { "100BaseT4", 15, 1 }, + { "100BasexFullDplx", 14, 1 }, + { "100BasexHalfDplx", 13, 1 }, + { "10MbpsFullDplx", 12, 1 }, + { "10MbpsHalfDplx", 11, 1 }, + { "100BaseT2FullDplx", 10, 1 }, + { "100BaseT2HalfDplx", 9, 1 }, + { "ExtdStatus", 8, 1 }, + { "AN_Complete", 5, 1 }, + { "REM_FAULT", 4, 1 }, + { "AN_Ability", 3, 1 }, + { "LINK_STATUS", 2, 1 }, + { "JabberDetect", 1, 1 }, + { "ExtdCapability", 0, 1 }, + { "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x31208, 0 }, + { "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x3120c, 0 }, + { "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x31210, 0 }, + { "NP", 15, 1 }, + { "ACK", 14, 1 }, + { "RF2", 13, 1 }, + { "RF1", 12, 1 }, + { "PS2", 8, 1 }, + { "PS1", 7, 1 }, + { "HD", 6, 1 }, + { "FD", 5, 1 }, + { "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x31214, 0 }, + { "CuLinkStatus", 15, 1 }, + { "ACK", 14, 1 }, + { "CuDplxStatus", 12, 1 }, + { "CuSpeed", 10, 2 }, + { "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x31218, 0 }, + { "Next_Page_Able", 2, 1 }, + { "PAGE_RECEIVE", 1, 1 }, + { "MAC_PORT_MTIP_SGMII_NP_TX", 0x3121c, 0 }, + { "MAC_PORT_MTIP_SGMII_LP_NP_RX", 0x31220, 0 }, + { "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x3123c, 0 }, + { "MAC_PORT_MTIP_SGMII_SCRATCH", 0x31240, 0 }, + { "MAC_PORT_MTIP_SGMII_REV", 0x31244, 0 }, + { "CUSTREV", 16, 16 }, + { "VER", 8, 8 }, + { "REV", 0, 8 }, + { "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x31248, 0 }, + { "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x3124c, 0 }, + { "MAC_PORT_MTIP_SGMII_IF_MODE", 0x31250, 0 }, + { "SGMII_DUPLEx", 4, 1 }, + { "SGMII_SPEED", 2, 2 }, + { "USE_SGMII_AN", 1, 1 }, + { "SGMII_ENA", 0, 1 }, + { "MAC_PORT_MTIP_SGMII_DECODE_ERROR", 0x31254, 0 }, + { "MAC_PORT_MTIP_KR_PCS_CONTROL_1", 0x31300, 0 }, + { "Reset", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_SEL", 13, 1 }, + { "Low_Power", 11, 1 }, + { "Speed_SEL1", 6, 1 }, + { "Speed_SEL2", 2, 4 }, + { "MAC_PORT_MTIP_KR_PCS_STATUS_1", 0x31304, 0 }, + { "TX_LPI", 11, 1 }, + { "RX_LPI", 10, 1 }, + { "TX_LPI_ACTIVE", 9, 1 }, + { "RX_LPI_ACTIVE", 8, 1 }, + { "Fault", 7, 1 }, + { "PCS_RX_Link_STAT", 2, 1 }, + { "Low_power_Ability", 1, 1 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_1", 0x31308, 0 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_2", 0x3130c, 0 }, + { "MAC_PORT_MTIP_KR_PCS_SPEED_ABILITY", 0x31310, 0 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGELO", 0x31314, 0 }, + { "Auto_Negotiation_Present", 7, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_Present", 1, 1 }, + { "Clause_22_Reg_Present", 0, 1 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGEHI", 0x31318, 0 }, + { "Auto_Negotiation_Present", 7, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_Present", 1, 1 }, + { "Clause_22_Reg_Present", 0, 1 }, + { "MAC_PORT_MTIP_KR_PCS_CONTROL_2", 0x3131c, 0 }, + { "MAC_PORT_MTIP_KR_PCS_STATUS_2", 0x31320, 0 }, + { "Device_Present", 14, 2 }, + { "Transmit_Fault", 11, 1 }, + { "Receive_Fault", 10, 1 }, + { "10GBASE_W_Capable", 2, 1 }, + { "10GBASE_x_Capable", 1, 1 }, + { "10GBASE_R_Capable", 0, 1 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_LO", 0x31338, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_HI", 0x3133c, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_1", 0x31380, 0 }, + { "10GBASE_R_RX_Link_Status", 12, 1 }, + { "PRBS9_Pttrn_Tstng_Ability", 3, 1 }, + { "PRBS31_Pttrn_Tstng_Ability", 2, 1 }, + { "10GBASE_R_PCS_High_BER", 1, 1 }, + { "10GBASE_R_PCS_Block_Lock", 0, 1 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_2", 0x31384, 0 }, + { "Latched_Block_Lock", 15, 1 }, + { "Latched_High_BER", 14, 1 }, + { "BERBER_Counter", 8, 6 }, + { "ErrBlkCnt", 0, 8 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_0", 0x31388, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_1", 0x3138c, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_2", 0x31390, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_3", 0x31394, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_0", 0x31398, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_1", 0x3139c, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_2", 0x313a0, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_3", 0x313a4, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_CONTROL", 0x313a8, 0 }, + { "PRBS9_TX_Tst_Pttrn_En", 6, 1 }, + { "PRBS31_RX_Tst_Pttrn_En", 5, 1 }, + { "PRBS31_TX_Tst_Pttrn_En", 4, 1 }, + { "TX_Test_Pattern_En", 3, 1 }, + { "RX_Test_Pattern_En", 2, 1 }, + { "Test_Pattern_Select", 1, 1 }, + { "Data_Pattern_Select", 0, 1 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_ERROR_COUNTER", 0x313ac, 0 }, + { "MAC_PORT_MTIP_KR_VENDOR_SPECIFIC_PCS_STATUS", 0x313b4, 0 }, + { "Transmit_FIFO_Fault", 1, 1 }, + { "Receive_FIFO_Fault", 0, 1 }, + { "MAC_PORT_MTIP_KR4_CONTROL_1", 0x31400, 0 }, + { "RESET", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_selection", 13, 1 }, + { "Low_power", 11, 1 }, + { "Speed_selection1", 6, 1 }, + { "Speed_selection2", 2, 4 }, + { "MAC_PORT_MTIP_KR4_STATUS_1", 0x31404, 0 }, + { "Fault", 7, 1 }, + { "Receive_link_STAT", 2, 1 }, + { "Low_power_ability", 1, 1 }, + { "MAC_PORT_MTIP_KR4_DEVICE_ID0", 0x31408, 0 }, + { "MAC_PORT_MTIP_KR4_DEVICE_ID1", 0x3140c, 0 }, + { "DEVICE_ID1", 16, 16 }, + { "MAC_PORT_MTIP_KR4_SPEED_ABILITY", 0x31410, 0 }, + { "100G_capable", 3, 1 }, + { "40G_capable", 2, 1 }, + { "10PASS_TS_2Base_TL_capable", 1, 1 }, + { "10G_capable", 0, 1 }, + { "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG1", 0x31414, 0 }, + { "TC_present", 6, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_present", 1, 1 }, + { "Clause_22_reg", 0, 1 }, + { "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG2", 0x31418, 0 }, + { "Vendor_specific_device", 15, 1 }, + { "Vendor_specific_device1", 14, 1 }, + { "Clause_22_ExT", 13, 1 }, + { "MAC_PORT_MTIP_KR4_CONTROL_2", 0x3141c, 0 }, + { "MAC_PORT_MTIP_KR4_STATUS_2", 0x31420, 0 }, + { "Device_present", 14, 2 }, + { "Transmit_fault", 11, 1 }, + { "Receive_fault", 10, 1 }, + { "100GBase_R_capable", 5, 1 }, + { "40GBase_R_capable", 4, 1 }, + { "10GBase_T_capable", 3, 1 }, + { "10GBase_W_capable", 2, 1 }, + { "10GBase_x_capable", 1, 1 }, + { "10GBase_R_capable", 0, 1 }, + { "MAC_PORT_MTIP_KR4_PKG_ID0", 0x31438, 0 }, + { "MAC_PORT_MTIP_KR4_PKG_ID1", 0x3143c, 0 }, + { "MAC_PORT_MTIP_KR4_BASE_R_STATUS_1", 0x31480, 0 }, + { "RX_link_status", 12, 1 }, + { "High_BER", 1, 1 }, + { "Block_lock", 0, 1 }, + { "MAC_PORT_MTIP_KR4_BASE_R_STATUS_2", 0x31484, 0 }, + { "Latched_bl_lk", 15, 1 }, + { "Latched_hg_br", 14, 1 }, + { "Ber_cnt", 8, 6 }, + { "Err_bl_cnt", 0, 8 }, + { "MAC_PORT_MTIP_KR4_BASE_R_TEST_CONTROL", 0x314a8, 0 }, + { "TX_TP_EN", 3, 1 }, + { "RX_TP_EN", 2, 1 }, + { "MAC_PORT_MTIP_KR4_BASE_R_TEST_ERR_CNT", 0x314ac, 0 }, + { "MAC_PORT_MTIP_KR4_BER_HIGH_ORDER_CNT", 0x314b0, 0 }, + { "MAC_PORT_MTIP_KR4_ERR_BLK_HIGH_ORDER_CNT", 0x314b4, 0 }, + { "HI_ORDER_CNT_EN", 15, 1 }, + { "ERR_BLK_CNTR", 0, 14 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_1", 0x314c8, 0 }, + { "LANE_ALIGN_STATUS", 12, 1 }, + { "LANE_3_BLK_LCK", 3, 1 }, + { "LANE_2_BLK_LC32_6431K", 2, 1 }, + { "LANE_1_BLK_LCK", 1, 1 }, + { "LANE_0_BLK_LCK", 0, 1 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_2", 0x314cc, 0 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_3", 0x314d0, 0 }, + { "LANE_3_ALIGN_MRKR_LCK", 3, 1 }, + { "LANE_2_ALIGN_MRKR_LCK", 2, 1 }, + { "LANE_1_ALIGN_MRKR_LCK", 1, 1 }, + { "LANE_0_ALIGN_MRKR_LCK", 0, 1 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_4", 0x314d4, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_0", 0x31720, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_1", 0x31724, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_2", 0x31728, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_3", 0x3172c, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_0_MAPPING", 0x31a40, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_1_MAPPING", 0x31a44, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_2_MAPPING", 0x31a48, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_3_MAPPING", 0x31a4c, 0 }, + { "MAC_PORT_MTIP_KR4_SCRATCH", 0x31af0, 0 }, + { "MAC_PORT_MTIP_KR4_CORE_REVISION", 0x31af4, 0 }, + { "MAC_PORT_MTIP_KR4_VL_INTVL", 0x31af8, 0 }, + { "MAC_PORT_MTIP_KR4_TX_LANE_THRESH", 0x31afc, 0 }, + { "MAC_PORT_MTIP_CR4_CONTROL_1", 0x31b00, 0 }, + { "Reset", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_selection", 13, 1 }, + { "Low_power", 11, 1 }, + { "Speed_selection1", 6, 1 }, + { "Speed_selection2", 2, 4 }, + { "MAC_PORT_MTIP_CR4_STATUS_1", 0x31b04, 0 }, + { "Fault", 7, 1 }, + { "RX_Link_Status", 2, 1 }, + { "Low_power_ability", 1, 1 }, + { "MAC_PORT_MTIP_CR4_DEVICE_ID0", 0x31b08, 0 }, + { "MAC_PORT_MTIP_CR4_DEVICE_ID1", 0x31b0c, 0 }, + { "MAC_PORT_MTIP_CR4_SPEED_ABILITY", 0x31b10, 0 }, + { "100G_capable", 8, 1 }, + { "40G_capable", 7, 1 }, + { "10PASS_TS_2Base_TL_capable", 1, 1 }, + { "10G_capable", 0, 1 }, + { "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG1", 0x31b14, 0 }, + { "TC_present", 6, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_present", 1, 1 }, + { "Clause22reg_present", 0, 1 }, + { "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG2", 0x31b18, 0 }, + { "VSD_2_PRESENT", 15, 1 }, + { "VSD_1_PRESENT", 14, 1 }, + { "Clause22_ExT_Present", 13, 1 }, + { "MAC_PORT_MTIP_CR4_CONTROL_2", 0x31b1c, 0 }, + { "MAC_PORT_MTIP_CR4_STATUS_2", 0x31b20, 0 }, + { "Device_present", 14, 2 }, + { "Transmit_fault", 11, 1 }, + { "Receive_fault", 10, 1 }, + { "100GBase_R_capable", 5, 1 }, + { "40GBase_R_capable", 4, 1 }, + { "10GBase_T_capable", 3, 1 }, + { "10GBase_W_capable", 2, 1 }, + { "10GBase_x_capable", 1, 1 }, + { "10GBase_R_capable", 0, 1 }, + { "MAC_PORT_MTIP_CR4_PKG_ID0", 0x31b38, 0 }, + { "MAC_PORT_MTIP_CR4_PKG_ID1", 0x31b3c, 0 }, + { "MAC_PORT_MTIP_CR4_BASE_R_STATUS_1", 0x31b80, 0 }, + { "RX_Link_STAT", 12, 1 }, + { "High_BER", 1, 1 }, + { "Block_Lock", 0, 1 }, + { "MAC_PORT_MTIP_CR4_BASE_R_STATUS_2", 0x31b84, 0 }, + { "Latched_block_lock", 15, 1 }, + { "Latched_high_BER", 14, 1 }, + { "BER_counter", 8, 6 }, + { "Errored_blocks_cntr", 0, 8 }, + { "MAC_PORT_MTIP_CR4_BASE_R_TEST_CONTROL", 0x31ba8, 0 }, + { "Scrambled_ID_TP_EN", 7, 1 }, + { "MAC_PORT_MTIP_CR4_BASE_R_TEST_ERR_CNT", 0x31bac, 0 }, + { "MAC_PORT_MTIP_CR4_BER_HIGH_ORDER_CNT", 0x31bb0, 0 }, + { "MAC_PORT_MTIP_CR4_ERR_BLK_HIGH_ORDER_CNT", 0x31bb4, 0 }, + { "Hi_ORDER_CNT_Present", 15, 1 }, + { "ERR_BLKS_CNTR", 0, 14 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_1", 0x31bc8, 0 }, + { "LANE_ALIGN_STAT", 12, 1 }, + { "Lane_7_blck_lck", 7, 1 }, + { "Lane_6_blck_lck", 6, 1 }, + { "Lane_5_blck_lck", 5, 1 }, + { "Lane_4_blck_lck", 4, 1 }, + { "Lane_3_blck_lck", 3, 1 }, + { "Lane_2_blck_lck", 2, 1 }, + { "Lane_1_blck_lck", 1, 1 }, + { "Lane_0_blck_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_2", 0x31bcc, 0 }, + { "Lane_19_blck_lck", 11, 1 }, + { "Lane_18_blck_lck", 10, 1 }, + { "Lane_17_blck_lck", 9, 1 }, + { "Lane_16_blck_lck", 8, 1 }, + { "Lane_15_blck_lck", 7, 1 }, + { "Lane_14_blck_lck", 6, 1 }, + { "Lane_13_blck_lck", 5, 1 }, + { "Lane_12_blck_lck", 4, 1 }, + { "Lane_11_blck_lck", 3, 1 }, + { "Lane_10_blck_lck", 2, 1 }, + { "Lane_9_blck_lck", 1, 1 }, + { "Lane_8_blck_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_3", 0x31bd0, 0 }, + { "Lane7_algn_mrkr_lck", 7, 1 }, + { "Lane6_algn_mrkr_lck", 6, 1 }, + { "Lane5_algn_mrkr_lck", 5, 1 }, + { "Lane4_algn_mrkr_lck", 4, 1 }, + { "Lane3_algn_mrkr_lck", 3, 1 }, + { "Lane2_algn_mrkr_lck", 2, 1 }, + { "Lane1_algn_mrkr_lck", 1, 1 }, + { "Lane0_algn_mrkr_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_4", 0x31bd4, 0 }, + { "Lane19_algn_mrkr_lck", 11, 1 }, + { "Lane18_algn_mrkr_lck", 10, 1 }, + { "Lane17_algn_mrkr_lck", 9, 1 }, + { "Lane16_algn_mrkr_lck", 8, 1 }, + { "Lane15_algn_mrkr_lck", 7, 1 }, + { "Lane14_algn_mrkr_lck", 6, 1 }, + { "Lane13_algn_mrkr_lck", 5, 1 }, + { "Lane12_algn_mrkr_lck", 4, 1 }, + { "Lane11_algn_mrkr_lck", 3, 1 }, + { "Lane10_algn_mrkr_lck", 2, 1 }, + { "Lane9_algn_mrkr_lck", 1, 1 }, + { "Lane8_algn_mrkr_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_0", 0x31e20, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_1", 0x31e24, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_2", 0x31e28, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_3", 0x31e2c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_4", 0x31e30, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_5", 0x31e34, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_6", 0x31e38, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_7", 0x31e3c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_8", 0x31e40, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_9", 0x31e44, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_10", 0x31e48, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_11", 0x31e4c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_12", 0x31e50, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_13", 0x31e54, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_14", 0x31e58, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_15", 0x31e5c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_16", 0x31e60, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_17", 0x31e64, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_18", 0x31e68, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_19", 0x31e6c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_0_MAPPING", 0x32140, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_1_MAPPING", 0x32144, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_2_MAPPING", 0x32148, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_3_MAPPING", 0x3214c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_4_MAPPING", 0x32150, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_5_MAPPING", 0x32154, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_6_MAPPING", 0x32158, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_7_MAPPING", 0x3215c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_8_MAPPING", 0x32160, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_9_MAPPING", 0x32164, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_10_MAPPING", 0x32168, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_11_MAPPING", 0x3216c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_12_MAPPING", 0x32170, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_13_MAPPING", 0x32174, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_14_MAPPING", 0x32178, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_15_MAPPING", 0x3217c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_16_MAPPING", 0x32180, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_17_MAPPING", 0x32184, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_18_MAPPING", 0x32188, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_19_MAPPING", 0x3218c, 0 }, + { "MAC_PORT_MTIP_CR4_SCRATCH", 0x321f0, 0 }, + { "MAC_PORT_MTIP_CR4_CORE_REVISION", 0x321f4, 0 }, + { "MAC_PORT_MTIP_RS_FEC_CONTROL", 0x32200, 0 }, + { "RS_FEC_Bypass_Error_Indication", 1, 1 }, + { "RS_FEC_Bypass_Correction", 0, 1 }, + { "MAC_PORT_MTIP_RS_FEC_STATUS", 0x32204, 0 }, + { "RS_FEC_PCS_align_status", 15, 1 }, + { "fec_align_status", 14, 1 }, + { "RS_FEC_high_SER", 2, 1 }, + { "RS_FEC_bypass_error_indication_ability", 1, 1 }, + { "RS_FEC_bypass_correction_ability", 0, 1 }, + { "MAC_PORT_MTIP_RS_FEC_CCW_LO", 0x32208, 0 }, + { "MAC_PORT_MTIP_RS_FEC_CCW_HI", 0x3220c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_NCCW_LO", 0x32210, 0 }, + { "MAC_PORT_MTIP_RS_FEC_NCCW_HI", 0x32214, 0 }, + { "MAC_PORT_MTIP_RS_FEC_LANEMAPRS_FEC_NCCW_HI", 0x32218, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_LO", 0x32228, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_HI", 0x3222c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_LO", 0x32230, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_HI", 0x32234, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_LO", 0x32238, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_HI", 0x3223c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_LO", 0x32240, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_HI", 0x32244, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_CONTROL", 0x32400, 0 }, + { "RS_FEC_enabled_status", 15, 1 }, + { "RS_FEC_Enable", 2, 1 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_1", 0x32404, 0 }, + { "deskew_empty", 12, 4 }, + { "fec_align_status_lh", 10, 1 }, + { "tx_dp_overflow", 9, 1 }, + { "rx_dp_overflow", 8, 1 }, + { "tx_datapath_restart", 7, 1 }, + { "rx_datapath_restart", 6, 1 }, + { "marker_check_restart", 5, 1 }, + { "fec_align_status_ll", 4, 1 }, + { "amps_lock", 0, 4 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_2", 0x32408, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_REVISION", 0x3240c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_KEY", 0x32410, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_SYMBOLS", 0x32414, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_PATTERN", 0x32418, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_TRIGGER", 0x3241c, 0 }, + { "MAC_PORT_MTIP_FEC_ABILITY", 0x32618, 0 }, + { "BASE_R_FEC_Error_Indication_Ability", 1, 1 }, + { "BASE_R_FEC_Ability", 0, 1 }, + { "MAC_PORT_FEC_CONTROL", 0x3261c, 0 }, + { "fec_en_err_ind", 1, 1 }, + { "fec_en", 0, 1 }, + { "MAC_PORT_FEC_STATUS", 0x32620, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC0_CERR_CNT_0", 0x32624, 0 }, + { "MAC_PORT_MTIP_FEC0_CERR_CNT_1", 0x32628, 0 }, + { "MAC_PORT_MTIP_FEC0_NCERR_CNT_0", 0x3262c, 0 }, + { "MAC_PORT_MTIP_FEC0_NCERR_CNT_1", 0x32630, 0 }, + { "MAC_PORT_MTIP_FEC_STATUS1", 0x32664, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC1_CERR_CNT_0", 0x32668, 0 }, + { "MAC_PORT_MTIP_FEC1_CERR_CNT_1", 0x3266c, 0 }, + { "MAC_PORT_MTIP_FEC1_NCERR_CNT_0", 0x32670, 0 }, + { "MAC_PORT_MTIP_FEC1_NCERR_CNT_1", 0x32674, 0 }, + { "MAC_PORT_MTIP_FEC_STATUS2", 0x326a8, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC2_CERR_CNT_0", 0x326ac, 0 }, + { "MAC_PORT_MTIP_FEC2_CERR_CNT_1", 0x326b0, 0 }, + { "MAC_PORT_MTIP_FEC2_NCERR_CNT_0", 0x326b4, 0 }, + { "MAC_PORT_MTIP_FEC2_NCERR_CNT_1", 0x326b8, 0 }, + { "MAC_PORT_MTIP_FEC_STATUS3", 0x326ec, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC3_CERR_CNT_0", 0x326f0, 0 }, + { "MAC_PORT_MTIP_FEC3_CERR_CNT_1", 0x326f4, 0 }, + { "MAC_PORT_MTIP_FEC3_NCERR_CNT_0", 0x326f8, 0 }, + { "MAC_PORT_MTIP_FEC3_NCERR_CNT_1", 0x326fc, 0 }, + { "MAC_PORT_BEAN_CTL", 0x32c00, 0 }, + { "AN_RESET", 15, 1 }, + { "EXT_NXP_CTRL", 13, 1 }, + { "BEAN_EN", 12, 1 }, + { "RESTART_BEAN", 9, 1 }, + { "MAC_PORT_BEAN_STATUS", 0x32c04, 0 }, + { "PDF", 9, 1 }, + { "EXT_NXP_STATUS", 7, 1 }, + { "PAGE_RCVD", 6, 1 }, + { "BEAN_COMPLETE", 5, 1 }, + { "REM_FAULT_STATUS", 4, 1 }, + { "BEAN_ABILITY", 3, 1 }, + { "LINK_STATUS", 2, 1 }, + { "LP_BEAN_ABILITY", 0, 1 }, + { "MAC_PORT_BEAN_ABILITY_0", 0x32c08, 0 }, + { "NXP", 15, 1 }, + { "ACK", 14, 1 }, + { "REM_FAULT", 13, 1 }, + { "PAUSE_ABILITY", 10, 3 }, + { "ECHO_NONCE", 5, 5 }, + { "SELECTOR", 0, 5 }, + { "MAC_PORT_BEAN_ABILITY_1", 0x32c0c, 0 }, + { "TECH_ABILITY_1", 5, 11 }, + { "TX_NONCE", 0, 5 }, + { "MAC_PORT_BEAN_ABILITY_2", 0x32c10, 0 }, + { "T5_FEC_ABILITY", 14, 2 }, + { "TECH_ABILITY_2", 0, 14 }, + { "MAC_PORT_BEAN_REM_ABILITY_0", 0x32c14, 0 }, + { "NXP", 15, 1 }, + { "ACK", 14, 1 }, + { "REM_FAULT", 13, 1 }, + { "PAUSE_ABILITY", 10, 3 }, + { "ECHO_NONCE", 5, 5 }, + { "SELECTOR", 0, 5 }, + { "MAC_PORT_BEAN_REM_ABILITY_1", 0x32c18, 0 }, + { "TECH_ABILITY_1", 5, 11 }, + { "TX_NONCE", 0, 5 }, + { "MAC_PORT_BEAN_REM_ABILITY_2", 0x32c1c, 0 }, + { "T5_FEC_ABILITY", 14, 2 }, + { "TECH_ABILITY_2", 0, 14 }, + { "MAC_PORT_BEAN_MS_COUNT", 0x32c20, 0 }, + { "MAC_PORT_BEAN_XNP_0", 0x32c24, 0 }, + { "XNP", 15, 1 }, + { "ACKNOWLEDGE", 14, 1 }, + { "MP", 13, 1 }, + { "ACK2", 12, 1 }, + { "TOGGLE", 11, 1 }, + { "MU", 0, 11 }, + { "MAC_PORT_BEAN_XNP_1", 0x32c28, 0 }, + { "MAC_PORT_BEAN_XNP_2", 0x32c2c, 0 }, + { "MAC_PORT_LP_BEAN_XNP_0", 0x32c30, 0 }, + { "XNP", 15, 1 }, + { "ACKNOWLEDGE", 14, 1 }, + { "MP", 13, 1 }, + { "ACK2", 12, 1 }, + { "TOGGLE", 11, 1 }, + { "MU", 0, 11 }, + { "MAC_PORT_LP_BEAN_XNP_1", 0x32c34, 0 }, + { "MAC_PORT_LP_BEAN_XNP_2", 0x32c38, 0 }, + { "MAC_PORT_BEAN_ETH_STATUS", 0x32c3c, 0 }, + { "100GCR4", 11, 1 }, + { "100GKR4", 10, 1 }, + { "100GKP4", 9, 1 }, + { "100GCR10", 8, 1 }, + { "40GCR4", 6, 1 }, + { "40GKR4", 5, 1 }, + { "FEC", 4, 1 }, + { "10GKR", 3, 1 }, + { "10GKX4", 2, 1 }, + { "1GKX", 1, 1 }, + { "MAC_PORT_AE_RX_COEF_REQ", 0x32a00, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT", 0x32a04, 0 }, + { "T5_AE0_RXSTAT_RDY", 15, 1 }, + { "T5_AE0_RXSTAT_LSNA", 14, 1 }, + { "T5_AE0_RXSTAT_FEC", 13, 1 }, + { "T5_AE0_RXSTAT_TF", 12, 1 }, + { "T5_AE0_RXSTAT_C3", 6, 2 }, + { "T5_AE0_RXSTAT_C2", 4, 2 }, + { "T5_AE0_RXSTAT_C1", 2, 2 }, + { "T5_AE0_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ", 0x32a08, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT", 0x32a0c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE", 0x32a10, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL", 0x32a14, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL", 0x32a18, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE", 0x32a1c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_RX_COEF_REQ_1", 0x32a20, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT_1", 0x32a24, 0 }, + { "T5_AE1_RXSTAT_RDY", 15, 1 }, + { "T5_AE1_RXSTAT_LSNA", 14, 1 }, + { "T5_AE1_RXSTAT_FEC", 13, 1 }, + { "T5_AE1_RXSTAT_TF", 12, 1 }, + { "T5_AE1_RXSTAT_C3", 6, 2 }, + { "T5_AE1_RXSTAT_C2", 4, 2 }, + { "T5_AE1_RXSTAT_C1", 2, 2 }, + { "T5_AE1_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ_1", 0x32a28, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT_1", 0x32a2c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE_1", 0x32a30, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL_1", 0x32a34, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL_1", 0x32a38, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE_1", 0x32a3c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_RX_COEF_REQ_2", 0x32a40, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT_2", 0x32a44, 0 }, + { "T5_AE2_RXSTAT_RDY", 15, 1 }, + { "T5_AE2_RXSTAT_LSNA", 14, 1 }, + { "T5_AE2_RXSTAT_FEC", 13, 1 }, + { "T5_AE2_RXSTAT_TF", 12, 1 }, + { "T5_AE2_RXSTAT_C3", 6, 2 }, + { "T5_AE2_RXSTAT_C2", 4, 2 }, + { "T5_AE2_RXSTAT_C1", 2, 2 }, + { "T5_AE2_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ_2", 0x32a48, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT_2", 0x32a4c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE_2", 0x32a50, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL_2", 0x32a54, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL_2", 0x32a58, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE_2", 0x32a5c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_RX_COEF_REQ_3", 0x32a60, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT_3", 0x32a64, 0 }, + { "T5_AE3_RXSTAT_RDY", 15, 1 }, + { "T5_AE3_RXSTAT_LSNA", 14, 1 }, + { "T5_AE3_RXSTAT_FEC", 13, 1 }, + { "T5_AE3_RXSTAT_TF", 12, 1 }, + { "T5_AE3_RXSTAT_C3", 6, 2 }, + { "T5_AE3_RXSTAT_C2", 4, 2 }, + { "T5_AE3_RXSTAT_C1", 2, 2 }, + { "T5_AE3_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ_3", 0x32a68, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT_3", 0x32a6c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE_3", 0x32a70, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL_3", 0x32a74, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL_3", 0x32a78, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE_3", 0x32a7c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_TX_DIS", 0x32a80, 0 }, + { "MAC_PORT_AE_KR_CTRL", 0x32a84, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET", 0x32a88, 0 }, + { "MAC_PORT_AE_KR_STATUS", 0x32a8c, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AE_TX_DIS_1", 0x32a90, 0 }, + { "MAC_PORT_AE_KR_CTRL_1", 0x32a94, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET_1", 0x32a98, 0 }, + { "MAC_PORT_AE_KR_STATUS_1", 0x32a9c, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AE_TX_DIS_2", 0x32aa0, 0 }, + { "MAC_PORT_AE_KR_CTRL_2", 0x32aa4, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET_2", 0x32aa8, 0 }, + { "MAC_PORT_AE_KR_STATUS_2", 0x32aac, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AE_TX_DIS_3", 0x32ab0, 0 }, + { "MAC_PORT_AE_KR_CTRL_3", 0x32ab4, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET_3", 0x32ab8, 0 }, + { "MAC_PORT_AE_KR_STATUS_3", 0x32abc, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x32b00, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x32b04, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_0", 0x32b08, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x32b0c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_0", 0x32b10, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_20", 0x32b14, 0 }, + { "MAC_PORT_AET_LIMITS0", 0x32b18, 0 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x32b20, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x32b24, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_1", 0x32b28, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x32b2c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_1", 0x32b30, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_21", 0x32b34, 0 }, + { "MAC_PORT_AET_LIMITS1", 0x32b38, 0 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x32b40, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x32b44, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_2", 0x32b48, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x32b4c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_2", 0x32b50, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_22", 0x32b54, 0 }, + { "MAC_PORT_AET_LIMITS2", 0x32b58, 0 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x32b60, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x32b64, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_3", 0x32b68, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x32b6c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_3", 0x32b70, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_23", 0x32b74, 0 }, + { "MAC_PORT_AET_LIMITS3", 0x32b78, 0 }, + { "MAC_PORT_ANALOG_TEST_MUX", 0x33814, 0 }, + { "MAC_PORT_PLLREFSEL_CONTROL", 0x33854, 0 }, + { "MAC_PORT_REFISINK_CONTROL", 0x33858, 0 }, + { "MAC_PORT_REFISRC_CONTROL", 0x3385c, 0 }, + { "MAC_PORT_REFVREG_CONTROL", 0x33860, 0 }, + { "MAC_PORT_VBGENDOC_CONTROL", 0x33864, 0 }, + { "BGCLKSEL", 2, 1 }, + { "VBGENDOC", 0, 2 }, + { "MAC_PORT_VREFTUNE_CONTROL", 0x33868, 0 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_CONTROL", 0x33880, 0 }, + { "FRCCAL_COMP", 6, 1 }, + { "FRCERR", 5, 1 }, + { "CAL_BISTENAB", 4, 1 }, + { "RCAL_RESET", 0, 1 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_1", 0x33884, 0 }, + { "RCALBENAB", 3, 1 }, + { "RCALBUSY", 2, 1 }, + { "RCALERR", 1, 1 }, + { "RCALCOMP", 0, 1 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_2", 0x33888, 0 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_3", 0x3388c, 0 }, + { "MAC_PORT_INEQUALITY_CONTROL_AND_RESULT", 0x338c0, 0 }, + { "ISGT", 7, 1 }, + { "ISLT", 6, 1 }, + { "ISEQ", 5, 1 }, + { "ISVAL", 3, 2 }, + { "GTORLT", 1, 2 }, + { "INEQ", 0, 1 }, + { "MAC_PORT_INEQUALITY_LOW_LIMIT", 0x338c4, 0 }, + { "MAC_PORT_INEQUALITY_LOW_LIMIT_MASK", 0x338c8, 0 }, + { "MAC_PORT_INEQUALITY_HIGH_LIMIT", 0x338cc, 0 }, + { "MAC_PORT_INEQUALITY_HIGH_LIMIT_MASK", 0x338d0, 0 }, + { "MAC_PORT_MACRO_TEST_CONTROL_6", 0x338e8, 0 }, + { "JTAGMD", 3, 1 }, + { "RXACMODE", 2, 1 }, + { "HSSACJPC", 1, 1 }, + { "HSSACJAC", 0, 1 }, + { "MAC_PORT_MACRO_TEST_CONTROL_5", 0x338ec, 0 }, + { "REFVALIDD", 6, 1 }, + { "REFVALIDC", 5, 1 }, + { "REFVALIDB", 4, 1 }, + { "REFVALIDA", 3, 1 }, + { "REFSELRESET", 2, 1 }, + { "SOFTRESET", 1, 1 }, + { "MACROTEST", 0, 1 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x33b00, 0 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x33b04, 0 }, + { "LDET", 4, 1 }, + { "CCERR", 3, 1 }, + { "CCCMP", 2, 1 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x33b08, 0 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x33b0c, 0 }, + { "FMIN", 3, 1 }, + { "FMAX", 2, 1 }, + { "CVHOLD", 1, 1 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x33b10, 0 }, + { "CMETH", 2, 1 }, + { "RECAL", 1, 1 }, + { "CCLD", 0, 1 }, + { "MAC_PORT_PLLA_POWER_CONTROL", 0x33b24, 0 }, + { "SPWRENA", 1, 1 }, + { "NPWRENA", 0, 1 }, + { "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x33b28, 0 }, + { "MAC_PORT_PLLA_PLL_MICELLANEOUS_CONTROL", 0x33b38, 0 }, + { "MAC_PORT_PLLA_PCLK_CONTROL", 0x33b3c, 0 }, + { "SPEDIV", 3, 5 }, + { "PCKSEL", 0, 3 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x33b40, 0 }, + { "EMIL", 2, 1 }, + { "EMID", 1, 1 }, + { "EMIS", 0, 1 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x33b44, 0 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x33b48, 0 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x33b4c, 0 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x33b50, 0 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x33bf0, 0 }, + { "PLLDIVA", 4, 1 }, + { "REFDIV", 0, 4 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x33bf4, 0 }, + { "RESYNC", 6, 1 }, + { "RXCLKSEL", 5, 1 }, + { "FRCBAND", 4, 1 }, + { "PLLBYP", 3, 1 }, + { "VCOSEL", 1, 1 }, + { "DIVSEL8", 0, 1 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x33bf8, 0 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x33bfc, 0 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x33c00, 0 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x33c04, 0 }, + { "LDET", 4, 1 }, + { "CCERR", 3, 1 }, + { "CCCMP", 2, 1 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x33c08, 0 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x33c0c, 0 }, + { "FMIN", 3, 1 }, + { "FMAX", 2, 1 }, + { "CVHOLD", 1, 1 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x33c10, 0 }, + { "CMETH", 2, 1 }, + { "RECAL", 1, 1 }, + { "CCLD", 0, 1 }, + { "MAC_PORT_PLLB_POWER_CONTROL", 0x33c24, 0 }, + { "SPWRENA", 1, 1 }, + { "NPWRENA", 0, 1 }, + { "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x33c28, 0 }, + { "MAC_PORT_PLLB_PLL_MICELLANEOUS_CONTROL", 0x33c38, 0 }, + { "MAC_PORT_PLLB_PCLK_CONTROL", 0x33c3c, 0 }, + { "SPEDIV", 3, 5 }, + { "PCKSEL", 0, 3 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x33c40, 0 }, + { "EMIL", 2, 1 }, + { "EMID", 1, 1 }, + { "EMIS", 0, 1 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x33c44, 0 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x33c48, 0 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x33c4c, 0 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x33c50, 0 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x33cf0, 0 }, + { "PLLDIVA", 4, 1 }, + { "REFDIV", 0, 4 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x33cf4, 0 }, + { "RESYNC", 6, 1 }, + { "RXCLKSEL", 5, 1 }, + { "FRCBAND", 4, 1 }, + { "PLLBYP", 3, 1 }, + { "VCOSEL", 1, 1 }, + { "DIVSEL8", 0, 1 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x33cf8, 0 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x33cfc, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x33000, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x33004, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x33008, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3300c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33010, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33014, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33018, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3301c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x33020, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x33024, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x33028, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_3_COEFFICIENT", 0x3302c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x33034, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33038, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3303c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33040, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33044, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33048, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3304c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33050, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33058, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_4X_SEGMENT_APPLIED", 0x33060, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_2X_SEGMENT_APPLIED", 0x33064, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_1X_SEGMENT_APPLIED", 0x33068, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3306c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33070, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33074, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33078, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3307c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33080, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33084, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33088, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3308c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3309c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x330a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x330a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x330a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x330ac, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_OVERRIDE", 0x330c0, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x330c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x330cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x330d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x330d8, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x330dc, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x330e0, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_5", 0x330ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x330f0, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x330f4, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x330f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x330fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x33100, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x33104, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x33108, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3310c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33110, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33114, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33118, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3311c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x33120, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x33124, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x33128, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_3_COEFFICIENT", 0x3312c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x33134, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33138, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3313c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33140, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33144, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33148, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3314c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33150, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33158, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_4X_SEGMENT_APPLIED", 0x33160, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_2X_SEGMENT_APPLIED", 0x33164, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_1X_SEGMENT_APPLIED", 0x33168, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3316c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33170, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33174, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33178, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3317c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33180, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33184, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33188, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3318c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3319c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x331a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x331a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x331a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x331ac, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_OVERRIDE", 0x331c0, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x331c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x331cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x331d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x331d8, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x331dc, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x331e0, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_5", 0x331ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x331f0, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x331f4, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x331f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x331fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x33400, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x33404, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x33408, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3340c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33410, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33414, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33418, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3341c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x33420, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x33424, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x33428, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_3_COEFFICIENT", 0x3342c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x33434, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33438, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3343c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33440, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33444, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33448, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3344c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33450, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33458, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_4X_SEGMENT_APPLIED", 0x33460, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_2X_SEGMENT_APPLIED", 0x33464, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_1X_SEGMENT_APPLIED", 0x33468, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3346c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33470, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33474, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33478, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3347c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33480, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33484, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33488, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3348c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3349c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x334a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x334a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x334a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x334ac, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_OVERRIDE", 0x334c0, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x334c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x334cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x334d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x334d8, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x334dc, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x334e0, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_5", 0x334ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x334f0, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x334f4, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x334f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x334fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x33500, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x33504, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x33508, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3350c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33510, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33514, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33518, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3351c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x33520, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x33524, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x33528, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_3_COEFFICIENT", 0x3352c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x33534, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33538, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3353c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33540, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33544, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33548, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3354c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33550, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33558, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_4X_SEGMENT_APPLIED", 0x33560, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_2X_SEGMENT_APPLIED", 0x33564, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_1X_SEGMENT_APPLIED", 0x33568, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3356c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33570, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33574, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33578, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3357c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33580, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33584, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33588, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3358c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3359c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x335a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x335a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x335a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x335ac, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_OVERRIDE", 0x335c0, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x335c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x335cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x335d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x335d8, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x335dc, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x335e0, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_5", 0x335ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x335f0, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x335f4, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x335f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x335fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x33900, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x33904, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x33908, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3390c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x33910, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x33914, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x33918, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3391c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x33920, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x33924, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x33928, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_3_COEFFICIENT", 0x3392c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x33934, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x33938, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3393c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x33940, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x33944, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x33948, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3394c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_APPLIED_TUNE_REGISTER", 0x33950, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x33958, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_4X_SEGMENT_APPLIED", 0x33960, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_2X_SEGMENT_APPLIED", 0x33964, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_1X_SEGMENT_APPLIED", 0x33968, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3396c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x33970, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x33974, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x33978, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3397c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x33980, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x33984, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x33988, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3398c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3399c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x339a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x339a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x339a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x339ac, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_OVERRIDE", 0x339c0, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x339c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x339cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x339d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x339d8, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x339dc, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x339e0, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_5", 0x339ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x339f0, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x339f4, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x339f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x339fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x30000, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x30008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x30010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT_EXTENDED", 0x30018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x30020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT_EXTENDED", 0x30028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x30030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x30038, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x30040, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT_EXTENDED", 0x30048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x30050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3005c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x30060, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x30068, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x30070, 0 }, + { "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x33200, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x33204, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x33208, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3320c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x33210, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x33214, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33218, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3321c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x33220, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x33224, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x33228, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3322c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x33230, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x33234, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKA_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33238, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_1", 0x3323c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_2", 0x33240, 0 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33244, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x33248, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN", 0x3324c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x33250, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_CONTROL", 0x33254, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_VALUE", 0x33258, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET", 0x3325c, 0 }, + { "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33260, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKA_PEAKED_INTEGRATOR", 0x33264, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKA_CDR_ANALOG_SWITCH", 0x33268, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKA_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3326c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33270, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x33274, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x33278, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3327c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x33280, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_OFFSET_CHANNEL", 0x33284, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKA_DFE_OFFSET_VALUE", 0x33288, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKA_H_COEFFICIENBT_BIST", 0x3328c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKA_AC_CAPACITOR_BIST", 0x33290, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL_REGISTER", 0x33298, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3329c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x332a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x332a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x332a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x332ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x332b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x332b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x332b8, 0 }, + { "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_3", 0x332bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_TAP_CONTROL", 0x332c0, 0 }, + { "MAC_PORT_RX_LINKA_DFE_TAP", 0x332c4, 0 }, + { "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x32a00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKA_DFE_H1", 0x32a04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKA_DFE_H2", 0x32a08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKA_DFE_H3", 0x32a0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H4", 0x32a10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H5", 0x32a14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x32a18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x32a1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x32a20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H12_13", 0x32a24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H14_15", 0x32a28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32a2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS_2", 0x332e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKA_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x332e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DCD_CONTROL", 0x332ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DCC_CONTROL", 0x332f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_QCC_CONTROL", 0x332f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x332f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x332fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x33300, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x33304, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x33308, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3330c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x33310, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x33314, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33318, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3331c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x33320, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x33324, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x33328, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3332c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x33330, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x33334, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKB_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33338, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_1", 0x3333c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_2", 0x33340, 0 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33344, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x33348, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN", 0x3334c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x33350, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_CONTROL", 0x33354, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_VALUE", 0x33358, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET", 0x3335c, 0 }, + { "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33360, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKB_PEAKED_INTEGRATOR", 0x33364, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKB_CDR_ANALOG_SWITCH", 0x33368, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKB_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3336c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33370, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x33374, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x33378, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3337c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x33380, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_OFFSET_CHANNEL", 0x33384, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKB_DFE_OFFSET_VALUE", 0x33388, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKB_H_COEFFICIENBT_BIST", 0x3338c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKB_AC_CAPACITOR_BIST", 0x33390, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL_REGISTER", 0x33398, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3339c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x333a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x333a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x333a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x333ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x333b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x333b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x333b8, 0 }, + { "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_3", 0x333bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_TAP_CONTROL", 0x333c0, 0 }, + { "MAC_PORT_RX_LINKB_DFE_TAP", 0x333c4, 0 }, + { "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x32b00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKB_DFE_H1", 0x32b04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKB_DFE_H2", 0x32b08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKB_DFE_H3", 0x32b0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H4", 0x32b10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H5", 0x32b14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x32b18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x32b1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x32b20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H12_13", 0x32b24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H14_15", 0x32b28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32b2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS_2", 0x333e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKB_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x333e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DCD_CONTROL", 0x333ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DCC_CONTROL", 0x333f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_QCC_CONTROL", 0x333f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x333f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x333fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x33600, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x33604, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x33608, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3360c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x33610, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x33614, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33618, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3361c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x33620, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x33624, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x33628, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3362c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x33630, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x33634, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKC_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33638, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_1", 0x3363c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_2", 0x33640, 0 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33644, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x33648, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN", 0x3364c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x33650, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_CONTROL", 0x33654, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_VALUE", 0x33658, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET", 0x3365c, 0 }, + { "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33660, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKC_PEAKED_INTEGRATOR", 0x33664, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKC_CDR_ANALOG_SWITCH", 0x33668, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKC_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3366c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33670, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x33674, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x33678, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3367c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x33680, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_OFFSET_CHANNEL", 0x33684, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKC_DFE_OFFSET_VALUE", 0x33688, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKC_H_COEFFICIENBT_BIST", 0x3368c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKC_AC_CAPACITOR_BIST", 0x33690, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL_REGISTER", 0x33698, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3369c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x336a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x336a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x336a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x336ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x336b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x336b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x336b8, 0 }, + { "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_3", 0x336bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_TAP_CONTROL", 0x336c0, 0 }, + { "MAC_PORT_RX_LINKC_DFE_TAP", 0x336c4, 0 }, + { "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x32e00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKC_DFE_H1", 0x32e04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKC_DFE_H2", 0x32e08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKC_DFE_H3", 0x32e0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H4", 0x32e10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H5", 0x32e14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x32e18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x32e1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x32e20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H12_13", 0x32e24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H14_15", 0x32e28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32e2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS_2", 0x336e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKC_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x336e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DCD_CONTROL", 0x336ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DCC_CONTROL", 0x336f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_QCC_CONTROL", 0x336f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x336f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x336fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x33700, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x33704, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x33708, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3370c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x33710, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x33714, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33718, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3371c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x33720, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x33724, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x33728, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3372c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x33730, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x33734, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKD_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33738, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_1", 0x3373c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_2", 0x33740, 0 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33744, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x33748, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN", 0x3374c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x33750, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_CONTROL", 0x33754, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_VALUE", 0x33758, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET", 0x3375c, 0 }, + { "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33760, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKD_PEAKED_INTEGRATOR", 0x33764, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKD_CDR_ANALOG_SWITCH", 0x33768, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKD_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3376c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33770, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x33774, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x33778, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3377c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x33780, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_OFFSET_CHANNEL", 0x33784, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKD_DFE_OFFSET_VALUE", 0x33788, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKD_H_COEFFICIENBT_BIST", 0x3378c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKD_AC_CAPACITOR_BIST", 0x33790, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL_REGISTER", 0x33798, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3379c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x337a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x337a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x337a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x337ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x337b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x337b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x337b8, 0 }, + { "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_3", 0x337bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_TAP_CONTROL", 0x337c0, 0 }, + { "MAC_PORT_RX_LINKD_DFE_TAP", 0x337c4, 0 }, + { "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x32f00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKD_DFE_H1", 0x32f04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKD_DFE_H2", 0x32f08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKD_DFE_H3", 0x32f0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H4", 0x32f10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H5", 0x32f14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x32f18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x32f1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x32f20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H12_13", 0x32f24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H14_15", 0x32f28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x32f2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS_2", 0x337e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKD_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x337e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DCD_CONTROL", 0x337ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DCC_CONTROL", 0x337f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_QCC_CONTROL", 0x337f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x337f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x337fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x33a00, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x33a04, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x33a08, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x33a0c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x33a10, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x33a14, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x33a18, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x33a1c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x33a20, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x33a24, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x33a28, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x33a2c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x33a30, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x33a34, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x33a38, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_1", 0x33a3c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_2", 0x33a40, 0 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x33a44, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x33a48, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN", 0x33a4c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x33a50, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_CONTROL", 0x33a54, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_VALUE", 0x33a58, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET", 0x33a5c, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x33a60, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PEAKED_INTEGRATOR", 0x33a64, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINK_BCST_CDR_ANALOG_SWITCH", 0x33a68, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINK_BCST_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x33a6c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x33a70, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x33a74, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x33a78, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x33a7c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x33a80, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_CHANNEL", 0x33a84, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_VALUE", 0x33a88, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_H_COEFFICIENBT_BIST", 0x33a8c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_AC_CAPACITOR_BIST", 0x33a90, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL_REGISTER", 0x33a98, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x33a9c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x33aa0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x33aa4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x33aa8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x33aac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x33ab0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x33ab4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x33ab8, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_3", 0x33abc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_TAP_CONTROL", 0x33ac0, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_TAP", 0x33ac4, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x33200, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x33204, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x33208, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x3320c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x33210, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x33214, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x33218, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x3321c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x33220, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H12_13", 0x33224, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H14_15", 0x33228, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x3322c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS_2", 0x33ae4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x33ae8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DCD_CONTROL", 0x33aec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DCC_CONTROL", 0x33af0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_QCC_CONTROL", 0x33af4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x33af8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x33afc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_CFG", 0x34800, 0 }, + { "MAC_Clk_Sel", 29, 3 }, + { "Ena_err_rsp", 28, 1 }, + { "SinkTx", 27, 1 }, + { "SinkTxOnLinkDown", 26, 1 }, + { "debug_clr", 25, 1 }, + { "LoopNoFwd", 24, 1 }, + { "pll_sel", 23, 1 }, + { "port_map", 20, 3 }, + { "Smux_Rx_Loop", 19, 1 }, + { "Rx_Lane_Swap", 18, 1 }, + { "Tx_Lane_Swap", 17, 1 }, + { "Aec_pat_data", 15, 1 }, + { "Signal_Det", 14, 1 }, + { "macclk_sel", 13, 1 }, + { "xgmii_sel", 12, 1 }, + { "debug_port_sel", 10, 2 }, + { "SmuxTxSel", 9, 1 }, + { "SmuxRxSel", 8, 1 }, + { "Enable_25G", 7, 1 }, + { "Enable_50G", 6, 1 }, + { "PortSpeed", 4, 2 }, + { "Rx_Byte_Swap", 3, 1 }, + { "Tx_Byte_Swap", 2, 1 }, + { "debug_tx_rx_sel", 1, 1 }, + { "Port_Sel", 0, 1 }, + { "MAC_PORT_RESET_CTRL", 0x34804, 0 }, + { "TWGDSK_HSSC16B", 31, 1 }, + { "EEE_RESET", 30, 1 }, + { "PTP_TIMER", 29, 1 }, + { "MtipRefReset", 28, 1 }, + { "MAC100G40G_RESET", 27, 1 }, + { "MAC10G1G_RESET", 26, 1 }, + { "MtipRegReset", 25, 1 }, + { "PCS1G_RESET", 24, 1 }, + { "AEC3Reset", 23, 1 }, + { "AEC2Reset", 22, 1 }, + { "AEC1Reset", 21, 1 }, + { "AEC0Reset", 20, 1 }, + { "AET3Reset", 19, 1 }, + { "AET2Reset", 18, 1 }, + { "AET1Reset", 17, 1 }, + { "AET0Reset", 16, 1 }, + { "PCS10G_RESET", 15, 1 }, + { "PCS40G_RESET", 14, 1 }, + { "PCS100G_RESET", 13, 1 }, + { "TXIF_Reset", 12, 1 }, + { "RXIF_Reset", 11, 1 }, + { "AuxExt_Reset", 10, 1 }, + { "MtipSd3TxRst", 9, 1 }, + { "MtipSd2TxRst", 8, 1 }, + { "MtipSd1TxRst", 7, 1 }, + { "MtipSd0TxRst", 6, 1 }, + { "MtipSd3RxRst", 5, 1 }, + { "MtipSd2RxRst", 4, 1 }, + { "MtipSd1RxRst", 3, 1 }, + { "WOL_Reset", 2, 1 }, + { "MtipSd0RxRst", 1, 1 }, + { "HSS_Reset", 0, 1 }, + { "MAC_PORT_LED_CFG", 0x34808, 0 }, + { "Led1_Cfg1", 14, 2 }, + { "Led0_Cfg1", 12, 2 }, + { "Led1_tlo", 11, 1 }, + { "Led1_thi", 10, 1 }, + { "Led0_tlo", 9, 1 }, + { "Led0_thi", 8, 1 }, + { "Led1_Cfg", 5, 3 }, + { "Led1_Polarity_Inv", 4, 1 }, + { "Led0_Cfg", 1, 3 }, + { "Led0_Polarity_Inv", 0, 1 }, + { "MAC_PORT_LED_COUNTHI", 0x3480c, 0 }, + { "MAC_PORT_LED_COUNTLO", 0x34810, 0 }, + { "MAC_PORT_CFG3", 0x34814, 0 }, + { "REF_Clk_Sel", 30, 2 }, + { "sgmii_sd_sig_det", 29, 1 }, + { "sgmii_sgpcs_ena", 28, 1 }, + { "FPGA_PTP_PORT", 26, 2 }, + { "FCSDisCtrl", 25, 1 }, + { "SigDetCtrl", 24, 1 }, + { "tx_lane", 23, 1 }, + { "rx_lane", 22, 1 }, + { "se_clr", 21, 1 }, + { "an_ena", 17, 4 }, + { "sd_rx_clk_ena", 13, 4 }, + { "sd_tx_clk_ena", 9, 4 }, + { "SGMIISEL", 8, 1 }, + { "HSSPLLSEL", 4, 4 }, + { "HSSC16C20SEL", 0, 4 }, + { "MAC_PORT_CFG2", 0x34818, 0 }, + { "Rx_Polarity_Inv", 28, 4 }, + { "Tx_Polarity_Inv", 24, 4 }, + { "InstanceNum", 22, 2 }, + { "StopOnPerr", 21, 1 }, + { "an_data_ctl", 19, 1 }, + { "PatEn", 18, 1 }, + { "MagicEn", 17, 1 }, + { "T5_AEC_PMA_TX_READY", 4, 4 }, + { "T5_AEC_PMA_RX_READY", 0, 4 }, + { "MAC_PORT_PKT_COUNT", 0x3481c, 0 }, + { "tx_sop_count", 24, 8 }, + { "tx_eop_count", 16, 8 }, + { "rx_sop_count", 8, 8 }, + { "rx_eop_count", 0, 8 }, + { "MAC_PORT_CFG4", 0x34820, 0 }, + { "AEC3_RX_WIDTH", 14, 2 }, + { "AEC2_RX_WIDTH", 12, 2 }, + { "AEC1_RX_WIDTH", 10, 2 }, + { "AEC0_RX_WIDTH", 8, 2 }, + { "AEC3_TX_WIDTH", 6, 2 }, + { "AEC2_TX_WIDTH", 4, 2 }, + { "AEC1_TX_WIDTH", 2, 2 }, + { "AEC0_TX_WIDTH", 0, 2 }, + { "MAC_PORT_MAGIC_MACID_LO", 0x34824, 0 }, + { "MAC_PORT_MAGIC_MACID_HI", 0x34828, 0 }, + { "MAC_PORT_MTIP_RESET_CTRL", 0x3482c, 0 }, + { "an_reset_sd_tx_clk", 31, 1 }, + { "an_reset_sd_rx_clk", 30, 1 }, + { "sgmii_reset_tx_clk", 29, 1 }, + { "sgmii_reset_rx_clk", 28, 1 }, + { "sgmii_reset_ref_clk", 27, 1 }, + { "pcs10g_reset_xfi_rxclk", 26, 1 }, + { "pcs10g_reset_xfi_txclk", 25, 1 }, + { "pcs10g_reset_sd_tx_clk", 24, 1 }, + { "pcs10g_reset_sd_rx_clk", 23, 1 }, + { "pcs40g_reset_rxclk", 22, 1 }, + { "pcs40g_reset_sd_tx_clk", 21, 1 }, + { "pcs40g_reset_sd0_rx_clk", 20, 1 }, + { "pcs40g_reset_sd1_rx_clk", 19, 1 }, + { "pcs40g_reset_sd2_rx_clk", 18, 1 }, + { "pcs40g_reset_sd3_rx_clk", 17, 1 }, + { "pcs100g_reset_cgmii_rxclk", 16, 1 }, + { "pcs100g_reset_cgmii_txclk", 15, 1 }, + { "pcs100g_reset_tx_clk", 14, 1 }, + { "pcs100g_reset_sd0_rx_clk", 13, 1 }, + { "pcs100g_reset_sd1_rx_clk", 12, 1 }, + { "pcs100g_reset_sd2_rx_clk", 11, 1 }, + { "pcs100g_reset_sd3_rx_clk", 10, 1 }, + { "mac40g100g_reset_txclk", 9, 1 }, + { "mac40g100g_reset_rxclk", 8, 1 }, + { "mac40g100g_reset_ff_tx_clk", 7, 1 }, + { "mac40g100g_reset_ff_rx_clk", 6, 1 }, + { "mac40g100g_reset_ts_clk", 5, 1 }, + { "mac1g10g_reset_rxclk", 4, 1 }, + { "mac1g10g_reset_txclk", 3, 1 }, + { "mac1g10g_reset_ff_rx_clk", 2, 1 }, + { "mac1g10g_reset_ff_tx_clk", 1, 1 }, + { "xgmii_clk_reset", 0, 1 }, + { "MAC_PORT_MTIP_GATE_CTRL", 0x34830, 0 }, + { "an_gate_sd_tx_clk", 31, 1 }, + { "an_gate_sd_rx_clk", 30, 1 }, + { "sgmii_gate_tx_clk", 29, 1 }, + { "sgmii_gate_rx_clk", 28, 1 }, + { "sgmii_gate_ref_clk", 27, 1 }, + { "pcs10g_gate_xfi_rxclk", 26, 1 }, + { "pcs10g_gate_xfi_txclk", 25, 1 }, + { "pcs10g_gate_sd_tx_clk", 24, 1 }, + { "pcs10g_gate_sd_rx_clk", 23, 1 }, + { "pcs40g_gate_rxclk", 22, 1 }, + { "pcs40g_gate_sd_tx_clk", 21, 1 }, + { "pcs40g_gate_sd_rx_clk", 20, 1 }, + { "pcs100g_gate_cgmii_rxclk", 19, 1 }, + { "pcs100g_gate_cgmii_txclk", 18, 1 }, + { "pcs100g_gate_tx_clk", 17, 1 }, + { "pcs100g_gate_sd_rx_clk", 16, 1 }, + { "mac40g100g_gate_txclk", 15, 1 }, + { "mac40g100g_gate_rxclk", 14, 1 }, + { "mac40g100g_gate_ff_tx_clk", 13, 1 }, + { "mac40g100g_gate_ff_rx_clk", 12, 1 }, + { "mac40g100g_ts_clk", 11, 1 }, + { "mac1g10g_gate_rxclk", 10, 1 }, + { "mac1g10g_gate_txclk", 9, 1 }, + { "mac1g10g_gate_ff_rx_clk", 8, 1 }, + { "mac1g10g_gate_ff_tx_clk", 7, 1 }, + { "aec_rx", 6, 1 }, + { "aec_tx", 5, 1 }, + { "pcs100g_clk_enable", 4, 1 }, + { "pcs40g_clk_enable", 3, 1 }, + { "pcs10g_clk_enable", 2, 1 }, + { "pcs1g_clk_enable", 1, 1 }, + { "an_clk_enable", 0, 1 }, + { "MAC_PORT_LINK_STATUS", 0x34834, 0 }, + { "hi_ber", 7, 1 }, + { "an_done", 6, 1 }, + { "align_done", 5, 1 }, + { "block_lock", 4, 1 }, + { "remflt", 3, 1 }, + { "locflt", 2, 1 }, + { "linkup", 1, 1 }, + { "linkdn", 0, 1 }, + { "MAC_PORT_AEC_ADD_CTL_STAT_0", 0x34838, 0 }, + { "AEC_SYS_LANE_TYPE_3", 11, 1 }, + { "AEC_SYS_LANE_TYPE_2", 10, 1 }, + { "AEC_SYS_LANE_TYPE_1", 9, 1 }, + { "AEC_SYS_LANE_TYPE_0", 8, 1 }, + { "AEC_SYS_LANE_SELECT_3", 6, 2 }, + { "AEC_SYS_LANE_SELECT_2", 4, 2 }, + { "AEC_SYS_LANE_SELECT_1", 2, 2 }, + { "AEC_SYS_LANE_SELECT_O", 0, 2 }, + { "MAC_PORT_AEC_ADD_CTL_STAT_1", 0x3483c, 0 }, + { "AEC_RX_UNKNOWN_LANE_3", 11, 1 }, + { "AEC_RX_UNKNOWN_LANE_2", 10, 1 }, + { "AEC_RX_UNKNOWN_LANE_1", 9, 1 }, + { "AEC_RX_UNKNOWN_LANE_0", 8, 1 }, + { "AEC_RX_LANE_ID_3", 6, 2 }, + { "AEC_RX_LANE_ID_2", 4, 2 }, + { "AEC_RX_LANE_ID_1", 2, 2 }, + { "AEC_RX_LANE_ID_O", 0, 2 }, + { "MAC_PORT_AEC_XGMII_TIMER_LO_40G", 0x34840, 0 }, + { "MAC_PORT_AEC_XGMII_TIMER_HI_40G", 0x34844, 0 }, + { "MAC_PORT_AEC_XGMII_TIMER_LO_100G", 0x34848, 0 }, + { "MAC_PORT_AEC_XGMII_TIMER_HI_100G", 0x3484c, 0 }, + { "MAC_PORT_AEC_DEBUG_LO_0", 0x34850, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_0", 0x34854, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_AEC_DEBUG_LO_1", 0x34858, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_1", 0x3485c, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_AEC_DEBUG_LO_2", 0x34860, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_2", 0x34864, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_AEC_DEBUG_LO_3", 0x34868, 0 }, + { "CTL_FSM_CUR_STATE", 28, 3 }, + { "CIN_FSM_CUR_STATE", 26, 2 }, + { "CRI_FSM_CUR_STATE", 23, 3 }, + { "CU_C3_ACK_VALUE", 21, 2 }, + { "CU_C2_ACK_VALUE", 19, 2 }, + { "CU_C1_ACK_VALUE", 17, 2 }, + { "CU_C0_ACK_VALUE", 15, 2 }, + { "CX_INIT", 13, 1 }, + { "CX_PRESET", 12, 1 }, + { "CUF_C3_UPDATE", 9, 2 }, + { "CUF_C2_UPDATE", 7, 2 }, + { "CUF_C1_UPDATE", 5, 2 }, + { "CUF_C0_UPDATE", 3, 2 }, + { "REG_FPH_ATTR_TXUPDAT_VALID", 2, 1 }, + { "REG_FPH_ATTR_TXSTAT_VALID", 1, 1 }, + { "REG_MAN_DEC_REQ", 0, 1 }, + { "MAC_PORT_AEC_DEBUG_HI_3", 0x3486c, 0 }, + { "FC_LSNA_", 12, 1 }, + { "CUF_C0_FSM_DEBUG", 9, 3 }, + { "CUF_C1_FSM_DEBUG", 6, 3 }, + { "CUF_C2_FSM_DEBUG", 3, 3 }, + { "LCK_FSM_CUR_STATE", 0, 3 }, + { "MAC_PORT_MAC_DEBUG_RO", 0x34870, 0 }, + { "mac40g100g_tx_underflow", 13, 1 }, + { "mac1g10g_magic_ind", 12, 1 }, + { "mac1g10g_ff_rx_empty", 11, 1 }, + { "mac1g10g_ff_tx_ovr_err", 10, 1 }, + { "mac1g10g_if_mode_ena", 8, 2 }, + { "mac1g10g_mii_ena_10", 7, 1 }, + { "mac1g10g_pause_on", 6, 1 }, + { "mac1g10g_pfc_mode", 5, 1 }, + { "mac1g10g_rx_sfd_o", 4, 1 }, + { "mac1g10g_tx_empty", 3, 1 }, + { "mac1g10g_tx_sfd_o", 2, 1 }, + { "mac1g10g_tx_ts_frm_out", 1, 1 }, + { "mac1g10g_tx_underflow", 0, 1 }, + { "MAC_PORT_MAC_CTRL_RW", 0x34874, 0 }, + { "mac40g100g_ff_tx_pfc_xoff", 17, 8 }, + { "mac40g100g_tx_loc_fault", 16, 1 }, + { "mac40g100g_tx_rem_fault", 15, 1 }, + { "mac40g_loop_bck", 14, 1 }, + { "mac1g10g_magic_ena", 13, 1 }, + { "mac1g10g_if_mode_set", 11, 2 }, + { "mac1g10g_tx_loc_fault", 10, 1 }, + { "mac1g10g_tx_rem_fault", 9, 1 }, + { "mac1g10g_xoff_gen", 1, 8 }, + { "mac1g_loop_bck", 0, 1 }, + { "MAC_PORT_PCS_DEBUG0_RO", 0x34878, 0 }, + { "fpga_lock", 26, 4 }, + { "an_done", 25, 1 }, + { "an_int", 24, 1 }, + { "an_pcs_rx_clk_ena", 23, 1 }, + { "an_pcs_tx_clk_ena", 22, 1 }, + { "an_select", 17, 5 }, + { "an_prog", 16, 1 }, + { "pcs40g_block_lock", 12, 4 }, + { "pcs40g_ber_timer_done", 11, 1 }, + { "pcs10g_fec_locked", 10, 1 }, + { "pcs10g_block_lock", 9, 1 }, + { "sgmii_gmii_col", 8, 1 }, + { "sgmii_gmii_crs", 7, 1 }, + { "sgmii_sd_loopback", 6, 1 }, + { "sgmii_sg_an_done", 5, 1 }, + { "sgmii_sg_hd", 4, 1 }, + { "sgmii_sg_page_rx", 3, 1 }, + { "sgmii_sg_rx_sync", 2, 1 }, + { "sgmii_sg_speed", 0, 2 }, + { "MAC_PORT_PCS_CTRL_RW", 0x3487c, 0 }, + { "tx_li_fault", 31, 1 }, + { "pad", 30, 1 }, + { "blk_stb_val", 22, 8 }, + { "debug_sel", 18, 4 }, + { "sgmii_loop", 15, 3 }, + { "an_dis_timer", 14, 1 }, + { "pcs100g_ber_timer_short", 13, 1 }, + { "pcs100g_tx_lane_thresh", 9, 4 }, + { "pcs100g_vl_intvl", 8, 1 }, + { "sgmii_tx_lane_ckmult", 4, 3 }, + { "sgmii_tx_lane_thresh", 0, 4 }, + { "MAC_PORT_PCS_DEBUG1_RO", 0x34880, 0 }, + { "pcs100g_align_lock", 21, 1 }, + { "pcs100g_ber_timer_done", 20, 1 }, + { "pcs100g_block_lock", 0, 20 }, + { "MAC_PORT_PERR_INT_EN_100G", 0x34884, 0 }, + { "Perr_rx_fec100g_dly", 29, 1 }, + { "Perr_rx_fec100g", 28, 1 }, + { "Perr_rx3_fec100g_dk", 27, 1 }, + { "Perr_rx2_fec100g_dk", 26, 1 }, + { "Perr_rx1_fec100g_dk", 25, 1 }, + { "Perr_rx0_fec100g_dk", 24, 1 }, + { "Perr_tx3_pcs100g", 23, 1 }, + { "Perr_tx2_pcs100g", 22, 1 }, + { "Perr_tx1_pcs100g", 21, 1 }, + { "Perr_tx0_pcs100g", 20, 1 }, + { "Perr_rx19_pcs100g", 19, 1 }, + { "Perr_rx18_pcs100g", 18, 1 }, + { "Perr_rx17_pcs100g", 17, 1 }, + { "Perr_rx16_pcs100g", 16, 1 }, + { "Perr_rx15_pcs100g", 15, 1 }, + { "Perr_rx14_pcs100g", 14, 1 }, + { "Perr_rx13_pcs100g", 13, 1 }, + { "Perr_rx12_pcs100g", 12, 1 }, + { "Perr_rx11_pcs100g", 11, 1 }, + { "Perr_rx10_pcs100g", 10, 1 }, + { "Perr_rx9_pcs100g", 9, 1 }, + { "Perr_rx8_pcs100g", 8, 1 }, + { "Perr_rx7_pcs100g", 7, 1 }, + { "Perr_rx6_pcs100g", 6, 1 }, + { "Perr_rx5_pcs100g", 5, 1 }, + { "Perr_rx4_pcs100g", 4, 1 }, + { "Perr_rx3_pcs100g", 3, 1 }, + { "Perr_rx2_pcs100g", 2, 1 }, + { "Perr_rx1_pcs100g", 1, 1 }, + { "Perr_rx0_pcs100g", 0, 1 }, + { "MAC_PORT_PERR_INT_CAUSE_100G", 0x34888, 0 }, + { "Perr_rx_fec100g_dly", 29, 1 }, + { "Perr_rx_fec100g", 28, 1 }, + { "Perr_rx3_fec100g_dk", 27, 1 }, + { "Perr_rx2_fec100g_dk", 26, 1 }, + { "Perr_rx1_fec100g_dk", 25, 1 }, + { "Perr_rx0_fec100g_dk", 24, 1 }, + { "Perr_tx3_pcs100g", 23, 1 }, + { "Perr_tx2_pcs100g", 22, 1 }, + { "Perr_tx1_pcs100g", 21, 1 }, + { "Perr_tx0_pcs100g", 20, 1 }, + { "Perr_rx19_pcs100g", 19, 1 }, + { "Perr_rx18_pcs100g", 18, 1 }, + { "Perr_rx17_pcs100g", 17, 1 }, + { "Perr_rx16_pcs100g", 16, 1 }, + { "Perr_rx15_pcs100g", 15, 1 }, + { "Perr_rx14_pcs100g", 14, 1 }, + { "Perr_rx13_pcs100g", 13, 1 }, + { "Perr_rx12_pcs100g", 12, 1 }, + { "Perr_rx11_pcs100g", 11, 1 }, + { "Perr_rx10_pcs100g", 10, 1 }, + { "Perr_rx9_pcs100g", 9, 1 }, + { "Perr_rx8_pcs100g", 8, 1 }, + { "Perr_rx7_pcs100g", 7, 1 }, + { "Perr_rx6_pcs100g", 6, 1 }, + { "Perr_rx5_pcs100g", 5, 1 }, + { "Perr_rx4_pcs100g", 4, 1 }, + { "Perr_rx3_pcs100g", 3, 1 }, + { "Perr_rx2_pcs100g", 2, 1 }, + { "Perr_rx1_pcs100g", 1, 1 }, + { "Perr_rx0_pcs100g", 0, 1 }, + { "MAC_PORT_PERR_ENABLE_100G", 0x3488c, 0 }, + { "Perr_rx_fec100g_dly", 29, 1 }, + { "Perr_rx_fec100g", 28, 1 }, + { "Perr_rx3_fec100g_dk", 27, 1 }, + { "Perr_rx2_fec100g_dk", 26, 1 }, + { "Perr_rx1_fec100g_dk", 25, 1 }, + { "Perr_rx0_fec100g_dk", 24, 1 }, + { "Perr_tx3_pcs100g", 23, 1 }, + { "Perr_tx2_pcs100g", 22, 1 }, + { "Perr_tx1_pcs100g", 21, 1 }, + { "Perr_tx0_pcs100g", 20, 1 }, + { "Perr_rx19_pcs100g", 19, 1 }, + { "Perr_rx18_pcs100g", 18, 1 }, + { "Perr_rx17_pcs100g", 17, 1 }, + { "Perr_rx16_pcs100g", 16, 1 }, + { "Perr_rx15_pcs100g", 15, 1 }, + { "Perr_rx14_pcs100g", 14, 1 }, + { "Perr_rx13_pcs100g", 13, 1 }, + { "Perr_rx12_pcs100g", 12, 1 }, + { "Perr_rx11_pcs100g", 11, 1 }, + { "Perr_rx10_pcs100g", 10, 1 }, + { "Perr_rx9_pcs100g", 9, 1 }, + { "Perr_rx8_pcs100g", 8, 1 }, + { "Perr_rx7_pcs100g", 7, 1 }, + { "Perr_rx6_pcs100g", 6, 1 }, + { "Perr_rx5_pcs100g", 5, 1 }, + { "Perr_rx4_pcs100g", 4, 1 }, + { "Perr_rx3_pcs100g", 3, 1 }, + { "Perr_rx2_pcs100g", 2, 1 }, + { "Perr_rx1_pcs100g", 1, 1 }, + { "Perr_rx0_pcs100g", 0, 1 }, + { "MAC_PORT_MAC_STAT_DEBUG", 0x34890, 0 }, + { "MAC_PORT_MAC_25G_50G_AM0", 0x34894, 0 }, + { "MAC_PORT_MAC_25G_50G_AM1", 0x34898, 0 }, + { "MAC_PORT_MAC_25G_50G_AM2", 0x3489c, 0 }, + { "MAC_PORT_MAC_25G_50G_AM3", 0x348a0, 0 }, + { "MAC_PORT_MAC_AN_STATE_STATUS", 0x348a4, 0 }, + { "MAC_PORT_EPIO_DATA0", 0x348c0, 0 }, + { "MAC_PORT_EPIO_DATA1", 0x348c4, 0 }, + { "MAC_PORT_EPIO_DATA2", 0x348c8, 0 }, + { "MAC_PORT_EPIO_DATA3", 0x348cc, 0 }, + { "MAC_PORT_EPIO_OP", 0x348d0, 0 }, + { "Busy", 31, 1 }, + { "Write", 8, 1 }, + { "Address", 0, 8 }, + { "MAC_PORT_WOL_STATUS", 0x348d4, 0 }, + { "MagicDetected", 31, 1 }, + { "PatDetected", 30, 1 }, + { "ClearMagic", 4, 1 }, + { "ClearMatch", 3, 1 }, + { "MatchedFilter", 0, 3 }, + { "MAC_PORT_INT_EN", 0x348d8, 0 }, + { "pps", 30, 1 }, + { "tx_ts_avail", 29, 1 }, + { "single_alarm", 28, 1 }, + { "periodic_alarm", 27, 1 }, + { "PatDetWake", 26, 1 }, + { "MagicWake", 25, 1 }, + { "SigDetChg", 24, 1 }, + { "AE_Train_Local", 22, 1 }, + { "HSSPLL_LOCK", 21, 1 }, + { "HSSPRT_READY", 20, 1 }, + { "AutoNeg_Done", 19, 1 }, + { "PCS_Link_Good", 12, 1 }, + { "PCS_Link_Fail", 11, 1 }, + { "RxFifoOverFlow", 10, 1 }, + { "HSSPRBSErr", 9, 1 }, + { "HSSEyeQual", 8, 1 }, + { "RemoteFault", 7, 1 }, + { "LocalFault", 6, 1 }, + { "MAC_Link_Down", 5, 1 }, + { "MAC_Link_Up", 4, 1 }, + { "an_page_rcvd", 2, 1 }, + { "TxFifo_prty_err", 1, 1 }, + { "RxFifo_prty_err", 0, 1 }, + { "MAC_PORT_INT_CAUSE", 0x348dc, 0 }, + { "pps", 30, 1 }, + { "tx_ts_avail", 29, 1 }, + { "single_alarm", 28, 1 }, + { "periodic_alarm", 27, 1 }, + { "PatDetWake", 26, 1 }, + { "MagicWake", 25, 1 }, + { "SigDetChg", 24, 1 }, + { "AE_Train_Local", 22, 1 }, + { "HSSPLL_LOCK", 21, 1 }, + { "HSSPRT_READY", 20, 1 }, + { "AutoNeg_Done", 19, 1 }, + { "PCS_Link_Good", 12, 1 }, + { "PCS_Link_Fail", 11, 1 }, + { "RxFifoOverFlow", 10, 1 }, + { "HSSPRBSErr", 9, 1 }, + { "HSSEyeQual", 8, 1 }, + { "RemoteFault", 7, 1 }, + { "LocalFault", 6, 1 }, + { "MAC_Link_Down", 5, 1 }, + { "MAC_Link_Up", 4, 1 }, + { "an_page_rcvd", 2, 1 }, + { "TxFifo_prty_err", 1, 1 }, + { "RxFifo_prty_err", 0, 1 }, + { "MAC_PORT_PERR_INT_EN", 0x348e0, 0 }, + { "Perr_pkt_ram", 31, 1 }, + { "Perr_mask_ram", 30, 1 }, + { "Perr_crc_ram", 29, 1 }, + { "rx_mac40g", 28, 1 }, + { "tx_mac40g", 27, 1 }, + { "rx_st_mac40g", 26, 1 }, + { "tx_st_mac40g", 25, 1 }, + { "tx_mac1g10g", 24, 1 }, + { "rx_mac1g10g", 23, 1 }, + { "rx_status_mac1g10g", 22, 1 }, + { "rx_st_mac1g10g", 21, 1 }, + { "tx_st_mac1g10g", 20, 1 }, + { "Perr_tx0_pcs40g", 19, 1 }, + { "Perr_tx1_pcs40g", 18, 1 }, + { "Perr_tx2_pcs40g", 17, 1 }, + { "Perr_tx3_pcs40g", 16, 1 }, + { "Perr_tx0_fec40g", 15, 1 }, + { "Perr_tx1_fec40g", 14, 1 }, + { "Perr_tx2_fec40g", 13, 1 }, + { "Perr_tx3_fec40g", 12, 1 }, + { "Perr_rx0_pcs40g", 11, 1 }, + { "Perr_rx1_pcs40g", 10, 1 }, + { "Perr_rx2_pcs40g", 9, 1 }, + { "Perr_rx3_pcs40g", 8, 1 }, + { "Perr_rx0_fec40g", 7, 1 }, + { "Perr_rx1_fec40g", 6, 1 }, + { "Perr_rx2_fec40g", 5, 1 }, + { "Perr_rx3_fec40g", 4, 1 }, + { "Perr_rx_pcs10g_lpbk", 3, 1 }, + { "Perr_rx_pcs10g", 2, 1 }, + { "Perr_rx_pcs1g", 1, 1 }, + { "Perr_tx_pcs1g", 0, 1 }, + { "MAC_PORT_PERR_INT_CAUSE", 0x348e4, 0 }, + { "Perr_pkt_ram", 31, 1 }, + { "Perr_mask_ram", 30, 1 }, + { "Perr_crc_ram", 29, 1 }, + { "rx_mac40g", 28, 1 }, + { "tx_mac40g", 27, 1 }, + { "rx_st_mac40g", 26, 1 }, + { "tx_st_mac40g", 25, 1 }, + { "tx_mac1g10g", 24, 1 }, + { "rx_mac1g10g", 23, 1 }, + { "rx_status_mac1g10g", 22, 1 }, + { "rx_st_mac1g10g", 21, 1 }, + { "tx_st_mac1g10g", 20, 1 }, + { "Perr_tx0_pcs40g", 19, 1 }, + { "Perr_tx1_pcs40g", 18, 1 }, + { "Perr_tx2_pcs40g", 17, 1 }, + { "Perr_tx3_pcs40g", 16, 1 }, + { "Perr_tx0_fec40g", 15, 1 }, + { "Perr_tx1_fec40g", 14, 1 }, + { "Perr_tx2_fec40g", 13, 1 }, + { "Perr_tx3_fec40g", 12, 1 }, + { "Perr_rx0_pcs40g", 11, 1 }, + { "Perr_rx1_pcs40g", 10, 1 }, + { "Perr_rx2_pcs40g", 9, 1 }, + { "Perr_rx3_pcs40g", 8, 1 }, + { "Perr_rx0_fec40g", 7, 1 }, + { "Perr_rx1_fec40g", 6, 1 }, + { "Perr_rx2_fec40g", 5, 1 }, + { "Perr_rx3_fec40g", 4, 1 }, + { "Perr_rx_pcs10g_lpbk", 3, 1 }, + { "Perr_rx_pcs10g", 2, 1 }, + { "Perr_rx_pcs1g", 1, 1 }, + { "Perr_tx_pcs1g", 0, 1 }, + { "MAC_PORT_PERR_ENABLE", 0x348e8, 0 }, + { "Perr_pkt_ram", 31, 1 }, + { "Perr_mask_ram", 30, 1 }, + { "Perr_crc_ram", 29, 1 }, + { "rx_mac40g", 28, 1 }, + { "tx_mac40g", 27, 1 }, + { "rx_st_mac40g", 26, 1 }, + { "tx_st_mac40g", 25, 1 }, + { "tx_mac1g10g", 24, 1 }, + { "rx_mac1g10g", 23, 1 }, + { "rx_status_mac1g10g", 22, 1 }, + { "rx_st_mac1g10g", 21, 1 }, + { "tx_st_mac1g10g", 20, 1 }, + { "Perr_tx0_pcs40g", 19, 1 }, + { "Perr_tx1_pcs40g", 18, 1 }, + { "Perr_tx2_pcs40g", 17, 1 }, + { "Perr_tx3_pcs40g", 16, 1 }, + { "Perr_tx0_fec40g", 15, 1 }, + { "Perr_tx1_fec40g", 14, 1 }, + { "Perr_tx2_fec40g", 13, 1 }, + { "Perr_tx3_fec40g", 12, 1 }, + { "Perr_rx0_pcs40g", 11, 1 }, + { "Perr_rx1_pcs40g", 10, 1 }, + { "Perr_rx2_pcs40g", 9, 1 }, + { "Perr_rx3_pcs40g", 8, 1 }, + { "Perr_rx0_fec40g", 7, 1 }, + { "Perr_rx1_fec40g", 6, 1 }, + { "Perr_rx2_fec40g", 5, 1 }, + { "Perr_rx3_fec40g", 4, 1 }, + { "Perr_rx_pcs10g_lpbk", 3, 1 }, + { "Perr_rx_pcs10g", 2, 1 }, + { "Perr_rx_pcs1g", 1, 1 }, + { "Perr_tx_pcs1g", 0, 1 }, + { "MAC_PORT_PERR_INJECT", 0x348ec, 0 }, + { "MemSel", 1, 6 }, + { "InjectDataErr", 0, 1 }, + { "MAC_PORT_HSS_CFG0", 0x348f0, 0 }, + { "TXDTS", 31, 1 }, + { "TXCTS", 30, 1 }, + { "TXBTS", 29, 1 }, + { "TXATS", 28, 1 }, + { "TXDOBS", 27, 1 }, + { "TXCOBS", 26, 1 }, + { "TXBOBS", 25, 1 }, + { "TXAOBS", 24, 1 }, + { "HSSREFCLKVALIDA", 20, 1 }, + { "HSSREFCLKVALIDB", 19, 1 }, + { "HSSRESYNCA", 18, 1 }, + { "HSSAVDHI", 17, 1 }, + { "HSSRESYNCB", 16, 1 }, + { "HSSRECCALA", 15, 1 }, + { "HSSRXACMODE", 14, 1 }, + { "HSSRECCALB", 13, 1 }, + { "HSSPLLBYPA", 12, 1 }, + { "HSSPLLBYPB", 11, 1 }, + { "HSSPDWNPLLA", 10, 1 }, + { "HSSPDWNPLLB", 9, 1 }, + { "HSSVCOSELA", 8, 1 }, + { "HSSVCOSELB", 7, 1 }, + { "HSSCALCOMP", 6, 1 }, + { "HSSCALENAB", 5, 1 }, + { "HSSEXTC16SEL", 4, 1 }, + { "MAC_PORT_HSS_CFG1", 0x348f4, 0 }, + { "RXACONFIGSEL", 30, 2 }, + { "RXAQUIET", 29, 1 }, + { "RXAREFRESH", 28, 1 }, + { "RXBCONFIGSEL", 26, 2 }, + { "RXBQUIET", 25, 1 }, + { "RXBREFRESH", 24, 1 }, + { "RXCCONFIGSEL", 22, 2 }, + { "RXCQUIET", 21, 1 }, + { "RXCREFRESH", 20, 1 }, + { "RXDCONFIGSEL", 18, 2 }, + { "RXDQUIET", 17, 1 }, + { "RXDREFRESH", 16, 1 }, + { "TXACONFIGSEL", 14, 2 }, + { "TXAQUIET", 13, 1 }, + { "TXAREFRESH", 12, 1 }, + { "TXBCONFIGSEL", 10, 2 }, + { "TXBQUIET", 9, 1 }, + { "TXBREFRESH", 8, 1 }, + { "TXCCONFIGSEL", 6, 2 }, + { "TXCQUIET", 5, 1 }, + { "TXCREFRESH", 4, 1 }, + { "TXDCONFIGSEL", 2, 2 }, + { "TXDQUIET", 1, 1 }, + { "TXDREFRESH", 0, 1 }, + { "MAC_PORT_HSS_CFG2", 0x348f8, 0 }, + { "RXAASSTCLK", 31, 1 }, + { "T5RXAPRBSRST", 30, 1 }, + { "RXBASSTCLK", 29, 1 }, + { "T5RXBPRBSRST", 28, 1 }, + { "RXCASSTCLK", 27, 1 }, + { "T5RXCPRBSRST", 26, 1 }, + { "RXDASSTCLK", 25, 1 }, + { "T5RXDPRBSRST", 24, 1 }, + { "RXDDATASYNC", 23, 1 }, + { "RXCDATASYNC", 22, 1 }, + { "RXBDATASYNC", 21, 1 }, + { "RXADATASYNC", 20, 1 }, + { "RXDEARLYIN", 19, 1 }, + { "RXDLATEIN", 18, 1 }, + { "RXDPHSLOCK", 17, 1 }, + { "RXDPHSDNIN", 16, 1 }, + { "RXDPHSUPIN", 15, 1 }, + { "RXCEARLYIN", 14, 1 }, + { "RXCLATEIN", 13, 1 }, + { "RXCPHSLOCK", 12, 1 }, + { "RXCPHSDNIN", 11, 1 }, + { "RXCPHSUPIN", 10, 1 }, + { "RXBEARLYIN", 9, 1 }, + { "RXBLATEIN", 8, 1 }, + { "RXBPHSLOCK", 7, 1 }, + { "RXBPHSDNIN", 6, 1 }, + { "RXBPHSUPIN", 5, 1 }, + { "RXAEARLYIN", 4, 1 }, + { "RXALATEIN", 3, 1 }, + { "RXAPHSLOCK", 2, 1 }, + { "RXAPHSDNIN", 1, 1 }, + { "RXAPHSUPIN", 0, 1 }, + { "MAC_PORT_HSS_CFG3", 0x348fc, 0 }, + { "HSSCALSSTN", 22, 6 }, + { "HSSCALSSTP", 16, 6 }, + { "HSSPLLCONFIGB", 8, 8 }, + { "HSSPLLCONFIGA", 0, 8 }, + { "MAC_PORT_HSS_CFG4", 0x34900, 0 }, + { "HSSREFDIVA", 24, 4 }, + { "HSSREFDIVB", 20, 4 }, + { "HSSPLLDIV2B", 19, 1 }, + { "HSSPLLDIV2A", 18, 1 }, + { "HSSDIVSELA", 9, 9 }, + { "HSSDIVSELB", 0, 9 }, + { "MAC_PORT_HSS_STATUS", 0x34904, 0 }, + { "RXDERROFLOW", 19, 1 }, + { "RXCERROFLOW", 18, 1 }, + { "RXBERROFLOW", 17, 1 }, + { "RXAERROFLOW", 16, 1 }, + { "RXDPRBSSYNC", 15, 1 }, + { "RXCPRBSSYNC", 14, 1 }, + { "RXBPRBSSYNC", 13, 1 }, + { "RXAPRBSSYNC", 12, 1 }, + { "RXDPRBSERR", 11, 1 }, + { "RXCPRBSERR", 10, 1 }, + { "RXBPRBSERR", 9, 1 }, + { "RXAPRBSERR", 8, 1 }, + { "RXDSIGDET", 7, 1 }, + { "RXCSIGDET", 6, 1 }, + { "RXBSIGDET", 5, 1 }, + { "RXASIGDET", 4, 1 }, + { "HSSPLLLOCKB", 3, 1 }, + { "HSSPLLLOCKA", 2, 1 }, + { "HSSPRTREADYB", 1, 1 }, + { "HSSPRTREADYA", 0, 1 }, + { "MAC_PORT_HSS_EEE_STATUS", 0x34908, 0 }, + { "RXAQUIET_STATUS", 15, 1 }, + { "RXAREFRESH_STATUS", 14, 1 }, + { "RXBQUIET_STATUS", 13, 1 }, + { "RXBREFRESH_STATUS", 12, 1 }, + { "RXCQUIET_STATUS", 11, 1 }, + { "RXCREFRESH_STATUS", 10, 1 }, + { "RXDQUIET_STATUS", 9, 1 }, + { "RXDREFRESH_STATUS", 8, 1 }, + { "TXAQUIET_STATUS", 7, 1 }, + { "TXAREFRESH_STATUS", 6, 1 }, + { "TXBQUIET_STATUS", 5, 1 }, + { "TXBREFRESH_STATUS", 4, 1 }, + { "TXCQUIET_STATUS", 3, 1 }, + { "TXCREFRESH_STATUS", 2, 1 }, + { "TXDQUIET_STATUS", 1, 1 }, + { "TXDREFRESH_STATUS", 0, 1 }, + { "MAC_PORT_HSS_SIGDET_STATUS", 0x3490c, 0 }, + { "MAC_PORT_HSS_PL_CTL", 0x34910, 0 }, + { "TOV", 16, 8 }, + { "TSU", 8, 8 }, + { "IPW", 0, 8 }, + { "MAC_PORT_RUNT_FRAME", 0x34914, 0 }, + { "runtclear", 16, 1 }, + { "runt", 0, 16 }, + { "MAC_PORT_EEE_STATUS", 0x34918, 0 }, + { "eee_tx_10g_state", 10, 2 }, + { "eee_rx_10g_state", 8, 2 }, + { "eee_tx_1g_state", 6, 2 }, + { "eee_rx_1g_state", 4, 2 }, + { "pma_rx_refresh", 3, 1 }, + { "pma_rx_quiet", 2, 1 }, + { "pma_tx_refresh", 1, 1 }, + { "pma_tx_quiet", 0, 1 }, + { "MAC_PORT_CGEN", 0x3491c, 0 }, + { "CGEN", 8, 1 }, + { "sd7_CGEN", 7, 1 }, + { "sd6_CGEN", 6, 1 }, + { "sd5_CGEN", 5, 1 }, + { "sd4_CGEN", 4, 1 }, + { "sd3_CGEN", 3, 1 }, + { "sd2_CGEN", 2, 1 }, + { "sd1_CGEN", 1, 1 }, + { "sd0_CGEN", 0, 1 }, + { "MAC_PORT_CGEN_MTIP", 0x34920, 0 }, + { "MACSEG5_CGEN", 11, 1 }, + { "PCSSEG5_CGEN", 10, 1 }, + { "MACSEG4_CGEN", 9, 1 }, + { "PCSSEG4_CGEN", 8, 1 }, + { "MACSEG3_CGEN", 7, 1 }, + { "PCSSEG3_CGEN", 6, 1 }, + { "MACSEG2_CGEN", 5, 1 }, + { "PCSSEG2_CGEN", 4, 1 }, + { "MACSEG1_CGEN", 3, 1 }, + { "PCSSEG1_CGEN", 2, 1 }, + { "MACSEG0_CGEN", 1, 1 }, + { "PCSSEG0_CGEN", 0, 1 }, + { "MAC_PORT_TX_TS_ID", 0x34924, 0 }, + { "MAC_PORT_TX_TS_VAL_LO", 0x34928, 0 }, + { "MAC_PORT_TX_TS_VAL_HI", 0x3492c, 0 }, + { "MAC_PORT_EEE_CTL", 0x34930, 0 }, + { "EEE_CTRL", 2, 30 }, + { "TICK_START", 1, 1 }, + { "En", 0, 1 }, + { "MAC_PORT_EEE_TX_CTL", 0x34934, 0 }, + { "WAKE_TIMER", 16, 16 }, + { "HSS_TIMER", 5, 4 }, + { "HSS_CTL", 4, 1 }, + { "LPI_ACTIVE", 3, 1 }, + { "LPI_TXHOLD", 2, 1 }, + { "LPI_REQ", 1, 1 }, + { "EEE_TX_RESET", 0, 1 }, + { "MAC_PORT_EEE_RX_CTL", 0x34938, 0 }, + { "WAKE_TIMER", 16, 16 }, + { "HSS_TIMER", 5, 4 }, + { "HSS_CTL", 4, 1 }, + { "LPI_IND", 1, 1 }, + { "EEE_RX_RESET", 0, 1 }, + { "MAC_PORT_EEE_TX_10G_SLEEP_TIMER", 0x3493c, 0 }, + { "MAC_PORT_EEE_TX_10G_QUIET_TIMER", 0x34940, 0 }, + { "MAC_PORT_EEE_TX_10G_WAKE_TIMER", 0x34944, 0 }, + { "MAC_PORT_EEE_TX_1G_SLEEP_TIMER", 0x34948, 0 }, + { "MAC_PORT_EEE_TX_1G_QUIET_TIMER", 0x3494c, 0 }, + { "MAC_PORT_EEE_TX_1G_REFRESH_TIMER", 0x34950, 0 }, + { "MAC_PORT_EEE_RX_10G_QUIET_TIMER", 0x34954, 0 }, + { "MAC_PORT_EEE_RX_10G_WAKE_TIMER", 0x34958, 0 }, + { "MAC_PORT_EEE_RX_10G_WF_TIMER", 0x3495c, 0 }, + { "MAC_PORT_EEE_RX_1G_QUIET_TIMER", 0x34960, 0 }, + { "MAC_PORT_EEE_RX_1G_WAKE_TIMER", 0x34964, 0 }, + { "MAC_PORT_EEE_WF_COUNT", 0x34968, 0 }, + { "wake_cnt_clr", 16, 1 }, + { "wake_cnt", 0, 16 }, + { "MAC_PORT_PTP_TIMER_RD0_LO", 0x3496c, 0 }, + { "MAC_PORT_PTP_TIMER_RD0_HI", 0x34970, 0 }, + { "MAC_PORT_PTP_TIMER_RD1_LO", 0x34974, 0 }, + { "MAC_PORT_PTP_TIMER_RD1_HI", 0x34978, 0 }, + { "MAC_PORT_PTP_TIMER_WR_LO", 0x3497c, 0 }, + { "MAC_PORT_PTP_TIMER_WR_HI", 0x34980, 0 }, + { "MAC_PORT_PTP_TIMER_OFFSET_0", 0x34984, 0 }, + { "MAC_PORT_PTP_TIMER_OFFSET_1", 0x34988, 0 }, + { "MAC_PORT_PTP_TIMER_OFFSET_2", 0x3498c, 0 }, + { "MAC_PORT_PTP_SUM_LO", 0x34990, 0 }, + { "MAC_PORT_PTP_SUM_HI", 0x34994, 0 }, + { "MAC_PORT_PTP_TIMER_INCR0", 0x34998, 0 }, + { "Y", 16, 16 }, + { "X", 0, 16 }, + { "MAC_PORT_PTP_TIMER_INCR1", 0x3499c, 0 }, + { "Y_TICK", 16, 16 }, + { "X_TICK", 0, 16 }, + { "MAC_PORT_PTP_DRIFT_ADJUST_COUNT", 0x349a0, 0 }, + { "MAC_PORT_PTP_OFFSET_ADJUST_FINE", 0x349a4, 0 }, + { "B", 16, 16 }, + { "A", 0, 16 }, + { "MAC_PORT_PTP_OFFSET_ADJUST_TOTAL", 0x349a8, 0 }, + { "MAC_PORT_PTP_CFG", 0x349ac, 0 }, + { "ALARM_EN", 21, 1 }, + { "ALARM_START", 20, 1 }, + { "PPS_EN", 19, 1 }, + { "FRZ", 18, 1 }, + { "OFFSER_ADJUST_SIGN", 17, 1 }, + { "ADD_OFFSET", 16, 1 }, + { "CYCLE1", 8, 8 }, + { "Q", 0, 8 }, + { "MAC_PORT_PTP_PPS", 0x349b0, 0 }, + { "MAC_PORT_PTP_SINGLE_ALARM", 0x349b4, 0 }, + { "MAC_PORT_PTP_PERIODIC_ALARM", 0x349b8, 0 }, + { "MAC_PORT_PTP_STATUS", 0x349bc, 0 }, + { "MAC_PORT_MTIP_REVISION", 0x34a00, 0 }, + { "CUSTREV", 16, 16 }, + { "VER", 8, 8 }, + { "REV", 0, 8 }, + { "MAC_PORT_MTIP_SCRATCH", 0x34a04, 0 }, + { "MAC_PORT_MTIP_COMMAND_CONFIG", 0x34a08, 0 }, + { "TX_FLUSH", 22, 1 }, + { "RX_SFD_ANY", 21, 1 }, + { "PAUSE_PFC_COMP", 20, 1 }, + { "PFC_MODE", 19, 1 }, + { "RS_COL_CNT_EXT", 18, 1 }, + { "NO_LGTH_CHECK", 17, 1 }, + { "SEND_IDLE", 16, 1 }, + { "PHY_TXENA", 15, 1 }, + { "RX_ERR_DISC", 14, 1 }, + { "CMD_FRAME_ENA", 13, 1 }, + { "SW_RESET", 12, 1 }, + { "TX_PAD_EN", 11, 1 }, + { "LOOPBACK_EN", 10, 1 }, + { "TX_ADDR_INS", 9, 1 }, + { "PAUSE_IGNORE", 8, 1 }, + { "PAUSE_FWD", 7, 1 }, + { "CRC_FWD", 6, 1 }, + { "PAD_EN", 5, 1 }, + { "PROMIS_EN", 4, 1 }, + { "WAN_MODE", 3, 1 }, + { "RX_ENA", 1, 1 }, + { "TX_ENA", 0, 1 }, + { "MAC_PORT_MTIP_MAC_ADDR_0", 0x34a0c, 0 }, + { "MAC_PORT_MTIP_MAC_ADDR_1", 0x34a10, 0 }, + { "MAC_PORT_MTIP_FRM_LENGTH", 0x34a14, 0 }, + { "MAC_PORT_MTIP_RX_FIFO_SECTIONS", 0x34a1c, 0 }, + { "AVAIL", 16, 16 }, + { "EMPTY", 0, 16 }, + { "MAC_PORT_MTIP_TX_FIFO_SECTIONS", 0x34a20, 0 }, + { "AVAIL", 16, 16 }, + { "EMPTY", 0, 16 }, + { "MAC_PORT_MTIP_RX_FIFO_ALMOST_F_E", 0x34a24, 0 }, + { "AlmstFull", 16, 16 }, + { "AlmstEmpty", 0, 16 }, + { "MAC_PORT_MTIP_TX_FIFO_ALMOST_F_E", 0x34a28, 0 }, + { "AlmstFull", 16, 16 }, + { "AlmstEmpty", 0, 16 }, + { "MAC_PORT_MTIP_HASHTABLE_LOAD", 0x34a2c, 0 }, + { "ENABLE", 8, 1 }, + { "ADDR", 0, 6 }, + { "MAC_PORT_MTIP_MAC_STATUS", 0x34a40, 0 }, + { "TS_AVAIL", 3, 1 }, + { "PHY_LOS", 2, 1 }, + { "RX_REM_FAULT", 1, 1 }, + { "RX_LOC_FAULT", 0, 1 }, + { "MAC_PORT_MTIP_TX_IPG_LENGTH", 0x34a44, 0 }, + { "MAC_PORT_MTIP_MAC_CREDIT_TRIGGER", 0x34a48, 0 }, + { "MAC_PORT_MTIP_INIT_CREDIT", 0x34a4c, 0 }, + { "MAC_PORT_MTIP_CURRENT_CREDIT", 0x34a50, 0 }, + { "MAC_PORT_RX_PAUSE_STATUS", 0x34a74, 0 }, + { "MAC_PORT_MTIP_TS_TIMESTAMP", 0x34a7c, 0 }, + { "MAC_PORT_AFRAMESTRANSMITTEDOK", 0x34a80, 0 }, + { "MAC_PORT_AFRAMESTRANSMITTEDOKHI", 0x34a84, 0 }, + { "MAC_PORT_AFRAMESRECEIVEDOK", 0x34a88, 0 }, + { "MAC_PORT_AFRAMESRECEIVEDOKHI", 0x34a8c, 0 }, + { "MAC_PORT_AFRAMECHECKSEQUENCEERRORS", 0x34a90, 0 }, + { "MAC_PORT_AFRAMECHECKSEQUENCEERRORSHI", 0x34a94, 0 }, + { "MAC_PORT_AALIGNMENTERRORS", 0x34a98, 0 }, + { "MAC_PORT_AALIGNMENTERRORSHI", 0x34a9c, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTED", 0x34aa0, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESTRANSMITTEDHI", 0x34aa4, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVED", 0x34aa8, 0 }, + { "MAC_PORT_APAUSEMACCTRLFRAMESRECEIVEDHI", 0x34aac, 0 }, + { "MAC_PORT_AFRAMETOOLONGERRORS", 0x34ab0, 0 }, + { "MAC_PORT_AFRAMETOOLONGERRORSHI", 0x34ab4, 0 }, + { "MAC_PORT_AINRANGELENGTHERRORS", 0x34ab8, 0 }, + { "MAC_PORT_AINRANGELENGTHERRORSHI", 0x34abc, 0 }, + { "MAC_PORT_VLANTRANSMITTEDOK", 0x34ac0, 0 }, + { "MAC_PORT_VLANTRANSMITTEDOKHI", 0x34ac4, 0 }, + { "MAC_PORT_VLANRECEIVEDOK", 0x34ac8, 0 }, + { "MAC_PORT_VLANRECEIVEDOKHI", 0x34acc, 0 }, + { "MAC_PORT_AOCTETSTRANSMITTEDOK", 0x34ad0, 0 }, + { "MAC_PORT_AOCTETSTRANSMITTEDOKHI", 0x34ad4, 0 }, + { "MAC_PORT_AOCTETSRECEIVEDOK", 0x34ad8, 0 }, + { "MAC_PORT_AOCTETSRECEIVEDOKHI", 0x34adc, 0 }, + { "MAC_PORT_IFINUCASTPKTS", 0x34ae0, 0 }, + { "MAC_PORT_IFINUCASTPKTSHI", 0x34ae4, 0 }, + { "MAC_PORT_IFINMULTICASTPKTS", 0x34ae8, 0 }, + { "MAC_PORT_IFINMULTICASTPKTSHI", 0x34aec, 0 }, + { "MAC_PORT_IFINBROADCASTPKTS", 0x34af0, 0 }, + { "MAC_PORT_IFINBROADCASTPKTSHI", 0x34af4, 0 }, + { "MAC_PORT_IFOUTERRORS", 0x34af8, 0 }, + { "MAC_PORT_IFOUTERRORSHI", 0x34afc, 0 }, + { "MAC_PORT_IFOUTUCASTPKTS", 0x34b08, 0 }, + { "MAC_PORT_IFOUTUCASTPKTSHI", 0x34b0c, 0 }, + { "MAC_PORT_IFOUTMULTICASTPKTS", 0x34b10, 0 }, + { "MAC_PORT_IFOUTMULTICASTPKTSHI", 0x34b14, 0 }, + { "MAC_PORT_IFOUTBROADCASTPKTS", 0x34b18, 0 }, + { "MAC_PORT_IFOUTBROADCASTPKTSHI", 0x34b1c, 0 }, + { "MAC_PORT_ETHERSTATSDROPEVENTS", 0x34b20, 0 }, + { "MAC_PORT_ETHERSTATSDROPEVENTSHI", 0x34b24, 0 }, + { "MAC_PORT_ETHERSTATSOCTETS", 0x34b28, 0 }, + { "MAC_PORT_ETHERSTATSOCTETSHI", 0x34b2c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS", 0x34b30, 0 }, + { "MAC_PORT_ETHERSTATSPKTSHI", 0x34b34, 0 }, + { "MAC_PORT_ETHERSTATSUNDERSIZEPKTS", 0x34b38, 0 }, + { "MAC_PORT_ETHERSTATSUNDERSIZEPKTSHI", 0x34b3c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS64OCTETS", 0x34b40, 0 }, + { "MAC_PORT_ETHERSTATSPKTS64OCTETSHI", 0x34b44, 0 }, + { "MAC_PORT_ETHERSTATSPKTS65TO127OCTETS", 0x34b48, 0 }, + { "MAC_PORT_ETHERSTATSPKTS65TO127OCTETSHI", 0x34b4c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS128TO255OCTETS", 0x34b50, 0 }, + { "MAC_PORT_ETHERSTATSPKTS128TO255OCTETSHI", 0x34b54, 0 }, + { "MAC_PORT_ETHERSTATSPKTS256TO511OCTETS", 0x34b58, 0 }, + { "MAC_PORT_ETHERSTATSPKTS256TO511OCTETSHI", 0x34b5c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETS", 0x34b60, 0 }, + { "MAC_PORT_ETHERSTATSPKTS512TO1023OCTETSHI", 0x34b64, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETS", 0x34b68, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x34b6c, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETS", 0x34b70, 0 }, + { "MAC_PORT_ETHERSTATSPKTS1519TOMAXOCTETSHI", 0x34b74, 0 }, + { "MAC_PORT_ETHERSTATSOVERSIZEPKTS", 0x34b78, 0 }, + { "MAC_PORT_ETHERSTATSOVERSIZEPKTSHI", 0x34b7c, 0 }, + { "MAC_PORT_ETHERSTATSJABBERS", 0x34b80, 0 }, + { "MAC_PORT_ETHERSTATSJABBERSHI", 0x34b84, 0 }, + { "MAC_PORT_ETHERSTATSFRAGMENTS", 0x34b88, 0 }, + { "MAC_PORT_ETHERSTATSFRAGMENTSHI", 0x34b8c, 0 }, + { "MAC_PORT_IFINERRORS", 0x34b90, 0 }, + { "MAC_PORT_IFINERRORSHI", 0x34b94, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0", 0x34b98, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_0HI", 0x34b9c, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1", 0x34ba0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_1HI", 0x34ba4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2", 0x34ba8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_2HI", 0x34bac, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3", 0x34bb0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_3HI", 0x34bb4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4", 0x34bb8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_4HI", 0x34bbc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5", 0x34bc0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_5HI", 0x34bc4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6", 0x34bc8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_6HI", 0x34bcc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7", 0x34bd0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESTRANSMITTED_7HI", 0x34bd4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0", 0x34bd8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_0HI", 0x34bdc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1", 0x34be0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_1HI", 0x34be4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2", 0x34be8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_2HI", 0x34bec, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3", 0x34bf0, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_3HI", 0x34bf4, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4", 0x34bf8, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_4HI", 0x34bfc, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5", 0x34c00, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_5HI", 0x34c04, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6", 0x34c08, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_6HI", 0x34c0c, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7", 0x34c10, 0 }, + { "MAC_PORT_ACBFCPAUSEFRAMESRECEIVED_7HI", 0x34c14, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESTRANSMITTED", 0x34c18, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESTRANSMITTEDHI", 0x34c1c, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESRECEIVED", 0x34c20, 0 }, + { "MAC_PORT_AMACCONTROLFRAMESRECEIVEDHI", 0x34c24, 0 }, + { "MAC_PORT_MTIP_1G10G_REVISION", 0x34d00, 0 }, + { "CUSTREV", 16, 16 }, + { "VER", 8, 8 }, + { "REV", 0, 8 }, + { "MAC_PORT_MTIP_1G10G_SCRATCH", 0x34d04, 0 }, + { "MAC_PORT_MTIP_1G10G_COMMAND_CONFIG", 0x34d08, 0 }, + { "SHORT_DISCARD", 25, 1 }, + { "REG_LOWP_RXEMPTY", 24, 1 }, + { "TX_LOWP_ENA", 23, 1 }, + { "TX_FLUSH", 22, 1 }, + { "SFD_ANY", 21, 1 }, + { "PAUSE_PFC_COMP", 20, 1 }, + { "PFC_MODE", 19, 1 }, + { "COL_CNT_ExT", 18, 1 }, + { "NO_LGTH_CHECK", 17, 1 }, + { "FORCE_SEND_IDLE", 16, 1 }, + { "PHY_TXENA", 15, 1 }, + { "RX_ERR_DISC", 14, 1 }, + { "CNTL_FRM_ENA", 13, 1 }, + { "SW_RESET", 12, 1 }, + { "TX_PAD_EN", 11, 1 }, + { "LOOP_ENA", 10, 1 }, + { "TX_ADDR_INS", 9, 1 }, + { "PAUSE_IGNORE", 8, 1 }, + { "PAUSE_FWD", 7, 1 }, + { "CRC_FWD", 6, 1 }, + { "PAD_EN", 5, 1 }, + { "PROMIS_EN", 4, 1 }, + { "WAN_MODE", 3, 1 }, + { "RX_ENAMAC", 1, 1 }, + { "TX_ENAMAC", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_MAC_ADDR_0", 0x34d0c, 0 }, + { "MAC_PORT_MTIP_1G10G_MAC_ADDR_1", 0x34d10, 0 }, + { "MAC_PORT_MTIP_1G10G_FRM_LENGTH_TX_MTU", 0x34d14, 0 }, + { "SET_LEN", 16, 16 }, + { "FRM_LEN_SET", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_RX_FIFO_SECTIONS", 0x34d1c, 0 }, + { "EMPTY", 16, 16 }, + { "AVAIL", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_TX_FIFO_SECTIONS", 0x34d20, 0 }, + { "EMPTY", 16, 16 }, + { "AVAIL", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_RX_FIFO_ALMOST_F_E", 0x34d24, 0 }, + { "AlmostFull", 16, 16 }, + { "AlmostEmpty", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_TX_FIFO_ALMOST_F_E", 0x34d28, 0 }, + { "AlmostFull", 16, 16 }, + { "AlmostEmpty", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_HASHTABLE_LOAD", 0x34d2c, 0 }, + { "MAC_PORT_MTIP_1G10G_MDIO_CFG_STATUS", 0x34d30, 0 }, + { "Clk_divisor", 7, 9 }, + { "ENA_CLAUSE", 6, 1 }, + { "PREAMBLE_DISABLE", 5, 1 }, + { "Hold_time_setting", 2, 3 }, + { "MDIO_read_error", 1, 1 }, + { "MDIO_Busy", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_MDIO_COMMAND", 0x34d34, 0 }, + { "READ_MODE", 15, 1 }, + { "POST_INCR_READ", 14, 1 }, + { "Port_PHY_Addr", 5, 5 }, + { "Device_Reg_Addr", 0, 5 }, + { "MAC_PORT_MTIP_1G10G_MDIO_DATA", 0x34d38, 0 }, + { "MAC_PORT_MTIP_1G10G_MDIO_REGADDR", 0x34d3c, 0 }, + { "MAC_PORT_MTIP_1G10G_STATUS", 0x34d40, 0 }, + { "RX_LINT_FAULT", 7, 1 }, + { "RX_EMPTY", 6, 1 }, + { "TX_EMPTY", 5, 1 }, + { "RX_LOWP", 4, 1 }, + { "TS_AVAIL", 3, 1 }, + { "PHY_LOS", 2, 1 }, + { "RX_REM_FAULT", 1, 1 }, + { "RX_LOC_FAULT", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_TX_IPG_LENGTH", 0x34d44, 0 }, + { "MAC_PORT_MTIP_1G10G_CREDIT_TRIGGER", 0x34d48, 0 }, + { "MAC_PORT_MTIP_1G10G_INIT_CREDIT", 0x34d4c, 0 }, + { "MAC_PORT_MTIP_1G10G_CL01_PAUSE_QUANTA", 0x34d54, 0 }, + { "CL1_PAUSE_QUANTA", 16, 16 }, + { "CL0_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL23_PAUSE_QUANTA", 0x34d58, 0 }, + { "CL3_PAUSE_QUANTA", 16, 16 }, + { "CL2_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL45_PAUSE_QUANTA", 0x34d5c, 0 }, + { "CL5_PAUSE_QUANTA", 16, 16 }, + { "CL4_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL67_PAUSE_QUANTA", 0x34d60, 0 }, + { "CL7_PAUSE_QUANTA", 16, 16 }, + { "CL6_PAUSE_QUANTA", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL01_QUANTA_THRESH", 0x34d64, 0 }, + { "CL1_QUANTA_THRESH", 16, 16 }, + { "CL0_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL23_QUANTA_THRESH", 0x34d68, 0 }, + { "CL3_QUANTA_THRESH", 16, 16 }, + { "CL2_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL45_QUANTA_THRESH", 0x34d6c, 0 }, + { "CL5_QUANTA_THRESH", 16, 16 }, + { "CL4_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_CL67_QUANTA_THRESH", 0x34d70, 0 }, + { "CL7_QUANTA_THRESH", 16, 16 }, + { "CL6_QUANTA_THRESH", 0, 16 }, + { "MAC_PORT_MTIP_1G10G_RX_PAUSE_STATUS", 0x34d74, 0 }, + { "MAC_PORT_MTIP_1G10G_TS_TIMESTAMP", 0x34d7c, 0 }, + { "MAC_PORT_MTIP_1G10G_STATN_CONFIG", 0x34de0, 0 }, + { "CLEAR", 2, 1 }, + { "CLEAR_ON_READ", 1, 1 }, + { "SATURATE", 0, 1 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETS", 0x34e00, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOCTETSHI", 0x34e04, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_OCTETSOK", 0x34e08, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_OCTETSOKHI", 0x34e0c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORS", 0x34e10, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AALIGNMENTERRORSHI", 0x34e14, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMES", 0x34e18, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_APAUSEMACCTRLFRAMESHI", 0x34e1c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_FRAMESOK", 0x34e20, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_FRAMESOKHI", 0x34e24, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_CRCERRORS", 0x34e28, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_CRCERRORSHI", 0x34e2c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_VLANOK", 0x34e30, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_VLANOKHI", 0x34e34, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINERRORS", 0x34e38, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINERRORSHI", 0x34e3c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTS", 0x34e40, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINUCASTPKTSHI", 0x34e44, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTS", 0x34e48, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINMULTICASTPKTSHI", 0x34e4c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTS", 0x34e50, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_IFINBROADCASTPKTSHI", 0x34e54, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTS", 0x34e58, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSDROPEVENTSHI", 0x34e5c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS", 0x34e60, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTSHI", 0x34e64, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTS", 0x34e68, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSUNDERSIZEPKTSHI", 0x34e6c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETS", 0x34e70, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS64OCTETSHI", 0x34e74, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETS", 0x34e78, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS65TO127OCTETSHI", 0x34e7c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETS", 0x34e80, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS128TO255OCTETSHI", 0x34e84, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETS", 0x34e88, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS256TO511OCTETSHI", 0x34e8c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETS", 0x34e90, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x34e94, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETS", 0x34e98, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x34e9c, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAX", 0x34ea0, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSPKTS1519TOMAXHI", 0x34ea4, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTS", 0x34ea8, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSOVERSIZEPKTSHI", 0x34eac, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERS", 0x34eb0, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSJABBERSHI", 0x34eb4, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTS", 0x34eb8, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_ETHERSTATSFRAGMENTSHI", 0x34ebc, 0 }, + { "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVED", 0x34ec0, 0 }, + { "MAC_PORT_MTIP_1G10G_AMACCONTROLFRAMESRECEIVEDHI", 0x34ec4, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONG", 0x34ec8, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AFRAMETOOLONGHI", 0x34ecc, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORS", 0x34ed0, 0 }, + { "MAC_PORT_MTIP_1G10G_RX_AINRANGELENGTHERRORSHI", 0x34ed4, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETS", 0x34f00, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSOCTETSHI", 0x34f04, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_OCTETSOK", 0x34f08, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_OCTETSOKHI", 0x34f0c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORS", 0x34f10, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AALIGNMENTERRORSHI", 0x34f14, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMES", 0x34f18, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_APAUSEMACCTRLFRAMESHI", 0x34f1c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_FRAMESOK", 0x34f20, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_FRAMESOKHI", 0x34f24, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_CRCERRORS", 0x34f28, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_CRCERRORSHI", 0x34f2c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_VLANOK", 0x34f30, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_VLANOKHI", 0x34f34, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORS", 0x34f38, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFOUTERRORSHI", 0x34f3c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTS", 0x34f40, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFUCASTPKTSHI", 0x34f44, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTS", 0x34f48, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFMULTICASTPKTSHI", 0x34f4c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTS", 0x34f50, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_IFBROADCASTPKTSHI", 0x34f54, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTS", 0x34f58, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSDROPEVENTSHI", 0x34f5c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS", 0x34f60, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTSHI", 0x34f64, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTS", 0x34f68, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSUNDERSIZEPKTSHI", 0x34f6c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETS", 0x34f70, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS64OCTETSHI", 0x34f74, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETS", 0x34f78, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS65TO127OCTETSHI", 0x34f7c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETS", 0x34f80, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS128TO255OCTETSHI", 0x34f84, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETS", 0x34f88, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS256TO511OCTETSHI", 0x34f8c, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETS", 0x34f90, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS512TO1023OCTETSHI", 0x34f94, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETS", 0x34f98, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_ETHERSTATSPKTS1024TO1518OCTETSHI", 0x34f9c, 0 }, + { "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTU", 0x34fa0, 0 }, + { "MAC_PORT_MTIP_1G10G_ETHERSTATSPKTS1519TOTX_MTUHI", 0x34fa4, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMES", 0x34fc0, 0 }, + { "MAC_PORT_MTIP_1G10G_TX_AMACCONTROLFRAMESHI", 0x34fc4, 0 }, + { "MAC_PORT_MTIP_1G10G_IF_MODE", 0x35000, 0 }, + { "MII_ENA_10", 4, 1 }, + { "IF_MODE", 0, 2 }, + { "MAC_PORT_MTIP_1G10G_IF_STATUS", 0x35004, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0", 0x35080, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_0HI", 0x35084, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1", 0x35088, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_1HI", 0x3508c, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2", 0x35090, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_2HI", 0x35094, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3", 0x35098, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_3HI", 0x3509c, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4", 0x350a0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_4HI", 0x350a4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5", 0x350a8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_5HI", 0x350ac, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6", 0x350b0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_6HI", 0x350b4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7", 0x350b8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESRECEIVED_7HI", 0x350bc, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0", 0x350c0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_0HI", 0x350c4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1", 0x350c8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_1HI", 0x350cc, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2", 0x350d0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_2HI", 0x350d4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3", 0x350d8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_3HI", 0x350dc, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4", 0x350e0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_4HI", 0x350e4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5", 0x350e8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_5HI", 0x350ec, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6", 0x350f0, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_6HI", 0x350f4, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7", 0x350f8, 0 }, + { "MAC_PORT_MTIP_1G10G_PFCFRAMESTRANSMITTED_7HI", 0x350fc, 0 }, + { "MAC_PORT_MTIP_SGMII_CONTROL", 0x35200, 0 }, + { "Reset", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_Sel", 13, 1 }, + { "AN_EN", 12, 1 }, + { "PWR_DWN", 11, 1 }, + { "Isolate", 10, 1 }, + { "AN_RESTART", 9, 1 }, + { "DUPLEx_MODE", 8, 1 }, + { "Collision_Test", 7, 1 }, + { "Speed_Sel1", 6, 1 }, + { "MAC_PORT_MTIP_SGMII_STATUS", 0x35204, 0 }, + { "100BaseT4", 15, 1 }, + { "100BasexFullDplx", 14, 1 }, + { "100BasexHalfDplx", 13, 1 }, + { "10MbpsFullDplx", 12, 1 }, + { "10MbpsHalfDplx", 11, 1 }, + { "100BaseT2FullDplx", 10, 1 }, + { "100BaseT2HalfDplx", 9, 1 }, + { "ExtdStatus", 8, 1 }, + { "AN_Complete", 5, 1 }, + { "REM_FAULT", 4, 1 }, + { "AN_Ability", 3, 1 }, + { "LINK_STATUS", 2, 1 }, + { "JabberDetect", 1, 1 }, + { "ExtdCapability", 0, 1 }, + { "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_0", 0x35208, 0 }, + { "MAC_PORT_MTIP_SGMII_PHY_IDENTIFIER_1", 0x3520c, 0 }, + { "MAC_PORT_MTIP_SGMII_DEV_ABILITY", 0x35210, 0 }, + { "NP", 15, 1 }, + { "ACK", 14, 1 }, + { "RF2", 13, 1 }, + { "RF1", 12, 1 }, + { "PS2", 8, 1 }, + { "PS1", 7, 1 }, + { "HD", 6, 1 }, + { "FD", 5, 1 }, + { "MAC_PORT_MTIP_SGMII_PARTNER_ABILITY", 0x35214, 0 }, + { "CuLinkStatus", 15, 1 }, + { "ACK", 14, 1 }, + { "CuDplxStatus", 12, 1 }, + { "CuSpeed", 10, 2 }, + { "MAC_PORT_MTIP_SGMII_AN_EXPANSION", 0x35218, 0 }, + { "Next_Page_Able", 2, 1 }, + { "PAGE_RECEIVE", 1, 1 }, + { "MAC_PORT_MTIP_SGMII_NP_TX", 0x3521c, 0 }, + { "MAC_PORT_MTIP_SGMII_LP_NP_RX", 0x35220, 0 }, + { "MAC_PORT_MTIP_SGMII_EXTENDED_STATUS", 0x3523c, 0 }, + { "MAC_PORT_MTIP_SGMII_SCRATCH", 0x35240, 0 }, + { "MAC_PORT_MTIP_SGMII_REV", 0x35244, 0 }, + { "CUSTREV", 16, 16 }, + { "VER", 8, 8 }, + { "REV", 0, 8 }, + { "MAC_PORT_MTIP_SGMII_LINK_TIMER_LO", 0x35248, 0 }, + { "MAC_PORT_MTIP_SGMII_LINK_TIMER_HI", 0x3524c, 0 }, + { "MAC_PORT_MTIP_SGMII_IF_MODE", 0x35250, 0 }, + { "SGMII_DUPLEx", 4, 1 }, + { "SGMII_SPEED", 2, 2 }, + { "USE_SGMII_AN", 1, 1 }, + { "SGMII_ENA", 0, 1 }, + { "MAC_PORT_MTIP_SGMII_DECODE_ERROR", 0x35254, 0 }, + { "MAC_PORT_MTIP_KR_PCS_CONTROL_1", 0x35300, 0 }, + { "Reset", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_SEL", 13, 1 }, + { "Low_Power", 11, 1 }, + { "Speed_SEL1", 6, 1 }, + { "Speed_SEL2", 2, 4 }, + { "MAC_PORT_MTIP_KR_PCS_STATUS_1", 0x35304, 0 }, + { "TX_LPI", 11, 1 }, + { "RX_LPI", 10, 1 }, + { "TX_LPI_ACTIVE", 9, 1 }, + { "RX_LPI_ACTIVE", 8, 1 }, + { "Fault", 7, 1 }, + { "PCS_RX_Link_STAT", 2, 1 }, + { "Low_power_Ability", 1, 1 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_1", 0x35308, 0 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICE_IDENTIFIER_2", 0x3530c, 0 }, + { "MAC_PORT_MTIP_KR_PCS_SPEED_ABILITY", 0x35310, 0 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGELO", 0x35314, 0 }, + { "Auto_Negotiation_Present", 7, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_Present", 1, 1 }, + { "Clause_22_Reg_Present", 0, 1 }, + { "MAC_PORT_MTIP_KR_PCS_DEVICES_IN_PACKAGEHI", 0x35318, 0 }, + { "Auto_Negotiation_Present", 7, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_Present", 1, 1 }, + { "Clause_22_Reg_Present", 0, 1 }, + { "MAC_PORT_MTIP_KR_PCS_CONTROL_2", 0x3531c, 0 }, + { "MAC_PORT_MTIP_KR_PCS_STATUS_2", 0x35320, 0 }, + { "Device_Present", 14, 2 }, + { "Transmit_Fault", 11, 1 }, + { "Receive_Fault", 10, 1 }, + { "10GBASE_W_Capable", 2, 1 }, + { "10GBASE_x_Capable", 1, 1 }, + { "10GBASE_R_Capable", 0, 1 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_LO", 0x35338, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_PACKAGE_IDENTIFIER_HI", 0x3533c, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_1", 0x35380, 0 }, + { "10GBASE_R_RX_Link_Status", 12, 1 }, + { "PRBS9_Pttrn_Tstng_Ability", 3, 1 }, + { "PRBS31_Pttrn_Tstng_Ability", 2, 1 }, + { "10GBASE_R_PCS_High_BER", 1, 1 }, + { "10GBASE_R_PCS_Block_Lock", 0, 1 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_STATUS_2", 0x35384, 0 }, + { "Latched_Block_Lock", 15, 1 }, + { "Latched_High_BER", 14, 1 }, + { "BERBER_Counter", 8, 6 }, + { "ErrBlkCnt", 0, 8 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_0", 0x35388, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_1", 0x3538c, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_2", 0x35390, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_A_3", 0x35394, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_0", 0x35398, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_1", 0x3539c, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_2", 0x353a0, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_SEED_B_3", 0x353a4, 0 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_CONTROL", 0x353a8, 0 }, + { "PRBS9_TX_Tst_Pttrn_En", 6, 1 }, + { "PRBS31_RX_Tst_Pttrn_En", 5, 1 }, + { "PRBS31_TX_Tst_Pttrn_En", 4, 1 }, + { "TX_Test_Pattern_En", 3, 1 }, + { "RX_Test_Pattern_En", 2, 1 }, + { "Test_Pattern_Select", 1, 1 }, + { "Data_Pattern_Select", 0, 1 }, + { "MAC_PORT_MTIP_KR_10GBASE_R_PCS_TEST_PATTERN_ERROR_COUNTER", 0x353ac, 0 }, + { "MAC_PORT_MTIP_KR_VENDOR_SPECIFIC_PCS_STATUS", 0x353b4, 0 }, + { "Transmit_FIFO_Fault", 1, 1 }, + { "Receive_FIFO_Fault", 0, 1 }, + { "MAC_PORT_MTIP_KR4_CONTROL_1", 0x35400, 0 }, + { "RESET", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_selection", 13, 1 }, + { "Low_power", 11, 1 }, + { "Speed_selection1", 6, 1 }, + { "Speed_selection2", 2, 4 }, + { "MAC_PORT_MTIP_KR4_STATUS_1", 0x35404, 0 }, + { "Fault", 7, 1 }, + { "Receive_link_STAT", 2, 1 }, + { "Low_power_ability", 1, 1 }, + { "MAC_PORT_MTIP_KR4_DEVICE_ID0", 0x35408, 0 }, + { "MAC_PORT_MTIP_KR4_DEVICE_ID1", 0x3540c, 0 }, + { "DEVICE_ID1", 16, 16 }, + { "MAC_PORT_MTIP_KR4_SPEED_ABILITY", 0x35410, 0 }, + { "100G_capable", 3, 1 }, + { "40G_capable", 2, 1 }, + { "10PASS_TS_2Base_TL_capable", 1, 1 }, + { "10G_capable", 0, 1 }, + { "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG1", 0x35414, 0 }, + { "TC_present", 6, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_present", 1, 1 }, + { "Clause_22_reg", 0, 1 }, + { "MAC_PORT_MTIP_KR4_DEVICES_IN_PKG2", 0x35418, 0 }, + { "Vendor_specific_device", 15, 1 }, + { "Vendor_specific_device1", 14, 1 }, + { "Clause_22_ExT", 13, 1 }, + { "MAC_PORT_MTIP_KR4_CONTROL_2", 0x3541c, 0 }, + { "MAC_PORT_MTIP_KR4_STATUS_2", 0x35420, 0 }, + { "Device_present", 14, 2 }, + { "Transmit_fault", 11, 1 }, + { "Receive_fault", 10, 1 }, + { "100GBase_R_capable", 5, 1 }, + { "40GBase_R_capable", 4, 1 }, + { "10GBase_T_capable", 3, 1 }, + { "10GBase_W_capable", 2, 1 }, + { "10GBase_x_capable", 1, 1 }, + { "10GBase_R_capable", 0, 1 }, + { "MAC_PORT_MTIP_KR4_PKG_ID0", 0x35438, 0 }, + { "MAC_PORT_MTIP_KR4_PKG_ID1", 0x3543c, 0 }, + { "MAC_PORT_MTIP_KR4_BASE_R_STATUS_1", 0x35480, 0 }, + { "RX_link_status", 12, 1 }, + { "High_BER", 1, 1 }, + { "Block_lock", 0, 1 }, + { "MAC_PORT_MTIP_KR4_BASE_R_STATUS_2", 0x35484, 0 }, + { "Latched_bl_lk", 15, 1 }, + { "Latched_hg_br", 14, 1 }, + { "Ber_cnt", 8, 6 }, + { "Err_bl_cnt", 0, 8 }, + { "MAC_PORT_MTIP_KR4_BASE_R_TEST_CONTROL", 0x354a8, 0 }, + { "TX_TP_EN", 3, 1 }, + { "RX_TP_EN", 2, 1 }, + { "MAC_PORT_MTIP_KR4_BASE_R_TEST_ERR_CNT", 0x354ac, 0 }, + { "MAC_PORT_MTIP_KR4_BER_HIGH_ORDER_CNT", 0x354b0, 0 }, + { "MAC_PORT_MTIP_KR4_ERR_BLK_HIGH_ORDER_CNT", 0x354b4, 0 }, + { "HI_ORDER_CNT_EN", 15, 1 }, + { "ERR_BLK_CNTR", 0, 14 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_1", 0x354c8, 0 }, + { "LANE_ALIGN_STATUS", 12, 1 }, + { "LANE_3_BLK_LCK", 3, 1 }, + { "LANE_2_BLK_LC32_6431K", 2, 1 }, + { "LANE_1_BLK_LCK", 1, 1 }, + { "LANE_0_BLK_LCK", 0, 1 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_2", 0x354cc, 0 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_3", 0x354d0, 0 }, + { "LANE_3_ALIGN_MRKR_LCK", 3, 1 }, + { "LANE_2_ALIGN_MRKR_LCK", 2, 1 }, + { "LANE_1_ALIGN_MRKR_LCK", 1, 1 }, + { "LANE_0_ALIGN_MRKR_LCK", 0, 1 }, + { "MAC_PORT_MTIP_KR4_MULTI_LANE_ALIGN_STATUS_4", 0x354d4, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_0", 0x35720, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_1", 0x35724, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_2", 0x35728, 0 }, + { "MAC_PORT_MTIP_KR4_BIP_ERR_CNT_LANE_3", 0x3572c, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_0_MAPPING", 0x35a40, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_1_MAPPING", 0x35a44, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_2_MAPPING", 0x35a48, 0 }, + { "MAC_PORT_MTIP_KR4_LANE_3_MAPPING", 0x35a4c, 0 }, + { "MAC_PORT_MTIP_KR4_SCRATCH", 0x35af0, 0 }, + { "MAC_PORT_MTIP_KR4_CORE_REVISION", 0x35af4, 0 }, + { "MAC_PORT_MTIP_KR4_VL_INTVL", 0x35af8, 0 }, + { "MAC_PORT_MTIP_KR4_TX_LANE_THRESH", 0x35afc, 0 }, + { "MAC_PORT_MTIP_CR4_CONTROL_1", 0x35b00, 0 }, + { "Reset", 15, 1 }, + { "Loopback", 14, 1 }, + { "Speed_selection", 13, 1 }, + { "Low_power", 11, 1 }, + { "Speed_selection1", 6, 1 }, + { "Speed_selection2", 2, 4 }, + { "MAC_PORT_MTIP_CR4_STATUS_1", 0x35b04, 0 }, + { "Fault", 7, 1 }, + { "RX_Link_Status", 2, 1 }, + { "Low_power_ability", 1, 1 }, + { "MAC_PORT_MTIP_CR4_DEVICE_ID0", 0x35b08, 0 }, + { "MAC_PORT_MTIP_CR4_DEVICE_ID1", 0x35b0c, 0 }, + { "MAC_PORT_MTIP_CR4_SPEED_ABILITY", 0x35b10, 0 }, + { "100G_capable", 8, 1 }, + { "40G_capable", 7, 1 }, + { "10PASS_TS_2Base_TL_capable", 1, 1 }, + { "10G_capable", 0, 1 }, + { "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG1", 0x35b14, 0 }, + { "TC_present", 6, 1 }, + { "DTE_xS_present", 5, 1 }, + { "PHY_xS_present", 4, 1 }, + { "PCS_present", 3, 1 }, + { "WIS_present", 2, 1 }, + { "PMD_PMA_present", 1, 1 }, + { "Clause22reg_present", 0, 1 }, + { "MAC_PORT_MTIP_CR4_DEVICES_IN_PKG2", 0x35b18, 0 }, + { "VSD_2_PRESENT", 15, 1 }, + { "VSD_1_PRESENT", 14, 1 }, + { "Clause22_ExT_Present", 13, 1 }, + { "MAC_PORT_MTIP_CR4_CONTROL_2", 0x35b1c, 0 }, + { "MAC_PORT_MTIP_CR4_STATUS_2", 0x35b20, 0 }, + { "Device_present", 14, 2 }, + { "Transmit_fault", 11, 1 }, + { "Receive_fault", 10, 1 }, + { "100GBase_R_capable", 5, 1 }, + { "40GBase_R_capable", 4, 1 }, + { "10GBase_T_capable", 3, 1 }, + { "10GBase_W_capable", 2, 1 }, + { "10GBase_x_capable", 1, 1 }, + { "10GBase_R_capable", 0, 1 }, + { "MAC_PORT_MTIP_CR4_PKG_ID0", 0x35b38, 0 }, + { "MAC_PORT_MTIP_CR4_PKG_ID1", 0x35b3c, 0 }, + { "MAC_PORT_MTIP_CR4_BASE_R_STATUS_1", 0x35b80, 0 }, + { "RX_Link_STAT", 12, 1 }, + { "High_BER", 1, 1 }, + { "Block_Lock", 0, 1 }, + { "MAC_PORT_MTIP_CR4_BASE_R_STATUS_2", 0x35b84, 0 }, + { "Latched_block_lock", 15, 1 }, + { "Latched_high_BER", 14, 1 }, + { "BER_counter", 8, 6 }, + { "Errored_blocks_cntr", 0, 8 }, + { "MAC_PORT_MTIP_CR4_BASE_R_TEST_CONTROL", 0x35ba8, 0 }, + { "Scrambled_ID_TP_EN", 7, 1 }, + { "MAC_PORT_MTIP_CR4_BASE_R_TEST_ERR_CNT", 0x35bac, 0 }, + { "MAC_PORT_MTIP_CR4_BER_HIGH_ORDER_CNT", 0x35bb0, 0 }, + { "MAC_PORT_MTIP_CR4_ERR_BLK_HIGH_ORDER_CNT", 0x35bb4, 0 }, + { "Hi_ORDER_CNT_Present", 15, 1 }, + { "ERR_BLKS_CNTR", 0, 14 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_1", 0x35bc8, 0 }, + { "LANE_ALIGN_STAT", 12, 1 }, + { "Lane_7_blck_lck", 7, 1 }, + { "Lane_6_blck_lck", 6, 1 }, + { "Lane_5_blck_lck", 5, 1 }, + { "Lane_4_blck_lck", 4, 1 }, + { "Lane_3_blck_lck", 3, 1 }, + { "Lane_2_blck_lck", 2, 1 }, + { "Lane_1_blck_lck", 1, 1 }, + { "Lane_0_blck_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_2", 0x35bcc, 0 }, + { "Lane_19_blck_lck", 11, 1 }, + { "Lane_18_blck_lck", 10, 1 }, + { "Lane_17_blck_lck", 9, 1 }, + { "Lane_16_blck_lck", 8, 1 }, + { "Lane_15_blck_lck", 7, 1 }, + { "Lane_14_blck_lck", 6, 1 }, + { "Lane_13_blck_lck", 5, 1 }, + { "Lane_12_blck_lck", 4, 1 }, + { "Lane_11_blck_lck", 3, 1 }, + { "Lane_10_blck_lck", 2, 1 }, + { "Lane_9_blck_lck", 1, 1 }, + { "Lane_8_blck_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_3", 0x35bd0, 0 }, + { "Lane7_algn_mrkr_lck", 7, 1 }, + { "Lane6_algn_mrkr_lck", 6, 1 }, + { "Lane5_algn_mrkr_lck", 5, 1 }, + { "Lane4_algn_mrkr_lck", 4, 1 }, + { "Lane3_algn_mrkr_lck", 3, 1 }, + { "Lane2_algn_mrkr_lck", 2, 1 }, + { "Lane1_algn_mrkr_lck", 1, 1 }, + { "Lane0_algn_mrkr_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_MULTI_LANE_ALIGN_STATUS_4", 0x35bd4, 0 }, + { "Lane19_algn_mrkr_lck", 11, 1 }, + { "Lane18_algn_mrkr_lck", 10, 1 }, + { "Lane17_algn_mrkr_lck", 9, 1 }, + { "Lane16_algn_mrkr_lck", 8, 1 }, + { "Lane15_algn_mrkr_lck", 7, 1 }, + { "Lane14_algn_mrkr_lck", 6, 1 }, + { "Lane13_algn_mrkr_lck", 5, 1 }, + { "Lane12_algn_mrkr_lck", 4, 1 }, + { "Lane11_algn_mrkr_lck", 3, 1 }, + { "Lane10_algn_mrkr_lck", 2, 1 }, + { "Lane9_algn_mrkr_lck", 1, 1 }, + { "Lane8_algn_mrkr_lck", 0, 1 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_0", 0x35e20, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_1", 0x35e24, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_2", 0x35e28, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_3", 0x35e2c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_4", 0x35e30, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_5", 0x35e34, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_6", 0x35e38, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_7", 0x35e3c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_8", 0x35e40, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_9", 0x35e44, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_10", 0x35e48, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_11", 0x35e4c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_12", 0x35e50, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_13", 0x35e54, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_14", 0x35e58, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_15", 0x35e5c, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_16", 0x35e60, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_17", 0x35e64, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_18", 0x35e68, 0 }, + { "MAC_PORT_MTIP_CR4_BIP_ERR_CNTLANE_19", 0x35e6c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_0_MAPPING", 0x36140, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_1_MAPPING", 0x36144, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_2_MAPPING", 0x36148, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_3_MAPPING", 0x3614c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_4_MAPPING", 0x36150, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_5_MAPPING", 0x36154, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_6_MAPPING", 0x36158, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_7_MAPPING", 0x3615c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_8_MAPPING", 0x36160, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_9_MAPPING", 0x36164, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_10_MAPPING", 0x36168, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_11_MAPPING", 0x3616c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_12_MAPPING", 0x36170, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_13_MAPPING", 0x36174, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_14_MAPPING", 0x36178, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_15_MAPPING", 0x3617c, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_16_MAPPING", 0x36180, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_17_MAPPING", 0x36184, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_18_MAPPING", 0x36188, 0 }, + { "MAC_PORT_MTIP_CR4_LANE_19_MAPPING", 0x3618c, 0 }, + { "MAC_PORT_MTIP_CR4_SCRATCH", 0x361f0, 0 }, + { "MAC_PORT_MTIP_CR4_CORE_REVISION", 0x361f4, 0 }, + { "MAC_PORT_MTIP_RS_FEC_CONTROL", 0x36200, 0 }, + { "RS_FEC_Bypass_Error_Indication", 1, 1 }, + { "RS_FEC_Bypass_Correction", 0, 1 }, + { "MAC_PORT_MTIP_RS_FEC_STATUS", 0x36204, 0 }, + { "RS_FEC_PCS_align_status", 15, 1 }, + { "fec_align_status", 14, 1 }, + { "RS_FEC_high_SER", 2, 1 }, + { "RS_FEC_bypass_error_indication_ability", 1, 1 }, + { "RS_FEC_bypass_correction_ability", 0, 1 }, + { "MAC_PORT_MTIP_RS_FEC_CCW_LO", 0x36208, 0 }, + { "MAC_PORT_MTIP_RS_FEC_CCW_HI", 0x3620c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_NCCW_LO", 0x36210, 0 }, + { "MAC_PORT_MTIP_RS_FEC_NCCW_HI", 0x36214, 0 }, + { "MAC_PORT_MTIP_RS_FEC_LANEMAPRS_FEC_NCCW_HI", 0x36218, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_LO", 0x36228, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR0_HI", 0x3622c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_LO", 0x36230, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR1_HI", 0x36234, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_LO", 0x36238, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR2_HI", 0x3623c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_LO", 0x36240, 0 }, + { "MAC_PORT_MTIP_RS_FEC_SYMBLERR3_HI", 0x36244, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_CONTROL", 0x36400, 0 }, + { "RS_FEC_enabled_status", 15, 1 }, + { "RS_FEC_Enable", 2, 1 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_1", 0x36404, 0 }, + { "deskew_empty", 12, 4 }, + { "fec_align_status_lh", 10, 1 }, + { "tx_dp_overflow", 9, 1 }, + { "rx_dp_overflow", 8, 1 }, + { "tx_datapath_restart", 7, 1 }, + { "rx_datapath_restart", 6, 1 }, + { "marker_check_restart", 5, 1 }, + { "fec_align_status_ll", 4, 1 }, + { "amps_lock", 0, 4 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_INFO_2", 0x36408, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_REVISION", 0x3640c, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_KEY", 0x36410, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_SYMBOLS", 0x36414, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_PATTERN", 0x36418, 0 }, + { "MAC_PORT_MTIP_RS_FEC_VENDOR_TX_TEST_TRIGGER", 0x3641c, 0 }, + { "MAC_PORT_MTIP_FEC_ABILITY", 0x36618, 0 }, + { "BASE_R_FEC_Error_Indication_Ability", 1, 1 }, + { "BASE_R_FEC_Ability", 0, 1 }, + { "MAC_PORT_FEC_CONTROL", 0x3661c, 0 }, + { "fec_en_err_ind", 1, 1 }, + { "fec_en", 0, 1 }, + { "MAC_PORT_FEC_STATUS", 0x36620, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC0_CERR_CNT_0", 0x36624, 0 }, + { "MAC_PORT_MTIP_FEC0_CERR_CNT_1", 0x36628, 0 }, + { "MAC_PORT_MTIP_FEC0_NCERR_CNT_0", 0x3662c, 0 }, + { "MAC_PORT_MTIP_FEC0_NCERR_CNT_1", 0x36630, 0 }, + { "MAC_PORT_MTIP_FEC_STATUS1", 0x36664, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC1_CERR_CNT_0", 0x36668, 0 }, + { "MAC_PORT_MTIP_FEC1_CERR_CNT_1", 0x3666c, 0 }, + { "MAC_PORT_MTIP_FEC1_NCERR_CNT_0", 0x36670, 0 }, + { "MAC_PORT_MTIP_FEC1_NCERR_CNT_1", 0x36674, 0 }, + { "MAC_PORT_MTIP_FEC_STATUS2", 0x366a8, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC2_CERR_CNT_0", 0x366ac, 0 }, + { "MAC_PORT_MTIP_FEC2_CERR_CNT_1", 0x366b0, 0 }, + { "MAC_PORT_MTIP_FEC2_NCERR_CNT_0", 0x366b4, 0 }, + { "MAC_PORT_MTIP_FEC2_NCERR_CNT_1", 0x366b8, 0 }, + { "MAC_PORT_MTIP_FEC_STATUS3", 0x366ec, 0 }, + { "FEC_LOCKED0", 1, 4 }, + { "FEC_LOCKED", 0, 1 }, + { "MAC_PORT_MTIP_FEC3_CERR_CNT_0", 0x366f0, 0 }, + { "MAC_PORT_MTIP_FEC3_CERR_CNT_1", 0x366f4, 0 }, + { "MAC_PORT_MTIP_FEC3_NCERR_CNT_0", 0x366f8, 0 }, + { "MAC_PORT_MTIP_FEC3_NCERR_CNT_1", 0x366fc, 0 }, + { "MAC_PORT_BEAN_CTL", 0x36c00, 0 }, + { "AN_RESET", 15, 1 }, + { "EXT_NXP_CTRL", 13, 1 }, + { "BEAN_EN", 12, 1 }, + { "RESTART_BEAN", 9, 1 }, + { "MAC_PORT_BEAN_STATUS", 0x36c04, 0 }, + { "PDF", 9, 1 }, + { "EXT_NXP_STATUS", 7, 1 }, + { "PAGE_RCVD", 6, 1 }, + { "BEAN_COMPLETE", 5, 1 }, + { "REM_FAULT_STATUS", 4, 1 }, + { "BEAN_ABILITY", 3, 1 }, + { "LINK_STATUS", 2, 1 }, + { "LP_BEAN_ABILITY", 0, 1 }, + { "MAC_PORT_BEAN_ABILITY_0", 0x36c08, 0 }, + { "NXP", 15, 1 }, + { "ACK", 14, 1 }, + { "REM_FAULT", 13, 1 }, + { "PAUSE_ABILITY", 10, 3 }, + { "ECHO_NONCE", 5, 5 }, + { "SELECTOR", 0, 5 }, + { "MAC_PORT_BEAN_ABILITY_1", 0x36c0c, 0 }, + { "TECH_ABILITY_1", 5, 11 }, + { "TX_NONCE", 0, 5 }, + { "MAC_PORT_BEAN_ABILITY_2", 0x36c10, 0 }, + { "T5_FEC_ABILITY", 14, 2 }, + { "TECH_ABILITY_2", 0, 14 }, + { "MAC_PORT_BEAN_REM_ABILITY_0", 0x36c14, 0 }, + { "NXP", 15, 1 }, + { "ACK", 14, 1 }, + { "REM_FAULT", 13, 1 }, + { "PAUSE_ABILITY", 10, 3 }, + { "ECHO_NONCE", 5, 5 }, + { "SELECTOR", 0, 5 }, + { "MAC_PORT_BEAN_REM_ABILITY_1", 0x36c18, 0 }, + { "TECH_ABILITY_1", 5, 11 }, + { "TX_NONCE", 0, 5 }, + { "MAC_PORT_BEAN_REM_ABILITY_2", 0x36c1c, 0 }, + { "T5_FEC_ABILITY", 14, 2 }, + { "TECH_ABILITY_2", 0, 14 }, + { "MAC_PORT_BEAN_MS_COUNT", 0x36c20, 0 }, + { "MAC_PORT_BEAN_XNP_0", 0x36c24, 0 }, + { "XNP", 15, 1 }, + { "ACKNOWLEDGE", 14, 1 }, + { "MP", 13, 1 }, + { "ACK2", 12, 1 }, + { "TOGGLE", 11, 1 }, + { "MU", 0, 11 }, + { "MAC_PORT_BEAN_XNP_1", 0x36c28, 0 }, + { "MAC_PORT_BEAN_XNP_2", 0x36c2c, 0 }, + { "MAC_PORT_LP_BEAN_XNP_0", 0x36c30, 0 }, + { "XNP", 15, 1 }, + { "ACKNOWLEDGE", 14, 1 }, + { "MP", 13, 1 }, + { "ACK2", 12, 1 }, + { "TOGGLE", 11, 1 }, + { "MU", 0, 11 }, + { "MAC_PORT_LP_BEAN_XNP_1", 0x36c34, 0 }, + { "MAC_PORT_LP_BEAN_XNP_2", 0x36c38, 0 }, + { "MAC_PORT_BEAN_ETH_STATUS", 0x36c3c, 0 }, + { "100GCR4", 11, 1 }, + { "100GKR4", 10, 1 }, + { "100GKP4", 9, 1 }, + { "100GCR10", 8, 1 }, + { "40GCR4", 6, 1 }, + { "40GKR4", 5, 1 }, + { "FEC", 4, 1 }, + { "10GKR", 3, 1 }, + { "10GKX4", 2, 1 }, + { "1GKX", 1, 1 }, + { "MAC_PORT_AE_RX_COEF_REQ", 0x36a00, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT", 0x36a04, 0 }, + { "T5_AE0_RXSTAT_RDY", 15, 1 }, + { "T5_AE0_RXSTAT_LSNA", 14, 1 }, + { "T5_AE0_RXSTAT_FEC", 13, 1 }, + { "T5_AE0_RXSTAT_TF", 12, 1 }, + { "T5_AE0_RXSTAT_C3", 6, 2 }, + { "T5_AE0_RXSTAT_C2", 4, 2 }, + { "T5_AE0_RXSTAT_C1", 2, 2 }, + { "T5_AE0_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ", 0x36a08, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT", 0x36a0c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE", 0x36a10, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL", 0x36a14, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL", 0x36a18, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE", 0x36a1c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_RX_COEF_REQ_1", 0x36a20, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT_1", 0x36a24, 0 }, + { "T5_AE1_RXSTAT_RDY", 15, 1 }, + { "T5_AE1_RXSTAT_LSNA", 14, 1 }, + { "T5_AE1_RXSTAT_FEC", 13, 1 }, + { "T5_AE1_RXSTAT_TF", 12, 1 }, + { "T5_AE1_RXSTAT_C3", 6, 2 }, + { "T5_AE1_RXSTAT_C2", 4, 2 }, + { "T5_AE1_RXSTAT_C1", 2, 2 }, + { "T5_AE1_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ_1", 0x36a28, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT_1", 0x36a2c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE_1", 0x36a30, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL_1", 0x36a34, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL_1", 0x36a38, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE_1", 0x36a3c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_RX_COEF_REQ_2", 0x36a40, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT_2", 0x36a44, 0 }, + { "T5_AE2_RXSTAT_RDY", 15, 1 }, + { "T5_AE2_RXSTAT_LSNA", 14, 1 }, + { "T5_AE2_RXSTAT_FEC", 13, 1 }, + { "T5_AE2_RXSTAT_TF", 12, 1 }, + { "T5_AE2_RXSTAT_C3", 6, 2 }, + { "T5_AE2_RXSTAT_C2", 4, 2 }, + { "T5_AE2_RXSTAT_C1", 2, 2 }, + { "T5_AE2_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ_2", 0x36a48, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT_2", 0x36a4c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE_2", 0x36a50, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL_2", 0x36a54, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL_2", 0x36a58, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE_2", 0x36a5c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_RX_COEF_REQ_3", 0x36a60, 0 }, + { "RXREQ_CPRE", 13, 1 }, + { "RXREQ_CINIT", 12, 1 }, + { "T5_RXREQ_C3", 6, 2 }, + { "T5_RXREQ_C2", 4, 2 }, + { "T5_RXREQ_C1", 2, 2 }, + { "T5_RXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_RX_COEF_STAT_3", 0x36a64, 0 }, + { "T5_AE3_RXSTAT_RDY", 15, 1 }, + { "T5_AE3_RXSTAT_LSNA", 14, 1 }, + { "T5_AE3_RXSTAT_FEC", 13, 1 }, + { "T5_AE3_RXSTAT_TF", 12, 1 }, + { "T5_AE3_RXSTAT_C3", 6, 2 }, + { "T5_AE3_RXSTAT_C2", 4, 2 }, + { "T5_AE3_RXSTAT_C1", 2, 2 }, + { "T5_AE3_RXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_REQ_3", 0x36a68, 0 }, + { "TXREQ_CPRE", 13, 1 }, + { "TXREQ_CINIT", 12, 1 }, + { "TXREQ_FEC", 11, 1 }, + { "T5_TXREQ_C3", 6, 2 }, + { "T5_TXREQ_C2", 4, 2 }, + { "T5_TXREQ_C1", 2, 2 }, + { "T5_TXREQ_C0", 0, 2 }, + { "MAC_PORT_AE_TX_COEF_STAT_3", 0x36a6c, 0 }, + { "TXSTAT_RDY", 15, 1 }, + { "T5_TXSTAT_C3", 6, 2 }, + { "T5_TXSTAT_C2", 4, 2 }, + { "T5_TXSTAT_C1", 2, 2 }, + { "T5_TXSTAT_C0", 0, 2 }, + { "MAC_PORT_AE_REG_MODE_3", 0x36a70, 0 }, + { "SET_WAIT_TIMER", 13, 2 }, + { "C2_C3_STATE_SEL", 12, 1 }, + { "FFE4_EN", 11, 1 }, + { "FEC_REQUEST", 10, 1 }, + { "FEC_SUPPORTED", 9, 1 }, + { "TX_FIXED", 8, 1 }, + { "AET_RSVD", 7, 1 }, + { "AET_ENABLE", 6, 1 }, + { "MAN_DEC", 4, 2 }, + { "MANUAL_RDY", 3, 1 }, + { "MWT_DISABLE", 2, 1 }, + { "MDIO_OVR", 1, 1 }, + { "STICKY_MODE", 0, 1 }, + { "MAC_PORT_AE_PRBS_CTL_3", 0x36a74, 0 }, + { "PRBS_CHK_ERRCNT", 8, 8 }, + { "PRBS_SYNCCNT", 5, 3 }, + { "PRBS_CHK_SYNC", 4, 1 }, + { "PRBS_CHK_RST", 3, 1 }, + { "PRBS_CHK_OFF", 2, 1 }, + { "PRBS_GEN_FRCERR", 1, 1 }, + { "PRBS_GEN_OFF", 0, 1 }, + { "MAC_PORT_AE_FSM_CTL_3", 0x36a78, 0 }, + { "CIN_ENABLE", 15, 1 }, + { "FSM_TR_LCL", 14, 1 }, + { "FSM_GDMRK", 11, 3 }, + { "FSM_BADMRK", 8, 3 }, + { "FSM_TR_FAIL", 7, 1 }, + { "FSM_TR_ACT", 6, 1 }, + { "FSM_FRM_LCK", 5, 1 }, + { "FSM_TR_COMP", 4, 1 }, + { "MC_RX_RDY", 3, 1 }, + { "FSM_CU_DIS", 2, 1 }, + { "FSM_TR_RST", 1, 1 }, + { "FSM_TR_EN", 0, 1 }, + { "MAC_PORT_AE_FSM_STATE_3", 0x36a7c, 0 }, + { "CC2FSM_STATE", 13, 3 }, + { "CC1FSM_STATE", 10, 3 }, + { "CC0FSM_STATE", 7, 3 }, + { "FLFSM_STATE", 4, 3 }, + { "TFSM_STATE", 0, 3 }, + { "MAC_PORT_AE_TX_DIS", 0x36a80, 0 }, + { "MAC_PORT_AE_KR_CTRL", 0x36a84, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET", 0x36a88, 0 }, + { "MAC_PORT_AE_KR_STATUS", 0x36a8c, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AE_TX_DIS_1", 0x36a90, 0 }, + { "MAC_PORT_AE_KR_CTRL_1", 0x36a94, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET_1", 0x36a98, 0 }, + { "MAC_PORT_AE_KR_STATUS_1", 0x36a9c, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AE_TX_DIS_2", 0x36aa0, 0 }, + { "MAC_PORT_AE_KR_CTRL_2", 0x36aa4, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET_2", 0x36aa8, 0 }, + { "MAC_PORT_AE_KR_STATUS_2", 0x36aac, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AE_TX_DIS_3", 0x36ab0, 0 }, + { "MAC_PORT_AE_KR_CTRL_3", 0x36ab4, 0 }, + { "Training_Enable", 1, 1 }, + { "Restart_Training", 0, 1 }, + { "MAC_PORT_AE_RX_SIGDET_3", 0x36ab8, 0 }, + { "MAC_PORT_AE_KR_STATUS_3", 0x36abc, 0 }, + { "Training_Failure", 3, 1 }, + { "Training", 2, 1 }, + { "Frame_Lock", 1, 1 }, + { "RX_Trained", 0, 1 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_0", 0x36b00, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_0", 0x36b04, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_0", 0x36b08, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_0", 0x36b0c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_0", 0x36b10, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_20", 0x36b14, 0 }, + { "MAC_PORT_AET_LIMITS0", 0x36b18, 0 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_1", 0x36b20, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_1", 0x36b24, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_1", 0x36b28, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_1", 0x36b2c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_1", 0x36b30, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_21", 0x36b34, 0 }, + { "MAC_PORT_AET_LIMITS1", 0x36b38, 0 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_2", 0x36b40, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_2", 0x36b44, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_2", 0x36b48, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_2", 0x36b4c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_2", 0x36b50, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_22", 0x36b54, 0 }, + { "MAC_PORT_AET_LIMITS2", 0x36b58, 0 }, + { "MAC_PORT_AET_STAGE_CONFIGURATION_3", 0x36b60, 0 }, + { "INIT_METH", 12, 4 }, + { "INIT_CNT", 8, 4 }, + { "EN_ZFE", 7, 1 }, + { "EN_GAIN_TOG", 6, 1 }, + { "EN_AI_N0", 5, 1 }, + { "EN_H1T_EQ", 3, 1 }, + { "H1TEQ_GOAL", 0, 3 }, + { "MAC_PORT_AET_SIGNAL_LOSS_DETECTION_3", 0x36b64, 0 }, + { "FEC_CNV", 15, 1 }, + { "EN_RETRY", 14, 1 }, + { "DPC_METH", 12, 2 }, + { "EN_P2", 11, 1 }, + { "GAIN_TH", 6, 5 }, + { "EN_SD_TH", 5, 1 }, + { "EN_AMIN_TH", 4, 1 }, + { "AMIN_TH", 0, 4 }, + { "MAC_PORT_AET_ZFE_LIMITS_3", 0x36b68, 0 }, + { "ACC_LIM", 8, 4 }, + { "CNV_LIM", 4, 4 }, + { "TOG_LIM", 0, 4 }, + { "MAC_PORT_AET_BOOTSTRAP_LOOKUP_TABLE_3", 0x36b6c, 0 }, + { "BOOT_LUT7", 12, 4 }, + { "BOOT_LUT5", 8, 4 }, + { "BOOT_LUT45", 4, 4 }, + { "BOOT_LUT0123", 2, 2 }, + { "BOOT_DEC_C0", 1, 1 }, + { "MAC_PORT_AET_STATUS_3", 0x36b70, 0 }, + { "CTRL_STAT", 8, 5 }, + { "NEU_STATE", 4, 4 }, + { "CTRL_STATE", 0, 4 }, + { "MAC_PORT_AET_STATUS_23", 0x36b74, 0 }, + { "MAC_PORT_AET_LIMITS3", 0x36b78, 0 }, + { "MAC_PORT_ANALOG_TEST_MUX", 0x37814, 0 }, + { "MAC_PORT_PLLREFSEL_CONTROL", 0x37854, 0 }, + { "MAC_PORT_REFISINK_CONTROL", 0x37858, 0 }, + { "MAC_PORT_REFISRC_CONTROL", 0x3785c, 0 }, + { "MAC_PORT_REFVREG_CONTROL", 0x37860, 0 }, + { "MAC_PORT_VBGENDOC_CONTROL", 0x37864, 0 }, + { "BGCLKSEL", 2, 1 }, + { "VBGENDOC", 0, 2 }, + { "MAC_PORT_VREFTUNE_CONTROL", 0x37868, 0 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_CONTROL", 0x37880, 0 }, + { "FRCCAL_COMP", 6, 1 }, + { "FRCERR", 5, 1 }, + { "CAL_BISTENAB", 4, 1 }, + { "RCAL_RESET", 0, 1 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_1", 0x37884, 0 }, + { "RCALBENAB", 3, 1 }, + { "RCALBUSY", 2, 1 }, + { "RCALERR", 1, 1 }, + { "RCALCOMP", 0, 1 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_2", 0x37888, 0 }, + { "MAC_PORT_IMPEDENCE_CALIBRATION_STATUS_3", 0x3788c, 0 }, + { "MAC_PORT_INEQUALITY_CONTROL_AND_RESULT", 0x378c0, 0 }, + { "ISGT", 7, 1 }, + { "ISLT", 6, 1 }, + { "ISEQ", 5, 1 }, + { "ISVAL", 3, 2 }, + { "GTORLT", 1, 2 }, + { "INEQ", 0, 1 }, + { "MAC_PORT_INEQUALITY_LOW_LIMIT", 0x378c4, 0 }, + { "MAC_PORT_INEQUALITY_LOW_LIMIT_MASK", 0x378c8, 0 }, + { "MAC_PORT_INEQUALITY_HIGH_LIMIT", 0x378cc, 0 }, + { "MAC_PORT_INEQUALITY_HIGH_LIMIT_MASK", 0x378d0, 0 }, + { "MAC_PORT_MACRO_TEST_CONTROL_6", 0x378e8, 0 }, + { "JTAGMD", 3, 1 }, + { "RXACMODE", 2, 1 }, + { "HSSACJPC", 1, 1 }, + { "HSSACJAC", 0, 1 }, + { "MAC_PORT_MACRO_TEST_CONTROL_5", 0x378ec, 0 }, + { "REFVALIDD", 6, 1 }, + { "REFVALIDC", 5, 1 }, + { "REFVALIDB", 4, 1 }, + { "REFVALIDA", 3, 1 }, + { "REFSELRESET", 2, 1 }, + { "SOFTRESET", 1, 1 }, + { "MACROTEST", 0, 1 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_0", 0x37b00, 0 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_1", 0x37b04, 0 }, + { "LDET", 4, 1 }, + { "CCERR", 3, 1 }, + { "CCCMP", 2, 1 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_2", 0x37b08, 0 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_3", 0x37b0c, 0 }, + { "FMIN", 3, 1 }, + { "FMAX", 2, 1 }, + { "CVHOLD", 1, 1 }, + { "MAC_PORT_PLLA_VCO_COARSE_CALIBRATION_4", 0x37b10, 0 }, + { "CMETH", 2, 1 }, + { "RECAL", 1, 1 }, + { "CCLD", 0, 1 }, + { "MAC_PORT_PLLA_POWER_CONTROL", 0x37b24, 0 }, + { "SPWRENA", 1, 1 }, + { "NPWRENA", 0, 1 }, + { "MAC_PORT_PLLA_CHARGE_PUMP_CONTROL", 0x37b28, 0 }, + { "MAC_PORT_PLLA_PLL_MICELLANEOUS_CONTROL", 0x37b38, 0 }, + { "MAC_PORT_PLLA_PCLK_CONTROL", 0x37b3c, 0 }, + { "SPEDIV", 3, 5 }, + { "PCKSEL", 0, 3 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_CONTROL", 0x37b40, 0 }, + { "EMIL", 2, 1 }, + { "EMID", 1, 1 }, + { "EMIS", 0, 1 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_1", 0x37b44, 0 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_2", 0x37b48, 0 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_3", 0x37b4c, 0 }, + { "MAC_PORT_PLLA_EYE_METRICS_INTERVAL_LIMIT_4", 0x37b50, 0 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_4", 0x37bf0, 0 }, + { "PLLDIVA", 4, 1 }, + { "REFDIV", 0, 4 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_3", 0x37bf4, 0 }, + { "RESYNC", 6, 1 }, + { "RXCLKSEL", 5, 1 }, + { "FRCBAND", 4, 1 }, + { "PLLBYP", 3, 1 }, + { "VCOSEL", 1, 1 }, + { "DIVSEL8", 0, 1 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_2", 0x37bf8, 0 }, + { "MAC_PORT_PLLA_MACRO_TEST_CONTROL_1", 0x37bfc, 0 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_0", 0x37c00, 0 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_1", 0x37c04, 0 }, + { "LDET", 4, 1 }, + { "CCERR", 3, 1 }, + { "CCCMP", 2, 1 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_2", 0x37c08, 0 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_3", 0x37c0c, 0 }, + { "FMIN", 3, 1 }, + { "FMAX", 2, 1 }, + { "CVHOLD", 1, 1 }, + { "MAC_PORT_PLLB_VCO_COARSE_CALIBRATION_4", 0x37c10, 0 }, + { "CMETH", 2, 1 }, + { "RECAL", 1, 1 }, + { "CCLD", 0, 1 }, + { "MAC_PORT_PLLB_POWER_CONTROL", 0x37c24, 0 }, + { "SPWRENA", 1, 1 }, + { "NPWRENA", 0, 1 }, + { "MAC_PORT_PLLB_CHARGE_PUMP_CONTROL", 0x37c28, 0 }, + { "MAC_PORT_PLLB_PLL_MICELLANEOUS_CONTROL", 0x37c38, 0 }, + { "MAC_PORT_PLLB_PCLK_CONTROL", 0x37c3c, 0 }, + { "SPEDIV", 3, 5 }, + { "PCKSEL", 0, 3 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_CONTROL", 0x37c40, 0 }, + { "EMIL", 2, 1 }, + { "EMID", 1, 1 }, + { "EMIS", 0, 1 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_1", 0x37c44, 0 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_2", 0x37c48, 0 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_3", 0x37c4c, 0 }, + { "MAC_PORT_PLLB_EYE_METRICS_INTERVAL_LIMIT_4", 0x37c50, 0 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_4", 0x37cf0, 0 }, + { "PLLDIVA", 4, 1 }, + { "REFDIV", 0, 4 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_3", 0x37cf4, 0 }, + { "RESYNC", 6, 1 }, + { "RXCLKSEL", 5, 1 }, + { "FRCBAND", 4, 1 }, + { "PLLBYP", 3, 1 }, + { "VCOSEL", 1, 1 }, + { "DIVSEL8", 0, 1 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_2", 0x37cf8, 0 }, + { "MAC_PORT_PLLB_MACRO_TEST_CONTROL_1", 0x37cfc, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_CONFIGURATION_MODE", 0x37000, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TEST_CONTROL", 0x37004, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_COEFFICIENT_CONTROL", 0x37008, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_MODE_CONTROL", 0x3700c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37010, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37014, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37018, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3701c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_0_COEFFICIENT", 0x37020, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_1_COEFFICIENT", 0x37024, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_2_COEFFICIENT", 0x37028, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_3_COEFFICIENT", 0x3702c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_POLARITY", 0x37034, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37038, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3703c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37040, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37044, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37048, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3704c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37050, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37058, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_4X_SEGMENT_APPLIED", 0x37060, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_2X_SEGMENT_APPLIED", 0x37064, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_1X_SEGMENT_APPLIED", 0x37068, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3706c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37070, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37074, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37078, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3707c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37080, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37084, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37088, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3708c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AZ_CONTROL", 0x3709c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_CONTROL", 0x370a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_OVERRIDE", 0x370a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_APPLIED", 0x370a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_DCC_TIME_OUT", 0x370ac, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SIGN_OVERRIDE", 0x370c0, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x370c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x370cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x370d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x370d8, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x370dc, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x370e0, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_5", 0x370ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_4", 0x370f0, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_3", 0x370f4, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_2", 0x370f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_MACRO_TEST_CONTROL_1", 0x370fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 }, + { "MAC_PORT_TX_LINKA_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_CONFIGURATION_MODE", 0x37100, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TEST_CONTROL", 0x37104, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_COEFFICIENT_CONTROL", 0x37108, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_MODE_CONTROL", 0x3710c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37110, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37114, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37118, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3711c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_0_COEFFICIENT", 0x37120, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_1_COEFFICIENT", 0x37124, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_2_COEFFICIENT", 0x37128, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_3_COEFFICIENT", 0x3712c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_POLARITY", 0x37134, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37138, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3713c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37140, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37144, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37148, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3714c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37150, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37158, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_4X_SEGMENT_APPLIED", 0x37160, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_2X_SEGMENT_APPLIED", 0x37164, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_1X_SEGMENT_APPLIED", 0x37168, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3716c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37170, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37174, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37178, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3717c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37180, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37184, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37188, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3718c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AZ_CONTROL", 0x3719c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_CONTROL", 0x371a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_OVERRIDE", 0x371a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_APPLIED", 0x371a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_DCC_TIME_OUT", 0x371ac, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SIGN_OVERRIDE", 0x371c0, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x371c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x371cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x371d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x371d8, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x371dc, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x371e0, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_5", 0x371ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_4", 0x371f0, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_3", 0x371f4, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_2", 0x371f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_MACRO_TEST_CONTROL_1", 0x371fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 }, + { "MAC_PORT_TX_LINKB_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_CONFIGURATION_MODE", 0x37400, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TEST_CONTROL", 0x37404, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_COEFFICIENT_CONTROL", 0x37408, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_MODE_CONTROL", 0x3740c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37410, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37414, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37418, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3741c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_0_COEFFICIENT", 0x37420, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_1_COEFFICIENT", 0x37424, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_2_COEFFICIENT", 0x37428, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_3_COEFFICIENT", 0x3742c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_POLARITY", 0x37434, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37438, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3743c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37440, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37444, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37448, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3744c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37450, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37458, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_4X_SEGMENT_APPLIED", 0x37460, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_2X_SEGMENT_APPLIED", 0x37464, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_1X_SEGMENT_APPLIED", 0x37468, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3746c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37470, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37474, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37478, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3747c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37480, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37484, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37488, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3748c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AZ_CONTROL", 0x3749c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_CONTROL", 0x374a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_OVERRIDE", 0x374a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_APPLIED", 0x374a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_DCC_TIME_OUT", 0x374ac, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SIGN_OVERRIDE", 0x374c0, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x374c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x374cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x374d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x374d8, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x374dc, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x374e0, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_5", 0x374ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_4", 0x374f0, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_3", 0x374f4, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_2", 0x374f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_MACRO_TEST_CONTROL_1", 0x374fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 }, + { "MAC_PORT_TX_LINKC_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_CONFIGURATION_MODE", 0x37500, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TEST_CONTROL", 0x37504, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_COEFFICIENT_CONTROL", 0x37508, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_MODE_CONTROL", 0x3750c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37510, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37514, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37518, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3751c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_0_COEFFICIENT", 0x37520, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_1_COEFFICIENT", 0x37524, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_2_COEFFICIENT", 0x37528, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_3_COEFFICIENT", 0x3752c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_POLARITY", 0x37534, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37538, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3753c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37540, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37544, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37548, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3754c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37550, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37558, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_4X_SEGMENT_APPLIED", 0x37560, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_2X_SEGMENT_APPLIED", 0x37564, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_1X_SEGMENT_APPLIED", 0x37568, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3756c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37570, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37574, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37578, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3757c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37580, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37584, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37588, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3758c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AZ_CONTROL", 0x3759c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_CONTROL", 0x375a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_OVERRIDE", 0x375a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_APPLIED", 0x375a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_DCC_TIME_OUT", 0x375ac, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SIGN_OVERRIDE", 0x375c0, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x375c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x375cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x375d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x375d8, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x375dc, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x375e0, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_5", 0x375ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_4", 0x375f0, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_3", 0x375f4, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_2", 0x375f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_MACRO_TEST_CONTROL_1", 0x375fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 }, + { "MAC_PORT_TX_LINKD_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_CONFIGURATION_MODE", 0x37900, 0 }, + { "T5_TX_LINKEN", 15, 1 }, + { "T5_TX_LINKRST", 14, 1 }, + { "T5_TX_CFGWRT", 13, 1 }, + { "T5_TX_CFGPTR", 11, 2 }, + { "T5_TX_CFGEXT", 10, 1 }, + { "T5_TX_CFGACT", 9, 1 }, + { "T5_TX_RSYNCC", 8, 1 }, + { "T5_TX_PLLSEL", 6, 2 }, + { "T5_TX_RXLOOP", 5, 1 }, + { "T5_TX_ENFFE4", 4, 1 }, + { "T5_TX_BWSEL", 2, 2 }, + { "T5_TX_RTSEL", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TEST_CONTROL", 0x37904, 0 }, + { "SPSEL", 11, 3 }, + { "FRCERR", 10, 1 }, + { "ERROR", 9, 1 }, + { "SYNC", 8, 1 }, + { "P7CHK", 5, 1 }, + { "PRST", 4, 1 }, + { "TPGMD", 3, 1 }, + { "TPSEL", 0, 3 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_COEFFICIENT_CONTROL", 0x37908, 0 }, + { "ZCALOVRD", 8, 1 }, + { "SASMODE", 7, 1 }, + { "AEPOL", 6, 1 }, + { "AESRC", 5, 1 }, + { "EQMODE", 4, 1 }, + { "OCOEF", 3, 1 }, + { "COEFRST", 2, 1 }, + { "ALOAD", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_MODE_CONTROL", 0x3790c, 0 }, + { "T5DRVHIZ", 5, 1 }, + { "T5SLEW", 2, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DRIVER_OVERRIDE_CONTROL", 0x37910, 0 }, + { "T5DCCEN", 4, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_ROTATOR_OVERRIDE", 0x37914, 0 }, + { "RSTEP", 15, 1 }, + { "RLOCK", 14, 1 }, + { "RPOS", 8, 6 }, + { "DCLKSAM", 7, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_IMPEDANCE_CALIBRATION_OVERRIDE", 0x37918, 0 }, + { "CALSSTN", 8, 6 }, + { "CALSSTP", 0, 6 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCLK_DRIFT_TOLERANCE", 0x3791c, 0 }, + { "DRTOL", 2, 3 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_0_COEFFICIENT", 0x37920, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_1_COEFFICIENT", 0x37924, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_2_COEFFICIENT", 0x37928, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_3_COEFFICIENT", 0x3792c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_POLARITY", 0x37934, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_COMMAND", 0x37938, 0 }, + { "CPREST", 13, 1 }, + { "CINIT", 12, 1 }, + { "SASCMD", 10, 2 }, + { "C0UPDT", 6, 2 }, + { "C3UPDT", 4, 2 }, + { "C2UPDT", 2, 2 }, + { "C1UPDT", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_ADAPTIVE_EQUALIZATION_STATUS", 0x3793c, 0 }, + { "C0STAT", 6, 2 }, + { "C3STAT", 4, 2 }, + { "C2STAT", 2, 2 }, + { "C1STAT", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_0_COEFFICIENT_OVERRIDE", 0x37940, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_1_COEFFICIENT_OVERRIDE", 0x37944, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_2_COEFFICIENT_OVERRIDE", 0x37948, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_TAP_3_COEFFICIENT_OVERRIDE", 0x3794c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_APPLIED_TUNE_REGISTER", 0x37950, 0 }, + { "ATUNEN", 8, 8 }, + { "ATUNEP", 0, 8 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_ANALOG_DIAGNOSTICS_REGISTER", 0x37958, 0 }, + { "DCCCOMPINV", 8, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_4X_SEGMENT_APPLIED", 0x37960, 0 }, + { "AS4X7", 14, 2 }, + { "AS4X6", 12, 2 }, + { "AS4X5", 10, 2 }, + { "AS4X4", 8, 2 }, + { "AS4X3", 6, 2 }, + { "AS4X2", 4, 2 }, + { "AS4X1", 2, 2 }, + { "AS4X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_2X_SEGMENT_APPLIED", 0x37964, 0 }, + { "AS2X3", 6, 2 }, + { "AS2X2", 4, 2 }, + { "AS2X1", 2, 2 }, + { "AS2X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_1X_SEGMENT_APPLIED", 0x37968, 0 }, + { "AS1X7", 14, 2 }, + { "AS1X6", 12, 2 }, + { "AS1X5", 10, 2 }, + { "AS1X4", 8, 2 }, + { "AS1X3", 6, 2 }, + { "AS1X2", 4, 2 }, + { "AS1X1", 2, 2 }, + { "AS1X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_TERMINATION_APPLIED", 0x3796c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X1X_TERMINATION_APPLIED", 0x37970, 0 }, + { "AT2X", 8, 4 }, + { "AT4X", 0, 8 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_APPLIED_REGISTER", 0x37974, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_DATA", 0x37978, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_EXTENDED_ADDRESS_ADDR", 0x3797c, 0 }, + { "XADDR", 1, 5 }, + { "XWR", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_1_0", 0x37980, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_3_2", 0x37984, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_5_4", 0x37988, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_PATTERN_BUFFER_BYTES_7_6", 0x3798c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AZ_CONTROL", 0x3799c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_CONTROL", 0x379a0, 0 }, + { "DCCTIMEDOUT", 15, 1 }, + { "DCCTIMEEN", 13, 2 }, + { "DCCLOCK", 11, 2 }, + { "DCCOFFSET", 8, 3 }, + { "DCCSTEP", 6, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_OVERRIDE", 0x379a4, 0 }, + { "DCCOUT", 12, 1 }, + { "DCCCLK", 11, 1 }, + { "DCCHOLD", 10, 1 }, + { "DCCSIGN", 8, 2 }, + { "DCCAMP", 1, 7 }, + { "DCCOEN", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_APPLIED", 0x379a8, 0 }, + { "DCCASIGN", 7, 2 }, + { "DCCAAMP", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_DCC_TIME_OUT", 0x379ac, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SIGN_OVERRIDE", 0x379c0, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_4X_OVERRIDE", 0x379c8, 0 }, + { "OS4X7", 14, 2 }, + { "OS4X6", 12, 2 }, + { "OS4X5", 10, 2 }, + { "OS4X4", 8, 2 }, + { "OS4X3", 6, 2 }, + { "OS4X2", 4, 2 }, + { "OS4X1", 2, 2 }, + { "OS4X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_2X_OVERRIDE", 0x379cc, 0 }, + { "OS2X3", 6, 2 }, + { "OS2X2", 4, 2 }, + { "OS2X1", 2, 2 }, + { "OS2X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_SEGMENT_1X_OVERRIDE", 0x379d0, 0 }, + { "OS1X7", 14, 2 }, + { "OS1X6", 12, 2 }, + { "OS1X5", 10, 2 }, + { "OS1X4", 8, 2 }, + { "OS1X3", 6, 2 }, + { "OS1X2", 4, 2 }, + { "OS1X1", 2, 2 }, + { "OS1X0", 0, 2 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_4X_TERMINATION_OVERRIDE", 0x379d8, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_2X_TERMINATION_OVERRIDE", 0x379dc, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_TAP_SEGMENT_1X_TERMINATION_OVERRIDE", 0x379e0, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_5", 0x379ec, 0 }, + { "ERRORP", 15, 1 }, + { "ERRORN", 14, 1 }, + { "TESTENA", 13, 1 }, + { "TUNEBIT", 10, 3 }, + { "DATAPOS", 8, 2 }, + { "SEGSEL", 3, 5 }, + { "TAPSEL", 1, 2 }, + { "DATASIGN", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_4", 0x379f0, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_3", 0x379f4, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_2", 0x379f8, 0 }, + { "AECMDVAL", 14, 1 }, + { "AECMD1312", 12, 2 }, + { "AECMD70", 0, 8 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_MACRO_TEST_CONTROL_1", 0x379fc, 0 }, + { "SDOVRDEN", 15, 1 }, + { "BSOUTN", 7, 1 }, + { "BSOUTP", 6, 1 }, + { "BSIN", 5, 1 }, + { "JTAGAMPL", 3, 2 }, + { "JTAGTS", 2, 1 }, + { "TS", 1, 1 }, + { "OBS", 0, 1 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_STEP_SIZE_EXTENDED", 0x34000, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_802_3AP_C0_INIT_EXTENDED", 0x34008, 0 }, + { "C0PRESET", 8, 7 }, + { "C0INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_LIMIT_EXTENDED", 0x34010, 0 }, + { "C0MAX", 8, 7 }, + { "C0MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT_EXTENDED", 0x34018, 0 }, + { "C1PRESET", 8, 7 }, + { "C1INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_LIMIT_EXTENDED", 0x34020, 0 }, + { "C1MAX", 8, 7 }, + { "C1MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT_EXTENDED", 0x34028, 0 }, + { "C2PRESET", 8, 7 }, + { "C2INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_LIMIT_EXTENDED", 0x34030, 0 }, + { "C2MAX", 8, 7 }, + { "C2MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_VM_LIMIT_EXTENDED", 0x34038, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_V2_LIMIT_EXTENDED", 0x34040, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT_EXTENDED", 0x34048, 0 }, + { "C3PRESET", 8, 7 }, + { "C3INIT1", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_LIMIT_EXTENDED", 0x34050, 0 }, + { "C3MAX", 8, 7 }, + { "C3MIN", 0, 7 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C0_INIT2_EXTENDED", 0x3405c, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C1_INIT2_EXTENDED", 0x34060, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C2_INIT2_EXTENDED", 0x34068, 0 }, + { "MAC_PORT_TX_LINK_BCST_TRANSMIT_AE_C3_INIT2_EXTENDED", 0x34070, 0 }, + { "MAC_PORT_RX_LINKA_RECEIVER_CONFIGURATION_MODE", 0x37200, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKA_RECEIVER_TEST_CONTROL", 0x37204, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_CONTROL", 0x37208, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_OFFSET_CONTROL", 0x3720c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_1", 0x37210, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_POSITION_2", 0x37214, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37218, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKA_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3721c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKA_DFE_CONTROL", 0x37220, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_1", 0x37224, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKA_DFE_SAMPLE_SNAPSHOT_2", 0x37228, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_1", 0x3722c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_2", 0x37230, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKA_RECEIVER_VGA_CONTROL_3", 0x37234, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKA_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37238, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_1", 0x3723c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKA_RECEIVER_IQAMP_CONTROL_2", 0x37240, 0 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37244, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DACAP_AND_DACAN", 0x37248, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DACA_MIN", 0x3724c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_ADAC_CONTROL", 0x37250, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_CONTROL", 0x37254, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKA_RECEIVER_AC_COUPLING_VALUE", 0x37258, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET", 0x3725c, 0 }, + { "MAC_PORT_RX_LINKA_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37260, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKA_PEAKED_INTEGRATOR", 0x37264, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKA_CDR_ANALOG_SWITCH", 0x37268, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKA_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3726c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKA_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37270, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKA_DYNAMIC_DATA_CENTERING_DDC", 0x37274, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS", 0x37278, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_1", 0x3727c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_2", 0x37280, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_OFFSET_CHANNEL", 0x37284, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKA_DFE_OFFSET_VALUE", 0x37288, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKA_H_COEFFICIENBT_BIST", 0x3728c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKA_AC_CAPACITOR_BIST", 0x37290, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKA_RECEIVER_LOFF_CONTROL_REGISTER", 0x37298, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKA_RECEIVER_SIGDET_CONTROL", 0x3729c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKA_RECEIVER_ANALOG_CONTROL_SWITCH", 0x372a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKA_INTEGRATOR_DAC_OFFSET", 0x372a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_CONTROL", 0x372a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS", 0x372ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x372b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x372b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKA_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x372b8, 0 }, + { "MAC_PORT_RX_LINKA_DFE_FUNCTION_CONTROL_3", 0x372bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKA_DFE_TAP_CONTROL", 0x372c0, 0 }, + { "MAC_PORT_RX_LINKA_DFE_TAP", 0x372c4, 0 }, + { "MAC_PORT_RX_LINKA_DFE_TAP_ENABLE", 0x36a00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKA_DFE_H1", 0x36a04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKA_DFE_H2", 0x36a08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKA_DFE_H3", 0x36a0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H4", 0x36a10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H5", 0x36a14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H6_AND_H7", 0x36a18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H8_AND_H9", 0x36a1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H10_AND_H11", 0x36a20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H12_13", 0x36a24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H14_15", 0x36a28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKA_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36a2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_INTERNAL_STATUS_2", 0x372e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKA_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x372e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DCD_CONTROL", 0x372ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_DCC_CONTROL", 0x372f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_QCC_CONTROL", 0x372f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x372f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKA_RECEIVER_MACRO_TEST_CONTROL_1", 0x372fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINKB_RECEIVER_CONFIGURATION_MODE", 0x37300, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKB_RECEIVER_TEST_CONTROL", 0x37304, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_CONTROL", 0x37308, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_OFFSET_CONTROL", 0x3730c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_1", 0x37310, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_POSITION_2", 0x37314, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37318, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKB_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3731c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKB_DFE_CONTROL", 0x37320, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_1", 0x37324, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKB_DFE_SAMPLE_SNAPSHOT_2", 0x37328, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_1", 0x3732c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_2", 0x37330, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKB_RECEIVER_VGA_CONTROL_3", 0x37334, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKB_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37338, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_1", 0x3733c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKB_RECEIVER_IQAMP_CONTROL_2", 0x37340, 0 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37344, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DACAP_AND_DACAN", 0x37348, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DACA_MIN", 0x3734c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_ADAC_CONTROL", 0x37350, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_CONTROL", 0x37354, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKB_RECEIVER_AC_COUPLING_VALUE", 0x37358, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET", 0x3735c, 0 }, + { "MAC_PORT_RX_LINKB_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37360, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKB_PEAKED_INTEGRATOR", 0x37364, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKB_CDR_ANALOG_SWITCH", 0x37368, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKB_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3736c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKB_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37370, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKB_DYNAMIC_DATA_CENTERING_DDC", 0x37374, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS", 0x37378, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_1", 0x3737c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_2", 0x37380, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_OFFSET_CHANNEL", 0x37384, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKB_DFE_OFFSET_VALUE", 0x37388, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKB_H_COEFFICIENBT_BIST", 0x3738c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKB_AC_CAPACITOR_BIST", 0x37390, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKB_RECEIVER_LOFF_CONTROL_REGISTER", 0x37398, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKB_RECEIVER_SIGDET_CONTROL", 0x3739c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKB_RECEIVER_ANALOG_CONTROL_SWITCH", 0x373a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKB_INTEGRATOR_DAC_OFFSET", 0x373a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_CONTROL", 0x373a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS", 0x373ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x373b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x373b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKB_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x373b8, 0 }, + { "MAC_PORT_RX_LINKB_DFE_FUNCTION_CONTROL_3", 0x373bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKB_DFE_TAP_CONTROL", 0x373c0, 0 }, + { "MAC_PORT_RX_LINKB_DFE_TAP", 0x373c4, 0 }, + { "MAC_PORT_RX_LINKB_DFE_TAP_ENABLE", 0x36b00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKB_DFE_H1", 0x36b04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKB_DFE_H2", 0x36b08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKB_DFE_H3", 0x36b0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H4", 0x36b10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H5", 0x36b14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H6_AND_H7", 0x36b18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H8_AND_H9", 0x36b1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H10_AND_H11", 0x36b20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H12_13", 0x36b24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H14_15", 0x36b28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKB_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36b2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_INTERNAL_STATUS_2", 0x373e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKB_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x373e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DCD_CONTROL", 0x373ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_DCC_CONTROL", 0x373f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_QCC_CONTROL", 0x373f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x373f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKB_RECEIVER_MACRO_TEST_CONTROL_1", 0x373fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINKC_RECEIVER_CONFIGURATION_MODE", 0x37600, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKC_RECEIVER_TEST_CONTROL", 0x37604, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_CONTROL", 0x37608, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_OFFSET_CONTROL", 0x3760c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_1", 0x37610, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_POSITION_2", 0x37614, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37618, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKC_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3761c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKC_DFE_CONTROL", 0x37620, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_1", 0x37624, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKC_DFE_SAMPLE_SNAPSHOT_2", 0x37628, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_1", 0x3762c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_2", 0x37630, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKC_RECEIVER_VGA_CONTROL_3", 0x37634, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKC_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37638, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_1", 0x3763c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKC_RECEIVER_IQAMP_CONTROL_2", 0x37640, 0 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37644, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DACAP_AND_DACAN", 0x37648, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DACA_MIN", 0x3764c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_ADAC_CONTROL", 0x37650, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_CONTROL", 0x37654, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKC_RECEIVER_AC_COUPLING_VALUE", 0x37658, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET", 0x3765c, 0 }, + { "MAC_PORT_RX_LINKC_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37660, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKC_PEAKED_INTEGRATOR", 0x37664, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKC_CDR_ANALOG_SWITCH", 0x37668, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKC_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3766c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKC_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37670, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKC_DYNAMIC_DATA_CENTERING_DDC", 0x37674, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS", 0x37678, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_1", 0x3767c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_2", 0x37680, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_OFFSET_CHANNEL", 0x37684, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKC_DFE_OFFSET_VALUE", 0x37688, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKC_H_COEFFICIENBT_BIST", 0x3768c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKC_AC_CAPACITOR_BIST", 0x37690, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKC_RECEIVER_LOFF_CONTROL_REGISTER", 0x37698, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKC_RECEIVER_SIGDET_CONTROL", 0x3769c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKC_RECEIVER_ANALOG_CONTROL_SWITCH", 0x376a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKC_INTEGRATOR_DAC_OFFSET", 0x376a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_CONTROL", 0x376a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS", 0x376ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x376b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x376b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKC_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x376b8, 0 }, + { "MAC_PORT_RX_LINKC_DFE_FUNCTION_CONTROL_3", 0x376bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKC_DFE_TAP_CONTROL", 0x376c0, 0 }, + { "MAC_PORT_RX_LINKC_DFE_TAP", 0x376c4, 0 }, + { "MAC_PORT_RX_LINKC_DFE_TAP_ENABLE", 0x36e00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKC_DFE_H1", 0x36e04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKC_DFE_H2", 0x36e08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKC_DFE_H3", 0x36e0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H4", 0x36e10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H5", 0x36e14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H6_AND_H7", 0x36e18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H8_AND_H9", 0x36e1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H10_AND_H11", 0x36e20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H12_13", 0x36e24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H14_15", 0x36e28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKC_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36e2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_INTERNAL_STATUS_2", 0x376e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKC_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x376e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DCD_CONTROL", 0x376ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_DCC_CONTROL", 0x376f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_QCC_CONTROL", 0x376f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x376f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKC_RECEIVER_MACRO_TEST_CONTROL_1", 0x376fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINKD_RECEIVER_CONFIGURATION_MODE", 0x37700, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINKD_RECEIVER_TEST_CONTROL", 0x37704, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_CONTROL", 0x37708, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_OFFSET_CONTROL", 0x3770c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_1", 0x37710, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_POSITION_2", 0x37714, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37718, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINKD_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x3771c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINKD_DFE_CONTROL", 0x37720, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_1", 0x37724, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINKD_DFE_SAMPLE_SNAPSHOT_2", 0x37728, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_1", 0x3772c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_2", 0x37730, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINKD_RECEIVER_VGA_CONTROL_3", 0x37734, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINKD_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37738, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_1", 0x3773c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINKD_RECEIVER_IQAMP_CONTROL_2", 0x37740, 0 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37744, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DACAP_AND_DACAN", 0x37748, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DACA_MIN", 0x3774c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_ADAC_CONTROL", 0x37750, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_CONTROL", 0x37754, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINKD_RECEIVER_AC_COUPLING_VALUE", 0x37758, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET", 0x3775c, 0 }, + { "MAC_PORT_RX_LINKD_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37760, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINKD_PEAKED_INTEGRATOR", 0x37764, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINKD_CDR_ANALOG_SWITCH", 0x37768, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINKD_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x3776c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINKD_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37770, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINKD_DYNAMIC_DATA_CENTERING_DDC", 0x37774, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS", 0x37778, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_1", 0x3777c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_2", 0x37780, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_OFFSET_CHANNEL", 0x37784, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINKD_DFE_OFFSET_VALUE", 0x37788, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINKD_H_COEFFICIENBT_BIST", 0x3778c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINKD_AC_CAPACITOR_BIST", 0x37790, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINKD_RECEIVER_LOFF_CONTROL_REGISTER", 0x37798, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINKD_RECEIVER_SIGDET_CONTROL", 0x3779c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINKD_RECEIVER_ANALOG_CONTROL_SWITCH", 0x377a0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINKD_INTEGRATOR_DAC_OFFSET", 0x377a4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_CONTROL", 0x377a8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS", 0x377ac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x377b0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x377b4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINKD_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x377b8, 0 }, + { "MAC_PORT_RX_LINKD_DFE_FUNCTION_CONTROL_3", 0x377bc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINKD_DFE_TAP_CONTROL", 0x377c0, 0 }, + { "MAC_PORT_RX_LINKD_DFE_TAP", 0x377c4, 0 }, + { "MAC_PORT_RX_LINKD_DFE_TAP_ENABLE", 0x36f00, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINKD_DFE_H1", 0x36f04, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINKD_DFE_H2", 0x36f08, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINKD_DFE_H3", 0x36f0c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H4", 0x36f10, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H5", 0x36f14, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H6_AND_H7", 0x36f18, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H8_AND_H9", 0x36f1c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H10_AND_H11", 0x36f20, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H12_13", 0x36f24, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H14_15", 0x36f28, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINKD_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x36f2c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_INTERNAL_STATUS_2", 0x377e4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINKD_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x377e8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DCD_CONTROL", 0x377ec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_DCC_CONTROL", 0x377f0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_QCC_CONTROL", 0x377f4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x377f8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINKD_RECEIVER_MACRO_TEST_CONTROL_1", 0x377fc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_CONFIGURATION_MODE", 0x37a00, 0 }, + { "T5_RX_LINKEN", 15, 1 }, + { "T5_RX_LINKRST", 14, 1 }, + { "T5_RX_CFGWRT", 13, 1 }, + { "T5_RX_CFGPTR", 11, 2 }, + { "T5_RX_CFGEXT", 10, 1 }, + { "T5_RX_CFGACT", 9, 1 }, + { "T5_RX_MODE8023AZ", 8, 1 }, + { "T5_RX_PLLSEL", 6, 2 }, + { "T5_RX_DMSEL", 4, 2 }, + { "T5_RX_BWSEL", 2, 2 }, + { "T5_RX_RTSEL", 0, 2 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_TEST_CONTROL", 0x37a04, 0 }, + { "APLYDCD", 15, 1 }, + { "PPOL", 13, 2 }, + { "PCLKSEL", 11, 2 }, + { "FERRST", 10, 1 }, + { "ERRST", 9, 1 }, + { "SYNCST", 8, 1 }, + { "WRPSM", 7, 1 }, + { "WPLPEN", 6, 1 }, + { "WRPMD", 5, 1 }, + { "PRST", 4, 1 }, + { "PCHKEN", 3, 1 }, + { "PATSEL", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_CONTROL", 0x37a08, 0 }, + { "FTHROT", 12, 4 }, + { "RTHROT", 11, 1 }, + { "FILTCTL", 7, 4 }, + { "RSRVO", 5, 2 }, + { "EXTEL", 4, 1 }, + { "RSTUCK", 3, 1 }, + { "FRZFW", 2, 1 }, + { "RSTFW", 1, 1 }, + { "SSCEN", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_OFFSET_CONTROL", 0x37a0c, 0 }, + { "H1ANOFST", 12, 4 }, + { "RSNP", 11, 1 }, + { "TSOEN", 10, 1 }, + { "TMSCAL", 8, 2 }, + { "APADJ", 7, 1 }, + { "RSEL", 6, 1 }, + { "PHOFFS", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_1", 0x37a10, 0 }, + { "ROTA", 8, 6 }, + { "ROTD", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_POSITION_2", 0x37a14, 0 }, + { "FREQFW", 8, 8 }, + { "FWSNAP", 7, 1 }, + { "ROTE", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_1", 0x37a18, 0 }, + { "RCALER", 15, 1 }, + { "RAOFFF", 8, 4 }, + { "RAOFF", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_PHASE_ROTATOR_STATIC_PHASE_OFFSET_2", 0x37a1c, 0 }, + { "RCALER", 15, 1 }, + { "RDOFF", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DFE_CONTROL", 0x37a20, 0 }, + { "REQCMP", 15, 1 }, + { "DFEREQ", 14, 1 }, + { "SPCEN", 13, 1 }, + { "GATEEN", 12, 1 }, + { "SPIFMT", 8, 4 }, + { "STNDBY", 5, 1 }, + { "FRCH", 4, 1 }, + { "NONRND", 3, 1 }, + { "NONRNF", 2, 1 }, + { "FSTLCK", 1, 1 }, + { "DFERST", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_1", 0x37a24, 0 }, + { "T5BYTE1", 8, 8 }, + { "T5BYTE0", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_DFE_SAMPLE_SNAPSHOT_2", 0x37a28, 0 }, + { "REQWOV", 15, 1 }, + { "RASEL", 11, 3 }, + { "T5_RX_SMODE", 8, 3 }, + { "T5_RX_ADCORR", 7, 1 }, + { "T5_RX_TRAINEN", 6, 1 }, + { "T5_RX_ASAMPQ", 3, 3 }, + { "T5_RX_ASAMP", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_1", 0x37a2c, 0 }, + { "WRAPSEL", 15, 1 }, + { "ACTL", 14, 1 }, + { "PEAK", 9, 5 }, + { "VOFFA", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_2", 0x37a30, 0 }, + { "FVOFFSKP", 15, 1 }, + { "FGAINCHK", 14, 1 }, + { "FH1ACAL", 13, 1 }, + { "FH1AFLTR", 11, 2 }, + { "T5SHORTV", 10, 1 }, + { "WGAIN", 8, 2 }, + { "GAIN_STAT", 7, 1 }, + { "T5VGAIN", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_VGA_CONTROL_3", 0x37a34, 0 }, + { "HBND1", 10, 1 }, + { "HBND0", 9, 1 }, + { "VLCKD", 8, 1 }, + { "VLCKDF", 7, 1 }, + { "AMAXT", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_POWER_MANAGEMENT_CONTROL", 0x37a38, 0 }, + { "PMCFG", 6, 2 }, + { "PMOFFTIME", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_1", 0x37a3c, 0 }, + { "SELI", 9, 1 }, + { "SERVREF", 5, 3 }, + { "IQAMP", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_IQAMP_CONTROL_2", 0x37a40, 0 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN_SELECTION", 0x37a44, 0 }, + { "SAVEADAC", 8, 1 }, + { "LOAD2", 7, 1 }, + { "LOAD1", 6, 1 }, + { "WRTACC2", 5, 1 }, + { "WRTACC1", 4, 1 }, + { "SELAPAN", 3, 1 }, + { "DASEL", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DACAP_AND_DACAN", 0x37a48, 0 }, + { "DACAN", 8, 8 }, + { "DACAP", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DACA_MIN", 0x37a4c, 0 }, + { "DACAZ", 8, 8 }, + { "DACAM", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_ADAC_CONTROL", 0x37a50, 0 }, + { "ADAC2", 8, 8 }, + { "ADAC1", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_CONTROL", 0x37a54, 0 }, + { "FACCPLDYN", 13, 1 }, + { "ACCPLGAIN", 10, 3 }, + { "ACCPLREF", 8, 2 }, + { "ACCPLSTEP", 6, 2 }, + { "ACCPLASTEP", 1, 5 }, + { "FACCPL", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_AC_COUPLING_VALUE", 0x37a58, 0 }, + { "ACCPLMEANS", 15, 1 }, + { "CDROVREN", 8, 1 }, + { "ACCPLBIAS", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET", 0x37a5c, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1H2H3_LOCAL_OFFSET_VALUE", 0x37a60, 0 }, + { "H1OX", 8, 6 }, + { "H1EX", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_PEAKED_INTEGRATOR", 0x37a64, 0 }, + { "PILOCK", 10, 1 }, + { "UNPKPKA", 2, 6 }, + { "UNPKVGA", 0, 2 }, + { "MAC_PORT_RX_LINK_BCST_CDR_ANALOG_SWITCH", 0x37a68, 0 }, + { "OVRAC", 15, 1 }, + { "OVRPK", 14, 1 }, + { "OVRTAILS", 12, 2 }, + { "OVRTAILV", 9, 3 }, + { "OVRCAP", 8, 1 }, + { "OVRDCDPRE", 7, 1 }, + { "OVRDCDPST", 6, 1 }, + { "DCVSCTMODE", 2, 1 }, + { "CDRANLGSW", 0, 2 }, + { "MAC_PORT_RX_LINK_BCST_PEAKING_AMPLIFIER_INTIALIZATION_CONTROL", 0x37a6c, 0 }, + { "PFLAG", 5, 2 }, + { "MAC_PORT_RX_LINK_BCST_DYNAMIC_AMPLITUDE_CENTERING_DAC_AND_DYNAMIC_PEAKING_CONTROL_DPC", 0x37a70, 0 }, + { "DACCLIP", 15, 1 }, + { "DPCFRZ", 14, 1 }, + { "DPCCVG", 13, 1 }, + { "DACCVG", 12, 1 }, + { "DPCLKNQ", 11, 1 }, + { "DPCWDFE", 10, 1 }, + { "DPCWPK", 9, 1 }, + { "BLKH1T", 8, 1 }, + { "BLKOAE", 7, 1 }, + { "H1TGT", 4, 3 }, + { "OAE", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DYNAMIC_DATA_CENTERING_DDC", 0x37a74, 0 }, + { "OLS", 11, 5 }, + { "OES", 6, 5 }, + { "BLKODEC", 5, 1 }, + { "VIEWSCAN", 4, 1 }, + { "ODEC", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS", 0x37a78, 0 }, + { "T5BER6VAL", 15, 1 }, + { "T5BER6", 14, 1 }, + { "T5BER3VAL", 13, 1 }, + { "T5TOOFAST", 12, 1 }, + { "ACCCMP", 11, 1 }, + { "DCCCMP", 10, 1 }, + { "T5DPCCMP", 9, 1 }, + { "T5DACCMP", 8, 1 }, + { "T5DDCCMP", 7, 1 }, + { "T5AERRFLG", 6, 1 }, + { "T5WERRFLG", 5, 1 }, + { "T5TRCMP", 4, 1 }, + { "T5VLCKF", 3, 1 }, + { "T5ROCCMP", 2, 1 }, + { "T5IQCMP", 1, 1 }, + { "T5OCCMP", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_1", 0x37a7c, 0 }, + { "FDPC", 15, 1 }, + { "FDAC", 14, 1 }, + { "FDDC", 13, 1 }, + { "FNRND", 12, 1 }, + { "FVGAIN", 11, 1 }, + { "FVOFF", 10, 1 }, + { "FSDET", 9, 1 }, + { "FBER6", 8, 1 }, + { "FROTO", 7, 1 }, + { "FH4H5", 6, 1 }, + { "FH2H3", 5, 1 }, + { "FH1", 4, 1 }, + { "FH1SN", 3, 1 }, + { "FNRDF", 2, 1 }, + { "FLOFF", 1, 1 }, + { "FADAC", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_2", 0x37a80, 0 }, + { "H25SPC", 15, 1 }, + { "FDCCAL", 14, 1 }, + { "FROTCAL", 13, 1 }, + { "FIQAMP", 12, 1 }, + { "FRPTCALF", 11, 1 }, + { "FINTCALGS", 10, 1 }, + { "FDCC", 9, 1 }, + { "FTOOFAST", 8, 1 }, + { "FDCD", 7, 1 }, + { "FDINV", 6, 1 }, + { "FHGS", 5, 1 }, + { "FH6H12", 4, 1 }, + { "FH1CAL", 3, 1 }, + { "FINTCAL", 2, 1 }, + { "FINTRCALDYN", 1, 1 }, + { "FQCC", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_CHANNEL", 0x37a84, 0 }, + { "QCCIND", 13, 1 }, + { "DCDIND", 10, 3 }, + { "DCCIND", 8, 2 }, + { "CFSEL", 5, 1 }, + { "LOFCH", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DFE_OFFSET_VALUE", 0x37a88, 0 }, + { "LOFU", 8, 7 }, + { "LOFL", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_H_COEFFICIENBT_BIST", 0x37a8c, 0 }, + { "HBISTMAN", 12, 1 }, + { "HBISTRES", 11, 1 }, + { "HBISTSP", 8, 3 }, + { "HBISTEN", 7, 1 }, + { "HBISTRST", 6, 1 }, + { "HCOMP", 5, 1 }, + { "HPASS", 4, 1 }, + { "HSEL", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_AC_CAPACITOR_BIST", 0x37a90, 0 }, + { "ACCCMP", 13, 1 }, + { "ACCEN", 12, 1 }, + { "ACCRST", 11, 1 }, + { "ACCIND", 8, 3 }, + { "ACCRD", 0, 8 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_LOFF_CONTROL_REGISTER", 0x37a98, 0 }, + { "LFREG", 15, 1 }, + { "LFRC", 14, 1 }, + { "LGIDLE", 13, 1 }, + { "LFTGT", 8, 5 }, + { "LGTGT", 7, 1 }, + { "LRDY", 6, 1 }, + { "LIDLE", 5, 1 }, + { "LCURR", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_SIGDET_CONTROL", 0x37a9c, 0 }, + { "OFFSN", 13, 2 }, + { "OFFAMP", 8, 5 }, + { "SDACDC", 7, 1 }, + { "SDPDN", 6, 1 }, + { "SIGDET", 5, 1 }, + { "SDLVL", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_ANALOG_CONTROL_SWITCH", 0x37aa0, 0 }, + { "RX_OVRSUMPD", 15, 1 }, + { "RX_OVRKBPD", 14, 1 }, + { "RX_OVRDIVPD", 13, 1 }, + { "RX_OFFVGADIS", 12, 1 }, + { "RX_OFFACDIS", 11, 1 }, + { "RX_VTERM", 10, 1 }, + { "RX_DISSPY2D", 8, 1 }, + { "RX_OBSOVEN", 7, 1 }, + { "RX_LINKANLGSW", 0, 7 }, + { "MAC_PORT_RX_LINK_BCST_INTEGRATOR_DAC_OFFSET", 0x37aa4, 0 }, + { "INTDACEGS", 13, 3 }, + { "INTDACE", 8, 5 }, + { "INTDACGS", 6, 2 }, + { "INTDAC", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_CONTROL", 0x37aa8, 0 }, + { "BLKAZ", 15, 1 }, + { "WIDTH", 10, 5 }, + { "MINWDTH", 5, 5 }, + { "MINAMP", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS", 0x37aac, 0 }, + { "SMQM", 13, 3 }, + { "SMQ", 5, 8 }, + { "EMMD", 3, 2 }, + { "EMBRDY", 2, 1 }, + { "EMBUMP", 1, 1 }, + { "EMEN", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_ERROR_COUNT", 0x37ab0, 0 }, + { "EMSF", 13, 1 }, + { "EMDATA59", 12, 1 }, + { "EMCNT", 4, 8 }, + { "EMOFLO", 2, 1 }, + { "EMCRST", 1, 1 }, + { "EMCEN", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PDF_EYE_COUNT", 0x37ab4, 0 }, + { "SM2RDY", 15, 1 }, + { "SM2RST", 14, 1 }, + { "APDF", 0, 12 }, + { "MAC_PORT_RX_LINK_BCST_DIGITAL_EYE_METRICS_PATTERN_LENGTH", 0x37ab8, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_FUNCTION_CONTROL_3", 0x37abc, 0 }, + { "FTIMEOUT", 15, 1 }, + { "FROTCAL4", 14, 1 }, + { "FDCD2", 13, 1 }, + { "FPRBSPOLTOG", 12, 1 }, + { "FPRBSOFF2", 11, 1 }, + { "FDDCAL2", 10, 1 }, + { "FDDCFLTR", 9, 1 }, + { "FDAC6", 8, 1 }, + { "FDDC5", 7, 1 }, + { "FDDC3456", 6, 1 }, + { "FSPY2DATA", 5, 1 }, + { "FPHSLOCK", 4, 1 }, + { "FCLKALGN", 3, 1 }, + { "FCLKALDYN", 2, 1 }, + { "FDFE", 1, 1 }, + { "FPRBSOFF", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_DFE_TAP_CONTROL", 0x37ac0, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_TAP", 0x37ac4, 0 }, + { "MAC_PORT_RX_LINK_BCST_DFE_TAP_ENABLE", 0x37200, 0 }, + { "INDEX", 1, 15 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1", 0x37204, 0 }, + { "H1OSN", 13, 3 }, + { "H1OMAG", 8, 5 }, + { "H1ESN", 6, 2 }, + { "H1EMAG", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H2", 0x37208, 0 }, + { "H2OSN", 13, 2 }, + { "H2OMAG", 8, 5 }, + { "H2ESN", 5, 2 }, + { "H2EMAG", 0, 5 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H3", 0x3720c, 0 }, + { "H3OSN", 12, 2 }, + { "H3OMAG", 8, 4 }, + { "H3ESN", 4, 2 }, + { "H3EMAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H4", 0x37210, 0 }, + { "H4SN", 4, 2 }, + { "H4MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H5", 0x37214, 0 }, + { "H5GS", 6, 2 }, + { "H5SN", 4, 2 }, + { "H5MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H6_AND_H7", 0x37218, 0 }, + { "H7GS", 14, 2 }, + { "H7SN", 12, 2 }, + { "H7MAG", 8, 4 }, + { "H6GS", 6, 2 }, + { "H6SN", 4, 2 }, + { "H6MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H8_AND_H9", 0x3721c, 0 }, + { "H9GS", 14, 2 }, + { "H9SN", 12, 2 }, + { "H9MAG", 8, 4 }, + { "H8GS", 6, 2 }, + { "H8SN", 4, 2 }, + { "H8MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H10_AND_H11", 0x37220, 0 }, + { "H11GS", 14, 2 }, + { "H11SN", 12, 2 }, + { "H11MAG", 8, 4 }, + { "H10GS", 6, 2 }, + { "H10SN", 4, 2 }, + { "H10MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H12_13", 0x37224, 0 }, + { "H13GS", 13, 3 }, + { "H13SN", 10, 3 }, + { "H13MAG", 8, 2 }, + { "H12GS", 6, 2 }, + { "H12SN", 4, 2 }, + { "H12MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H14_15", 0x37228, 0 }, + { "H15GS", 13, 3 }, + { "H15SN", 10, 3 }, + { "H15MAG", 8, 2 }, + { "H14GS", 6, 2 }, + { "H14SN", 4, 2 }, + { "H14MAG", 0, 4 }, + { "MAC_PORT_RX_LINK_BCST_DFE_H1ODD_DELTA_AND_H1EVEN_DELTA", 0x3722c, 0 }, + { "H1ODELTA", 8, 5 }, + { "H1EDELTA", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_INTERNAL_STATUS_2", 0x37ae4, 0 }, + { "STNDBYSTAT", 15, 1 }, + { "CALSDONE", 14, 1 }, + { "ACISRCCMP", 5, 1 }, + { "PRBSOFFCMP", 4, 1 }, + { "CLKALGNCMP", 3, 1 }, + { "ROTFCMP", 2, 1 }, + { "DCDCMP", 1, 1 }, + { "QCCCMP", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_AC_COUPLING_CURRENT_SOURCE_ADJUST", 0x37ae8, 0 }, + { "FCSADJ", 6, 1 }, + { "CSIND", 3, 2 }, + { "CSVAL", 0, 3 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DCD_CONTROL", 0x37aec, 0 }, + { "DCDTMDOUT", 15, 1 }, + { "DCDTOEN", 14, 1 }, + { "DCDLOCK", 13, 1 }, + { "DCDSTEP", 11, 2 }, + { "DCDALTWPDIS", 10, 1 }, + { "DCDOVRDEN", 9, 1 }, + { "DCCAOVRDEN", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_DCC_CONTROL", 0x37af0, 0 }, + { "PRBSMODE", 14, 2 }, + { "DCCSTEP", 10, 2 }, + { "DCCOVRDEN", 9, 1 }, + { "DCCLOCK", 8, 1 }, + { "DCDSIGN", 6, 2 }, + { "DCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_QCC_CONTROL", 0x37af4, 0 }, + { "DCCQCCMODE", 15, 1 }, + { "DCCQCCDYN", 14, 1 }, + { "DCCQCCHOLD", 13, 1 }, + { "QCCSTEP", 10, 2 }, + { "QCCOVRDEN", 9, 1 }, + { "QCCLOCK", 8, 1 }, + { "QCCSIGN", 6, 2 }, + { "QCDAMP", 0, 6 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_REGISTER_2", 0x37af8, 0 }, + { "TSTCMP", 15, 1 }, + { "SDLSSD", 5, 1 }, + { "DFEOBSBIAS", 4, 1 }, + { "GBOFSTLSSD", 3, 1 }, + { "RXDOBS", 2, 1 }, + { "ACJZPT", 1, 1 }, + { "ACJZNT", 0, 1 }, + { "MAC_PORT_RX_LINK_BCST_RECEIVER_MACRO_TEST_CONTROL_1", 0x37afc, 0 }, + { "CALMODEEDGE", 14, 1 }, + { "TESTCAP", 13, 1 }, + { "SNAPEN", 12, 1 }, + { "ASYNCDIR", 11, 1 }, + { "PHSLOCK", 10, 1 }, + { "TESTMODE", 9, 1 }, + { "CALMODE", 8, 1 }, + { "ACJPDP", 3, 1 }, + { "ACJPDN", 2, 1 }, + { "LSSDT", 1, 1 }, + { "MTHOLD", 0, 1 }, + { NULL } +}; + +struct reg_info t6_mc_0_regs[] = { + { "MC_DDRPHY_PC_DP18_PLL_LOCK_STATUS", 0x47000, 0 }, + { "DP18_PLL_LOCK", 1, 15 }, + { "MC_DDRPHY_PC_AD32S_PLL_LOCK_STATUS", 0x47004, 0 }, + { "AD32S_PLL_LOCK", 14, 2 }, + { "MC_DDRPHY_PC_RANK_PAIR0", 0x47008, 0 }, + { "RANK_PAIR0_PRI", 13, 3 }, + { "RANK_PAIR0_PRI_V", 12, 1 }, + { "RANK_PAIR0_SEC", 9, 3 }, + { "RANK_PAIR0_SEC_V", 8, 1 }, + { "RANK_PAIR1_PRI", 5, 3 }, + { "RANK_PAIR1_PRI_V", 4, 1 }, + { "RANK_PAIR1_SEC", 1, 3 }, + { "RANK_PAIR1_SEC_V", 0, 1 }, + { "MC_DDRPHY_PC_RANK_PAIR1", 0x4700c, 0 }, + { "RANK_PAIR2_PRI", 13, 3 }, + { "RANK_PAIR2_PRI_V", 12, 1 }, + { "RANK_PAIR2_SEC", 9, 3 }, + { "RANK_PAIR2_SEC_V", 8, 1 }, + { "RANK_PAIR3_PRI", 5, 3 }, + { "RANK_PAIR3_PRI_V", 4, 1 }, + { "RANK_PAIR3_SEC", 1, 3 }, + { "RANK_PAIR3_SEC_V", 0, 1 }, + { "MC_DDRPHY_PC_BASE_CNTR0", 0x47010, 0 }, + { "MC_DDRPHY_PC_RELOAD_VALUE0", 0x47014, 0 }, + { "PERIODIC_CAL_REQ_EN", 15, 1 }, + { "PERIODIC_RELOAD_VALUE0", 0, 15 }, + { "MC_DDRPHY_PC_BASE_CNTR1", 0x47018, 0 }, + { "MC_DDRPHY_PC_CAL_TIMER", 0x4701c, 0 }, + { "MC_DDRPHY_PC_CAL_TIMER_RELOAD_VALUE", 0x47020, 0 }, + { "MC_DDRPHY_PC_ZCAL_TIMER", 0x47024, 0 }, + { "MC_DDRPHY_PC_ZCAL_TIMER_RELOAD_VALUE", 0x47028, 0 }, + { "MC_DDRPHY_PC_PER_CAL_CONFIG", 0x4702c, 0 }, + { "PER_ENA_RANK_PAIR", 12, 4 }, + { "PER_ENA_ZCAL", 11, 1 }, + { "PER_ENA_SYSCLK_ALIGN", 10, 1 }, + { "ENA_PER_RD_CTR", 9, 1 }, + { "ENA_PER_RDCLK_ALIGN", 8, 1 }, + { "ENA_PER_DQS_ALIGN", 7, 1 }, + { "PER_NEXT_RANK_PAIR", 5, 2 }, + { "FAST_SIM_PER_CNTR", 4, 1 }, + { "START_INIT_CAL", 3, 1 }, + { "START_PER_CAL", 2, 1 }, + { "MC_DDRPHY_PC_PER_ZCAL_CONFIG", 0x4703c, 0 }, + { "PER_ZCAL_ENA_RANK", 8, 8 }, + { "PER_ZCAL_NEXT_RANK", 5, 3 }, + { "START_PER_ZCAL", 4, 1 }, + { "MC_DDRPHY_PC_CONFIG0", 0x47030, 0 }, + { "DDRPHY_PROTOCOL", 12, 4 }, + { "DATA_MUX4_1MODE", 11, 1 }, + { "SPAM_EN", 10, 1 }, + { "DDR4_CMD_SIG_REDUCTION", 9, 1 }, + { "SYSCLK_2X_MEMINTCLKO", 8, 1 }, + { "LOW_LATENCY", 3, 1 }, + { "DDR4_IPW_LOOP_DIS", 2, 1 }, + { "DDR4_VLEVEL_BANK_GROUP", 1, 1 }, + { "MC_DDRPHY_PC_CONFIG1", 0x47034, 0 }, + { "WRITE_LATENCY_OFFSET", 12, 4 }, + { "READ_LATENCY_OFFSET", 8, 4 }, + { "MEMCTL_CIC_FAST", 7, 1 }, + { "MEMCTL_CIS_IGNORE", 6, 1 }, + { "DISABLE_MEMCTL_CAL", 5, 1 }, + { "MEMORY_TYPE", 2, 3 }, + { "DDR4_PDA_MODE", 1, 1 }, + { "MC_DDRPHY_PC_RESETS", 0x47038, 0 }, + { "PLL_RESET", 15, 1 }, + { "SYSCLK_RESET", 14, 1 }, + { "MC_DDRPHY_PC_ERROR_STATUS0", 0x47048, 0 }, + { "RC_ERROR", 15, 1 }, + { "WC_ERROR", 14, 1 }, + { "SEQ_ERROR", 13, 1 }, + { "CC_ERROR", 12, 1 }, + { "APB_ERROR", 11, 1 }, + { "PC_ERROR", 10, 1 }, + { "MC_DDRPHY_PC_ERROR_MASK0", 0x4704c, 0 }, + { "RC_ERROR_MASK", 15, 1 }, + { "WC_ERROR_MASK", 14, 1 }, + { "SEQ_ERROR_MASK", 13, 1 }, + { "CC_ERROR_MASK", 12, 1 }, + { "APB_ERROR_MASK", 11, 1 }, + { "PC_ERROR_MASK", 10, 1 }, + { "MC_DDRPHY_PC_IO_PVT_FET_CONTROL", 0x47050, 0 }, + { "PVTP", 11, 5 }, + { "PVTN", 6, 5 }, + { "PVT_OVERRIDE", 5, 1 }, + { "ENABLE_ZCAL", 4, 1 }, + { "MC_DDRPHY_PC_VREF_DRV_CONTROL", 0x47054, 0 }, + { "VREFDQ0DSGN", 15, 1 }, + { "VREFDQ0D", 11, 4 }, + { "VREFDQ1DSGN", 10, 1 }, + { "VREFDQ1D", 6, 4 }, + { "EN_ANALOG_PD", 3, 1 }, + { "ANALOG_PD_DLY", 2, 1 }, + { "ANALOG_PD_DIV", 0, 2 }, + { "MC_DDRPHY_PC_INIT_CAL_CONFIG0", 0x47058, 0 }, + { "ENA_WR_LEVEL", 15, 1 }, + { "ENA_INITIAL_PAT_WR", 14, 1 }, + { "ENA_DQS_ALIGN", 13, 1 }, + { "ENA_RDCLK_ALIGN", 12, 1 }, + { "ENA_READ_CTR", 11, 1 }, + { "ENA_WRITE_CTR", 10, 1 }, + { "ENA_INITIAL_COARSE_WR", 9, 1 }, + { "ENA_COARSE_RD", 8, 1 }, + { "ENA_CUSTOM_RD", 7, 1 }, + { "ENA_CUSTOM_WR", 6, 1 }, + { "ABORT_ON_CAL_ERROR", 5, 1 }, + { "ENA_DIGITAL_EYE", 4, 1 }, + { "ENA_RANK_PAIR", 0, 4 }, + { "MC_DDRPHY_PC_INIT_CAL_CONFIG1", 0x4705c, 0 }, + { "REFRESH_COUNT", 12, 4 }, + { "REFRESH_CONTROL", 10, 2 }, + { "REFRESH_ALL_RANKS", 9, 1 }, + { "REFRESH_INTERVAL", 0, 7 }, + { "MC_DDRPHY_PC_INIT_CAL_ERROR", 0x47060, 0 }, + { "ERROR_WR_LEVEL", 15, 1 }, + { "ERROR_INITIAL_PAT_WRITE", 14, 1 }, + { "ERROR_DQS_ALIGN", 13, 1 }, + { "ERROR_RDCLK_ALIGN", 12, 1 }, + { "ERROR_READ_CTR", 11, 1 }, + { "ERROR_WRITE_CTR", 10, 1 }, + { "ERROR_INITIAL_COARSE_WR", 9, 1 }, + { "ERROR_COARSE_RD", 8, 1 }, + { "ERROR_CUSTOM_RD", 7, 1 }, + { "ERROR_CUSTOM_WR", 6, 1 }, + { "ERROR_DIGITAL_EYE", 5, 1 }, + { "ERROR_RANK_PAIR", 0, 4 }, + { "MC_DDRPHY_PC_INIT_CAL_MASK", 0x47068, 0 }, + { "ERROR_WR_LEVEL_MASK", 15, 1 }, + { "ERROR_INITIAL_PAT_WRITE_MASK", 14, 1 }, + { "ERROR_DQS_ALIGN_MASK", 13, 1 }, + { "ERROR_RDCLK_ALIGN_MASK", 12, 1 }, + { "ERROR_READ_CTR_MASK", 11, 1 }, + { "ERROR_WRITE_CTR_MASK", 10, 1 }, + { "ERROR_INITIAL_COARSE_WR_MASK", 9, 1 }, + { "ERROR_COARSE_RD_MASK", 8, 1 }, + { "ERROR_CUSTOM_RD_MASK", 7, 1 }, + { "ERROR_CUSTOM_WR_MASK", 6, 1 }, + { "ERROR_DIGITAL_EYE_MASK", 5, 1 }, + { "MC_DDRPHY_PC_INIT_CAL_STATUS", 0x47064, 0 }, + { "INIT_CAL_COMPLETE", 12, 4 }, + { "PER_CAL_ABORT", 6, 1 }, + { "MC_DDRPHY_PC_IO_PVT_FET_STATUS", 0x4706c, 0 }, + { "PVTP", 11, 5 }, + { "PVTN", 6, 5 }, + { "MC_DDRPHY_PC_MR0_PRI_RP", 0x47070, 0 }, + { "MC_DDRPHY_PC_MR1_PRI_RP", 0x47074, 0 }, + { "MC_DDRPHY_PC_MR2_PRI_RP", 0x47078, 0 }, + { "MC_DDRPHY_PC_MR3_PRI_RP", 0x4707c, 0 }, + { "MC_DDRPHY_PC_MR0_SEC_RP", 0x47080, 0 }, + { "MC_DDRPHY_PC_MR1_SEC_RP", 0x47084, 0 }, + { "MC_DDRPHY_PC_MR2_SEC_RP", 0x47088, 0 }, + { "MC_DDRPHY_PC_MR3_SEC_RP", 0x4708c, 0 }, + { "MC_DDRPHY_PC_RANK_GROUP", 0x47044, 0 }, + { "ADDR_MIRROR_RP0_PRI", 15, 1 }, + { "ADDR_MIRROR_RP0_SEC", 14, 1 }, + { "ADDR_MIRROR_RP1_PRI", 13, 1 }, + { "ADDR_MIRROR_RP1_SEC", 12, 1 }, + { "ADDR_MIRROR_RP2_PRI", 11, 1 }, + { "ADDR_MIRROR_RP2_SEC", 10, 1 }, + { "ADDR_MIRROR_RP3_PRI", 9, 1 }, + { "ADDR_MIRROR_RP3_SEC", 8, 1 }, + { "RANK_GROUPING", 6, 2 }, + { "ADDR_MIRROR_A3_A4", 5, 1 }, + { "ADDR_MIRROR_A5_A6", 4, 1 }, + { "ADDR_MIRROR_A7_A8", 3, 1 }, + { "ADDR_MIRROR_A11_A13", 2, 1 }, + { "ADDR_MIRROR_BA0_BA1", 1, 1 }, + { "ADDR_MIRROR_BG0_BG1", 0, 1 }, + { "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x45800, 0 }, + { "BIT_ENABLE_0_11", 4, 12 }, + { "BIT_ENABLE_12_15", 0, 4 }, + { "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x45804, 0 }, + { "DI_ADR0_ADR1", 15, 1 }, + { "DI_ADR2_ADR3", 14, 1 }, + { "DI_ADR4_ADR5", 13, 1 }, + { "DI_ADR6_ADR7", 12, 1 }, + { "DI_ADR8_ADR9", 11, 1 }, + { "DI_ADR10_ADR11", 10, 1 }, + { "DI_ADR12_ADR13", 9, 1 }, + { "DI_ADR14_ADR15", 8, 1 }, + { "MC_ADR_DDRPHY_ADR_DELAY0", 0x45810, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY1", 0x45814, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY2", 0x45818, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY3", 0x4581c, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY4", 0x45820, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY5", 0x45824, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY6", 0x45828, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY7", 0x4582c, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x45830, 0 }, + { "ADR_TEST_LANE_PAIR_FAIL", 8, 8 }, + { "ADR_TEST_DATA_EN", 7, 1 }, + { "ADR_TEST_MODE", 5, 2 }, + { "ADR_TEST_4TO1_MODE", 4, 1 }, + { "ADR_TEST_RESET", 3, 1 }, + { "ADR_TEST_GEN_EN", 2, 1 }, + { "ADR_TEST_CLEAR_ERROR", 1, 1 }, + { "ADR_TEST_CHECK_EN", 0, 1 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x45840, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x45844, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x45848, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x4584c, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x45850, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x45854, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x45858, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x4585c, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x45880, 0 }, + { "SLICE_SEL_REG_BITS0_1", 14, 2 }, + { "SLICE_SEL_REG_BITS2_3", 12, 2 }, + { "SLICE_SEL_REG_BITS4_5", 10, 2 }, + { "SLICE_SEL_REG_BITS6_7", 8, 2 }, + { "SLICE_SEL_REG_BITS8_9", 6, 2 }, + { "SLICE_SEL_REG_BITS10_11", 4, 2 }, + { "SLICE_SEL_REG_BITS12_13", 2, 2 }, + { "SLICE_SEL_REG_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x45884, 0 }, + { "SLICE_SEL_REG_BITS0_1", 14, 2 }, + { "SLICE_SEL_REG_BITS2_3", 12, 2 }, + { "SLICE_SEL_REG_BITS4_5", 10, 2 }, + { "SLICE_SEL_REG_BITS6_7", 8, 2 }, + { "SLICE_SEL_REG_BITS8_9", 6, 2 }, + { "SLICE_SEL_REG_BITS10_11", 4, 2 }, + { "SLICE_SEL_REG_BITS12_13", 2, 2 }, + { "SLICE_SEL_REG_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x45860, 0 }, + { "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x458a0, 0 }, + { "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x458a4, 0 }, + { "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x45868, 0 }, + { "SLEW_CTL0", 12, 4 }, + { "SLEW_CTL1", 8, 4 }, + { "SLEW_CTL2", 4, 4 }, + { "SLEW_CTL3", 0, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x458a8, 0 }, + { "SLEW_CTL_SEL_BITS0_1", 14, 2 }, + { "SLEW_CTL_SEL_BITS2_3", 12, 2 }, + { "SLEW_CTL_SEL_BITS4_5", 10, 2 }, + { "SLEW_CTL_SEL_BITS6_7", 8, 2 }, + { "SLEW_CTL_SEL_BITS8_9", 6, 2 }, + { "SLEW_CTL_SEL_BITS10_11", 4, 2 }, + { "SLEW_CTL_SEL_BITS12_13", 2, 2 }, + { "SLEW_CTL_SEL_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x458ac, 0 }, + { "SLEW_CTL_SEL_BITS0_1", 14, 2 }, + { "SLEW_CTL_SEL_BITS2_3", 12, 2 }, + { "SLEW_CTL_SEL_BITS4_5", 10, 2 }, + { "SLEW_CTL_SEL_BITS6_7", 8, 2 }, + { "SLEW_CTL_SEL_BITS8_9", 6, 2 }, + { "SLEW_CTL_SEL_BITS10_11", 4, 2 }, + { "SLEW_CTL_SEL_BITS12_13", 2, 2 }, + { "SLEW_CTL_SEL_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x458b0, 0 }, + { "ADR_LANE_0_11_PD", 4, 12 }, + { "ADR_LANE_12_15_PD", 0, 4 }, + { "MC_ADR_DDRPHY_ADR_BIT_ENABLE", 0x45a00, 0 }, + { "BIT_ENABLE_0_11", 4, 12 }, + { "BIT_ENABLE_12_15", 0, 4 }, + { "MC_ADR_DDRPHY_ADR_DIFFPAIR_ENABLE", 0x45a04, 0 }, + { "DI_ADR0_ADR1", 15, 1 }, + { "DI_ADR2_ADR3", 14, 1 }, + { "DI_ADR4_ADR5", 13, 1 }, + { "DI_ADR6_ADR7", 12, 1 }, + { "DI_ADR8_ADR9", 11, 1 }, + { "DI_ADR10_ADR11", 10, 1 }, + { "DI_ADR12_ADR13", 9, 1 }, + { "DI_ADR14_ADR15", 8, 1 }, + { "MC_ADR_DDRPHY_ADR_DELAY0", 0x45a10, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY1", 0x45a14, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY2", 0x45a18, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY3", 0x45a1c, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY4", 0x45a20, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY5", 0x45a24, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY6", 0x45a28, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DELAY7", 0x45a2c, 0 }, + { "ADR_DELAY_BITS1_7", 8, 7 }, + { "ADR_DELAY_BITS9_15", 0, 7 }, + { "MC_ADR_DDRPHY_ADR_DFT_WRAP_STATUS_CONTROL", 0x45a30, 0 }, + { "ADR_TEST_LANE_PAIR_FAIL", 8, 8 }, + { "ADR_TEST_DATA_EN", 7, 1 }, + { "ADR_TEST_MODE", 5, 2 }, + { "ADR_TEST_4TO1_MODE", 4, 1 }, + { "ADR_TEST_RESET", 3, 1 }, + { "ADR_TEST_GEN_EN", 2, 1 }, + { "ADR_TEST_CLEAR_ERROR", 1, 1 }, + { "ADR_TEST_CHECK_EN", 0, 1 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN0", 0x45a40, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN1", 0x45a44, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN2", 0x45a48, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_NFET_SLICE_EN3", 0x45a4c, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN0", 0x45a50, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN1", 0x45a54, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN2", 0x45a58, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_PFET_SLICE_EN3", 0x45a5c, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP0", 0x45a80, 0 }, + { "SLICE_SEL_REG_BITS0_1", 14, 2 }, + { "SLICE_SEL_REG_BITS2_3", 12, 2 }, + { "SLICE_SEL_REG_BITS4_5", 10, 2 }, + { "SLICE_SEL_REG_BITS6_7", 8, 2 }, + { "SLICE_SEL_REG_BITS8_9", 6, 2 }, + { "SLICE_SEL_REG_BITS10_11", 4, 2 }, + { "SLICE_SEL_REG_BITS12_13", 2, 2 }, + { "SLICE_SEL_REG_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_IO_FET_SLICE_EN_MAP1", 0x45a84, 0 }, + { "SLICE_SEL_REG_BITS0_1", 14, 2 }, + { "SLICE_SEL_REG_BITS2_3", 12, 2 }, + { "SLICE_SEL_REG_BITS4_5", 10, 2 }, + { "SLICE_SEL_REG_BITS6_7", 8, 2 }, + { "SLICE_SEL_REG_BITS8_9", 6, 2 }, + { "SLICE_SEL_REG_BITS10_11", 4, 2 }, + { "SLICE_SEL_REG_BITS12_13", 2, 2 }, + { "SLICE_SEL_REG_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE", 0x45a60, 0 }, + { "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP0", 0x45aa0, 0 }, + { "MC_ADR_DDRPHY_ADR_IO_POST_CURSOR_VALUE_MAP1", 0x45aa4, 0 }, + { "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE", 0x45a68, 0 }, + { "SLEW_CTL0", 12, 4 }, + { "SLEW_CTL1", 8, 4 }, + { "SLEW_CTL2", 4, 4 }, + { "SLEW_CTL3", 0, 4 }, + { "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP0", 0x45aa8, 0 }, + { "SLEW_CTL_SEL_BITS0_1", 14, 2 }, + { "SLEW_CTL_SEL_BITS2_3", 12, 2 }, + { "SLEW_CTL_SEL_BITS4_5", 10, 2 }, + { "SLEW_CTL_SEL_BITS6_7", 8, 2 }, + { "SLEW_CTL_SEL_BITS8_9", 6, 2 }, + { "SLEW_CTL_SEL_BITS10_11", 4, 2 }, + { "SLEW_CTL_SEL_BITS12_13", 2, 2 }, + { "SLEW_CTL_SEL_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_IO_SLEW_CTL_VALUE_MAP1", 0x45aac, 0 }, + { "SLEW_CTL_SEL_BITS0_1", 14, 2 }, + { "SLEW_CTL_SEL_BITS2_3", 12, 2 }, + { "SLEW_CTL_SEL_BITS4_5", 10, 2 }, + { "SLEW_CTL_SEL_BITS6_7", 8, 2 }, + { "SLEW_CTL_SEL_BITS8_9", 6, 2 }, + { "SLEW_CTL_SEL_BITS10_11", 4, 2 }, + { "SLEW_CTL_SEL_BITS12_13", 2, 2 }, + { "SLEW_CTL_SEL_BITS14_15", 0, 2 }, + { "MC_ADR_DDRPHY_ADR_POWERDOWN_2", 0x45ab0, 0 }, + { "ADR_LANE_0_11_PD", 4, 12 }, + { "ADR_LANE_12_15_PD", 0, 4 }, + { "MC_DDRPHY_AD32S_PLL_VREG_CONFIG_0", 0x460c0, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_AD32S_PLL_VREG_CONFIG_1", 0x460c4, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "VREG_VREGSPARE", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "INTERP_SIG_SLEW_0_3", 1, 4 }, + { "ANALOG_WRAPON", 0, 1 }, + { "MC_DDRPHY_AD32S_SYSCLK_CNTL_PR", 0x460c8, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESE", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "CE0DLTVCC", 0, 2 }, + { "MC_DDRPHY_AD32S_MCCLK_WRCLK_PR_STATIC_OFFSET", 0x460cc, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_AD32S_SYSCLK_PR_VALUE_RO", 0x460d0, 0 }, + { "SLEW_LATE_SAMPLE", 15, 1 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "SLEW_EARLY_SAMPLE", 6, 1 }, + { "SLEW_DONE_STATUS", 4, 2 }, + { "SLEW_CNTL", 0, 4 }, + { "MC_DDRPHY_AD32S_OUTPUT_FORCE_ATEST_CNTL", 0x460d4, 0 }, + { "FLUSH", 15, 1 }, + { "FORCE_EN", 14, 1 }, + { "AD32S_HS_PROBE_A_SEL", 8, 4 }, + { "AD32S_HS_PROBE_B_SEL", 4, 4 }, + { "ATEST1CTL0", 3, 1 }, + { "ATEST1CTL1", 2, 1 }, + { "ATEST1CTL2", 1, 1 }, + { "ATEST1CTL3", 0, 1 }, + { "MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE0", 0x460d8, 0 }, + { "MC_DDRPHY_AD32S_OUTPUT_DRIVER_FORCE_VALUE1", 0x460dc, 0 }, + { "MC_DDRPHY_AD32S_POWERDOWN_1", 0x460e0, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "ADR_RX_PD", 4, 1 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "DVCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_AD32S_SLEW_CAL_CNTL", 0x460e4, 0 }, + { "SLEW_CAL_ENABLE", 15, 1 }, + { "SLEW_CAL_START", 14, 1 }, + { "SLEW_CAL_OVERRIDE_EN", 12, 1 }, + { "SLEW_CAL_OVERRIDE", 8, 4 }, + { "SLEW_TARGET_PR_OFFSET", 0, 5 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44000, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44004, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x441f0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x441f4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44008, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4400c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44010, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44014, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x441f8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44018, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x4401c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x441cc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4417c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44178, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44058, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4407c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x441d0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x440c0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x440c4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44024, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44170, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44174, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x440e0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x440e4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x440e8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x440ec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x440f0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x440f4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x440f8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x440fc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44100, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44104, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44108, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4410c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44110, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44114, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44118, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4411c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44120, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44124, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44128, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4412c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44130, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44134, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44138, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4413c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44140, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44144, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44148, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4414c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44150, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44154, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44158, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4415c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44160, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44164, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44168, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4416c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44030, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44034, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x441c0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x441c4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x441c8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44180, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44184, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44188, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4418c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44190, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44194, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44198, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4419c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x441a0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x441a4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x441a8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x441ac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44028, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44038, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4403c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44040, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44044, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4404c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44050, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44054, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4405c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44060, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44064, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44068, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x4406c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44070, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x441d8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x441dc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x441e0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x441e8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x441e4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x441ec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x441d4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44074, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44020, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x440c8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x440cc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x440d0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44078, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x440d4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x440d8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x441b4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x441b8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x440dc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x4402c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x441fc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44048, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x441bc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44200, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44204, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x443f0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x443f4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44208, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4420c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44210, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44214, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x443f8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44218, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x4421c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x443cc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4437c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44378, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44258, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4427c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x443d0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x442c0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x442c4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44224, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44370, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44374, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x442e0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x442e4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x442e8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x442ec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x442f0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x442f4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x442f8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x442fc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44300, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44304, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44308, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4430c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44310, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44314, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44318, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4431c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44320, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44324, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44328, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4432c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44330, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44334, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44338, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4433c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44340, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44344, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44348, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4434c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44350, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44354, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44358, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4435c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44360, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44364, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44368, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4436c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44230, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44234, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x443c0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x443c4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x443c8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44380, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44384, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44388, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4438c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44390, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44394, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44398, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4439c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x443a0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x443a4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x443a8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x443ac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44228, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44238, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4423c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44240, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44244, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4424c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44250, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44254, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4425c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44260, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44264, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44268, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x4426c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44270, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x443d8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x443dc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x443e0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x443e8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x443e4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x443ec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x443d4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44274, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44220, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x442c8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x442cc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x442d0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44278, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x442d4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x442d8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x443b4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x443b8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x442dc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x4422c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x443fc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44248, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x443bc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44400, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44404, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x445f0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x445f4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44408, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4440c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44410, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44414, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x445f8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44418, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x4441c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x445cc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4457c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44578, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44458, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4447c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x445d0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x444c0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x444c4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44424, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44570, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44574, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x444e0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x444e4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x444e8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x444ec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x444f0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x444f4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x444f8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x444fc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44500, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44504, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44508, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4450c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44510, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44514, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44518, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4451c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44520, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44524, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44528, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4452c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44530, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44534, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44538, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4453c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44540, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44544, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44548, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4454c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44550, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44554, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44558, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4455c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44560, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44564, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44568, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4456c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44430, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44434, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x445c0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x445c4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x445c8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44580, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44584, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44588, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4458c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44590, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44594, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44598, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4459c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x445a0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x445a4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x445a8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x445ac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44428, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44438, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4443c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44440, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44444, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4444c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44450, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44454, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4445c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44460, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44464, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44468, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x4446c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44470, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x445d8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x445dc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x445e0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x445e8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x445e4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x445ec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x445d4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44474, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44420, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x444c8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x444cc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x444d0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44478, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x444d4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x444d8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x445b4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x445b8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x444dc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x4442c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x445fc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44448, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x445bc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44600, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44604, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x447f0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x447f4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44608, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4460c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44610, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44614, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x447f8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44618, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x4461c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x447cc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4477c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44778, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44658, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4467c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x447d0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x446c0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x446c4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44624, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44770, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44774, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x446e0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x446e4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x446e8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x446ec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x446f0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x446f4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x446f8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x446fc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44700, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44704, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44708, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4470c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44710, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44714, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44718, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4471c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44720, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44724, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44728, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4472c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44730, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44734, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44738, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4473c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44740, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44744, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44748, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4474c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44750, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44754, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44758, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4475c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44760, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44764, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44768, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4476c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44630, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44634, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x447c0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x447c4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x447c8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44780, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44784, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44788, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4478c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44790, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44794, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44798, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4479c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x447a0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x447a4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x447a8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x447ac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44628, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44638, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4463c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44640, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44644, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4464c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44650, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44654, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4465c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44660, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44664, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44668, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x4466c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44670, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x447d8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x447dc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x447e0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x447e8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x447e4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x447ec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x447d4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44674, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44620, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x446c8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x446cc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x446d0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44678, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x446d4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x446d8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x447b4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x447b8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x446dc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x4462c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x447fc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44648, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x447bc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44800, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44804, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x449f0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x449f4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44808, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4480c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44810, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44814, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x449f8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44818, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x4481c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x449cc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4497c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44978, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44858, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4487c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x449d0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x448c0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x448c4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44824, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44970, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44974, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x448e0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x448e4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x448e8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x448ec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x448f0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x448f4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x448f8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x448fc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44900, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44904, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44908, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4490c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44910, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44914, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44918, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4491c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44920, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44924, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44928, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4492c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44930, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44934, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44938, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4493c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44940, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44944, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44948, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4494c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44950, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44954, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44958, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4495c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44960, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44964, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44968, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4496c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44830, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44834, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x449c0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x449c4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x449c8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44980, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44984, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44988, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4498c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44990, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44994, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44998, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4499c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x449a0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x449a4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x449a8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x449ac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44828, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44838, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4483c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44840, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44844, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4484c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44850, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44854, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4485c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44860, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44864, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44868, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x4486c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44870, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x449d8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x449dc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x449e0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x449e8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x449e4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x449ec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x449d4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44874, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44820, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x448c8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x448cc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x448d0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44878, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x448d4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x448d8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x449b4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x449b8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x448dc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x4482c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x449fc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44848, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x449bc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44a00, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44a04, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x44bf0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x44bf4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44a08, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x44a0c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44a10, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44a14, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x44bf8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44a18, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x44a1c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x44bcc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x44b7c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44b78, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44a58, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x44a7c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x44bd0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x44ac0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x44ac4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44a24, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44b70, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44b74, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x44ae0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x44ae4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x44ae8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x44aec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x44af0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x44af4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x44af8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x44afc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44b00, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44b04, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44b08, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x44b0c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44b10, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44b14, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44b18, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x44b1c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44b20, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44b24, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44b28, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x44b2c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44b30, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44b34, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44b38, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x44b3c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44b40, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44b44, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44b48, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x44b4c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44b50, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44b54, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44b58, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x44b5c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44b60, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44b64, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44b68, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x44b6c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44a30, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44a34, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x44bc0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x44bc4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x44bc8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44b80, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44b84, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44b88, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x44b8c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44b90, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44b94, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44b98, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x44b9c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x44ba0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x44ba4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x44ba8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x44bac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44a28, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44a38, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x44a3c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44a40, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44a44, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x44a4c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44a50, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44a54, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x44a5c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44a60, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44a64, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44a68, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x44a6c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44a70, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x44bd8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x44bdc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x44be0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x44be8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x44be4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x44bec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x44bd4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44a74, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44a20, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x44ac8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x44acc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x44ad0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44a78, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x44ad4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x44ad8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x44bb4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x44bb8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x44adc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x44a2c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x44bfc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44a48, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x44bbc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44c00, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44c04, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x44df0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x44df4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44c08, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x44c0c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44c10, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44c14, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x44df8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44c18, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x44c1c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x44dcc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x44d7c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44d78, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44c58, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x44c7c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x44dd0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x44cc0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x44cc4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44c24, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44d70, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44d74, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x44ce0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x44ce4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x44ce8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x44cec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x44cf0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x44cf4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x44cf8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x44cfc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44d00, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44d04, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44d08, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x44d0c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44d10, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44d14, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44d18, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x44d1c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44d20, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44d24, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44d28, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x44d2c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44d30, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44d34, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44d38, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x44d3c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44d40, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44d44, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44d48, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x44d4c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44d50, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44d54, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44d58, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x44d5c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44d60, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44d64, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44d68, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x44d6c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44c30, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44c34, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x44dc0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x44dc4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x44dc8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44d80, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44d84, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44d88, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x44d8c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44d90, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44d94, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44d98, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x44d9c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x44da0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x44da4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x44da8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x44dac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44c28, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44c38, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x44c3c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44c40, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44c44, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x44c4c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44c50, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44c54, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x44c5c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44c60, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44c64, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44c68, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x44c6c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44c70, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x44dd8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x44ddc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x44de0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x44de8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x44de4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x44dec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x44dd4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44c74, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44c20, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x44cc8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x44ccc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x44cd0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44c78, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x44cd4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x44cd8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x44db4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x44db8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x44cdc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x44c2c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x44dfc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44c48, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x44dbc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x44e00, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x44e04, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x44ff0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x44ff4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x44e08, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x44e0c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x44e10, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x44e14, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x44ff8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x44e18, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x44e1c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x44fcc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x44f7c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x44f78, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x44e58, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x44e7c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x44fd0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x44ec0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x44ec4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x44e24, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x44f70, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x44f74, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x44ee0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x44ee4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x44ee8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x44eec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x44ef0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x44ef4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x44ef8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x44efc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x44f00, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x44f04, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x44f08, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x44f0c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x44f10, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x44f14, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x44f18, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x44f1c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x44f20, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x44f24, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x44f28, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x44f2c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x44f30, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x44f34, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x44f38, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x44f3c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x44f40, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x44f44, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x44f48, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x44f4c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x44f50, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x44f54, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x44f58, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x44f5c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x44f60, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x44f64, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x44f68, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x44f6c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x44e30, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x44e34, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x44fc0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x44fc4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x44fc8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x44f80, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x44f84, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x44f88, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x44f8c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x44f90, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x44f94, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x44f98, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x44f9c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x44fa0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x44fa4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x44fa8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x44fac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x44e28, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x44e38, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x44e3c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x44e40, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x44e44, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x44e4c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x44e50, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x44e54, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x44e5c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x44e60, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x44e64, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x44e68, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x44e6c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x44e70, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x44fd8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x44fdc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x44fe0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x44fe8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x44fe4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x44fec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x44fd4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x44e74, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x44e20, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x44ec8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x44ecc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x44ed0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x44e78, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x44ed4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x44ed8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x44fb4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x44fb8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x44edc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x44e2c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x44ffc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x44e48, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x44fbc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE0", 0x45000, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_ENABLE1", 0x45004, 0 }, + { "DATA_BIT_ENABLE_16_23", 8, 8 }, + { "DFT_FORCE_OUTPUTS", 7, 1 }, + { "DFT_PRBS7_GEN_EN", 6, 1 }, + { "DP18_WRAPSEL", 5, 1 }, + { "HW_VALUE", 4, 1 }, + { "MRS_CMD_DATA_N0", 3, 1 }, + { "MRS_CMD_DATA_N1", 2, 1 }, + { "MRS_CMD_DATA_N2", 1, 1 }, + { "MRS_CMD_DATA_N3", 0, 1 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE0_RP", 0x451f0, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DISABLE1_RP", 0x451f4, 0 }, + { "DATA_BIT_DISABLE_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR0", 0x45008, 0 }, + { "MC_DDRPHY_DP18_DATA_BIT_DIR1", 0x4500c, 0 }, + { "DATA_BIT_DIR_16_23", 8, 8 }, + { "WL_ADVANCE_DISABLE", 7, 1 }, + { "DISABLE_PING_PONG", 6, 1 }, + { "DELAY_PING_PONG_HALF", 5, 1 }, + { "ADVANCE_PING_PONG", 4, 1 }, + { "ATEST_MUX_CTL0", 3, 1 }, + { "ATEST_MUX_CTL1", 2, 1 }, + { "ATEST_MUX_CTL2", 1, 1 }, + { "ATEST_MUX_CTL3", 0, 1 }, + { "MC_DDRPHY_DP18_READ_CLOCK_RANK_PAIR", 0x45010, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EN_RP", 0x45014, 0 }, + { "QUAD0_CLK16_BIT0", 15, 1 }, + { "QUAD1_CLK16_BIT1", 14, 1 }, + { "QUAD2_CLK16_BIT2", 13, 1 }, + { "QUAD3_CLK16_BIT3", 12, 1 }, + { "QUAD0_CLK18_BIT4", 11, 1 }, + { "QUAD1_CLK18_BIT5", 10, 1 }, + { "QUAD2_CLK20_BIT6", 9, 1 }, + { "QUAD3_CLK20_BIT7", 8, 1 }, + { "QUAD2_CLK22_BIT8", 7, 1 }, + { "QUAD3_CLK22_BIT9", 6, 1 }, + { "CLK16_SINGLE_ENDED_BIT10", 5, 1 }, + { "CLK18_SINGLE_ENDED_BIT11", 4, 1 }, + { "CLK20_SINGLE_ENDED_BIT12", 3, 1 }, + { "CLK22_SINGLE_ENDED_BIT13", 2, 1 }, + { "QUAD2_CLK18_BIT14", 1, 1 }, + { "QUAD3_CLK18_BIT15", 0, 1 }, + { "MC_DDRPHY_DP18_DQ_WR_OFFSET_RP", 0x451f8, 0 }, + { "DQ_WR_OFFSET_N0", 12, 4 }, + { "DQ_WR_OFFSET_N1", 8, 4 }, + { "DQ_WR_OFFSET_N2", 4, 4 }, + { "DQ_WR_OFFSET_N3", 0, 4 }, + { "MC_DDRPHY_DP18_RX_PEAK_AMP", 0x45018, 0 }, + { "PEAK_AMP_CTL_SIDE0", 13, 3 }, + { "PEAK_AMP_CTL_SIDE1", 9, 3 }, + { "SxMCVREF_0_3", 4, 4 }, + { "SxPODVREF", 3, 1 }, + { "DISABLE_TERMINATION", 2, 1 }, + { "READ_CENTERING_MODE", 0, 2 }, + { "MC_DDRPHY_DP18_SYSCLK_PR", 0x4501c, 0 }, + { "SYSCLK_ENABLE", 15, 1 }, + { "SYSCLK_ROT_OVERRIDE", 8, 7 }, + { "SYSCLK_ROT_OVERRIDE_EN", 7, 1 }, + { "SYSCLK_PHASE_ALIGN_RESET", 6, 1 }, + { "SYSCLK_PHASE_CNTL_EN", 5, 1 }, + { "SYSCLK_PHASE_DEFAULT_EN", 4, 1 }, + { "SYSCLK_POS_EDGE_ALIGN", 3, 1 }, + { "CONTINUOUS_UPDATE", 2, 1 }, + { "MC_DDRPHY_DP18_SYSCLK_PR_VALUE", 0x451cc, 0 }, + { "SYSCLK_ROT", 8, 7 }, + { "BB_LOCK", 7, 1 }, + { "MC_DDRPHY_DP18_WRCLK_EDGE", 0x4517c, 0 }, + { "FAIL_PASS_VALUE", 8, 7 }, + { "PASS_FAIL_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_WRCLK_STATUS", 0x45178, 0 }, + { "WRCLK_CALIB_DONE", 15, 1 }, + { "VALUE_UPDATED", 14, 1 }, + { "FAIL_PASS_V", 13, 1 }, + { "PASS_FAIL_V", 12, 1 }, + { "FP_PF_EDGE_NF", 11, 1 }, + { "NON_SYMETRIC", 10, 1 }, + { "FULL_RANGE", 8, 1 }, + { "QUAD3_EDGES", 7, 1 }, + { "QUAD2_EDGES", 6, 1 }, + { "QUAD1_EDGES", 5, 1 }, + { "QUAD0_EDGES", 4, 1 }, + { "QUAD3_CAVEAT", 3, 1 }, + { "QUAD2_CAVEAT", 2, 1 }, + { "QUAD1_CAVEAT", 1, 1 }, + { "QUAD0_CAVEAT", 0, 1 }, + { "MC_DDRPHY_DP18_WRCLK_CNTL", 0x45058, 0 }, + { "PRBS_WAIT", 14, 2 }, + { "PRBS_SYNC_EARLY", 13, 1 }, + { "RD_DELAY_EARLY", 12, 1 }, + { "SS_QUAD_CAL", 10, 1 }, + { "SS_QUAD", 8, 2 }, + { "SS_RD_DELAY", 7, 1 }, + { "FORCE_HI_Z", 6, 1 }, + { "MC_DDRPHY_DP18_WRCLK_AUX_CNTL", 0x4507c, 0 }, + { "MC_DDRPHY_DP18_WRCLK_PR", 0x451d0, 0 }, + { "TSYS_WRCLK", 8, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR0_RANK_PAIR", 0x450c0, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQSCLK_PR1_RANK_PAIR", 0x450c4, 0 }, + { "DQSCLK_ROT_CLK_N0_N2", 8, 7 }, + { "DQSCLK_ROT_CLK_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_DQS_RD_PHASE_SELECT_RANK_PAIR", 0x45024, 0 }, + { "DQSCLK_SELECT0", 14, 2 }, + { "RDCLK_SELECT0", 12, 2 }, + { "DQSCLK_SELECT1", 10, 2 }, + { "RDCLK_SELECT1", 8, 2 }, + { "DQSCLK_SELECT2", 6, 2 }, + { "RDCLK_SELECT2", 4, 2 }, + { "DQSCLK_SELECT3", 2, 2 }, + { "RDCLK_SELECT3", 0, 2 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN0_RANK_PAIR", 0x45170, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_INITIAL_DQS_ALIGN1_RANK_PAIR", 0x45174, 0 }, + { "INITIAL_DQS_ROT_N0_N2", 8, 7 }, + { "INITIAL_DQS_ROT_N1_N3", 0, 7 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_0_RP", 0x450e0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_1_RP", 0x450e4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_2_RP", 0x450e8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_3_RP", 0x450ec, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_4_RP", 0x450f0, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_5_RP", 0x450f4, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_6_RP", 0x450f8, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_7_RP", 0x450fc, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_8_RP", 0x45100, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_9_RP", 0x45104, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_10_RP", 0x45108, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_11_RP", 0x4510c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_12_RP", 0x45110, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_13_RP", 0x45114, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_14_RP", 0x45118, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_15_RP", 0x4511c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_16_RP", 0x45120, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_17_RP", 0x45124, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_18_RP", 0x45128, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_19_RP", 0x4512c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_20_RP", 0x45130, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_21_RP", 0x45134, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_22_RP", 0x45138, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_WR_DELAY_VALUE_23_RP", 0x4513c, 0 }, + { "WR_DELAY", 6, 10 }, + { "MC_DDRPHY_DP18_READ_DELAY0_RANK_PAIR", 0x45140, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY1_RANK_PAIR", 0x45144, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY2_RANK_PAIR", 0x45148, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY3_RANK_PAIR", 0x4514c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY4_RANK_PAIR", 0x45150, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY5_RANK_PAIR", 0x45154, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY6_RANK_PAIR", 0x45158, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY7_RANK_PAIR", 0x4515c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY8_RANK_PAIR", 0x45160, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY9_RANK_PAIR", 0x45164, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY10_RANK_PAIR", 0x45168, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY11_RANK_PAIR", 0x4516c, 0 }, + { "RD_DELAY_BITS0_6", 9, 7 }, + { "RD_DELAY_BITS8_14", 1, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET0_RANK_PAIR", 0x45030, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DELAY_OFFSET1_RANK_PAIR", 0x45034, 0 }, + { "OFFSET_BITS1_7", 8, 7 }, + { "OFFSET_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE0", 0x451c0, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_TIMING_REFERENCE1", 0x451c4, 0 }, + { "REFERENCE_BITS1_7", 8, 7 }, + { "REFERENCE_BITS9_15", 0, 7 }, + { "MC_DDRPHY_DP18_READ_DQS_TIMING_REFERENCE", 0x451c8, 0 }, + { "REFERENCE", 8, 7 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE0_RANK_PAIR", 0x45180, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE1_RANK_PAIR", 0x45184, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE2_RANK_PAIR", 0x45188, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE3_RANK_PAIR", 0x4518c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE4_RANK_PAIR", 0x45190, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE5_RANK_PAIR", 0x45194, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE6_RANK_PAIR", 0x45198, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE7_RANK_PAIR", 0x4519c, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE8_RANK_PAIR", 0x451a0, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE9_RANK_PAIR", 0x451a4, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE10_RANK_PAIR", 0x451a8, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_READ_EYE_SIZE11_RANK_PAIR", 0x451ac, 0 }, + { "RD_EYE_SIZE_BITS2_7", 8, 6 }, + { "RD_EYE_SIZE_BITS10_15", 0, 6 }, + { "MC_DDRPHY_DP18_DRIFT_LIMITS", 0x45028, 0 }, + { "MIN_RD_EYE_SIZE", 8, 6 }, + { "MAX_DQS_DRIFT", 0, 6 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS0", 0x45038, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS1", 0x4503c, 0 }, + { "LEADING_EDGE_NOT_FOUND_1", 8, 8 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS2", 0x45040, 0 }, + { "MC_DDRPHY_DP18_RD_LVL_STATUS3", 0x45044, 0 }, + { "TRAILING_EDGE_NOT_FOUND_16_23", 8, 8 }, + { "MC_DDRPHY_DP18_DQS_GATE_DELAY_RP", 0x4504c, 0 }, + { "DQS_GATE_DELAY_N0", 12, 3 }, + { "DQS_GATE_DELAY_N1", 8, 3 }, + { "DQS_GATE_DELAY_N2", 4, 3 }, + { "DQS_GATE_DELAY_N3", 0, 3 }, + { "MC_DDRPHY_DP18_RD_STATUS0", 0x45050, 0 }, + { "NO_EYE_DETECTED", 15, 1 }, + { "LEADING_EDGE_FOUND", 14, 1 }, + { "TRAILING_EDGE_FOUND", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3", 9, 1 }, + { "COARSE_PATTERN_ERR_N0", 8, 1 }, + { "COARSE_PATTERN_ERR_N1", 7, 1 }, + { "COARSE_PATTERN_ERR_N2", 6, 1 }, + { "COARSE_PATTERN_ERR_N3", 5, 1 }, + { "EYE_CLIPPING", 4, 1 }, + { "NO_DQS", 3, 1 }, + { "NO_LOCK", 2, 1 }, + { "DRIFT_ERROR", 1, 1 }, + { "MIN_EYE", 0, 1 }, + { "MC_DDRPHY_DP18_RD_ERROR_MASK0", 0x45054, 0 }, + { "NO_EYE_DETECTED_MASK", 15, 1 }, + { "LEADING_EDGE_FOUND_MASK", 14, 1 }, + { "TRAILING_EDGE_FOUND_MASK", 13, 1 }, + { "INCOMPLETE_RD_CAL_N0_MASK", 12, 1 }, + { "INCOMPLETE_RD_CAL_N1_MASK", 11, 1 }, + { "INCOMPLETE_RD_CAL_N2_MASK", 10, 1 }, + { "INCOMPLETE_RD_CAL_N3_MASK", 9, 1 }, + { "COARSE_PATTERN_ERR_N0_MASK", 8, 1 }, + { "COARSE_PATTERN_ERR_N1_MASK", 7, 1 }, + { "COARSE_PATTERN_ERR_N2_MASK", 6, 1 }, + { "COARSE_PATTERN_ERR_N3_MASK", 5, 1 }, + { "EYE_CLIPPING_MASK", 4, 1 }, + { "NO_DQS_MASK", 3, 1 }, + { "NO_LOCK_MASK", 2, 1 }, + { "DRIFT_ERROR_MASK", 1, 1 }, + { "MIN_EYE_MASK", 0, 1 }, + { "MC_DDRPHY_DP18_WR_LVL_STATUS0", 0x4505c, 0 }, + { "CLK_LEVEL", 14, 2 }, + { "FINE_STEPPING", 13, 1 }, + { "WR_LVL_DONE", 12, 1 }, + { "WL_ERR_CLK16_ST", 11, 1 }, + { "WL_ERR_CLK18_ST", 10, 1 }, + { "WL_ERR_CLK20_ST", 9, 1 }, + { "WL_ERR_CLK22_ST", 8, 1 }, + { "ZERO_DETECTED", 7, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS0", 0x45060, 0 }, + { "BIT_CENTERED", 11, 5 }, + { "SMALL_STEP_LEFT", 10, 1 }, + { "BIG_STEP_RIGHT", 9, 1 }, + { "MATCH_STEP_RIGHT", 8, 1 }, + { "JUMP_BACK_RIGHT", 7, 1 }, + { "SMALL_STEP_RIGHT", 6, 1 }, + { "WR_CNTR_DONE", 5, 1 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS1", 0x45064, 0 }, + { "FW_LEFT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_CNTR_STATUS2", 0x45068, 0 }, + { "FW_RIGHT_SIDE", 5, 11 }, + { "MC_DDRPHY_DP18_WR_ERROR0", 0x4506c, 0 }, + { "WL_ERR_CLK16", 15, 1 }, + { "WL_ERR_CLK18", 14, 1 }, + { "WL_ERR_CLK20", 13, 1 }, + { "WL_ERR_CLK22", 12, 1 }, + { "VALID_NS_BIG_L", 7, 1 }, + { "INVALID_NS_SMALL_L", 6, 1 }, + { "VALID_NS_BIG_R", 5, 1 }, + { "INVALID_NS_BIG_R", 4, 1 }, + { "VALID_NS_JUMP_BACK", 3, 1 }, + { "INVALID_NS_SMALL_R", 2, 1 }, + { "OFFSET_ERR", 1, 1 }, + { "MC_DDRPHY_DP18_WR_ERROR_MASK0", 0x45070, 0 }, + { "WL_ERR_CLK16_MASK", 15, 1 }, + { "WL_ERR_CLK18_MASK", 14, 1 }, + { "WL_ERR_CLK20_MASK", 13, 1 }, + { "WR_ERR_CLK22_MASK", 12, 1 }, + { "DQS_REC_LOW_POWER", 11, 1 }, + { "DQ_REC_LOW_POWER", 10, 1 }, + { "VALID_NS_BIG_L_MASK", 7, 1 }, + { "INVALID_NS_SMALL_L_MASK", 6, 1 }, + { "VALID_NS_BIG_R_MASK", 5, 1 }, + { "INVALID_NS_BIG_R_MASK", 4, 1 }, + { "VALID_NS_JUMP_BACK_MASK", 3, 1 }, + { "INVALID_NS_SMALL_R_MASK", 2, 1 }, + { "OFFSET_ERR_MASK", 1, 1 }, + { "ADVANCE_PR_VALUE", 0, 1 }, + { "MC_DDRPHY_DP18_PLL_CONFIG0", 0x451d8, 0 }, + { "PLL_TUNE_0_2", 13, 3 }, + { "PLL_TUNECP_0_2", 10, 3 }, + { "PLL_TUNEF_0_5", 4, 6 }, + { "PLL_TUNEVCO_0_1", 2, 2 }, + { "PLL_PLLXTR_0_1", 0, 2 }, + { "MC_DDRPHY_DP18_PLL_CONFIG1", 0x451dc, 0 }, + { "PLL_TUNETDIV_0_2", 13, 3 }, + { "PLL_TUNEMDIV_0_1", 11, 2 }, + { "PLL_TUNEATST", 10, 1 }, + { "VREG_RANGE_0_1", 8, 2 }, + { "CE0DLTVCCA", 7, 1 }, + { "VREG_VCCTUNE_0_1", 5, 2 }, + { "CE0DLTVCCD1", 4, 1 }, + { "CE0DLTVCCD2", 3, 1 }, + { "S0INSDLYTAP", 2, 1 }, + { "S1INSDLYTAP", 1, 1 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_SLICE", 0x451e0, 0 }, + { "EN_SLICE_N_WR", 8, 8 }, + { "EN_SLICE_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_NFET_TERM", 0x451e8, 0 }, + { "EN_TERM_N_WR", 8, 8 }, + { "EN_TERM_N_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_SLICE", 0x451e4, 0 }, + { "EN_SLICE_P_WR", 8, 8 }, + { "EN_SLICE_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_PFET_TERM", 0x451ec, 0 }, + { "EN_TERM_P_WR", 8, 8 }, + { "EN_TERM_P_WR_FFE", 4, 4 }, + { "MC_DDRPHY_DP18_IO_TX_CONFIG0", 0x451d4, 0 }, + { "INTERP_SIG_SLEW", 12, 4 }, + { "POST_CURSOR", 8, 4 }, + { "SLEW_CTL", 4, 4 }, + { "MC_DDRPHY_DP18_DFT_WRAP_STATUS", 0x45074, 0 }, + { "CHECKER_ENABLE", 15, 1 }, + { "CHECKER_RESET", 14, 1 }, + { "SYNC", 6, 6 }, + { "DP18_DFT_ERROR", 0, 6 }, + { "MC_DDRPHY_DP18_DFT_DIG_EYE", 0x45020, 0 }, + { "DIGITAL_EYE_EN", 15, 1 }, + { "BUMP", 14, 1 }, + { "TRIG_PERIOD", 13, 1 }, + { "CNTL_POL", 12, 1 }, + { "CNTL_SRC", 8, 1 }, + { "DIGITAL_EYE_VALUE", 0, 8 }, + { "MC_DDRPHY_DP18_PATTERN_POS_0", 0x450c8, 0 }, + { "MEMINTD00_POS", 14, 2 }, + { "MEMINTD01_PO", 12, 2 }, + { "MEMINTD02_POS", 10, 2 }, + { "MEMINTD03_POS", 8, 2 }, + { "MEMINTD04_POS", 6, 2 }, + { "MEMINTD05_POS", 4, 2 }, + { "MEMINTD06_POS", 2, 2 }, + { "MEMINTD07_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_1", 0x450cc, 0 }, + { "MEMINTD08_POS", 14, 2 }, + { "MEMINTD09_POS", 12, 2 }, + { "MEMINTD10_POS", 10, 2 }, + { "MEMINTD11_POS", 8, 2 }, + { "MEMINTD12_POS", 6, 2 }, + { "MEMINTD13_POS", 4, 2 }, + { "MEMINTD14_POS", 2, 2 }, + { "MEMINTD15_POS", 0, 2 }, + { "MC_DDRPHY_DP18_PATTERN_POS_2", 0x450d0, 0 }, + { "MEMINTD16_POS", 14, 2 }, + { "MEMINTD17_POS", 12, 2 }, + { "MEMINTD18_POS", 10, 2 }, + { "MEMINTD19_POS", 8, 2 }, + { "MEMINTD20_POS", 6, 2 }, + { "MEMINTD21_POS", 4, 2 }, + { "MEMINTD22_POS", 2, 2 }, + { "MEMINTD23_POS", 0, 2 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG0", 0x45078, 0 }, + { "SYSCLK_DQSCLK_OFFSET", 8, 7 }, + { "SYSCLK_RDCLK_OFFSET", 0, 7 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG1", 0x450d4, 0 }, + { "DQS_ALIGN_SM", 11, 5 }, + { "DQS_ALIGN_CNTR", 7, 4 }, + { "ITERATION_CNTR", 6, 1 }, + { "DQS_ALIGN_ITER_CNTR", 0, 6 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG2", 0x450d8, 0 }, + { "CALIBRATE_BIT", 13, 3 }, + { "DQS_ALIGN_QUAD", 11, 2 }, + { "DQS_QUAD_CONFIG", 8, 3 }, + { "OPERATE_MODE", 4, 4 }, + { "EN_DQS_OFFSET", 3, 1 }, + { "DQS_ALIGN_JITTER", 2, 1 }, + { "DIS_CLK_GATE", 1, 1 }, + { "MAX_DQS_ITER", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG3", 0x451b4, 0 }, + { "DESIRED_EDGE_CNTR_TARGET_HIGH", 8, 8 }, + { "DESIRED_EDGE_CNTR_TARGET_LOW", 0, 8 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG4", 0x451b8, 0 }, + { "APPROACH_ALIGNMENT", 15, 1 }, + { "MC_DDRPHY_DP18_DQSCLK_OFFSET", 0x450dc, 0 }, + { "DQS_OFFSET", 8, 7 }, + { "MC_DDRPHY_DP18_DEBUG_SEL", 0x4502c, 0 }, + { "DP18_HS_PROBE_A_SEL", 11, 5 }, + { "DP18_HS_PROBE_B_SEL", 6, 5 }, + { "RD_DEBUG_SEL", 3, 3 }, + { "WR_DEBUG_SEL", 0, 3 }, + { "MC_DDRPHY_DP18_POWERDOWN_1", 0x451fc, 0 }, + { "MASTER_PD_CNTL", 15, 1 }, + { "ANALOG_INPUT_STAB2", 14, 1 }, + { "EYEDAC_PD", 13, 1 }, + { "ANALOG_OUTPUT_STAB", 9, 1 }, + { "ANALOG_INPUT_STAB1", 8, 1 }, + { "SYSCLK_CLK_GATE", 6, 2 }, + { "WR_FIFO_STAB", 5, 1 }, + { "DELAY_LINE_CTL_OVERRIDE", 4, 1 }, + { "DP18_RX_PD", 2, 2 }, + { "TX_TRISTATE_CNTL", 1, 1 }, + { "VCC_REG_PD", 0, 1 }, + { "MC_DDRPHY_DP18_RD_DIA_CONFIG5", 0x45048, 0 }, + { "DYN_POWER_CNTL_EN", 15, 1 }, + { "DQS_ALIGN_BY_QUAD", 4, 1 }, + { "MC_DDRPHY_DP18_DELAY_LINE_PWR_CTL", 0x451bc, 0 }, + { "QUAD0_PWR_CTL", 12, 4 }, + { "QUAD1_PWR_CTL", 8, 4 }, + { "QUAD2_PWR_CTL", 4, 4 }, + { "QUAD3_PWR_CTL", 0, 4 }, + { "MC_DDRPHY_SEQ_RD_WR_DATA0", 0x47200, 0 }, + { "MC_DDRPHY_SEQ_RD_WR_DATA1", 0x47204, 0 }, + { "MC_DDRPHY_SEQ_CONFIG0", 0x47208, 0 }, + { "MPR_PATTERN_BIT", 15, 1 }, + { "TWO_CYCLE_ADDR_EN", 14, 1 }, + { "MR_MASK_EN", 10, 4 }, + { "PARITY_DLY", 9, 1 }, + { "FORCE_RESERVED", 7, 1 }, + { "HALT_ROTATION", 6, 1 }, + { "FORCE_MPR", 5, 1 }, + { "IPW_SIDEAB_SEL", 2, 1 }, + { "PARITY_A17_MASK", 1, 1 }, + { "X16_DEVICE", 0, 1 }, + { "MC_DDRPHY_SEQ_RESERVED_ADDR0", 0x4720c, 0 }, + { "MC_DDRPHY_SEQ_RESERVED_ADDR1", 0x47210, 0 }, + { "MC_DDRPHY_SEQ_RESERVED_ADDR2", 0x47214, 0 }, + { "MC_DDRPHY_SEQ_RESERVED_ADDR3", 0x47218, 0 }, + { "MC_DDRPHY_SEQ_RESERVED_ADDR4", 0x4721c, 0 }, + { "MC_DDRPHY_SEQ_ERROR_STATUS0", 0x47220, 0 }, + { "MULTIPLE_REQ_ERROR", 15, 1 }, + { "INVALID_REQTYPE_ERRO", 14, 1 }, + { "EARLY_REQ_ERROR", 13, 1 }, + { "MULTIPLE_REQ_SOURCE", 10, 3 }, + { "INVALID_REQTYPE", 6, 4 }, + { "INVALID_REQ_SOURCE", 3, 3 }, + { "EARLY_REQ_SOURCE", 0, 3 }, + { "MC_DDRPHY_SEQ_ERROR_MASK0", 0x47224, 0 }, + { "MULT_REQ_ERR_MASK", 15, 1 }, + { "INVALID_REQTYPE_ERR_MASK", 14, 1 }, + { "EARLY_REQ_ERR_MASK", 13, 1 }, + { "MC_DDRPHY_SEQ_ODT_WR_CONFIG0", 0x47228, 0 }, + { "ODT_WR_VALUES_BITS0_7", 8, 8 }, + { "ODT_WR_VALUES_BITS8_15", 0, 8 }, + { "MC_DDRPHY_SEQ_ODT_WR_CONFIG1", 0x4722c, 0 }, + { "ODT_WR_VALUES_BITS0_7", 8, 8 }, + { "ODT_WR_VALUES_BITS8_15", 0, 8 }, + { "MC_DDRPHY_SEQ_ODT_WR_CONFIG2", 0x47230, 0 }, + { "ODT_WR_VALUES_BITS0_7", 8, 8 }, + { "ODT_WR_VALUES_BITS8_15", 0, 8 }, + { "MC_DDRPHY_SEQ_ODT_WR_CONFIG3", 0x47234, 0 }, + { "ODT_WR_VALUES_BITS0_7", 8, 8 }, + { "ODT_WR_VALUES_BITS8_15", 0, 8 }, + { "MC_DDRPHY_SEQ_ODT_RD_CONFIG0", 0x47238, 0 }, + { "ODT_RD_VALUES_x2", 8, 8 }, + { "ODT_RD_VALUES_x2plus1", 0, 8 }, + { "MC_DDRPHY_SEQ_ODT_RD_CONFIG1", 0x4723c, 0 }, + { "ODT_RD_VALUES_x2", 8, 8 }, + { "ODT_RD_VALUES_x2plus1", 0, 8 }, + { "MC_DDRPHY_SEQ_ODT_RD_CONFIG2", 0x47240, 0 }, + { "ODT_RD_VALUES_x2", 8, 8 }, + { "ODT_RD_VALUES_x2plus1", 0, 8 }, + { "MC_DDRPHY_SEQ_ODT_RD_CONFIG3", 0x47244, 0 }, + { "ODT_RD_VALUES_x2", 8, 8 }, + { "ODT_RD_VALUES_x2plus1", 0, 8 }, + { "MC_DDRPHY_SEQ_MEM_TIMING_PARAM0", 0x47248, 0 }, + { "TMOD_CYCLES", 12, 4 }, + { "TRCD_CYCLES", 8, 4 }, + { "TRP_CYCLES", 4, 4 }, + { "TRFC_CYCLES", 0, 4 }, + { "MC_DDRPHY_SEQ_MEM_TIMING_PARAM1", 0x4724c, 0 }, + { "TZQINIT_CYCLES", 12, 4 }, + { "TZQCS_CYCLES", 8, 4 }, + { "TWLDQSEN_CYCLES", 4, 4 }, + { "TWRMRD_CYCLES", 0, 4 }, + { "MC_DDRPHY_SEQ_MEM_TIMING_PARAM2", 0x47250, 0 }, + { "TODTLON_OFF_CYCLES", 12, 4 }, + { "TRC_CYCLES", 8, 4 }, + { "TMRSC_CYCLES", 4, 4 }, + { "MRS_CMD_SPACE", 0, 4 }, + { "MC_DDRPHY_WC_CONFIG0", 0x47600, 0 }, + { "TWLO_TWLOE", 8, 8 }, + { "WL_ONE_DQS_PULSE", 7, 1 }, + { "FW_WR_RD", 1, 6 }, + { "CUSTOM_INIT_WRITE", 0, 1 }, + { "MC_DDRPHY_WC_CONFIG1", 0x47604, 0 }, + { "BIG_STEP", 12, 4 }, + { "SMALL_STEP", 9, 3 }, + { "WR_PRE_DLY", 3, 6 }, + { "MC_DDRPHY_WC_CONFIG2", 0x47608, 0 }, + { "NUM_VALID_SAMPLES", 12, 4 }, + { "FW_RD_WR", 6, 6 }, + { "TWR_MPR", 2, 4 }, + { "EN_RESET_WR_DELAY_WL", 0, 1 }, + { "MC_DDRPHY_WC_CONFIG3", 0x47614, 0 }, + { "DDR4_MRS_CMD_DQ_EN", 15, 1 }, + { "MRS_CMD_DQ_ON", 9, 6 }, + { "MRS_CMD_DQ_OFF", 3, 6 }, + { "MC_DDRPHY_WC_WRCLK_CNTL", 0x47618, 0 }, + { "WRCLK_CAL_START", 15, 1 }, + { "WRCLK_CAL_DONE", 14, 1 }, + { "MC_DDRPHY_WC_ERROR_STATUS0", 0x4760c, 0 }, + { "WR_CNTL_ERROR", 15, 1 }, + { "MC_DDRPHY_WC_ERROR_MASK0", 0x47610, 0 }, + { "WR_CNTL_ERROR_MASK", 15, 1 }, + { "MC_DDRPHY_RC_CONFIG0", 0x47400, 0 }, + { "GLOBAL_PHY_OFFSET", 12, 4 }, + { "ADVANCE_RD_VALID", 11, 1 }, + { "ERS_MODE", 10, 1 }, + { "SINGLE_BIT_MPR_RP0", 6, 1 }, + { "SINGLE_BIT_MPR_RP1", 5, 1 }, + { "SINGLE_BIT_MPR_RP2", 4, 1 }, + { "SINGLE_BIT_MPR_RP3", 3, 1 }, + { "ALIGN_ON_EVEN_CYCLES", 2, 1 }, + { "PERFORM_RDCLK_ALIGN", 1, 1 }, + { "STAGGERED_PATTERN", 0, 1 }, + { "MC_DDRPHY_RC_CONFIG1", 0x47404, 0 }, + { "OUTER_LOOP_CNT", 2, 14 }, + { "MC_DDRPHY_RC_CONFIG2", 0x47408, 0 }, + { "CONSEQ_PASS", 11, 5 }, + { "BURST_WINDOW", 5, 2 }, + { "ALLOW_RD_FIFO_AUTO_R_ESET", 4, 1 }, + { "DIS_LOW_PWR_PER_CAL", 3, 1 }, + { "MC_DDRPHY_RC_CONFIG3", 0x4741c, 0 }, + { "FINE_CAL_STEP_SIZE", 13, 3 }, + { "COARSE_CAL_STEP_SIZE", 9, 4 }, + { "DQ_SEL_QUAD", 7, 2 }, + { "DQ_SEL_LANE", 4, 3 }, + { "MC_DDRPHY_RC_PERIODIC", 0x47420, 0 }, + { "MC_DDRPHY_RC_ERROR_STATUS0", 0x47414, 0 }, + { "RD_CNTL_ERROR", 15, 1 }, + { "MC_DDRPHY_RC_ERROR_MASK0", 0x47418, 0 }, + { "RD_CNTL_ERROR_MASK", 15, 1 }, + { "MC_DDRPHY_APB_CONFIG0", 0x47800, 0 }, + { "DISABLE_PARITY_CHECKER", 15, 1 }, + { "GENERATE_EVEN_PARITY", 14, 1 }, + { "FORCE_ON_CLK_GATE", 13, 1 }, + { "DEBUG_BUS_SEL_LO", 12, 1 }, + { "DEBUG_BUS_SEL_HI", 8, 4 }, + { "MC_DDRPHY_APB_ERROR_STATUS0", 0x47804, 0 }, + { "INVALID_ADDRESS", 15, 1 }, + { "WR_PAR_ERR", 14, 1 }, + { "MC_DDRPHY_APB_ERROR_MASK0", 0x47808, 0 }, + { "INVALID_ADDRESS_MASK", 15, 1 }, + { "WR_PAR_ERR_MASK", 14, 1 }, + { "MC_DDRPHY_APB_DP18_POPULATION", 0x4780c, 0 }, + { "DP18_0_Populated", 15, 1 }, + { "DP18_1_Populated", 14, 1 }, + { "DP18_2_Populated", 13, 1 }, + { "DP18_3_Populated", 12, 1 }, + { "DP18_4_Populated", 11, 1 }, + { "DP18_5_Populated", 10, 1 }, + { "DP18_6_Populated", 9, 1 }, + { "DP18_7_Populated", 8, 1 }, + { "DP18_8_Populated", 7, 1 }, + { "DP18_9_Populated", 6, 1 }, + { "DP18_10_Populated", 5, 1 }, + { "DP18_11_Populated", 4, 1 }, + { "DP18_12_Populated", 3, 1 }, + { "DP18_13_Populated", 2, 1 }, + { "DP18_14_Populated", 1, 1 }, + { "MC_DDRPHY_APB_ADR_POPULATION", 0x47810, 0 }, + { "ADR16_0_Populated", 15, 1 }, + { "ADR16_1_Populated", 14, 1 }, + { "ADR16_2_Populated", 13, 1 }, + { "ADR16_3_Populated", 12, 1 }, + { "ADR12_0_Populated", 7, 1 }, + { "ADR12_1_Populated", 6, 1 }, + { "ADR12_2_Populated", 5, 1 }, + { "ADR12_3_Populated", 4, 1 }, + { "MC_DDRPHY_APB_ATEST_MUX_SEL", 0x47814, 0 }, + { "ATEST_CNTL", 10, 6 }, + { "MC_DDRPHY_APB_MTCTL_REG0", 0x47820, 0 }, + { "MT_DATA_MUX4_1MODE", 15, 1 }, + { "MT_PLL_RESET", 14, 1 }, + { "MT_SYSCLK_RESET", 13, 1 }, + { "MT_GLOBAL_PHY_OFFSET", 9, 4 }, + { "MT_DQ_SEL_QUAD", 7, 2 }, + { "MT_PERFORM_RDCLK_ALIGN", 6, 1 }, + { "MT_ALIGN_ON_EVEN_CYCLES", 5, 1 }, + { "MT_WRCLK_CAL_START", 4, 1 }, + { "MC_DDRPHY_APB_MTCTL_REG1", 0x47824, 0 }, + { "MT_WPRD_ENABLE", 15, 1 }, + { "MT_PVTP", 10, 5 }, + { "MT_PVTN", 5, 5 }, + { "MC_DDRPHY_APB_MTSTAT_REG0", 0x47828, 0 }, + { "MC_DDRPHY_APB_MTSTAT_REG1", 0x4782c, 0 }, + { "MT_ADR32_PLL_LOCK_SUM", 1, 1 }, + { "MT_DP18_PLL_LOCK_SUM", 0, 1 }, + { "MC_LMC_MCSTAT", 0x40040, 0 }, + { "INIT_COMPLETE", 31, 1 }, + { "SELF_REF_MODE", 30, 1 }, + { "IDLE", 29, 1 }, + { "DFI_INIT_COMPLETE", 28, 1 }, + { "PREFILL_COMPLETE", 27, 1 }, + { "MC_LMC_MCOPT1", 0x40080, 0 }, + { "MC_PROTOCOL", 31, 1 }, + { "DM_ENABLE", 30, 1 }, + { "ECC_EN", 29, 1 }, + { "ECC_COR", 28, 1 }, + { "RDIMM", 27, 1 }, + { "PMUM", 25, 2 }, + { "WIDTH0", 24, 1 }, + { "PORT_ID_CHK_EN", 23, 1 }, + { "UIOS", 22, 1 }, + { "QUADCS_RDIMM", 21, 1 }, + { "ZQCL_EN", 20, 1 }, + { "WIDTH1", 19, 1 }, + { "WD_DLY", 18, 1 }, + { "QDEPTH", 16, 2 }, + { "RWOO", 15, 1 }, + { "WOOO", 14, 1 }, + { "DCOO", 13, 1 }, + { "DEF_REF", 12, 1 }, + { "DEV_TYPE", 11, 1 }, + { "CA_PTY_DLY", 10, 1 }, + { "ECC_MUX", 8, 2 }, + { "CE_THRESHOLD", 0, 8 }, + { "MC_LMC_MCOPT2", 0x40084, 0 }, + { "SELF_REF_EN", 31, 1 }, + { "XSR_PREVENT", 30, 1 }, + { "INIT_START", 29, 1 }, + { "MC_ENABLE", 28, 1 }, + { "CLK_DISABLE", 24, 4 }, + { "RESET_RANK", 20, 4 }, + { "MCIF_COMP_PTY_EN", 19, 1 }, + { "CKE_OE", 17, 1 }, + { "RESET_OE", 16, 1 }, + { "DFI_PHYUD_CNTL", 14, 1 }, + { "DFI_PHYUD_ACK", 13, 1 }, + { "DFI_INIT_START", 12, 1 }, + { "PM_ENABLE", 8, 4 }, + { "RD_DEFREF_CNT", 4, 4 }, + { "MC_LMC_CFGR0", 0x40100, 0 }, + { "ROW_WIDTH", 12, 3 }, + { "ADDR_MODE", 8, 4 }, + { "MIRROR", 4, 1 }, + { "RANK_ENABLE", 0, 1 }, + { "MC_LMC_INITSEQ0", 0x40140, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD0", 0x40144, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ1", 0x40148, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD1", 0x4014c, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ2", 0x40150, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD2", 0x40154, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ3", 0x40158, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD3", 0x4015c, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ4", 0x40160, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD4", 0x40164, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ5", 0x40168, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD5", 0x4016c, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ6", 0x40170, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD6", 0x40174, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ7", 0x40178, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD7", 0x4017c, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ8", 0x40180, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD8", 0x40184, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ9", 0x40188, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD9", 0x4018c, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ10", 0x40190, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD10", 0x40194, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ11", 0x40198, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD11", 0x4019c, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ12", 0x401a0, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD12", 0x401a4, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ13", 0x401a8, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD13", 0x401ac, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ14", 0x401b0, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD14", 0x401b4, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_INITSEQ15", 0x401b8, 0 }, + { "INIT_ENABLE", 31, 1 }, + { "WAIT", 16, 12 }, + { "EN_MULTI_RANK_SEL", 4, 1 }, + { "RANK", 0, 4 }, + { "MC_LMC_CMD15", 0x401bc, 0 }, + { "CMD", 29, 3 }, + { "CMD_ACTN", 28, 1 }, + { "BG1", 23, 1 }, + { "BANK", 20, 3 }, + { "ADDR", 0, 16 }, + { "MC_LMC_SDTR0", 0x40200, 0 }, + { "REFI", 16, 16 }, + { "T_RFC_XPR", 0, 12 }, + { "MC_LMC_SDTR1", 0x40204, 0 }, + { "T_LEADOFF", 31, 1 }, + { "ODT_DELAY", 30, 1 }, + { "ODT_WIDTH", 29, 1 }, + { "T_WTRO", 24, 4 }, + { "T_RTWO", 16, 4 }, + { "T_RTW_ADJ", 12, 4 }, + { "T_WTWO", 8, 4 }, + { "T_RTRO", 0, 4 }, + { "MC_LMC_SDTR2", 0x40208, 0 }, + { "T_CWL", 28, 4 }, + { "T_RCD0", 24, 4 }, + { "T_PL", 20, 4 }, + { "T_RP0", 16, 4 }, + { "T_RP1", 15, 1 }, + { "T_RCD1", 14, 1 }, + { "T_RC", 8, 6 }, + { "T_RAS", 0, 6 }, + { "MC_LMC_SDTR3", 0x4020c, 0 }, + { "T_WTR_S", 28, 4 }, + { "T_WTR", 24, 4 }, + { "FAW_ADJ", 20, 2 }, + { "T_RTP", 16, 4 }, + { "T_RRD_L", 12, 4 }, + { "T_RRD", 8, 4 }, + { "T_XSDLL", 0, 8 }, + { "MC_LMC_SDTR4", 0x40210, 0 }, + { "T_RDDATA_EN", 24, 7 }, + { "T_SYS_RDLAT", 16, 6 }, + { "T_CCD_L", 12, 4 }, + { "T_CCD", 8, 3 }, + { "T_CPDED", 5, 3 }, + { "T_MOD", 0, 5 }, + { "MC_LMC_SDTR5", 0x40214, 0 }, + { "T_PHY_WRDATA", 24, 3 }, + { "T_PHY_WRLAT", 16, 5 }, + { "MC_LMC_DBG0", 0x40228, 0 }, + { "T_SYS_RDLAT_DBG", 16, 5 }, + { "MC_LMC_SMR0", 0x40240, 0 }, + { "SMR0_RFU0", 13, 3 }, + { "PPD", 12, 1 }, + { "WR_RTP", 9, 3 }, + { "SMR0_DLL", 8, 1 }, + { "TM", 7, 1 }, + { "CL31", 4, 3 }, + { "RBT", 3, 1 }, + { "CL0", 2, 1 }, + { "BL", 0, 2 }, + { "MC_LMC_SMR1", 0x40244, 0 }, + { "QOFF", 12, 1 }, + { "TDQS", 11, 1 }, + { "SMR1_RFU0", 10, 1 }, + { "RTT_NOM0", 9, 1 }, + { "SMR1_RFU1", 8, 1 }, + { "WR_LEVEL", 7, 1 }, + { "RTT_NOM1", 6, 1 }, + { "DIC0", 5, 1 }, + { "AL", 3, 2 }, + { "RTT_NOM2", 2, 1 }, + { "DIC1", 1, 1 }, + { "SMR1_DLL", 0, 1 }, + { "MC_LMC_SMR2", 0x40248, 0 }, + { "WR_CRC", 12, 1 }, + { "RD_CRC", 11, 1 }, + { "RTT_WR", 9, 2 }, + { "SMR2_RFU0", 8, 1 }, + { "SRT_ASR1", 7, 1 }, + { "ASR0", 6, 1 }, + { "CWL", 3, 3 }, + { "PASR", 0, 3 }, + { "MC_LMC_SMR3", 0x4024c, 0 }, + { "MPR_RD_FMT", 11, 2 }, + { "SMR3_RFU0", 9, 2 }, + { "FGR_MODE", 6, 3 }, + { "MRS_RDO", 5, 1 }, + { "DRAM_ADR", 4, 1 }, + { "GD_MODE", 3, 1 }, + { "MPR", 2, 1 }, + { "MPR_SEL", 0, 2 }, + { "MC_LMC_SMR4", 0x40250, 0 }, + { "WR_PRE", 12, 1 }, + { "RD_PRE", 11, 1 }, + { "RPT_MODE", 10, 1 }, + { "FESR_MODE", 9, 1 }, + { "CS_LAT_MODE", 6, 3 }, + { "ALERT_STAT", 5, 1 }, + { "IVM_MODE", 4, 1 }, + { "TCR_MODE", 3, 1 }, + { "TCR_RANGE", 2, 1 }, + { "MPD_MODE", 1, 1 }, + { "SMR4_RFU", 0, 1 }, + { "MC_LMC_SMR5", 0x40254, 0 }, + { "RD_DBI", 11, 1 }, + { "WR_DBI", 10, 1 }, + { "DM_MODE", 9, 1 }, + { "RTT_PARK", 6, 3 }, + { "SMR5_RFU", 5, 1 }, + { "PAR_ERR_STAT", 4, 1 }, + { "CRC_CLEAR", 3, 1 }, + { "PAR_LAT_MODE", 0, 3 }, + { "MC_LMC_SMR6", 0x40258, 0 }, + { "TCCD_L", 10, 3 }, + { "SRM6_RFU", 7, 3 }, + { "VREF_DQ_RANGE", 6, 1 }, + { "VREF_DQ_VALUE", 0, 6 }, + { "MC_LMC_ODTR0", 0x40280, 0 }, + { "RK0W", 25, 1 }, + { "RK0R", 24, 1 }, + { "MC_LMC_CALSTAT", 0x40304, 0 }, + { "PHYUPD_ERR", 28, 4 }, + { "PHYUPD_BUSY", 27, 1 }, + { "MC_LMC_T_PHYUPD0", 0x40330, 0 }, + { "MC_LMC_T_PHYUPD1", 0x40334, 0 }, + { "MC_LMC_T_PHYUPD2", 0x40338, 0 }, + { "MC_LMC_T_PHYUPD3", 0x4033c, 0 }, + { "MC_P_DDRPHY_RST_CTRL", 0x41300, 0 }, + { "PHY_CAL_REQ", 21, 1 }, + { "PHY_DRAM_WL", 17, 4 }, + { "PHY_CALIB_DONE", 5, 1 }, + { "CTL_CAL_REQ", 4, 1 }, + { "CTL_CKE", 3, 1 }, + { "CTL_RST_N", 2, 1 }, + { "DDRIO_ENABLE", 1, 1 }, + { "PHY_RST_N", 0, 1 }, + { "MC_P_PERFORMANCE_CTRL", 0x41304, 0 }, + { "BUF_USE_TH", 12, 3 }, + { "MC_IDLE_TH", 8, 4 }, + { "RMW_DEFER_EN", 7, 1 }, + { "DDR3_BRBC_MODE", 6, 1 }, + { "RMW_DWRITE_EN", 5, 1 }, + { "RMW_MERGE_EN", 4, 1 }, + { "SYNC_PAB_EN", 3, 1 }, + { "STALL_CHK_BIT", 2, 1 }, + { "DDR3_BRC_MODE", 1, 1 }, + { "RMW_PERF_CTRL", 0, 1 }, + { "MC_P_ECC_CTRL", 0x41308, 0 }, + { "ECC_BYPASS_BIST", 1, 1 }, + { "ECC_DISABLE", 0, 1 }, + { "MC_P_PAR_ENABLE", 0x4130c, 0 }, + { "ECC_UE_PAR_ENABLE", 3, 1 }, + { "ECC_CE_PAR_ENABLE", 2, 1 }, + { "PERR_REG_INT_ENABLE", 1, 1 }, + { "PERR_BLK_INT_ENABLE", 0, 1 }, + { "MC_P_PAR_CAUSE", 0x41310, 0 }, + { "ECC_UE_PAR_CAUSE", 3, 1 }, + { "ECC_CE_PAR_CAUSE", 2, 1 }, + { "FIFOR_PAR_CAUSE", 1, 1 }, + { "RDATA_FIFOR_PAR_CAUSE", 0, 1 }, + { "MC_P_INT_ENABLE", 0x41314, 0 }, + { "ECC_UE_INT_ENABLE", 2, 1 }, + { "ECC_CE_INT_ENABLE", 1, 1 }, + { "PERR_INT_ENABLE", 0, 1 }, + { "MC_P_INT_CAUSE", 0x41318, 0 }, + { "ECC_UE_INT_CAUSE", 2, 1 }, + { "ECC_CE_INT_CAUSE", 1, 1 }, + { "PERR_INT_CAUSE", 0, 1 }, + { "MC_P_ECC_STATUS", 0x4131c, 0 }, + { "ECC_CECNT", 16, 16 }, + { "ECC_UECNT", 0, 16 }, + { "MC_P_PHY_CTRL", 0x41320, 0 }, + { "MC_P_STATIC_CFG_STATUS", 0x41324, 0 }, + { "STATIC_PP64", 26, 1 }, + { "STATIC_PPEN", 25, 1 }, + { "STATIC_OOOEN", 24, 1 }, + { "STATIC_AWEN", 23, 1 }, + { "STATIC_SWLAT", 18, 5 }, + { "STATIC_WLAT", 17, 1 }, + { "STATIC_ALIGN", 16, 1 }, + { "STATIC_SLAT", 11, 5 }, + { "STATIC_LAT", 10, 1 }, + { "STATIC_MODE", 9, 1 }, + { "STATIC_DEN", 6, 3 }, + { "STATIC_ORG", 5, 1 }, + { "STATIC_RKS", 4, 1 }, + { "STATIC_WIDTH", 1, 3 }, + { "STATIC_SLOW", 0, 1 }, + { "MC_P_CORE_PCTL_STAT", 0x41328, 0 }, + { "MC_P_DEBUG_CNT", 0x4132c, 0 }, + { "WDATA_OCNT", 8, 5 }, + { "RDATA_OCNT", 0, 5 }, + { "MC_CE_ERR_DATA_RDATA", 0x41330, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41334, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41338, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x4133c, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41340, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41344, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41348, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x4134c, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41350, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41354, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41358, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x4135c, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41360, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41364, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x41368, 0 }, + { "MC_CE_ERR_DATA_RDATA", 0x4136c, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41370, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41374, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41378, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x4137c, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41380, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41384, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41388, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x4138c, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41390, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41394, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x41398, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x4139c, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x413a0, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x413a4, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x413a8, 0 }, + { "MC_UE_ERR_DATA_RDATA", 0x413ac, 0 }, + { "MC_CE_ADDR", 0x413b0, 0 }, + { "MC_UE_ADDR", 0x413b4, 0 }, + { "MC_P_DEEP_SLEEP", 0x413b8, 0 }, + { "SleepStatus", 1, 1 }, + { "SleepReq", 0, 1 }, + { "MC_P_FPGA_BONUS", 0x413bc, 0 }, + { "MC_P_DEBUG_CFG", 0x413c0, 0 }, + { "DEBUG_OR", 15, 1 }, + { "DEBUG_HI", 14, 1 }, + { "DEBUG_RPT", 13, 1 }, + { "DEBUGPAGE", 10, 3 }, + { "DEBUGSELH", 5, 5 }, + { "DEBUGSELL", 0, 5 }, + { "MC_P_DEBUG_RPT", 0x413c4, 0 }, + { "MC_P_PHY_ADR_CK_EN", 0x413c8, 0 }, + { "MC_CE_ERR_ECC_DATA0", 0x413d0, 0 }, + { "MC_CE_ERR_ECC_DATA1", 0x413d4, 0 }, + { "MC_UE_ERR_ECC_DATA0", 0x413d8, 0 }, + { "MC_UE_ERR_ECC_DATA1", 0x413dc, 0 }, + { "MC_P_RMW_PRIO", 0x413f0, 0 }, + { "WR_HI_TH", 24, 8 }, + { "WR_MID_TH", 16, 8 }, + { "RD_HI_TH", 8, 8 }, + { "RD_MID_TH", 0, 8 }, + { "MC_P_BIST_CMD", 0x41400, 0 }, + { "START_BIST", 31, 1 }, + { "BURST_LEN", 16, 2 }, + { "BIST_CMD_GAP", 8, 8 }, + { "BIST_OPCODE", 0, 2 }, + { "MC_P_BIST_CMD_ADDR", 0x41404, 0 }, + { "MC_P_BIST_CMD_LEN", 0x41408, 0 }, + { "MC_P_BIST_DATA_PATTERN", 0x4140c, 0 }, + { "MC_P_BIST_USER_WMASK0", 0x41414, 0 }, + { "MC_P_BIST_USER_WMASK1", 0x41418, 0 }, + { "MC_P_BIST_USER_WMASK2", 0x4141c, 0 }, + { "MASK_128_1", 9, 1 }, + { "MASK_128_0", 8, 1 }, + { "USER_MASK_ECC", 0, 8 }, + { "MC_P_BIST_NUM_ERR", 0x41480, 0 }, + { "MC_P_BIST_ERR_FIRST_ADDR", 0x41484, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x41488, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x4148c, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x41490, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x41494, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x41498, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x4149c, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414a0, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414a4, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414a8, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414ac, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414b0, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414b4, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414b8, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414bc, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414c0, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414c4, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414c8, 0 }, + { "MC_P_BIST_STATUS_RDATA", 0x414cc, 0 }, + { "MC_P_BIST_CRC_SEED", 0x414d0, 0 }, + { NULL } +}; + +struct reg_info t6_edc_t60_regs[] = { + { "EDC_H_REF", 0x50000, 0 }, + { "SleepStatus", 31, 1 }, + { "SleepReq", 30, 1 }, + { "PING_PONG", 29, 1 }, + { "QDR_ClkPhase", 24, 3 }, + { "MaxOpsPerTRC", 21, 3 }, + { "NumPipeStages", 19, 2 }, + { "EDC_INST_NUM", 18, 1 }, + { "ENABLE_PERF", 17, 1 }, + { "ECC_BYPASS", 16, 1 }, + { "RefFreq", 0, 16 }, + { "EDC_H_BIST_CMD", 0x50004, 0 }, + { "START_BIST", 31, 1 }, + { "BURST_LEN", 16, 2 }, + { "BIST_CMD_GAP", 8, 8 }, + { "BIST_OPCODE", 0, 2 }, + { "EDC_H_BIST_CMD_ADDR", 0x50008, 0 }, + { "EDC_H_BIST_CMD_LEN", 0x5000c, 0 }, + { "EDC_H_BIST_DATA_PATTERN", 0x50010, 0 }, + { "EDC_H_BIST_USER_WDATA0", 0x50014, 0 }, + { "EDC_H_BIST_USER_WDATA1", 0x50018, 0 }, + { "EDC_H_BIST_USER_WDATA2", 0x5001c, 0 }, + { "USER_DATA_MASK", 8, 9 }, + { "USER_DATA2", 0, 8 }, + { "EDC_H_BIST_NUM_ERR", 0x50020, 0 }, + { "EDC_H_BIST_ERR_FIRST_ADDR", 0x50024, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50028, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5002c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50030, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50034, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50038, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5003c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50040, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50044, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50048, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5004c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50050, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50054, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50058, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5005c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50060, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50064, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50068, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5006c, 0 }, + { "EDC_H_PAR_ENABLE", 0x50070, 0 }, + { "ECC_UE_PAR_ENABLE", 2, 1 }, + { "ECC_CE_PAR_ENABLE", 1, 1 }, + { "PERR_PAR_ENABLE", 0, 1 }, + { "EDC_H_INT_ENABLE", 0x50074, 0 }, + { "ECC_UE_INT_ENABLE", 2, 1 }, + { "ECC_CE_INT_ENABLE", 1, 1 }, + { "PERR_INT_ENABLE", 0, 1 }, + { "EDC_H_INT_CAUSE", 0x50078, 0 }, + { "ECC_UE_INT0_CAUSE", 5, 1 }, + { "ECC_CE_INT0_CAUSE", 4, 1 }, + { "PERR_INT0_CAUSE", 3, 1 }, + { "ECC_UE_INT_CAUSE", 2, 1 }, + { "ECC_CE_INT_CAUSE", 1, 1 }, + { "PERR_INT_CAUSE", 0, 1 }, + { "EDC_H_ECC_STATUS", 0x5007c, 0 }, + { "ECC_CECNT", 16, 16 }, + { "ECC_UECNT", 0, 16 }, + { "EDC_H_ECC_ERR_SEL", 0x50080, 0 }, + { "EDC_H_ECC_ERR_ADDR", 0x50084, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x50090, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x50094, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x50098, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x5009c, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500a0, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500a4, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500a8, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500ac, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500b0, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500b4, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500b8, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500bc, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500c0, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500c4, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500c8, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x500cc, 0 }, + { "EDC_H_DBG_MA_CMD_INTF", 0x50300, 0 }, + { "MCmdAddr", 12, 20 }, + { "MCmdLen", 5, 7 }, + { "MCmdNRE", 4, 1 }, + { "MCmdNRB", 3, 1 }, + { "MCmdWr", 2, 1 }, + { "MCmdRdy", 1, 1 }, + { "MCmdVld", 0, 1 }, + { "EDC_H_DBG_MA_WDATA_INTF", 0x50304, 0 }, + { "MWDataVld", 31, 1 }, + { "MWDataRdy", 30, 1 }, + { "MWData", 0, 30 }, + { "EDC_H_DBG_MA_RDATA_INTF", 0x50308, 0 }, + { "MRspVld", 31, 1 }, + { "MRspRdy", 30, 1 }, + { "MRspData", 0, 30 }, + { "EDC_H_DBG_BIST_CMD_INTF", 0x5030c, 0 }, + { "BCmdAddr", 9, 23 }, + { "BCmdLen", 3, 6 }, + { "BCmdWr", 2, 1 }, + { "BCmdRdy", 1, 1 }, + { "BCmdVld", 0, 1 }, + { "EDC_H_DBG_BIST_WDATA_INTF", 0x50310, 0 }, + { "BWDataVld", 31, 1 }, + { "BWDataRdy", 30, 1 }, + { "BWData", 0, 30 }, + { "EDC_H_DBG_BIST_RDATA_INTF", 0x50314, 0 }, + { "BRspVld", 31, 1 }, + { "BRspRdy", 30, 1 }, + { "BRspData", 0, 30 }, + { "EDC_H_DBG_EDRAM_CMD_INTF", 0x50318, 0 }, + { "EdramAddr", 16, 16 }, + { "EdramDwsn", 8, 8 }, + { "EdramCra", 5, 3 }, + { "EdramRefEnLo", 4, 1 }, + { "Edram1WrEnLo", 3, 1 }, + { "Edram1RdEnLo", 2, 1 }, + { "Edram0WrEnLo", 1, 1 }, + { "Edram0RdEnLo", 0, 1 }, + { "EDC_H_DBG_EDRAM_WDATA_INTF", 0x5031c, 0 }, + { "EdramWData", 9, 23 }, + { "EdramWByteEn", 0, 9 }, + { "EDC_H_DBG_EDRAM0_RDATA_INTF", 0x50320, 0 }, + { "EDC_H_DBG_EDRAM1_RDATA_INTF", 0x50324, 0 }, + { "EDC_H_DBG_MA_WR_REQ_CNT", 0x50328, 0 }, + { "EDC_H_DBG_MA_WR_EXP_DAT_CYC_CNT", 0x5032c, 0 }, + { "EDC_H_DBG_MA_WR_DAT_CYC_CNT", 0x50330, 0 }, + { "EDC_H_DBG_MA_RD_REQ_CNT", 0x50334, 0 }, + { "EDC_H_DBG_MA_RD_EXP_DAT_CYC_CNT", 0x50338, 0 }, + { "EDC_H_DBG_MA_RD_DAT_CYC_CNT", 0x5033c, 0 }, + { "EDC_H_DBG_BIST_WR_REQ_CNT", 0x50340, 0 }, + { "EDC_H_DBG_BIST_WR_EXP_DAT_CYC_CNT", 0x50344, 0 }, + { "EDC_H_DBG_BIST_WR_DAT_CYC_CNT", 0x50348, 0 }, + { "EDC_H_DBG_BIST_RD_REQ_CNT", 0x5034c, 0 }, + { "EDC_H_DBG_BIST_RD_EXP_DAT_CYC_CNT", 0x50350, 0 }, + { "EDC_H_DBG_BIST_RD_DAT_CYC_CNT", 0x50354, 0 }, + { "EDC_H_DBG_EDRAM0_WR_REQ_CNT", 0x50358, 0 }, + { "EDC_H_DBG_EDRAM0_RD_REQ_CNT", 0x5035c, 0 }, + { "EDC_H_DBG_EDRAM0_RMW_CNT", 0x50360, 0 }, + { "EDC_H_DBG_EDRAM1_WR_REQ_CNT", 0x50364, 0 }, + { "EDC_H_DBG_EDRAM1_RD_REQ_CNT", 0x50368, 0 }, + { "EDC_H_DBG_EDRAM1_RMW_CNT", 0x5036c, 0 }, + { "EDC_H_DBG_EDRAM_REF_BURST_CNT", 0x50370, 0 }, + { "EDC_H_DBG_FIFO_STATUS", 0x50374, 0 }, + { "rdtag_notfull", 17, 1 }, + { "rdtag_notempty", 16, 1 }, + { "inp_cmdq_notfull_arb", 15, 1 }, + { "inp_cmdq_notempty", 14, 1 }, + { "inp_wrdq_wrrdy", 13, 1 }, + { "inp_wrdq_notempty", 12, 1 }, + { "inp_beq_wrrdy_open", 11, 1 }, + { "inp_beq_notempty", 10, 1 }, + { "rddq_notfull_open", 9, 1 }, + { "rddq_rdcnt", 4, 5 }, + { "rdsideq_notfull", 3, 1 }, + { "rdsideq_notempty", 2, 1 }, + { "stg_cmdq_notempty", 1, 1 }, + { "stg_wrdq_notempty", 0, 1 }, + { "EDC_H_DBG_FSM_STATE", 0x50378, 0 }, + { "CmdSplitFsm", 3, 1 }, + { "CmdFsm", 0, 3 }, + { "EDC_H_DBG_STALL_CYCLES", 0x5037c, 0 }, + { "stall_rmw", 19, 1 }, + { "stall_edc_cmd", 18, 1 }, + { "dead_cycle0", 17, 1 }, + { "dead_cycle1", 16, 1 }, + { "dead_cycle0_bbi", 15, 1 }, + { "dead_cycle1_bbi", 14, 1 }, + { "dead_cycle0_max_op", 13, 1 }, + { "dead_cycle1_max_op", 12, 1 }, + { "dead_cycle0_pre_ref", 11, 1 }, + { "dead_cycle1_pre_ref", 10, 1 }, + { "dead_cycle0_post_ref", 9, 1 }, + { "dead_cycle1_post_ref", 8, 1 }, + { "dead_cycle0_rmw", 7, 1 }, + { "dead_cycle1_rmw", 6, 1 }, + { "dead_cycle0_bbi_rmw", 5, 1 }, + { "dead_cycle1_bbi_rmw", 4, 1 }, + { "dead_cycle0_pre_ref_rmw", 3, 1 }, + { "dead_cycle1_pre_ref_rmw", 2, 1 }, + { "dead_cycle0_post_ref_rmw", 1, 1 }, + { "dead_cycle1_post_ref_rmw", 0, 1 }, + { "EDC_H_DBG_CMD_QUEUE", 0x50380, 0 }, + { "ECmdNRE", 31, 1 }, + { "ECmdNRB", 30, 1 }, + { "ECmdWr", 29, 1 }, + { "ECmdLen", 22, 7 }, + { "ECmdAddr", 0, 22 }, + { "EDC_H_DBG_REFRESH", 0x50384, 0 }, + { "RefDone", 12, 1 }, + { "RefCntExpr", 11, 1 }, + { "RefPtr", 8, 3 }, + { "RefCnt", 0, 8 }, + { "EDC_H_BIST_CRC_SEED", 0x50400, 0 }, + { NULL } +}; + +struct reg_info t6_edc_t61_regs[] = { + { "EDC_H_REF", 0x50800, 0 }, + { "SleepStatus", 31, 1 }, + { "SleepReq", 30, 1 }, + { "PING_PONG", 29, 1 }, + { "QDR_ClkPhase", 24, 3 }, + { "MaxOpsPerTRC", 21, 3 }, + { "NumPipeStages", 19, 2 }, + { "EDC_INST_NUM", 18, 1 }, + { "ENABLE_PERF", 17, 1 }, + { "ECC_BYPASS", 16, 1 }, + { "RefFreq", 0, 16 }, + { "EDC_H_BIST_CMD", 0x50804, 0 }, + { "START_BIST", 31, 1 }, + { "BURST_LEN", 16, 2 }, + { "BIST_CMD_GAP", 8, 8 }, + { "BIST_OPCODE", 0, 2 }, + { "EDC_H_BIST_CMD_ADDR", 0x50808, 0 }, + { "EDC_H_BIST_CMD_LEN", 0x5080c, 0 }, + { "EDC_H_BIST_DATA_PATTERN", 0x50810, 0 }, + { "EDC_H_BIST_USER_WDATA0", 0x50814, 0 }, + { "EDC_H_BIST_USER_WDATA1", 0x50818, 0 }, + { "EDC_H_BIST_USER_WDATA2", 0x5081c, 0 }, + { "USER_DATA_MASK", 8, 9 }, + { "USER_DATA2", 0, 8 }, + { "EDC_H_BIST_NUM_ERR", 0x50820, 0 }, + { "EDC_H_BIST_ERR_FIRST_ADDR", 0x50824, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50828, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5082c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50830, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50834, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50838, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5083c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50840, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50844, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50848, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5084c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50850, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50854, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50858, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5085c, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50860, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50864, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x50868, 0 }, + { "EDC_H_BIST_STATUS_RDATA", 0x5086c, 0 }, + { "EDC_H_PAR_ENABLE", 0x50870, 0 }, + { "ECC_UE_PAR_ENABLE", 2, 1 }, + { "ECC_CE_PAR_ENABLE", 1, 1 }, + { "PERR_PAR_ENABLE", 0, 1 }, + { "EDC_H_INT_ENABLE", 0x50874, 0 }, + { "ECC_UE_INT_ENABLE", 2, 1 }, + { "ECC_CE_INT_ENABLE", 1, 1 }, + { "PERR_INT_ENABLE", 0, 1 }, + { "EDC_H_INT_CAUSE", 0x50878, 0 }, + { "ECC_UE_INT0_CAUSE", 5, 1 }, + { "ECC_CE_INT0_CAUSE", 4, 1 }, + { "PERR_INT0_CAUSE", 3, 1 }, + { "ECC_UE_INT_CAUSE", 2, 1 }, + { "ECC_CE_INT_CAUSE", 1, 1 }, + { "PERR_INT_CAUSE", 0, 1 }, + { "EDC_H_ECC_STATUS", 0x5087c, 0 }, + { "ECC_CECNT", 16, 16 }, + { "ECC_UECNT", 0, 16 }, + { "EDC_H_ECC_ERR_SEL", 0x50880, 0 }, + { "EDC_H_ECC_ERR_ADDR", 0x50884, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x50890, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x50894, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x50898, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x5089c, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508a0, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508a4, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508a8, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508ac, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508b0, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508b4, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508b8, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508bc, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508c0, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508c4, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508c8, 0 }, + { "EDC_H_ECC_ERR_DATA_RDATA", 0x508cc, 0 }, + { "EDC_H_DBG_MA_CMD_INTF", 0x50b00, 0 }, + { "MCmdAddr", 12, 20 }, + { "MCmdLen", 5, 7 }, + { "MCmdNRE", 4, 1 }, + { "MCmdNRB", 3, 1 }, + { "MCmdWr", 2, 1 }, + { "MCmdRdy", 1, 1 }, + { "MCmdVld", 0, 1 }, + { "EDC_H_DBG_MA_WDATA_INTF", 0x50b04, 0 }, + { "MWDataVld", 31, 1 }, + { "MWDataRdy", 30, 1 }, + { "MWData", 0, 30 }, + { "EDC_H_DBG_MA_RDATA_INTF", 0x50b08, 0 }, + { "MRspVld", 31, 1 }, + { "MRspRdy", 30, 1 }, + { "MRspData", 0, 30 }, + { "EDC_H_DBG_BIST_CMD_INTF", 0x50b0c, 0 }, + { "BCmdAddr", 9, 23 }, + { "BCmdLen", 3, 6 }, + { "BCmdWr", 2, 1 }, + { "BCmdRdy", 1, 1 }, + { "BCmdVld", 0, 1 }, + { "EDC_H_DBG_BIST_WDATA_INTF", 0x50b10, 0 }, + { "BWDataVld", 31, 1 }, + { "BWDataRdy", 30, 1 }, + { "BWData", 0, 30 }, + { "EDC_H_DBG_BIST_RDATA_INTF", 0x50b14, 0 }, + { "BRspVld", 31, 1 }, + { "BRspRdy", 30, 1 }, + { "BRspData", 0, 30 }, + { "EDC_H_DBG_EDRAM_CMD_INTF", 0x50b18, 0 }, + { "EdramAddr", 16, 16 }, + { "EdramDwsn", 8, 8 }, + { "EdramCra", 5, 3 }, + { "EdramRefEnLo", 4, 1 }, + { "Edram1WrEnLo", 3, 1 }, + { "Edram1RdEnLo", 2, 1 }, + { "Edram0WrEnLo", 1, 1 }, + { "Edram0RdEnLo", 0, 1 }, + { "EDC_H_DBG_EDRAM_WDATA_INTF", 0x50b1c, 0 }, + { "EdramWData", 9, 23 }, + { "EdramWByteEn", 0, 9 }, + { "EDC_H_DBG_EDRAM0_RDATA_INTF", 0x50b20, 0 }, + { "EDC_H_DBG_EDRAM1_RDATA_INTF", 0x50b24, 0 }, + { "EDC_H_DBG_MA_WR_REQ_CNT", 0x50b28, 0 }, + { "EDC_H_DBG_MA_WR_EXP_DAT_CYC_CNT", 0x50b2c, 0 }, + { "EDC_H_DBG_MA_WR_DAT_CYC_CNT", 0x50b30, 0 }, + { "EDC_H_DBG_MA_RD_REQ_CNT", 0x50b34, 0 }, + { "EDC_H_DBG_MA_RD_EXP_DAT_CYC_CNT", 0x50b38, 0 }, + { "EDC_H_DBG_MA_RD_DAT_CYC_CNT", 0x50b3c, 0 }, + { "EDC_H_DBG_BIST_WR_REQ_CNT", 0x50b40, 0 }, + { "EDC_H_DBG_BIST_WR_EXP_DAT_CYC_CNT", 0x50b44, 0 }, + { "EDC_H_DBG_BIST_WR_DAT_CYC_CNT", 0x50b48, 0 }, + { "EDC_H_DBG_BIST_RD_REQ_CNT", 0x50b4c, 0 }, + { "EDC_H_DBG_BIST_RD_EXP_DAT_CYC_CNT", 0x50b50, 0 }, + { "EDC_H_DBG_BIST_RD_DAT_CYC_CNT", 0x50b54, 0 }, + { "EDC_H_DBG_EDRAM0_WR_REQ_CNT", 0x50b58, 0 }, + { "EDC_H_DBG_EDRAM0_RD_REQ_CNT", 0x50b5c, 0 }, + { "EDC_H_DBG_EDRAM0_RMW_CNT", 0x50b60, 0 }, + { "EDC_H_DBG_EDRAM1_WR_REQ_CNT", 0x50b64, 0 }, + { "EDC_H_DBG_EDRAM1_RD_REQ_CNT", 0x50b68, 0 }, + { "EDC_H_DBG_EDRAM1_RMW_CNT", 0x50b6c, 0 }, + { "EDC_H_DBG_EDRAM_REF_BURST_CNT", 0x50b70, 0 }, + { "EDC_H_DBG_FIFO_STATUS", 0x50b74, 0 }, + { "rdtag_notfull", 17, 1 }, + { "rdtag_notempty", 16, 1 }, + { "inp_cmdq_notfull_arb", 15, 1 }, + { "inp_cmdq_notempty", 14, 1 }, + { "inp_wrdq_wrrdy", 13, 1 }, + { "inp_wrdq_notempty", 12, 1 }, + { "inp_beq_wrrdy_open", 11, 1 }, + { "inp_beq_notempty", 10, 1 }, + { "rddq_notfull_open", 9, 1 }, + { "rddq_rdcnt", 4, 5 }, + { "rdsideq_notfull", 3, 1 }, + { "rdsideq_notempty", 2, 1 }, + { "stg_cmdq_notempty", 1, 1 }, + { "stg_wrdq_notempty", 0, 1 }, + { "EDC_H_DBG_FSM_STATE", 0x50b78, 0 }, + { "CmdSplitFsm", 3, 1 }, + { "CmdFsm", 0, 3 }, + { "EDC_H_DBG_STALL_CYCLES", 0x50b7c, 0 }, + { "stall_rmw", 19, 1 }, + { "stall_edc_cmd", 18, 1 }, + { "dead_cycle0", 17, 1 }, + { "dead_cycle1", 16, 1 }, + { "dead_cycle0_bbi", 15, 1 }, + { "dead_cycle1_bbi", 14, 1 }, + { "dead_cycle0_max_op", 13, 1 }, + { "dead_cycle1_max_op", 12, 1 }, + { "dead_cycle0_pre_ref", 11, 1 }, + { "dead_cycle1_pre_ref", 10, 1 }, + { "dead_cycle0_post_ref", 9, 1 }, + { "dead_cycle1_post_ref", 8, 1 }, + { "dead_cycle0_rmw", 7, 1 }, + { "dead_cycle1_rmw", 6, 1 }, + { "dead_cycle0_bbi_rmw", 5, 1 }, + { "dead_cycle1_bbi_rmw", 4, 1 }, + { "dead_cycle0_pre_ref_rmw", 3, 1 }, + { "dead_cycle1_pre_ref_rmw", 2, 1 }, + { "dead_cycle0_post_ref_rmw", 1, 1 }, + { "dead_cycle1_post_ref_rmw", 0, 1 }, + { "EDC_H_DBG_CMD_QUEUE", 0x50b80, 0 }, + { "ECmdNRE", 31, 1 }, + { "ECmdNRB", 30, 1 }, + { "ECmdWr", 29, 1 }, + { "ECmdLen", 22, 7 }, + { "ECmdAddr", 0, 22 }, + { "EDC_H_DBG_REFRESH", 0x50b84, 0 }, + { "RefDone", 12, 1 }, + { "RefCntExpr", 11, 1 }, + { "RefPtr", 8, 3 }, + { "RefCnt", 0, 8 }, + { "EDC_H_BIST_CRC_SEED", 0x50c00, 0 }, + { NULL } +}; + +struct reg_info t6_hma_t6_regs[] = { + { "HMA_TABLE_ACCESS", 0x51000, 0 }, + { "TRIG", 31, 1 }, + { "RW", 30, 1 }, + { "L_SEL", 0, 4 }, + { "HMA_TABLE_LINE0", 0x51004, 0 }, + { "HMA_TABLE_LINE1", 0x51008, 0 }, + { "HMA_TABLE_LINE2", 0x5100c, 0 }, + { "HMA_TABLE_LINE3", 0x51010, 0 }, + { "HMA_TABLE_LINE4", 0x51014, 0 }, + { "HMA_TABLE_LINE5", 0x51018, 0 }, + { "FID", 16, 11 }, + { "NOS", 15, 1 }, + { "RO", 14, 1 }, + { "TPH", 12, 2 }, + { "TPH_V", 11, 1 }, + { "DCA", 0, 11 }, + { "HMA_COOKIE", 0x5101c, 0 }, + { "C_REQ", 31, 1 }, + { "C_FID", 18, 11 }, + { "C_VAL", 8, 10 }, + { "C_SEL", 0, 4 }, + { "HMA_CFG", 0x51020, 0 }, + { "OP_MODE", 31, 1 }, + { "HMA_TLB_ACCESS", 0x51028, 0 }, + { "TRIG", 31, 1 }, + { "RW", 30, 1 }, + { "INV_ALL", 29, 1 }, + { "LOCK_ENTRY", 28, 1 }, + { "E_SEL", 0, 5 }, + { "HMA_TLB_BITS", 0x5102c, 0 }, + { "VA", 12, 20 }, + { "VALID_E", 4, 1 }, + { "LOCK", 3, 1 }, + { "USED", 2, 1 }, + { "REGION", 0, 2 }, + { "HMA_TLB_DESC_0_H", 0x51030, 0 }, + { "HMA_TLB_DESC_0_L", 0x51034, 0 }, + { "HMA_TLB_DESC_1_H", 0x51038, 0 }, + { "HMA_TLB_DESC_1_L", 0x5103c, 0 }, + { "HMA_TLB_DESC_2_H", 0x51040, 0 }, + { "HMA_TLB_DESC_2_L", 0x51044, 0 }, + { "HMA_TLB_DESC_3_H", 0x51048, 0 }, + { "HMA_TLB_DESC_3_L", 0x5104c, 0 }, + { "HMA_TLB_DESC_4_H", 0x51050, 0 }, + { "HMA_TLB_DESC_4_L", 0x51054, 0 }, + { "HMA_TLB_DESC_5_H", 0x51058, 0 }, + { "HMA_TLB_DESC_5_L", 0x5105c, 0 }, + { "HMA_TLB_DESC_6_H", 0x51060, 0 }, + { "HMA_TLB_DESC_6_L", 0x51064, 0 }, + { "HMA_TLB_DESC_7_H", 0x51068, 0 }, + { "HMA_TLB_DESC_7_L", 0x5106c, 0 }, + { "HMA_REG0_MIN", 0x51070, 0 }, + { "ADDR0_MIN", 12, 20 }, + { "HMA_REG0_MAX", 0x51074, 0 }, + { "ADDR0_MAX", 12, 20 }, + { "HMA_REG0_MASK", 0x51078, 0 }, + { "PAGE_SIZE0", 12, 20 }, + { "HMA_REG0_BASE", 0x5107c, 0 }, + { "HMA_REG1_MIN", 0x51080, 0 }, + { "ADDR1_MIN", 12, 20 }, + { "HMA_REG1_MAX", 0x51084, 0 }, + { "ADDR1_MAX", 12, 20 }, + { "HMA_REG1_MASK", 0x51088, 0 }, + { "PAGE_SIZE1", 12, 20 }, + { "HMA_REG1_BASE", 0x5108c, 0 }, + { "HMA_REG2_MIN", 0x51090, 0 }, + { "ADDR2_MIN", 12, 20 }, + { "HMA_REG2_MAX", 0x51094, 0 }, + { "ADDR2_MAX", 12, 20 }, + { "HMA_REG2_MASK", 0x51098, 0 }, + { "PAGE_SIZE2", 12, 20 }, + { "HMA_REG2_BASE", 0x5109c, 0 }, + { "HMA_REG3_MIN", 0x510a0, 0 }, + { "ADDR3_MIN", 12, 20 }, + { "HMA_REG3_MAX", 0x510a4, 0 }, + { "ADDR3_MAX", 12, 20 }, + { "HMA_REG3_MASK", 0x510a8, 0 }, + { "PAGE_SIZE3", 12, 20 }, + { "HMA_REG3_BASE", 0x510ac, 0 }, + { "HMA_SW_SYNC", 0x510b0, 0 }, + { "ENTER_SYNC", 31, 1 }, + { "EXIT_SYNC", 30, 1 }, + { "HMA_PAR_ENABLE", 0x51300, 0 }, + { "HMA_INT_ENABLE", 0x51304, 0 }, + { "IDTF_INT_ENABLE", 5, 1 }, + { "OTF_INT_ENABLE", 4, 1 }, + { "RTF_INT_ENABLE", 3, 1 }, + { "PCIEMST_INT_ENABLE", 2, 1 }, + { "MAMST_INT_ENABLE", 1, 1 }, + { "PERR_INT_ENABLE", 0, 1 }, + { "HMA_INT_CAUSE", 0x51308, 0 }, + { "IDTF_INT_CAUSE", 5, 1 }, + { "OTF_INT_CAUSE", 4, 1 }, + { "RTF_INT_CAUSE", 3, 1 }, + { "PCIEMST_INT_CAUSE", 2, 1 }, + { "MAMST_INT_CAUSE", 1, 1 }, + { "PERR_INT_CAUSE", 0, 1 }, + { "HMA_MA_MST_ERR", 0x5130c, 0 }, + { "HMA_RTF_ERR", 0x51310, 0 }, + { "HMA_OTF_ERR", 0x51314, 0 }, + { "HMA_IDTF_ERR", 0x51318, 0 }, + { "HMA_EXIT_TF", 0x5131c, 0 }, + { "TRIG", 31, 1 }, + { "RTF", 30, 1 }, + { "OTF", 29, 1 }, + { "IDTF", 28, 1 }, + { "HMA_LOCAL_DEBUG_CFG", 0x51320, 0 }, + { "DEBUG_OR", 15, 1 }, + { "DEBUG_HI", 14, 1 }, + { "DEBUG_RPT", 13, 1 }, + { "DEBUGPAGE", 10, 3 }, + { "DEBUGSELH", 5, 5 }, + { "DEBUGSELL", 0, 5 }, + { "HMA_LOCAL_DEBUG_RPT", 0x51324, 0 }, + { NULL } +}; -- 2.45.0