]> CyberLeo.Net >> Repos - FreeBSD/FreeBSD.git/blob - lib/libpmc/pmc.corei7.3
pmc(3): mandoc clean ups
[FreeBSD/FreeBSD.git] / lib / libpmc / pmc.corei7.3
1 .\" Copyright (c) 2010 Fabien Thomas.  All rights reserved.
2 .\"
3 .\" Redistribution and use in source and binary forms, with or without
4 .\" modification, are permitted provided that the following conditions
5 .\" are met:
6 .\" 1. Redistributions of source code must retain the above copyright
7 .\"    notice, this list of conditions and the following disclaimer.
8 .\" 2. Redistributions in binary form must reproduce the above copyright
9 .\"    notice, this list of conditions and the following disclaimer in the
10 .\"    documentation and/or other materials provided with the distribution.
11 .\"
12 .\" THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND
13 .\" ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
14 .\" IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
15 .\" ARE DISCLAIMED.  IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE
16 .\" FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
17 .\" DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
18 .\" OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
19 .\" HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
20 .\" LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
21 .\" OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
22 .\" SUCH DAMAGE.
23 .\"
24 .\" $FreeBSD$
25 .\"
26 .Dd March 24, 2010
27 .Dt PMC.COREI7 3
28 .Os
29 .Sh NAME
30 .Nm pmc.corei7
31 .Nd measurement events for
32 .Tn Intel
33 .Tn Core i7 and Xeon 5500
34 family CPUs
35 .Sh LIBRARY
36 .Lb libpmc
37 .Sh SYNOPSIS
38 .In pmc.h
39 .Sh DESCRIPTION
40 .Tn Intel
41 .Tn "Core i7"
42 CPUs contain PMCs conforming to version 2 of the
43 .Tn Intel
44 performance measurement architecture.
45 These CPUs may contain up to three classes of PMCs:
46 .Bl -tag -width "Li PMC_CLASS_IAP"
47 .It Li PMC_CLASS_IAF
48 Fixed-function counters that count only one hardware event per counter.
49 .It Li PMC_CLASS_IAP
50 Programmable counters that may be configured to count one of a defined
51 set of hardware events.
52 .El
53 .Pp
54 The number of PMCs available in each class and their widths need to be
55 determined at run time by calling
56 .Xr pmc_cpuinfo 3 .
57 .Pp
58 Intel Core i7 and Xeon 5500 PMCs are documented in
59 .Rs
60 .%B "Intel(R) 64 and IA-32 Architectures Software Developes Manual"
61 .%T "Volume 3B: System Programming Guide, Part 2"
62 .%N "Order Number: 253669-033US"
63 .%D December 2009
64 .%Q "Intel Corporation"
65 .Re
66 .Ss COREI7 AND XEON 5500 FIXED FUNCTION PMCS
67 These PMCs and their supported events are documented in
68 .Xr pmc.iaf 3 .
69 Not all CPUs in this family implement fixed-function counters.
70 .Ss COREI7 AND XEON 5500 PROGRAMMABLE PMCS
71 The programmable PMCs support the following capabilities:
72 .Bl -column "PMC_CAP_INTERRUPT" "Support"
73 .It Em Capability Ta Em Support
74 .It PMC_CAP_CASCADE Ta \&No
75 .It PMC_CAP_EDGE Ta Yes
76 .It PMC_CAP_INTERRUPT Ta Yes
77 .It PMC_CAP_INVERT Ta Yes
78 .It PMC_CAP_READ Ta Yes
79 .It PMC_CAP_PRECISE Ta \&No
80 .It PMC_CAP_SYSTEM Ta Yes
81 .It PMC_CAP_TAGGING Ta \&No
82 .It PMC_CAP_THRESHOLD Ta Yes
83 .It PMC_CAP_USER Ta Yes
84 .It PMC_CAP_WRITE Ta Yes
85 .El
86 .Ss Event Qualifiers
87 Event specifiers for these PMCs support the following common
88 qualifiers:
89 .Bl -tag -width indent
90 .It Li rsp= Ns Ar value
91 Configure the Off-core Response bits.
92 .Bl -tag -width indent
93 .It Li DMND_DATA_RD
94 Counts the number of demand and DCU prefetch data reads of full
95 and partial cachelines as well as demand data page table entry
96 cacheline reads.
97 Does not count L2 data read prefetches or instruction fetches.
98 .It Li DMND_RFO
99 Counts the number of demand and DCU prefetch reads for ownership
100 (RFO) requests generated by a write to data cacheline.
101 Does not count L2 RFO.
102 .It Li DMND_IFETCH
103 Counts the number of demand and DCU prefetch instruction cacheline
104 reads.
105 Does not count L2 code read prefetches.
106 WB Counts the number of writeback (modified to exclusive) transactions.
107 .It Li PF_DATA_RD
108 Counts the number of data cacheline reads generated by L2 prefetchers.
109 .It Li PF_RFO
110 Counts the number of RFO requests generated by L2 prefetchers.
111 .It Li PF_IFETCH
112 Counts the number of code reads generated by L2 prefetchers.
113 .It Li OTHER
114 Counts one of the following transaction types, including L3 invalidate,
115 I/O, full or partial writes, WC or non-temporal stores, CLFLUSH, Fences,
116 lock, unlock, split lock.
117 .It Li UNCORE_HIT
118 L3 Hit: local or remote home requests that hit L3 cache in the uncore
119 with no coherency actions required (snooping).
120 .It Li OTHER_CORE_HIT_SNP
121 L3 Hit: local or remote home requests that hit L3 cache in the uncore
122 and was serviced by another core with a cross core snoop where no modified
123 copies were found (clean).
124 .It Li OTHER_CORE_HITM
125 L3 Hit: local or remote home requests that hit L3 cache in the uncore
126 and was serviced by another core with a cross core snoop where modified
127 copies were found (HITM).
128 .It Li REMOTE_CACHE_FWD
129 L3 Miss: local homed requests that missed the L3 cache and was serviced
130 by forwarded data following a cross package snoop where no modified
131 copies found. (Remote home requests are not counted)
132 .It Li REMOTE_DRAM
133 L3 Miss: remote home requests that missed the L3 cache and were serviced
134 by remote DRAM.
135 .It Li LOCAL_DRAM
136 L3 Miss: local home requests that missed the L3 cache and were serviced
137 by local DRAM.
138 .It Li NON_DRAM
139 Non-DRAM requests that were serviced by IOH.
140 .El
141 .It Li cmask= Ns Ar value
142 Configure the PMC to increment only if the number of configured
143 events measured in a cycle is greater than or equal to
144 .Ar value .
145 .It Li edge
146 Configure the PMC to count the number of de-asserted to asserted
147 transitions of the conditions expressed by the other qualifiers.
148 If specified, the counter will increment only once whenever a
149 condition becomes true, irrespective of the number of clocks during
150 which the condition remains true.
151 .It Li inv
152 Invert the sense of comparison when the
153 .Dq Li cmask
154 qualifier is present, making the counter increment when the number of
155 events per cycle is less than the value specified by the
156 .Dq Li cmask
157 qualifier.
158 .It Li os
159 Configure the PMC to count events happening at processor privilege
160 level 0.
161 .It Li usr
162 Configure the PMC to count events occurring at privilege levels 1, 2
163 or 3.
164 .El
165 .Pp
166 If neither of the
167 .Dq Li os
168 or
169 .Dq Li usr
170 qualifiers are specified, the default is to enable both.
171 .Ss Event Specifiers (Programmable PMCs)
172 Core i7 and Xeon 5500 programmable PMCs support the following events:
173 .Bl -tag -width indent
174 .It Li SB_DRAIN.ANY
175 .Pq Event 04H , Umask 07H
176 Counts the number of store buffer drains.
177 .It Li STORE_BLOCKS.AT_RET
178 .Pq Event 06H , Umask 04H
179 Counts number of loads delayed with at-Retirement block code.
180 The following loads need to be executed at retirement and wait for all
181 senior stores on the same thread to be drained: load splitting across
182 4K boundary (page split), load accessing uncacheable
183 (UC or USWC) memory, load lock, and load with page table in UC or USWC memory region.
184 .It Li STORE_BLOCKS.L1D_BLOCK
185 .Pq Event 06H , Umask 08H
186 Cacheable loads delayed with L1D block code
187 .It Li PARTIAL_ADDRESS_ALIAS
188 .Pq Event 07H , Umask 01H
189 Counts false dependency due to partial address aliasing
190 .It Li DTLB_LOAD_MISSES.ANY
191 .Pq Event 08H , Umask 01H
192 Counts all load misses that cause a page walk
193 .It Li DTLB_LOAD_MISSES.WALK_COMPLETED
194 .Pq Event 08H , Umask 02H
195 Counts number of completed page walks due to load miss in the STLB.
196 .It Li DTLB_LOAD_MISSES.STLB_HIT
197 .Pq Event 08H , Umask 10H
198 Number of cache load STLB hits
199 .It Li DTLB_LOAD_MISSES.PDE_MISS
200 .Pq Event 08H , Umask 20H
201 Number of DTLB cache load misses where the low part of the linear to
202 physical address translation was missed.
203 .It Li DTLB_LOAD_MISSES.LARGE_WALK_COMPLETED
204 .Pq Event 08H , Umask 80H
205 Counts number of completed large page walks due to load miss in the STLB.
206 .It Li MEM_INST_RETIRED.LOADS
207 .Pq Event 0BH , Umask 01H
208 Counts the number of instructions with an architecturally-visible store
209 retired on the architected path.
210 In conjunction with ld_lat facility
211 .It Li MEM_INST_RETIRED.STORES
212 .Pq Event 0BH , Umask 02H
213 Counts the number of instructions with an architecturally-visible store
214 retired on the architected path.
215 In conjunction with ld_lat facility
216 .It Li MEM_INST_RETIRED.LATENCY_ABOVE_THRESHOLD
217 .Pq Event 0BH , Umask 10H
218 Counts the number of instructions exceeding the latency specified with
219 ld_lat facility.
220 In conjunction with ld_lat facility
221 .It Li MEM_STORE_RETIRED.DTLB_MISS
222 .Pq Event 0CH , Umask 01H
223 The event counts the number of retired stores that missed the DTLB.
224 The DTLB miss is not counted if the store operation causes a fault.
225 Does not counter prefetches.
226 Counts both primary and secondary misses to the TLB
227 .It Li UOPS_ISSUED.ANY
228 .Pq Event 0EH , Umask 01H
229 Counts the number of Uops issued by the Register Allocation Table to the
230 Reservation Station, i.e. the UOPs issued from the front end to the back
231 end.
232 .It Li UOPS_ISSUED.STALLED_CYCLES
233 .Pq Event 0EH , Umask 01H
234 Counts the number of cycles no Uops issued by the Register Allocation Table
235 to the Reservation Station, i.e. the UOPs issued from the front end to the
236 back end.
237 set invert=1, cmask = 1
238 .It Li UOPS_ISSUED.FUSED
239 .Pq Event 0EH , Umask 02H
240 Counts the number of fused Uops that were issued from the Register
241 Allocation Table to the Reservation Station.
242 .It Li MEM_UNCORE_RETIRED.L3_DATA_MISS_UNKNOWN
243 .Pq Event 0FH , Umask 01H
244 Counts number of memory load instructions retired where the memory reference
245 missed L3 and data source is unknown.
246 Available only for CPUID signature 06_2EH
247 .It Li MEM_UNCORE_RETIRED.OTHER_CORE_L2_HITM
248 .Pq Event 0FH , Umask 02H
249 Counts number of memory load instructions retired where the memory reference
250 hit modified data in a sibling core residing on the same socket.
251 .It Li MEM_UNCORE_RETIRED.REMOTE_CACHE_LOCAL_HOME_HIT
252 .Pq Event 0FH , Umask 08H
253 Counts number of memory load instructions retired where the memory reference
254 missed the L1, L2 and L3 caches and HIT in a remote socket's cache.
255 Only counts locally homed lines.
256 .It Li MEM_UNCORE_RETIRED.REMOTE_DRAM
257 .Pq Event 0FH , Umask 10H
258 Counts number of memory load instructions retired where the memory reference
259 missed the L1, L2 and L3 caches and was remotely homed.
260 This includes both DRAM access and HITM in a remote socket's cache
261 for remotely homed lines.
262 .It Li MEM_UNCORE_RETIRED.LOCAL_DRAM
263 .Pq Event 0FH , Umask 20H
264 Counts number of memory load instructions retired where the memory reference
265 missed the L1, L2 and L3 caches and required a local socket memory
266 reference.
267 This includes locally homed cachelines that were in a modified
268 state in another socket.
269 .It Li MEM_UNCORE_RETIRED.UNCACHEABLE
270 .Pq Event 0FH , Umask 80H
271 Counts number of memory load instructions retired where the memory reference
272 missed the L1, L2 and L3 caches and to perform I/O.
273 Available only for CPUID signature 06_2EH
274 .It Li FP_COMP_OPS_EXE.X87
275 .Pq Event 10H , Umask 01H
276 Counts the number of FP Computational Uops Executed.
277 The number of FADD, FSUB, FCOM, FMULs, integer MULsand IMULs, FDIVs, FPREMs, FSQRTS, integer
278 DIVs, and IDIVs.
279 This event does not distinguish an FADD used in the middle of a transcendental flow from a separate FADD instruction.
280 .It Li FP_COMP_OPS_EXE.MMX
281 .Pq Event 10H , Umask 02H
282 Counts number of MMX Uops executed.
283 .It Li FP_COMP_OPS_EXE.SSE_FP
284 .Pq Event 10H , Umask 04H
285 Counts number of SSE and SSE2 FP uops executed.
286 .It Li FP_COMP_OPS_EXE.SSE2_INTEGER
287 .Pq Event 10H , Umask 08H
288 Counts number of SSE2 integer uops executed.
289 .It Li FP_COMP_OPS_EXE.SSE_FP_PACKED
290 .Pq Event 10H , Umask 10H
291 Counts number of SSE FP packed uops executed.
292 .It Li FP_COMP_OPS_EXE.SSE_FP_SCALAR
293 .Pq Event 10H , Umask 20H
294 Counts number of SSE FP scalar uops executed.
295 .It Li FP_COMP_OPS_EXE.SSE_SINGLE_PRECISION
296 .Pq Event 10H , Umask 40H
297 Counts number of SSE* FP single precision uops executed.
298 .It Li FP_COMP_OPS_EXE.SSE_DOUBLE_PRECISION
299 .Pq Event 10H , Umask 80H
300 Counts number of SSE* FP double precision uops executed.
301 .It Li SIMD_INT_128.PACKED_MPY
302 .Pq Event 12H , Umask 01H
303 Counts number of 128 bit SIMD integer multiply operations.
304 .It Li SIMD_INT_128.PACKED_SHIFT
305 .Pq Event 12H , Umask 02H
306 Counts number of 128 bit SIMD integer shift operations.
307 .It Li SIMD_INT_128.PACK
308 .Pq Event 12H , Umask 04H
309 Counts number of 128 bit SIMD integer pack operations.
310 .It Li SIMD_INT_128.UNPACK
311 .Pq Event 12H , Umask 08H
312 Counts number of 128 bit SIMD integer unpack operations.
313 .It Li SIMD_INT_128.PACKED_LOGICAL
314 .Pq Event 12H , Umask 10H
315 Counts number of 128 bit SIMD integer logical operations.
316 .It Li SIMD_INT_128.PACKED_ARITH
317 .Pq Event 12H , Umask 20H
318 Counts number of 128 bit SIMD integer arithmetic operations.
319 .It Li SIMD_INT_128.SHUFFLE_MOVE
320 .Pq Event 12H , Umask 40H
321 Counts number of 128 bit SIMD integer shuffle and move operations.
322 .It Li LOAD_DISPATCH.RS
323 .Pq Event 13H , Umask 01H
324 Counts number of loads dispatched from the Reservation Station that bypass
325 the Memory Order Buffer.
326 .It Li LOAD_DISPATCH.RS_DELAYED
327 .Pq Event 13H , Umask 02H
328 Counts the number of delayed RS dispatches at the stage latch.
329 If an RS dispatch can not bypass to LB, it has another chance to dispatch from the
330 one-cycle delayed staging latch before it is written into the LB.
331 .It Li LOAD_DISPATCH.MOB
332 .Pq Event 13H , Umask 04H
333 Counts the number of loads dispatched from the Reservation Station to the
334 Memory Order Buffer.
335 .It Li LOAD_DISPATCH.ANY
336 .Pq Event 13H , Umask 07H
337 Counts all loads dispatched from the Reservation Station.
338 .It Li ARITH.CYCLES_DIV_BUSY
339 .Pq Event 14H , Umask 01H
340 Counts the number of cycles the divider is busy executing divide or square
341 root operations.
342 The divide can be integer, X87 or Streaming SIMD Extensions (SSE).
343 The square root operation can be either X87 or SSE.
344 Set 'edge =1, invert=1, cmask=1' to count the number of divides.
345 Count may be incorrect When SMT is on.
346 .It Li ARITH.MUL
347 .Pq Event 14H , Umask 02H
348 Counts the number of multiply operations executed.
349 This includes integer as well as floating point multiply operations but excludes DPPS mul and MPSAD.
350 Count may be incorrect When SMT is on
351 .It Li INST_QUEUE_WRITES
352 .Pq Event 17H , Umask 01H
353 Counts the number of instructions written into the instruction queue every
354 cycle.
355 .It Li INST_DECODED.DEC0
356 .Pq Event 18H , Umask 01H
357 Counts number of instructions that require decoder 0 to be decoded.
358 Usually, this means that the instruction maps to more than 1 uop
359 .It Li TWO_UOP_INSTS_DECODED
360 .Pq Event 19H , Umask 01H
361 An instruction that generates two uops was decoded
362 .It Li INST_QUEUE_WRITE_CYCLES
363 .Pq Event 1EH , Umask 01H
364 This event counts the number of cycles during which instructions are written
365 to the instruction queue.
366 Dividing this counter by the number of instructions written to the
367 instruction queue (INST_QUEUE_WRITES) yields the average number of
368 instructions decoded each cycle.
369 If this number is less than four and the pipe stalls, this indicates that the decoder is failing to
370 decode enough instructions per cycle to sustain the 4-wide pipeline.
371 If SSE* instructions that are 6 bytes or longer arrive one after another,
372 then front end throughput may limit execution speed.
373 In such case,
374 .It Li LSD_OVERFLOW
375 .Pq Event 20H , Umask 01H
376 Counts number of loops that cant stream from the instruction queue.
377 .It Li L2_RQSTS.LD_HIT
378 .Pq Event 24H , Umask 01H
379 Counts number of loads that hit the L2 cache.
380 L2 loads include both L1D demand misses as well as L1D prefetches.
381 L2 loads can be rejected for various reasons.
382 Only non rejected loads are counted.
383 .It Li L2_RQSTS.LD_MISS
384 .Pq Event 24H , Umask 02H
385 Counts the number of loads that miss the L2 cache.
386 L2 loads include both L1D demand misses as well as L1D prefetches.
387 .It Li L2_RQSTS.LOADS
388 .Pq Event 24H , Umask 03H
389 Counts all L2 load requests.
390 L2 loads include both L1D demand misses as well as L1D prefetches.
391 .It Li L2_RQSTS.RFO_HIT
392 .Pq Event 24H , Umask 04H
393 Counts the number of store RFO requests that hit the L2 cache.
394 L2 RFO requests include both L1D demand RFO misses as well as L1D RFO prefetches.
395 Count includes WC memory requests, where the data is not fetched but the
396 permission to write the line is required.
397 .It Li L2_RQSTS.RFO_MISS
398 .Pq Event 24H , Umask 08H
399 Counts the number of store RFO requests that miss the L2 cache.
400 L2 RFO requests include both L1D demand RFO misses as well as L1D RFO prefetches.
401 .It Li L2_RQSTS.RFOS
402 .Pq Event 24H , Umask 0CH
403 Counts all L2 store RFO requests.
404 L2 RFO requests include both L1D demand RFO misses as well as L1D RFO prefetches.
405 .It Li L2_RQSTS.IFETCH_HIT
406 .Pq Event 24H , Umask 10H
407 Counts number of instruction fetches that hit the L2 cache.
408 L2 instruction fetches include both L1I demand misses as well as L1I instruction
409 prefetches.
410 .It Li L2_RQSTS.IFETCH_MISS
411 .Pq Event 24H , Umask 20H
412 Counts number of instruction fetches that miss the L2 cache.
413 L2 instruction fetches include both L1I demand misses as well as L1I instruction
414 prefetches.
415 .It Li L2_RQSTS.IFETCHES
416 .Pq Event 24H , Umask 30H
417 Counts all instruction fetches.
418 L2 instruction fetches include both L1I demand misses as well as L1I instruction prefetches.
419 .It Li L2_RQSTS.PREFETCH_HIT
420 .Pq Event 24H , Umask 40H
421 Counts L2 prefetch hits for both code and data.
422 .It Li L2_RQSTS.PREFETCH_MISS
423 .Pq Event 24H , Umask 80H
424 Counts L2 prefetch misses for both code and data.
425 .It Li L2_RQSTS.PREFETCHES
426 .Pq Event 24H , Umask C0H
427 Counts all L2 prefetches for both code and data.
428 .It Li L2_RQSTS.MISS
429 .Pq Event 24H , Umask AAH
430 Counts all L2 misses for both code and data.
431 .It Li L2_RQSTS.REFERENCES
432 .Pq Event 24H , Umask FFH
433 Counts all L2 requests for both code and data.
434 .It Li L2_DATA_RQSTS.DEMAND.I_STATE
435 .Pq Event 26H , Umask 01H
436 Counts number of L2 data demand loads where the cache line to be loaded is
437 in the I (invalid) state, i.e. a cache miss.
438 L2 demand loads are both L1D demand misses and L1D prefetches.
439 .It Li L2_DATA_RQSTS.DEMAND.S_STATE
440 .Pq Event 26H , Umask 02H
441 Counts number of L2 data demand loads where the cache line to be loaded is
442 in the S (shared) state.
443 L2 demand loads are both L1D demand misses and L1D prefetches.
444 .It Li L2_DATA_RQSTS.DEMAND.E_STATE
445 .Pq Event 26H , Umask 04H
446 Counts number of L2 data demand loads where the cache line to be loaded is
447 in the E (exclusive) state.
448 L2 demand loads are both L1D demand misses and L1D prefetches.
449 .It Li L2_DATA_RQSTS.DEMAND.M_STATE
450 .Pq Event 26H , Umask 08H
451 Counts number of L2 data demand loads where the cache line to be loaded is
452 in the M (modified) state.
453 L2 demand loads are both L1D demand misses and L1D prefetches.
454 .It Li L2_DATA_RQSTS.DEMAND.MESI
455 .Pq Event 26H , Umask 0FH
456 Counts all L2 data demand requests.
457 L2 demand loads are both L1D demand misses and L1D prefetches.
458 .It Li L2_DATA_RQSTS.PREFETCH.I_STATE
459 .Pq Event 26H , Umask 10H
460 Counts number of L2 prefetch data loads where the cache line to be loaded is
461 in the I (invalid) state, i.e. a cache miss.
462 .It Li L2_DATA_RQSTS.PREFETCH.S_STATE
463 .Pq Event 26H , Umask 20H
464 Counts number of L2 prefetch data loads where the cache line to be loaded is
465 in the S (shared) state.
466 A prefetch RFO will miss on an S state line, while a prefetch read will
467 hit on an S state line.
468 .It Li L2_DATA_RQSTS.PREFETCH.E_STATE
469 .Pq Event 26H , Umask 40H
470 Counts number of L2 prefetch data loads where the cache line to be loaded is
471 in the E (exclusive) state.
472 .It Li L2_DATA_RQSTS.PREFETCH.M_STATE
473 .Pq Event 26H , Umask 80H
474 Counts number of L2 prefetch data loads where the cache line to be loaded is
475 in the M (modified) state.
476 .It Li L2_DATA_RQSTS.PREFETCH.MESI
477 .Pq Event 26H , Umask F0H
478 Counts all L2 prefetch requests.
479 .It Li L2_DATA_RQSTS.ANY
480 .Pq Event 26H , Umask FFH
481 Counts all L2 data requests.
482 .It Li L2_WRITE.RFO.I_STATE
483 .Pq Event 27H , Umask 01H
484 Counts number of L2 demand store RFO requests where the cache line to be
485 loaded is in the I (invalid) state, i.e, a cache miss.
486 The L1D prefetcher does not issue a RFO prefetch.
487 This is a demand RFO request
488 .It Li L2_WRITE.RFO.S_STATE
489 .Pq Event 27H , Umask 02H
490 Counts number of L2 store RFO requests where the cache line to be loaded is
491 in the S (shared) state.
492 The L1D prefetcher does not issue a RFO prefetch.
493 This is a demand RFO request
494 .It Li L2_WRITE.RFO.M_STATE
495 .Pq Event 27H , Umask 08H
496 Counts number of L2 store RFO requests where the cache line to be loaded is
497 in the M (modified) state.
498 The L1D prefetcher does not issue a RFO prefetch.
499 This is a demand RFO request
500 .It Li L2_WRITE.RFO.HIT
501 .Pq Event 27H , Umask 0EH
502 Counts number of L2 store RFO requests where the cache line to be loaded is
503 in either the S, E or M states.
504 The L1D prefetcher does not issue a RFO prefetch.
505 This is a demand RFO request
506 .It Li L2_WRITE.RFO.MESI
507 .Pq Event 27H , Umask 0FH
508 Counts all L2 store RFO requests.
509 The L1D prefetcher does not issue a RFO prefetch.
510 This is a demand RFO request
511 .It Li L2_WRITE.LOCK.I_STATE
512 .Pq Event 27H , Umask 10H
513 Counts number of L2 demand lock RFO requests where the cache line to be
514 loaded is in the I (invalid) state, i.e. a cache miss.
515 .It Li L2_WRITE.LOCK.S_STATE
516 .Pq Event 27H , Umask 20H
517 Counts number of L2 lock RFO requests where the cache line to be loaded is
518 in the S (shared) state.
519 .It Li L2_WRITE.LOCK.E_STATE
520 .Pq Event 27H , Umask 40H
521 Counts number of L2 demand lock RFO requests where the cache line to be
522 loaded is in the E (exclusive) state.
523 .It Li L2_WRITE.LOCK.M_STATE
524 .Pq Event 27H , Umask 80H
525 Counts number of L2 demand lock RFO requests where the cache line to be
526 loaded is in the M (modified) state.
527 .It Li L2_WRITE.LOCK.HIT
528 .Pq Event 27H , Umask E0H
529 Counts number of L2 demand lock RFO requests where the cache line to be
530 loaded is in either the S, E, or M state.
531 .It Li L2_WRITE.LOCK.MESI
532 .Pq Event 27H , Umask F0H
533 Counts all L2 demand lock RFO requests.
534 .It Li L1D_WB_L2.I_STATE
535 .Pq Event 28H , Umask 01H
536 Counts number of L1 writebacks to the L2 where the cache line to be written
537 is in the I (invalid) state, i.e. a cache miss.
538 .It Li L1D_WB_L2.S_STATE
539 .Pq Event 28H , Umask 02H
540 Counts number of L1 writebacks to the L2 where the cache line to be written
541 is in the S state.
542 .It Li L1D_WB_L2.E_STATE
543 .Pq Event 28H , Umask 04H
544 Counts number of L1 writebacks to the L2 where the cache line to be written
545 is in the E (exclusive) state.
546 .It Li L1D_WB_L2.M_STATE
547 .Pq Event 28H , Umask 08H
548 Counts number of L1 writebacks to the L2 where the cache line to be written
549 is in the M (modified) state.
550 .It Li L1D_WB_L2.MESI
551 .Pq Event 28H , Umask 0FH
552 Counts all L1 writebacks to the L2.
553 .It Li L3_LAT_CACHE.REFERENCE
554 .Pq Event 2EH , Umask 4FH
555 This event counts requests originating from the core that reference a cache
556 line in the last level cache.
557 The event count includes speculative traffic but excludes cache line fills
558 due to a L2 hardware-prefetch.
559 Because cache hierarchy, cache sizes and other implementation-specific
560 characteristics; value comparison to estimate performance differences is not recommended.
561 see Table A-1
562 .It Li L3_LAT_CACHE.MISS
563 .Pq Event 2EH , Umask 41H
564 This event counts each cache miss condition for references to the last level
565 cache.
566 The event count may include speculative traffic but excludes cache
567 line fills due to L2 hardware-prefetches.
568 Because cache hierarchy, cache sizes and other implementation-specific
569 characteristics; value comparison to estimate performance differences is not recommended.
570 see Table A-1
571 .It Li CPU_CLK_UNHALTED.THREAD_P
572 .Pq Event 3CH , Umask 00H
573 Counts the number of thread cycles while the thread is not in a halt state.
574 The thread enters the halt state when it is running the HLT instruction.
575 The core frequency may change from time to time due to power or thermal throttling.
576 see Table A-1
577 .It Li CPU_CLK_UNHALTED.REF_P
578 .Pq Event 3CH , Umask 01H
579 Increments at the frequency of TSC when not halted.
580 see Table A-1
581 .It Li L1D_CACHE_LD.I_STATE
582 .Pq Event 40H , Umask 01H
583 Counts L1 data cache read requests where the cache line to be loaded is in
584 the I (invalid) state, i.e. the read request missed the cache.
585 Counter 0, 1 only
586 .It Li L1D_CACHE_LD.S_STATE
587 .Pq Event 40H , Umask 02H
588 Counts L1 data cache read requests where the cache line to be loaded is in
589 the S (shared) state.
590 Counter 0, 1 only
591 .It Li L1D_CACHE_LD.E_STATE
592 .Pq Event 40H , Umask 04H
593 Counts L1 data cache read requests where the cache line to be loaded is in
594 the E (exclusive) state.
595 Counter 0, 1 only
596 .It Li L1D_CACHE_LD.M_STATE
597 .Pq Event 40H , Umask 08H
598 Counts L1 data cache read requests where the cache line to be loaded is in
599 the M (modified) state.
600 Counter 0, 1 only
601 .It Li L1D_CACHE_LD.MESI
602 .Pq Event 40H , Umask 0FH
603 Counts L1 data cache read requests.
604 Counter 0, 1 only
605 .It Li L1D_CACHE_ST.S_STATE
606 .Pq Event 41H , Umask 02H
607 Counts L1 data cache store RFO requests where the cache line to be loaded is
608 in the S (shared) state.
609 Counter 0, 1 only
610 .It Li L1D_CACHE_ST.E_STATE
611 .Pq Event 41H , Umask 04H
612 Counts L1 data cache store RFO requests where the cache line to be loaded is
613 in the E (exclusive) state.
614 Counter 0, 1 only
615 .It Li L1D_CACHE_ST.M_STATE
616 .Pq Event 41H , Umask 08H
617 Counts L1 data cache store RFO requests where cache line to be loaded is in
618 the M (modified) state.
619 Counter 0, 1 only
620 .It Li L1D_CACHE_LOCK.HIT
621 .Pq Event 42H , Umask 01H
622 Counts retired load locks that hit in the L1 data cache or hit in an already
623 allocated fill buffer.
624 The lock portion of the load lock transaction must hit in the L1D.
625 The initial load will pull the lock into the L1 data cache.
626 Counter 0, 1 only
627 .It Li L1D_CACHE_LOCK.S_STATE
628 .Pq Event 42H , Umask 02H
629 Counts L1 data cache retired load locks that hit the target cache line in
630 the shared state.
631 Counter 0, 1 only
632 .It Li L1D_CACHE_LOCK.E_STATE
633 .Pq Event 42H , Umask 04H
634 Counts L1 data cache retired load locks that hit the target cache line in
635 the exclusive state.
636 Counter 0, 1 only
637 .It Li L1D_CACHE_LOCK.M_STATE
638 .Pq Event 42H , Umask 08H
639 Counts L1 data cache retired load locks that hit the target cache line in
640 the modified state.
641 Counter 0, 1 only
642 .It Li L1D_ALL_REF.ANY
643 .Pq Event 43H , Umask 01H
644 Counts all references (uncached, speculated and retired) to the L1 data
645 cache, including all loads and stores with any memory types.
646 The event counts memory accesses only when they are actually performed.
647 For example, a load blocked by unknown store address and later performed
648 is only counted once.
649 The event does not include non- memory accesses, such as I/O accesses.
650 Counter 0, 1 only
651 .It Li L1D_ALL_REF.CACHEABLE
652 .Pq Event 43H , Umask 02H
653 Counts all data reads and writes (speculated and retired) from cacheable
654 memory, including locked operations.
655 Counter 0, 1 only
656 .It Li DTLB_MISSES.ANY
657 .Pq Event 49H , Umask 01H
658 Counts the number of misses in the STLB which causes a page walk.
659 .It Li DTLB_MISSES.WALK_COMPLETED
660 .Pq Event 49H , Umask 02H
661 Counts number of misses in the STLB which resulted in a completed page walk.
662 .It Li DTLB_MISSES.STLB_HIT
663 .Pq Event 49H , Umask 10H
664 Counts the number of DTLB first level misses that hit in the second level TLB.
665 This event is only relevant if the core contains multiple DTLB levels.
666 .It Li DTLB_MISSES.PDE_MISS
667 .Pq Event 49H , Umask 20H
668 Number of DTLB misses caused by low part of address, includes references to 2M pages because 2M pages do not use the PDE.
669 .It Li DTLB_MISSES.LARGE_WALK_COMPLETED
670 .Pq Event 49H , Umask 80H
671 Counts number of misses in the STLB which resulted in a completed page walk for large pages.
672 .It Li LOAD_HIT_PRE
673 .Pq Event 4CH , Umask 01H
674 Counts load operations sent to the L1 data cache while a previous SSE
675 prefetch instruction to the same cache line has started prefetching but has
676 not yet finished.
677 .It Li L1D_PREFETCH.REQUESTS
678 .Pq Event 4EH , Umask 01H
679 Counts number of hardware prefetch requests dispatched out of the prefetch
680 FIFO.
681 .It Li L1D_PREFETCH.MISS
682 .Pq Event 4EH , Umask 02H
683 Counts number of hardware prefetch requests that miss the L1D.
684 There are two prefetchers in the L1D.
685 A streamer, which predicts lines sequentially after this one should be fetched,
686 and the IP prefetcher that remembers access patterns for the current instruction.
687 The streamer prefetcher stops on an L1D hit, while the IP prefetcher does not.
688 .It Li L1D_PREFETCH.TRIGGERS
689 .Pq Event 4EH , Umask 04H
690 Counts number of prefetch requests triggered by the Finite State Machine and
691 pushed into the prefetch FIFO.
692 Some of the prefetch requests are dropped due to overwrites or competition between
693 the IP index prefetcher and streamer prefetcher.
694 The prefetch FIFO contains 4 entries.
695 .It Li L1D.REPL
696 .Pq Event 51H , Umask 01H
697 Counts the number of lines brought into the L1 data cache.
698 Counter 0, 1 only
699 .It Li L1D.M_REPL
700 .Pq Event 51H , Umask 02H
701 Counts the number of modified lines brought into the L1 data cache.
702 Counter 0, 1 only
703 .It Li L1D.M_EVICT
704 .Pq Event 51H , Umask 04H
705 Counts the number of modified lines evicted from the L1 data cache due to
706 replacement.
707 Counter 0, 1 only
708 .It Li L1D.M_SNOOP_EVICT
709 .Pq Event 51H , Umask 08H
710 Counts the number of modified lines evicted from the L1 data cache due to
711 snoop HITM intervention.
712 Counter 0, 1 only
713 .It Li L1D_CACHE_PREFETCH_LOCK_FB_HIT
714 .Pq Event 52H , Umask 01H
715 Counts the number of cacheable load lock speculated instructions accepted
716 into the fill buffer.
717 .It Li L1D_CACHE_LOCK_FB_HIT
718 .Pq Event 53H , Umask 01H
719 Counts the number of cacheable load lock speculated or retired instructions
720 accepted into the fill buffer.
721 .It Li CACHE_LOCK_CYCLES.L1D_L2
722 .Pq Event 63H , Umask 01H
723 Cycle count during which the L1D and L2 are locked.
724 A lock is asserted when there is a locked memory access, due to uncacheable memory, a locked
725 operation that spans two cache lines, or a page walk from an uncacheable
726 page table.
727 Counter 0, 1 only.
728 L1D and L2 locks have a very high performance penalty and it is highly recommended to
729 avoid such accesses.
730 .It Li CACHE_LOCK_CYCLES.L1D
731 .Pq Event 63H , Umask 02H
732 Counts the number of cycles that cacheline in the L1 data cache unit is
733 locked.
734 Counter 0, 1 only.
735 .It Li IO_TRANSACTIONS
736 .Pq Event 6CH , Umask 01H
737 Counts the number of completed I/O transactions.
738 .It Li L1I.HITS
739 .Pq Event 80H , Umask 01H
740 Counts all instruction fetches that hit the L1 instruction cache.
741 .It Li L1I.MISSES
742 .Pq Event 80H , Umask 02H
743 Counts all instruction fetches that miss the L1I cache.
744 This includes instruction cache misses, streaming buffer misses, victim cache misses and
745 uncacheable fetches.
746 An instruction fetch miss is counted only once and not once for every cycle
747 it is outstanding.
748 .It Li L1I.READS
749 .Pq Event 80H , Umask 03H
750 Counts all instruction fetches, including uncacheable fetches that bypass
751 the L1I.
752 .It Li L1I.CYCLES_STALLED
753 .Pq Event 80H , Umask 04H
754 Cycle counts for which an instruction fetch stalls due to a L1I cache miss,
755 ITLB miss or ITLB fault.
756 .It Li LARGE_ITLB.HIT
757 .Pq Event 82H , Umask 01H
758 Counts number of large ITLB hits.
759 .It Li ITLB_MISSES.ANY
760 .Pq Event 85H , Umask 01H
761 Counts the number of misses in all levels of the ITLB which causes a page
762 walk.
763 .It Li ITLB_MISSES.WALK_COMPLETED
764 .Pq Event 85H , Umask 02H
765 Counts number of misses in all levels of the ITLB which resulted in a
766 completed page walk.
767 .It Li ILD_STALL.LCP
768 .Pq Event 87H , Umask 01H
769 Cycles Instruction Length Decoder stalls due to length changing prefixes:
770 66, 67 or REX.W (for EM64T) instructions which change the length of the
771 decoded instruction.
772 .It Li ILD_STALL.MRU
773 .Pq Event 87H , Umask 02H
774 Instruction Length Decoder stall cycles due to Brand Prediction Unit (PBU)
775 Most Recently Used (MRU) bypass.
776 .It Li ILD_STALL.IQ_FULL
777 .Pq Event 87H , Umask 04H
778 Stall cycles due to a full instruction queue.
779 .It Li ILD_STALL.REGEN
780 .Pq Event 87H , Umask 08H
781 Counts the number of regen stalls.
782 .It Li ILD_STALL.ANY
783 .Pq Event 87H , Umask 0FH
784 Counts any cycles the Instruction Length Decoder is stalled.
785 .It Li BR_INST_EXEC.COND
786 .Pq Event 88H , Umask 01H
787 Counts the number of conditional near branch instructions executed, but not
788 necessarily retired.
789 .It Li BR_INST_EXEC.DIRECT
790 .Pq Event 88H , Umask 02H
791 Counts all unconditional near branch instructions excluding calls and
792 indirect branches.
793 .It Li BR_INST_EXEC.INDIRECT_NON_CALL
794 .Pq Event 88H , Umask 04H
795 Counts the number of executed indirect near branch instructions that are not
796 calls.
797 .It Li BR_INST_EXEC.NON_CALLS
798 .Pq Event 88H , Umask 07H
799 Counts all non call near branch instructions executed, but not necessarily
800 retired.
801 .It Li BR_INST_EXEC.RETURN_NEAR
802 .Pq Event 88H , Umask 08H
803 Counts indirect near branches that have a return mnemonic.
804 .It Li BR_INST_EXEC.DIRECT_NEAR_CALL
805 .Pq Event 88H , Umask 10H
806 Counts unconditional near call branch instructions, excluding non call
807 branch, executed.
808 .It Li BR_INST_EXEC.INDIRECT_NEAR_CALL
809 .Pq Event 88H , Umask 20H
810 Counts indirect near calls, including both register and memory indirect,
811 executed.
812 .It Li BR_INST_EXEC.NEAR_CALLS
813 .Pq Event 88H , Umask 30H
814 Counts all near call branches executed, but not necessarily retired.
815 .It Li BR_INST_EXEC.TAKEN
816 .Pq Event 88H , Umask 40H
817 Counts taken near branches executed, but not necessarily retired.
818 .It Li BR_INST_EXEC.ANY
819 .Pq Event 88H , Umask 7FH
820 Counts all near executed branches (not necessarily retired).
821 This includes only instructions and not micro-op branches.
822 Frequent branching is not necessarily a major performance issue.
823 However frequent branch mispredictions may be a problem.
824 .It Li BR_MISP_EXEC.COND
825 .Pq Event 89H , Umask 01H
826 Counts the number of mispredicted conditional near branch instructions
827 executed, but not necessarily retired.
828 .It Li BR_MISP_EXEC.DIRECT
829 .Pq Event 89H , Umask 02H
830 Counts mispredicted macro unconditional near branch instructions, excluding
831 calls and indirect branches (should always be 0).
832 .It Li BR_MISP_EXEC.INDIRECT_NON_CALL
833 .Pq Event 89H , Umask 04H
834 Counts the number of executed mispredicted indirect near branch instructions
835 that are not calls.
836 .It Li BR_MISP_EXEC.NON_CALLS
837 .Pq Event 89H , Umask 07H
838 Counts mispredicted non call near branches executed, but not necessarily
839 retired.
840 .It Li BR_MISP_EXEC.RETURN_NEAR
841 .Pq Event 89H , Umask 08H
842 Counts mispredicted indirect branches that have a rear return mnemonic.
843 .It Li BR_MISP_EXEC.DIRECT_NEAR_CALL
844 .Pq Event 89H , Umask 10H
845 Counts mispredicted non-indirect near calls executed, (should always be 0).
846 .It Li BR_MISP_EXEC.INDIRECT_NEAR_CALL
847 .Pq Event 89H , Umask 20H
848 Counts mispredicted indirect near calls executed, including both register
849 and memory indirect.
850 .It Li BR_MISP_EXEC.NEAR_CALLS
851 .Pq Event 89H , Umask 30H
852 Counts all mispredicted near call branches executed, but not necessarily
853 retired.
854 .It Li BR_MISP_EXEC.TAKEN
855 .Pq Event 89H , Umask 40H
856 Counts executed mispredicted near branches that are taken, but not
857 necessarily retired.
858 .It Li BR_MISP_EXEC.ANY
859 .Pq Event 89H , Umask 7FH
860 Counts the number of mispredicted near branch instructions that were
861 executed, but not necessarily retired.
862 .It Li RESOURCE_STALLS.ANY
863 .Pq Event A2H , Umask 01H
864 Counts the number of Allocator resource related stalls.
865 Includes register renaming buffer entries, memory buffer entries.
866 In addition to resource related stalls, this event counts some other events.
867 Includes stalls arising during branch misprediction recovery, such as if retirement of the
868 mispredicted branch is delayed and stalls arising while store buffer is
869 draining from synchronizing operations.
870 Does not include stalls due to SuperQ (off core) queue full, too many cache
871 misses, etc.
872 .It Li RESOURCE_STALLS.LOAD
873 .Pq Event A2H , Umask 02H
874 Counts the cycles of stall due to lack of load buffer for load operation.
875 .It Li RESOURCE_STALLS.RS_FULL
876 .Pq Event A2H , Umask 04H
877 This event counts the number of cycles when the number of instructions in
878 the pipeline waiting for execution reaches the limit the processor can handle.
879 A high count of this event indicates that there are long latency
880 operations in the pipe (possibly load and store operations that miss the L2
881 cache, or instructions dependent upon instructions further down the pipeline
882 that have yet to retire.
883 When RS is full, new instructions can not enter the reservation station and
884 start execution.
885 .It Li RESOURCE_STALLS.STORE
886 .Pq Event A2H , Umask 08H
887 This event counts the number of cycles that a resource related stall will
888 occur due to the number of store instructions reaching the limit of the
889 pipeline, (i.e. all store buffers are used).
890 The stall ends when a store instruction commits its data to the cache or memory.
891 .It Li RESOURCE_STALLS.ROB_FULL
892 .Pq Event A2H , Umask 10H
893 Counts the cycles of stall due to re- order buffer full.
894 .It Li RESOURCE_STALLS.FPCW
895 .Pq Event A2H , Umask 20H
896 Counts the number of cycles while execution was stalled due to writing the
897 floating-point unit (FPU) control word.
898 .It Li RESOURCE_STALLS.MXCSR
899 .Pq Event A2H , Umask 40H
900 Stalls due to the MXCSR register rename occurring to close to a previous
901 MXCSR rename.
902 The MXCSR provides control and status for the MMX registers.
903 .It Li RESOURCE_STALLS.OTHER
904 .Pq Event A2H , Umask 80H
905 Counts the number of cycles while execution was stalled due to other
906 resource issues.
907 .It Li MACRO_INSTS.FUSIONS_DECODED
908 .Pq Event A6H , Umask 01H
909 Counts the number of instructions decoded that are macro-fused but not
910 necessarily executed or retired.
911 .It Li BACLEAR_FORCE_IQ
912 .Pq Event A7H , Umask 01H
913 Counts number of times a BACLEAR was forced by the Instruction Queue.
914 The IQ is also responsible for providing conditional branch prediction direction
915 based on a static scheme and dynamic data provided by the L2 Branch
916 Prediction Unit.
917 If the conditional branch target is not found in the Target Array and the IQ
918 predicts that the branch is taken, then the IQ will force
919 the Branch Address Calculator to issue a BACLEAR.
920 Each BACLEAR asserted by the BAC generates approximately an 8 cycle bubble
921 in the instruction fetch pipeline.
922 .It Li LSD.UOPS
923 .Pq Event A8H , Umask 01H
924 Counts the number of micro-ops delivered by loop stream detector
925 Use cmask=1 and invert to count cycles
926 .It Li ITLB_FLUSH
927 .Pq Event AEH , Umask 01H
928 Counts the number of ITLB flushes
929 .It Li OFFCORE_REQUESTS.L1D_WRITEBACK
930 .Pq Event B0H , Umask 40H
931 Counts number of L1D writebacks to the uncore.
932 .It Li UOPS_EXECUTED.PORT0
933 .Pq Event B1H , Umask 01H
934 Counts number of Uops executed that were issued on port 0.
935 Port 0 handles integer arithmetic, SIMD and FP add Uops.
936 .It Li UOPS_EXECUTED.PORT1
937 .Pq Event B1H , Umask 02H
938 Counts number of Uops executed that were issued on port 1.
939 Port 1 handles integer arithmetic, SIMD, integer shift, FP multiply and FP divide Uops.
940 .It Li UOPS_EXECUTED.PORT2_CORE
941 .Pq Event B1H , Umask 04H
942 Counts number of Uops executed that were issued on port 2.
943 Port 2 handles the load Uops.
944 This is a core count only and can not be collected per thread.
945 .It Li UOPS_EXECUTED.PORT3_CORE
946 .Pq Event B1H , Umask 08H
947 Counts number of Uops executed that were issued on port 3.
948 Port 3 handles store Uops.
949 This is a core count only and can not be collected per thread.
950 .It Li UOPS_EXECUTED.PORT4_CORE
951 .Pq Event B1H , Umask 10H
952 Counts number of Uops executed that where issued on port 4.
953 Port 4 handles the value to be stored for the store Uops issued on port 3.
954 This is a core count only and can not be collected per thread.
955 .It Li UOPS_EXECUTED.CORE_ACTIVE_CYCLES_NO_PORT5
956 .Pq Event B1H , Umask 1FH
957 Counts cycles when the Uops executed were issued from any ports except port
958 5.
959 Use Cmask=1 for active cycles; Cmask=0 for weighted cycles; Use CMask=1,
960 Invert=1 to count P0-4 stalled cycles Use Cmask=1, Edge=1, Invert=1 to count
961 P0-4 stalls.
962 .It Li UOPS_EXECUTED.PORT5
963 .Pq Event B1H , Umask 20H
964 Counts number of Uops executed that where issued on port 5.
965 .It Li UOPS_EXECUTED.CORE_ACTIVE_CYCLES
966 .Pq Event B1H , Umask 3FH
967 Counts cycles when the Uops are executing.
968 Use Cmask=1 for active cycles; Cmask=0 for weighted cycles; Use CMask=1, Invert=1 to count P0-4 stalled
969 cycles Use Cmask=1, Edge=1, Invert=1 to count P0-4 stalls.
970 .It Li UOPS_EXECUTED.PORT015
971 .Pq Event B1H , Umask 40H
972 Counts number of Uops executed that where issued on port 0, 1, or 5.
973 use cmask=1, invert=1 to count stall cycles
974 .It Li UOPS_EXECUTED.PORT234
975 .Pq Event B1H , Umask 80H
976 Counts number of Uops executed that where issued on port 2, 3, or 4.
977 .It Li OFFCORE_REQUESTS_SQ_FULL
978 .Pq Event B2H , Umask 01H
979 Counts number of cycles the SQ is full to handle off-core requests.
980 .It Li OFF_CORE_RESPONSE_0
981 .Pq Event B7H , Umask 01H
982 see Section 30.6.1.3, Off-core Response Performance Monitoring in the
983 Processor Core
984 Requires programming MSR 01A6H
985 .It Li SNOOP_RESPONSE.HIT
986 .Pq Event B8H , Umask 01H
987 Counts HIT snoop response sent by this thread in response to a snoop
988 request.
989 .It Li SNOOP_RESPONSE.HITE
990 .Pq Event B8H , Umask 02H
991 Counts HIT E snoop response sent by this thread in response to a snoop
992 request.
993 .It Li SNOOP_RESPONSE.HITM
994 .Pq Event B8H , Umask 04H
995 Counts HIT M snoop response sent by this thread in response to a snoop
996 request.
997 .It Li OFF_CORE_RESPONSE_1
998 .Pq Event BBH , Umask 01H
999 see Section 30.6.1.3, Off-core Response Performance Monitoring in the
1000 Processor Core
1001 Requires programming MSR 01A7H
1002 .It Li INST_RETIRED.ANY_P
1003 .Pq Event C0H , Umask 01H
1004 See Table A-1
1005 Notes: INST_RETIRED.ANY is counted by a designated fixed counter.
1006 INST_RETIRED.ANY_P is counted by a programmable counter and is an
1007 architectural performance event.
1008 Event is supported if CPUID.A.EBX[1] = 0.
1009 Counting: Faulting executions of GETSEC/VM entry/VM Exit/MWait will not
1010 count as retired instructions.
1011 .It Li INST_RETIRED.X87
1012 .Pq Event C0H , Umask 02H
1013 Counts the number of MMX instructions retired.
1014 .It Li INST_RETIRED.MMX
1015 .Pq Event C0H , Umask 04H
1016 Counts the number of floating point computational operations retired:
1017 floating point computational operations executed by the assist handler and
1018 sub-operations of complex floating point instructions like transcendental
1019 instructions.
1020 .It Li UOPS_RETIRED.ANY
1021 .Pq Event C2H , Umask 01H
1022 Counts the number of micro-ops retired, (macro-fused=1, micro- fused=2,
1023 others=1; maximum count of 8 per cycle).
1024 Most instructions are composed of one or two micro-ops.
1025 Some instructions are decoded into longer sequences such as repeat instructions,
1026 floating point transcendental instructions, and assists.
1027 Use cmask=1 and invert to count active cycles or stalled cycles
1028 .It Li UOPS_RETIRED.RETIRE_SLOTS
1029 .Pq Event C2H , Umask 02H
1030 Counts the number of retirement slots used each cycle
1031 .It Li UOPS_RETIRED.MACRO_FUSED
1032 .Pq Event C2H , Umask 04H
1033 Counts number of macro-fused uops retired.
1034 .It Li MACHINE_CLEARS.CYCLES
1035 .Pq Event C3H , Umask 01H
1036 Counts the cycles machine clear is asserted.
1037 .It Li MACHINE_CLEARS.MEM_ORDER
1038 .Pq Event C3H , Umask 02H
1039 Counts the number of machine clears due to memory order conflicts.
1040 .It Li MACHINE_CLEARS.SMC
1041 .Pq Event C3H , Umask 04H
1042 Counts the number of times that a program writes to a code section.
1043 Self-modifying code causes a sever penalty in all Intel 64 and IA-32
1044 processors.
1045 The modified cache line is written back to the L2 and L3caches.
1046 .It Li BR_INST_RETIRED.ALL_BRANCHES
1047 .Pq Event C4H , Umask 00H
1048 See Table A-1
1049 .It Li BR_INST_RETIRED.CONDITIONAL
1050 .Pq Event C4H , Umask 01H
1051 Counts the number of conditional branch instructions retired.
1052 .It Li BR_INST_RETIRED.NEAR_CALL
1053 .Pq Event C4H , Umask 02H
1054 Counts the number of direct & indirect near unconditional calls retired
1055 .It Li BR_INST_RETIRED.ALL_BRANCHES
1056 .Pq Event C4H , Umask 04H
1057 Counts the number of branch instructions retired
1058 .It Li BR_MISP_RETIRED.ALL_BRANCHES
1059 .Pq Event C5H , Umask 00H
1060 See Table A-1
1061 .It Li BR_MISP_RETIRED.NEAR_CALL
1062 .Pq Event C5H , Umask 02H
1063 Counts mispredicted direct & indirect near unconditional retired calls.
1064 .It Li SSEX_UOPS_RETIRED.PACKED_SINGLE
1065 .Pq Event C7H , Umask 01H
1066 Counts SIMD packed single-precision floating point Uops retired.
1067 .It Li SSEX_UOPS_RETIRED.SCALAR_SINGLE
1068 .Pq Event C7H , Umask 02H
1069 Counts SIMD calar single-precision floating point Uops retired.
1070 .It Li SSEX_UOPS_RETIRED.PACKED_DOUBLE
1071 .Pq Event C7H , Umask 04H
1072 Counts SIMD packed double- precision floating point Uops retired.
1073 .It Li SSEX_UOPS_RETIRED.SCALAR_DOUBLE
1074 .Pq Event C7H , Umask 08H
1075 Counts SIMD scalar double-precision floating point Uops retired.
1076 .It Li SSEX_UOPS_RETIRED.VECTOR_INTEGER
1077 .Pq Event C7H , Umask 10H
1078 Counts 128-bit SIMD vector integer Uops retired.
1079 .It Li ITLB_MISS_RETIRED
1080 .Pq Event C8H , Umask 20H
1081 Counts the number of retired instructions that missed the ITLB when the
1082 instruction was fetched.
1083 .It Li MEM_LOAD_RETIRED.L1D_HIT
1084 .Pq Event CBH , Umask 01H
1085 Counts number of retired loads that hit the L1 data cache.
1086 .It Li MEM_LOAD_RETIRED.L2_HIT
1087 .Pq Event CBH , Umask 02H
1088 Counts number of retired loads that hit the L2 data cache.
1089 .It Li MEM_LOAD_RETIRED.L3_UNSHARED_HIT
1090 .Pq Event CBH , Umask 04H
1091 Counts number of retired loads that hit their own, unshared lines in the L3
1092 cache.
1093 .It Li MEM_LOAD_RETIRED.OTHER_CORE_L2_HIT_HITM
1094 .Pq Event CBH , Umask 08H
1095 Counts number of retired loads that hit in a sibling core's L2 (on die core).
1096 Since the L3 is inclusive of all cores on the package, this is an L3 hit.
1097 This counts both clean or modified hits.
1098 .It Li MEM_LOAD_RETIRED.L3_MISS
1099 .Pq Event CBH , Umask 10H
1100 Counts number of retired loads that miss the L3 cache.
1101 The load was satisfied by a remote socket, local memory or an IOH.
1102 .It Li MEM_LOAD_RETIRED.HIT_LFB
1103 .Pq Event CBH , Umask 40H
1104 Counts number of retired loads that miss the L1D and the address is located
1105 in an allocated line fill buffer and will soon be committed to cache.
1106 This is counting secondary L1D misses.
1107 .It Li MEM_LOAD_RETIRED.DTLB_MISS
1108 .Pq Event CBH , Umask 80H
1109 Counts the number of retired loads that missed the DTLB.
1110 The DTLB miss is not counted if the load operation causes a fault.
1111 This event counts loads from cacheable memory only.
1112 The event does not count loads by software prefetches.
1113 Counts both primary and secondary misses to the TLB.
1114 .It Li FP_MMX_TRANS.TO_FP
1115 .Pq Event CCH , Umask 01H
1116 Counts the first floating-point instruction following any MMX instruction.
1117 You can use this event to estimate the penalties for the transitions between
1118 floating-point and MMX technology states.
1119 .It Li FP_MMX_TRANS.TO_MMX
1120 .Pq Event CCH , Umask 02H
1121 Counts the first MMX instruction following a floating-point instruction.
1122 You can use this event to estimate the penalties for the transitions between
1123 floating-point and MMX technology states.
1124 .It Li FP_MMX_TRANS.ANY
1125 .Pq Event CCH , Umask 03H
1126 Counts all transitions from floating point to MMX instructions and from MMX
1127 instructions to floating point instructions.
1128 You can use this event to estimate the penalties for the transitions between
1129 floating-point and MMX technology states.
1130 .It Li MACRO_INSTS.DECODED
1131 .Pq Event D0H , Umask 01H
1132 Counts the number of instructions decoded, (but not necessarily executed or
1133 retired).
1134 .It Li UOPS_DECODED.MS
1135 .Pq Event D1H , Umask 02H
1136 Counts the number of Uops decoded by the Microcode Sequencer, MS.
1137 The MS delivers uops when the instruction is more than 4 uops long or a microcode
1138 assist is occurring.
1139 .It Li UOPS_DECODED.ESP_FOLDING
1140 .Pq Event D1H , Umask 04H
1141 Counts number of stack pointer (ESP) instructions decoded: push , pop , call
1142 , ret, etc.
1143 ESP instructions do not generate a Uop to increment or decrement ESP.
1144 Instead, they update an ESP_Offset register that keeps track of the
1145 delta to the current value of the ESP register.
1146 .It Li UOPS_DECODED.ESP_SYNC
1147 .Pq Event D1H , Umask 08H
1148 Counts number of stack pointer (ESP) sync operations where an ESP
1149 instruction is corrected by adding the ESP offset register to the current
1150 value of the ESP register.
1151 .It Li RAT_STALLS.FLAGS
1152 .Pq Event D2H , Umask 01H
1153 Counts the number of cycles during which execution stalled due to several
1154 reasons, one of which is a partial flag register stall.
1155 A partial register stall may occur when two conditions are met: 1) an instruction modifies
1156 some, but not all, of the flags in the flag register and 2) the next
1157 instruction, which depends on flags, depends on flags that were not modified
1158 by this instruction.
1159 .It Li RAT_STALLS.REGISTERS
1160 .Pq Event D2H , Umask 02H
1161 This event counts the number of cycles instruction execution latency became
1162 longer than the defined latency because the instruction used a register that
1163 was partially written by previous instruction.
1164 .It Li RAT_STALLS.ROB_READ_PORT
1165 .Pq Event D2H , Umask 04H
1166 Counts the number of cycles when ROB read port stalls occurred, which did
1167 not allow new micro-ops to enter the out-of-order pipeline.
1168 Note that, at this stage in the pipeline, additional stalls may occur at
1169 the same cycle and prevent the stalled micro-ops from entering the pipe.
1170 In such a case, micro-ops retry entering the execution pipe in the next
1171 cycle and the ROB-read port stall is counted again.
1172 .It Li RAT_STALLS.SCOREBOARD
1173 .Pq Event D2H , Umask 08H
1174 Counts the cycles where we stall due to microarchitecturally required
1175 serialization.
1176 Microcode scoreboarding stalls.
1177 .It Li RAT_STALLS.ANY
1178 .Pq Event D2H , Umask 0FH
1179 Counts all Register Allocation Table stall cycles due to: Cycles when ROB
1180 read port stalls occurred, which did not allow new micro-ops to enter the
1181 execution pipe.
1182 Cycles when partial register stalls occurred Cycles when flag stalls occurred
1183 Cycles floating-point unit (FPU) status word stalls occurred.
1184 To count each of these conditions separately use the events:
1185 RAT_STALLS.ROB_READ_PORT, RAT_STALLS.PARTIAL, RAT_STALLS.FLAGS, and
1186 RAT_STALLS.FPSW.
1187 .It Li SEG_RENAME_STALLS
1188 .Pq Event D4H , Umask 01H
1189 Counts the number of stall cycles due to the lack of renaming resources for
1190 the ES, DS, FS, and GS segment registers.
1191 If a segment is renamed but not retired and a second update to the same
1192 segment occurs, a stall occurs in the front-end of the pipeline until the
1193 renamed segment retires.
1194 .It Li ES_REG_RENAMES
1195 .Pq Event D5H , Umask 01H
1196 Counts the number of times the ES segment register is renamed.
1197 .It Li UOP_UNFUSION
1198 .Pq Event DBH , Umask 01H
1199 Counts unfusion events due to floating point exception to a fused uop.
1200 .It Li BR_INST_DECODED
1201 .Pq Event E0H , Umask 01H
1202 Counts the number of branch instructions decoded.
1203 .It Li BPU_MISSED_CALL_RET
1204 .Pq Event E5H , Umask 01H
1205 Counts number of times the Branch Prediction Unit missed predicting a call
1206 or return branch.
1207 .It Li BACLEAR.CLEAR
1208 .Pq Event E6H , Umask 01H
1209 Counts the number of times the front end is resteered, mainly when the
1210 Branch Prediction Unit cannot provide a correct prediction and this is
1211 corrected by the Branch Address Calculator at the front end.
1212 This can occur if the code has many branches such that they cannot be
1213 consumed by the BPU.
1214 Each BACLEAR asserted by the BAC generates approximately an 8 cycle bubble
1215 in the instruction fetch pipeline.
1216 The effect on total execution time depends on the surrounding code.
1217 .It Li BACLEAR.BAD_TARGET
1218 .Pq Event E6H , Umask 02H
1219 Counts number of Branch Address Calculator clears (BACLEAR) asserted due to
1220 conditional branch instructions in which there was a target hit but the
1221 direction was wrong.
1222 Each BACLEAR asserted by the BAC generates approximately an 8 cycle bubble in
1223 the instruction fetch pipeline.
1224 .It Li BPU_CLEARS.EARLY
1225 .Pq Event E8H , Umask 01H
1226 Counts early (normal) Branch Prediction Unit clears: BPU predicted a taken
1227 branch after incorrectly assuming that it was not taken.
1228 The BPU clear leads to 2 cycle bubble in the Front End.
1229 .It Li BPU_CLEARS.LATE
1230 .Pq Event E8H , Umask 02H
1231 Counts late Branch Prediction Unit clears due to Most Recently Used conflicts.
1232 The PBU clear leads to a 3 cycle bubble in the Front End.
1233 .It Li L2_TRANSACTIONS.LOAD
1234 .Pq Event F0H , Umask 01H
1235 Counts L2 load operations due to HW prefetch or demand loads.
1236 .It Li L2_TRANSACTIONS.RFO
1237 .Pq Event F0H , Umask 02H
1238 Counts L2 RFO operations due to HW prefetch or demand RFOs.
1239 .It Li L2_TRANSACTIONS.IFETCH
1240 .Pq Event F0H , Umask 04H
1241 Counts L2 instruction fetch operations due to HW prefetch or demand ifetch.
1242 .It Li L2_TRANSACTIONS.PREFETCH
1243 .Pq Event F0H , Umask 08H
1244 Counts L2 prefetch operations.
1245 .It Li L2_TRANSACTIONS.L1D_WB
1246 .Pq Event F0H , Umask 10H
1247 Counts L1D writeback operations to the L2.
1248 .It Li L2_TRANSACTIONS.FILL
1249 .Pq Event F0H , Umask 20H
1250 Counts L2 cache line fill operations due to load, RFO, L1D writeback or
1251 prefetch.
1252 .It Li L2_TRANSACTIONS.WB
1253 .Pq Event F0H , Umask 40H
1254 Counts L2 writeback operations to the L3.
1255 .It Li L2_TRANSACTIONS.ANY
1256 .Pq Event F0H , Umask 80H
1257 Counts all L2 cache operations.
1258 .It Li L2_LINES_IN.S_STATE
1259 .Pq Event F1H , Umask 02H
1260 Counts the number of cache lines allocated in the L2 cache in the S (shared)
1261 state.
1262 .It Li L2_LINES_IN.E_STATE
1263 .Pq Event F1H , Umask 04H
1264 Counts the number of cache lines allocated in the L2 cache in the E
1265 (exclusive) state.
1266 .It Li L2_LINES_IN.ANY
1267 .Pq Event F1H , Umask 07H
1268 Counts the number of cache lines allocated in the L2 cache.
1269 .It Li L2_LINES_OUT.DEMAND_CLEAN
1270 .Pq Event F2H , Umask 01H
1271 Counts L2 clean cache lines evicted by a demand request.
1272 .It Li L2_LINES_OUT.DEMAND_DIRTY
1273 .Pq Event F2H , Umask 02H
1274 Counts L2 dirty (modified) cache lines evicted by a demand request.
1275 .It Li L2_LINES_OUT.PREFETCH_CLEAN
1276 .Pq Event F2H , Umask 04H
1277 Counts L2 clean cache line evicted by a prefetch request.
1278 .It Li L2_LINES_OUT.PREFETCH_DIRTY
1279 .Pq Event F2H , Umask 08H
1280 Counts L2 modified cache line evicted by a prefetch request.
1281 .It Li L2_LINES_OUT.ANY
1282 .Pq Event F2H , Umask 0FH
1283 Counts all L2 cache lines evicted for any reason.
1284 .It Li SQ_MISC.SPLIT_LOCK
1285 .Pq Event F4H , Umask 10H
1286 Counts the number of SQ lock splits across a cache line.
1287 .It Li SQ_FULL_STALL_CYCLES
1288 .Pq Event F6H , Umask 01H
1289 Counts cycles the Super Queue is full.
1290 Neither of the threads on this core will be able to access the uncore.
1291 .It Li FP_ASSIST.ALL
1292 .Pq Event F7H , Umask 01H
1293 Counts the number of floating point operations executed that required
1294 micro-code assist intervention.
1295 Assists are required in the following cases:
1296 SSE instructions, (Denormal input when the DAZ flag is off or Underflow
1297 result when the FTZ flag is off): x87 instructions, (NaN or denormal are
1298 loaded to a register or used as input from memory, Division by 0 or
1299 Underflow output).
1300 .It Li FP_ASSIST.OUTPUT
1301 .Pq Event F7H , Umask 02H
1302 Counts number of floating point micro-code assist when the output value
1303 (destination register) is invalid.
1304 .It Li FP_ASSIST.INPUT
1305 .Pq Event F7H , Umask 04H
1306 Counts number of floating point micro-code assist when the input value (one
1307 of the source operands to an FP instruction) is invalid.
1308 .It Li SIMD_INT_64.PACKED_MPY
1309 .Pq Event FDH , Umask 01H
1310 Counts number of SID integer 64 bit packed multiply operations.
1311 .It Li SIMD_INT_64.PACKED_SHIFT
1312 .Pq Event FDH , Umask 02H
1313 Counts number of SID integer 64 bit packed shift operations.
1314 .It Li SIMD_INT_64.PACK
1315 .Pq Event FDH , Umask 04H
1316 Counts number of SID integer 64 bit pack operations.
1317 .It Li SIMD_INT_64.UNPACK
1318 .Pq Event FDH , Umask 08H
1319 Counts number of SID integer 64 bit unpack operations.
1320 .It Li SIMD_INT_64.PACKED_LOGICAL
1321 .Pq Event FDH , Umask 10H
1322 Counts number of SID integer 64 bit logical operations.
1323 .It Li SIMD_INT_64.PACKED_ARITH
1324 .Pq Event FDH , Umask 20H
1325 Counts number of SID integer 64 bit arithmetic operations.
1326 .It Li SIMD_INT_64.SHUFFLE_MOVE
1327 .Pq Event FDH , Umask 40H
1328 Counts number of SID integer 64 bit shift or move operations.
1329 .El
1330 .Ss Event Specifiers (Programmable PMCs)
1331 Core i7 and Xeon 5500 programmable PMCs support the following events as
1332 June 2009 document (removed in December 2009):
1333 .Bl -tag -width indent
1334 .It Li SB_FORWARD.ANY
1335 .Pq Event 02H , Umask 01H
1336 Counts the number of store forwards.
1337 .It Li LOAD_BLOCK.STD
1338 .Pq Event 03H , Umask 01H
1339 Counts the number of loads blocked by a preceding store with unknown data.
1340 .It Li LOAD_BLOCK.ADDRESS_OFFSET
1341 .Pq Event 03H , Umask 04H
1342 Counts the number of loads blocked by a preceding store address.
1343 .It Li LOAD_BLOCK.ADDRESS_OFFSET
1344 .Pq Event 01H , Umask 04H
1345 Counts the cycles of store buffer drains.
1346 .It Li MISALIGN_MEM_REF.LOAD
1347 .Pq Event 05H , Umask 01H
1348 Counts the number of misaligned load references
1349 .It Li MISALIGN_MEM_REF.STORE
1350 .Pq Event 05H , Umask 02H
1351 Counts the number of misaligned store references
1352 .It Li MISALIGN_MEM_REF.ANY
1353 .Pq Event 05H , Umask 03H
1354 Counts the number of misaligned memory references
1355 .It Li STORE_BLOCKS.NOT_STA
1356 .Pq Event 06H , Umask 01H
1357 This event counts the number of load operations delayed caused by preceding
1358 stores whose addresses are known but whose data is unknown, and preceding
1359 stores that conflict with the load but which incompletely overlap the load.
1360 .It Li STORE_BLOCKS.STA
1361 .Pq Event 06H , Umask 02H
1362 This event counts load operations delayed caused by preceding stores whose
1363 addresses are unknown (STA block).
1364 .It Li STORE_BLOCKS.ANY
1365 .Pq Event 06H , Umask 0FH
1366 All loads delayed due to store blocks
1367 .It Li MEMORY_DISAMBIGURATION.RESET
1368 .Pq Event 09H , Umask 01H
1369 Counts memory disambiguration reset cycles
1370 .It Li MEMORY_DISAMBIGURATION.SUCCESS
1371 .Pq Event 09H , Umask 02H
1372 Counts the number of loads that memory disambiguration succeeded
1373 .It Li MEMORY_DISAMBIGURATION.WATCHDOG
1374 .Pq Event 09H , Umask 04H
1375 Counts the number of times the memory disambiguration watchdog kicked in.
1376 .It Li MEMORY_DISAMBIGURATION.WATCH_CYCLES
1377 .Pq Event 09H , Umask 08H
1378 Counts the cycles that the memory disambiguration watchdog is active.
1379 set invert=1, cmask = 1
1380 .It Li HW_INT.RCV
1381 .Pq Event 1DH , Umask 01H
1382 Number of interrupt received
1383 .It Li HW_INT.CYCLES_MASKED
1384 .Pq Event 1DH , Umask 02H
1385 Number of cycles interrupt are masked
1386 .It Li HW_INT.CYCLES_PENDING_AND_MASKED
1387 .Pq Event 1DH , Umask 04H
1388 Number of cycles interrupts are pending and masked
1389 .It Li HW_INT.CYCLES_PENDING_AND_MASKED
1390 .Pq Event 04H , Umask 04H
1391 Counts number of L2 store RFO requests where the cache line to be loaded is
1392 in the E (exclusive) state.
1393 The L1D prefetcher does not issue a RFO prefetch.
1394 This is a demand RFO request
1395 .It Li HW_INT.CYCLES_PENDING_AND_MASKED
1396 .Pq Event 27H , Umask 04H
1397 LONGEST_LAT_CACH E.MISS
1398 .It Li UOPS_DECODED.DEC0
1399 .Pq Event 3DH , Umask 01H
1400 Counts micro-ops decoded by decoder 0.
1401 .It Li UOPS_DECODED.DEC0
1402 .Pq Event 01H , Umask 01H
1403 Counts L1 data cache store RFO requests where the cache line to be loaded is
1404 in the I state.
1405 Counter 0, 1 only
1406 .It Li 0FH
1407 .Pq Event 41H , Umask 41H
1408 L1D_CACHE_ST.MESI
1409 Counts L1 data cache store RFO requests.
1410 Counter 0, 1 only
1411 .It Li DTLB_MISSES.PDE_MISS
1412 .Pq Event 49H , Umask 20H
1413 Number of DTLB cache misses where the low part of the linear to physical
1414 address translation was missed.
1415 .It Li DTLB_MISSES.PDP_MISS
1416 .Pq Event 49H , Umask 40H
1417 Number of DTLB misses where the high part of the linear to physical address
1418 translation was missed.
1419 .It Li DTLB_MISSES.LARGE_WALK_COMPLETED
1420 .Pq Event 49H , Umask 80H
1421 Counts number of completed large page walks due to misses in the STLB.
1422 .It Li SSE_MEM_EXEC.NTA
1423 .Pq Event 4BH , Umask 01H
1424 Counts number of SSE NTA prefetch/weakly-ordered instructions which missed
1425 the L1 data cache.
1426 .It Li SSE_MEM_EXEC.STREAMING_STORES
1427 .Pq Event 4BH , Umask 08H
1428 Counts number of SSE non temporal stores
1429 .It Li SFENCE_CYCLES
1430 .Pq Event 4DH , Umask 01H
1431 Counts store fence cycles
1432 .It Li EPT.EPDE_MISS
1433 .Pq Event 4FH , Umask 02H
1434 Counts Extended Page Directory Entry misses.
1435 The Extended Page Directory cache is used by Virtual Machine operating
1436 systems while the guest operating systems use the standard TLB caches.
1437 .It Li EPT.EPDPE_HIT
1438 .Pq Event 4FH , Umask 04H
1439 Counts Extended Page Directory Pointer Entry hits.
1440 .It Li EPT.EPDPE_MISS
1441 .Pq Event 4FH , Umask 08H
1442 Counts Extended Page Directory Pointer Entry misses.
1443 .It Li OFFCORE_REQUESTS_OUTSTANDING.DEMAND.READ_DATA
1444 .Pq Event 60H , Umask 01H
1445 Counts weighted cycles of offcore demand data read requests.
1446 Does not include L2 prefetch requests.
1447 counter 0
1448 .It Li OFFCORE_REQUESTS_OUTSTANDING.DEMAND.READ_CODE
1449 .Pq Event 60H , Umask 02H
1450 Counts weighted cycles of offcore demand code read requests.
1451 Does not include L2 prefetch requests.
1452 counter 0
1453 .It Li OFFCORE_REQUESTS_OUTSTANDING.DEMAND.RFO
1454 .Pq Event 60H , Umask 04H
1455 Counts weighted cycles of offcore demand RFO requests.
1456 Does not include L2 prefetch requests.
1457 counter 0
1458 .It Li OFFCORE_REQUESTS_OUTSTANDING.ANY.READ
1459 .Pq Event 60H , Umask 08H
1460 Counts weighted cycles of offcore read requests of any kind.
1461 Include L2 prefetch requests.
1462 counter 0
1463 .It Li IFU_IVC.FULL
1464 .Pq Event 81H , Umask 01H
1465 Instruction Fetche unit victim cache full.
1466 .It Li IFU_IVC.L1I_EVICTION
1467 .Pq Event 81H , Umask 02H
1468 L1 Instruction cache evictions.
1469 .It Li L1I_OPPORTUNISTIC_HITS
1470 .Pq Event 83H , Umask 01H
1471 Opportunistic hits in streaming.
1472 .It Li ITLB_MISSES.WALK_CYCLES
1473 .Pq Event 85H , Umask 04H
1474 Counts ITLB miss page walk cycles.
1475 .It Li ITLB_MISSES.PMH_BUSY_CYCLES
1476 .Pq Event 85H , Umask 04H
1477 Counts PMH busy cycles.
1478 .It Li ITLB_MISSES.STLB_HIT
1479 .Pq Event 85H , Umask 10H
1480 Counts the number of ITLB misses that hit in the second level TLB.
1481 .It Li ITLB_MISSES.PDE_MISS
1482 .Pq Event 85H , Umask 20H
1483 Number of ITLB misses where the low part of the linear to physical address
1484 translation was missed.
1485 .It Li ITLB_MISSES.PDP_MISS
1486 .Pq Event 85H , Umask 40H
1487 Number of ITLB misses where the high part of the linear to physical address
1488 translation was missed.
1489 .It Li ITLB_MISSES.LARGE_WALK_COMPLETED
1490 .Pq Event 85H , Umask 80H
1491 Counts number of completed large page walks due to misses in the STLB.
1492 .It Li ITLB_MISSES.LARGE_WALK_COMPLETED
1493 .Pq Event 01H , Umask 80H
1494 Counts number of offcore demand data read requests.
1495 Does not count L2 prefetch requests.
1496 .It Li OFFCORE_REQUESTS.DEMAND.READ_CODE
1497 .Pq Event B0H , Umask 02H
1498 Counts number of offcore demand code read requests.
1499 Does not count L2 prefetch requests.
1500 .It Li OFFCORE_REQUESTS.DEMAND.RFO
1501 .Pq Event B0H , Umask 04H
1502 Counts number of offcore demand RFO requests.
1503 Does not count L2 prefetch requests.
1504 .It Li OFFCORE_REQUESTS.ANY.READ
1505 .Pq Event B0H , Umask 08H
1506 Counts number of offcore read requests.
1507 Includes L2 prefetch requests.
1508 .It Li OFFCORE_REQUESTS.ANY.RFO
1509 .Pq Event B0H , Umask 10H
1510 Counts number of offcore RFO requests.
1511 Includes L2 prefetch requests.
1512 .It Li OFFCORE_REQUESTS.UNCACHED_MEM
1513 .Pq Event B0H , Umask 20H
1514 Counts number of offcore uncached memory requests.
1515 .It Li OFFCORE_REQUESTS.ANY
1516 .Pq Event B0H , Umask 80H
1517 Counts all offcore requests.
1518 .It Li SNOOPQ_REQUESTS_OUTSTANDING.DATA
1519 .Pq Event B3H , Umask 01H
1520 Counts weighted cycles of snoopq requests for data.
1521 Counter 0 only Use cmask=1 to count cycles not empty.
1522 .It Li SNOOPQ_REQUESTS_OUTSTANDING.INVALIDATE
1523 .Pq Event B3H , Umask 02H
1524 Counts weighted cycles of snoopq invalidate requests.
1525 Counter 0 only Use cmask=1 to count cycles not empty.
1526 .It Li SNOOPQ_REQUESTS_OUTSTANDING.CODE
1527 .Pq Event B3H , Umask 04H
1528 Counts weighted cycles of snoopq requests for code.
1529 Counter 0 only Use cmask=1 to count cycles not empty.
1530 .It Li SNOOPQ_REQUESTS_OUTSTANDING.CODE
1531 .Pq Event BAH , Umask 04H
1532 Counts number of TPR reads
1533 .It Li PIC_ACCESSES.TPR_WRITES
1534 .Pq Event BAH , Umask 02H
1535 Counts number of TPR writes one or two micro-ops.
1536 Some instructions are decoded into longer sequences
1537 .It Li MACHINE_CLEARS.FUSION_ASSIST
1538 .Pq Event C3H , Umask 10H
1539 Counts the number of macro-fusion assists
1540 Counts SIMD packed single- precision floating point Uops retired.
1541 .It Li BOGUS_BR
1542 .Pq Event E4H , Umask 01H
1543 Counts the number of bogus branches.
1544 .It Li L2_HW_PREFETCH.HIT
1545 .Pq Event F3H , Umask 01H
1546 Count L2 HW prefetcher detector hits
1547 .It Li L2_HW_PREFETCH.ALLOC
1548 .Pq Event F3H , Umask 02H
1549 Count L2 HW prefetcher allocations
1550 .It Li L2_HW_PREFETCH.DATA_TRIGGER
1551 .Pq Event F3H , Umask 04H
1552 Count L2 HW data prefetcher triggered
1553 .It Li L2_HW_PREFETCH.CODE_TRIGGER
1554 .Pq Event F3H , Umask 08H
1555 Count L2 HW code prefetcher triggered
1556 .It Li L2_HW_PREFETCH.DCA_TRIGGER
1557 .Pq Event F3H , Umask 10H
1558 Count L2 HW DCA prefetcher triggered
1559 .It Li L2_HW_PREFETCH.KICK_START
1560 .Pq Event F3H , Umask 20H
1561 Count L2 HW prefetcher kick started
1562 .It Li SQ_MISC.PROMOTION
1563 .Pq Event F4H , Umask 01H
1564 Counts the number of L2 secondary misses that hit the Super Queue.
1565 .It Li SQ_MISC.PROMOTION_POST_GO
1566 .Pq Event F4H , Umask 02H
1567 Counts the number of L2 secondary misses during the Super Queue filling L2.
1568 .It Li SQ_MISC.LRU_HINTS
1569 .Pq Event F4H , Umask 04H
1570 Counts number of Super Queue LRU hints sent to L3.
1571 .It Li SQ_MISC.FILL_DROPPED
1572 .Pq Event F4H , Umask 08H
1573 Counts the number of SQ L2 fills dropped due to L2 busy.
1574 .It Li SEGMENT_REG_LOADS
1575 .Pq Event F8H , Umask 01H
1576 Counts number of segment register loads.
1577 .El
1578 .Sh SEE ALSO
1579 .Xr pmc 3 ,
1580 .Xr pmc.atom 3 ,
1581 .Xr pmc.core 3 ,
1582 .Xr pmc.corei7uc 3 ,
1583 .Xr pmc.iaf 3 ,
1584 .Xr pmc.k7 3 ,
1585 .Xr pmc.k8 3 ,
1586 .Xr pmc.p4 3 ,
1587 .Xr pmc.p5 3 ,
1588 .Xr pmc.p6 3 ,
1589 .Xr pmc.soft 3 ,
1590 .Xr pmc.tsc 3 ,
1591 .Xr pmc.ucf 3 ,
1592 .Xr pmc.westmere 3 ,
1593 .Xr pmc.westmereuc 3 ,
1594 .Xr pmc_cpuinfo 3 ,
1595 .Xr pmclog 3 ,
1596 .Xr hwpmc 4
1597 .Sh HISTORY
1598 The
1599 .Nm pmc
1600 library first appeared in
1601 .Fx 6.0 .
1602 .Sh AUTHORS
1603 The
1604 .Lb libpmc
1605 library was written by
1606 .An Joseph Koshy Aq Mt jkoshy@FreeBSD.org .