]> CyberLeo.Net >> Repos - FreeBSD/FreeBSD.git/blob - sys/arm/allwinner/clkng/aw_clk_nm.c
Update apr-util to 1.6.1. See contrib/apr-util/CHANGES for a summary of
[FreeBSD/FreeBSD.git] / sys / arm / allwinner / clkng / aw_clk_nm.c
1 /*-
2  * Copyright (c) 2017 Emmanuel Vadot <manu@freebsd.org>
3  *
4  * Redistribution and use in source and binary forms, with or without
5  * modification, are permitted provided that the following conditions
6  * are met:
7  * 1. Redistributions of source code must retain the above copyright
8  *    notice, this list of conditions and the following disclaimer.
9  * 2. Redistributions in binary form must reproduce the above copyright
10  *    notice, this list of conditions and the following disclaimer in the
11  *    documentation and/or other materials provided with the distribution.
12  *
13  * THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
14  * IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES
15  * OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
16  * IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT,
17  * INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
18  * BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19  * LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED
20  * AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY,
21  * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
22  * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
23  * SUCH DAMAGE.
24  *
25  * $FreeBSD$
26  */
27
28 #include <sys/cdefs.h>
29 __FBSDID("$FreeBSD$");
30
31 #include <sys/param.h>
32 #include <sys/systm.h>
33 #include <sys/bus.h>
34
35 #include <dev/extres/clk/clk.h>
36
37 #include <arm/allwinner/clkng/aw_clk.h>
38 #include <arm/allwinner/clkng/aw_clk_nm.h>
39
40 #include "clkdev_if.h"
41
42 /*
43  * clknode for clocks matching the formula :
44  *
45  * clk = clkin / n / m
46  *
47  */
48
49 struct aw_clk_nm_sc {
50         uint32_t        offset;
51
52         struct aw_clk_factor    m;
53         struct aw_clk_factor    n;
54         struct aw_clk_factor    prediv;
55
56         uint32_t        mux_shift;
57         uint32_t        mux_mask;
58         uint32_t        gate_shift;
59         uint32_t        lock_shift;
60         uint32_t        lock_retries;
61
62         uint32_t        flags;
63 };
64
65 #define WRITE4(_clk, off, val)                                          \
66         CLKDEV_WRITE_4(clknode_get_device(_clk), off, val)
67 #define READ4(_clk, off, val)                                           \
68         CLKDEV_READ_4(clknode_get_device(_clk), off, val)
69 #define DEVICE_LOCK(_clk)                                                       \
70         CLKDEV_DEVICE_LOCK(clknode_get_device(_clk))
71 #define DEVICE_UNLOCK(_clk)                                             \
72         CLKDEV_DEVICE_UNLOCK(clknode_get_device(_clk))
73
74 static int
75 aw_clk_nm_init(struct clknode *clk, device_t dev)
76 {
77         struct aw_clk_nm_sc *sc;
78         uint32_t val, idx;
79
80         sc = clknode_get_softc(clk);
81
82         idx = 0;
83         if ((sc->flags & AW_CLK_HAS_MUX) != 0) {
84                 DEVICE_LOCK(clk);
85                 READ4(clk, sc->offset, &val);
86                 DEVICE_UNLOCK(clk);
87
88                 idx = (val & sc->mux_mask) >> sc->mux_shift;
89         }
90
91         clknode_init_parent_idx(clk, idx);
92         return (0);
93 }
94
95 static int
96 aw_clk_nm_set_gate(struct clknode *clk, bool enable)
97 {
98         struct aw_clk_nm_sc *sc;
99         uint32_t val;
100
101         sc = clknode_get_softc(clk);
102
103         if ((sc->flags & AW_CLK_HAS_GATE) == 0)
104                 return (0);
105
106         DEVICE_LOCK(clk);
107         READ4(clk, sc->offset, &val);
108         if (enable)
109                 val |= (1 << sc->gate_shift);
110         else
111                 val &= ~(1 << sc->gate_shift);
112         WRITE4(clk, sc->offset, val);
113         DEVICE_UNLOCK(clk);
114
115         return (0);
116 }
117
118 static int
119 aw_clk_nm_set_mux(struct clknode *clk, int index)
120 {
121         struct aw_clk_nm_sc *sc;
122         uint32_t val;
123
124         sc = clknode_get_softc(clk);
125
126         if ((sc->flags & AW_CLK_HAS_MUX) == 0)
127                 return (0);
128
129         DEVICE_LOCK(clk);
130         READ4(clk, sc->offset, &val);
131         val &= ~sc->mux_mask;
132         val |= index << sc->mux_shift;
133         WRITE4(clk, sc->offset, val);
134         DEVICE_UNLOCK(clk);
135
136         return (0);
137 }
138
139 static uint64_t
140 aw_clk_nm_find_best(struct aw_clk_nm_sc *sc, uint64_t fparent, uint64_t *fout,
141     uint32_t *factor_n, uint32_t *factor_m)
142 {
143         uint64_t cur, best;
144         uint32_t m, n, max_m, max_n, min_m, min_n;
145
146         *factor_n = *factor_m = 0;
147
148         max_m = aw_clk_factor_get_max(&sc->m);
149         max_n = aw_clk_factor_get_max(&sc->n);
150         min_m = aw_clk_factor_get_min(&sc->m);
151         min_n = aw_clk_factor_get_min(&sc->n);
152
153         for (m = min_m; m <= max_m; ) {
154                 for (n = min_m; n <= max_n; ) {
155                         cur = fparent / n / m;
156                         if (abs(*fout - cur) < abs(*fout - best)) {
157                                 best = cur;
158                                 *factor_n = n;
159                                 *factor_m = m;
160                         }
161
162                         if ((sc->n.flags & AW_CLK_FACTOR_POWER_OF_TWO) != 0)
163                                 n <<= 1;
164                         else
165                                 n++;
166                 }
167                 if ((sc->m.flags & AW_CLK_FACTOR_POWER_OF_TWO) != 0)
168                         m <<= 1;
169                 else
170                         m++;
171         }
172
173         return (best);
174 }
175
176 static int
177 aw_clk_nm_set_freq(struct clknode *clk, uint64_t fparent, uint64_t *fout,
178     int flags, int *stop)
179 {
180         struct aw_clk_nm_sc *sc;
181         struct clknode *p_clk;
182         const char **p_names;
183         uint64_t cur, best;
184         uint32_t val, m, n, best_m, best_n;
185         int p_idx, best_parent, retry;
186
187         sc = clknode_get_softc(clk);
188
189         best = cur = 0;
190         best_parent = 0;
191
192         if ((sc->flags & AW_CLK_REPARENT) != 0) {
193                 p_names = clknode_get_parent_names(clk);
194                 for (p_idx = 0; p_idx != clknode_get_parents_num(clk); p_idx++) {
195                         p_clk = clknode_find_by_name(p_names[p_idx]);
196                         clknode_get_freq(p_clk, &fparent);
197
198                         cur = aw_clk_nm_find_best(sc, fparent, fout, &n, &m);
199                         if ((*fout - cur) < (*fout - best)) {
200                                 best = cur;
201                                 best_parent = p_idx;
202                                 best_n = n;
203                                 best_m = m;
204                         }
205                 }
206
207                 p_idx = clknode_get_parent_idx(clk);
208                 p_clk = clknode_get_parent(clk);
209                 clknode_get_freq(p_clk, &fparent);
210         } else {
211                 best = aw_clk_nm_find_best(sc, fparent, fout,
212                     &best_n, &best_m);
213         }
214
215         if ((flags & CLK_SET_DRYRUN) != 0) {
216                 *fout = best;
217                 *stop = 1;
218                 return (0);
219         }
220
221         if ((best < *fout) &&
222           ((flags & CLK_SET_ROUND_DOWN) == 0)) {
223                 *stop = 1;
224                 return (ERANGE);
225         }
226         if ((best > *fout) &&
227           ((flags & CLK_SET_ROUND_UP) == 0)) {
228                 *stop = 1;
229                 return (ERANGE);
230         }
231
232         if ((sc->flags & AW_CLK_REPARENT) != 0 && p_idx != best_parent)
233                 clknode_set_parent_by_idx(clk, best_parent);
234
235         DEVICE_LOCK(clk);
236         READ4(clk, sc->offset, &val);
237
238         n = aw_clk_factor_get_value(&sc->n, best_n);
239         m = aw_clk_factor_get_value(&sc->m, best_m);
240         val &= ~sc->n.mask;
241         val &= ~sc->m.mask;
242         val |= n << sc->n.shift;
243         val |= m << sc->m.shift;
244
245         WRITE4(clk, sc->offset, val);
246         DEVICE_UNLOCK(clk);
247
248         if ((sc->flags & AW_CLK_HAS_LOCK) != 0) {
249                 for (retry = 0; retry < sc->lock_retries; retry++) {
250                         READ4(clk, sc->offset, &val);
251                         if ((val & (1 << sc->lock_shift)) != 0)
252                                 break;
253                         DELAY(1000);
254                 }
255         }
256
257         *fout = best;
258         *stop = 1;
259
260         return (0);
261 }
262
263 static int
264 aw_clk_nm_recalc(struct clknode *clk, uint64_t *freq)
265 {
266         struct aw_clk_nm_sc *sc;
267         uint32_t val, m, n, prediv;
268
269         sc = clknode_get_softc(clk);
270
271         DEVICE_LOCK(clk);
272         READ4(clk, sc->offset, &val);
273         DEVICE_UNLOCK(clk);
274
275         m = aw_clk_get_factor(val, &sc->m);
276         n = aw_clk_get_factor(val, &sc->n);
277         if (sc->flags & AW_CLK_HAS_PREDIV)
278                 prediv = aw_clk_get_factor(val, &sc->prediv);
279         else
280                 prediv = 1;
281
282         *freq = *freq / prediv / n / m;
283
284         return (0);
285 }
286
287 static clknode_method_t aw_nm_clknode_methods[] = {
288         /* Device interface */
289         CLKNODEMETHOD(clknode_init,             aw_clk_nm_init),
290         CLKNODEMETHOD(clknode_set_gate,         aw_clk_nm_set_gate),
291         CLKNODEMETHOD(clknode_set_mux,          aw_clk_nm_set_mux),
292         CLKNODEMETHOD(clknode_recalc_freq,      aw_clk_nm_recalc),
293         CLKNODEMETHOD(clknode_set_freq,         aw_clk_nm_set_freq),
294         CLKNODEMETHOD_END
295 };
296
297 DEFINE_CLASS_1(aw_nm_clknode, aw_nm_clknode_class, aw_nm_clknode_methods,
298     sizeof(struct aw_clk_nm_sc), clknode_class);
299
300 int
301 aw_clk_nm_register(struct clkdom *clkdom, struct aw_clk_nm_def *clkdef)
302 {
303         struct clknode *clk;
304         struct aw_clk_nm_sc *sc;
305
306         clk = clknode_create(clkdom, &aw_nm_clknode_class, &clkdef->clkdef);
307         if (clk == NULL)
308                 return (1);
309
310         sc = clknode_get_softc(clk);
311
312         sc->offset = clkdef->offset;
313
314         sc->m.shift = clkdef->m.shift;
315         sc->m.width = clkdef->m.width;
316         sc->m.mask = ((1 << sc->m.width) - 1) << sc->m.shift;
317         sc->m.value = clkdef->m.value;
318         sc->m.flags = clkdef->m.flags;
319
320         sc->n.shift = clkdef->n.shift;
321         sc->n.width = clkdef->n.width;
322         sc->n.mask = ((1 << sc->n.width) - 1) << sc->n.shift;
323         sc->n.value = clkdef->n.value;
324         sc->n.flags = clkdef->n.flags;
325
326         sc->prediv.shift = clkdef->prediv.shift;
327         sc->prediv.width = clkdef->prediv.width;
328         sc->prediv.mask = ((1 << sc->prediv.width) - 1) << sc->prediv.shift;
329         sc->prediv.value = clkdef->prediv.value;
330         sc->prediv.flags = clkdef->prediv.flags;
331         sc->prediv.cond_shift = clkdef->prediv.cond_shift;
332         if (clkdef->prediv.cond_width != 0)
333                 sc->prediv.cond_mask = ((1 << clkdef->prediv.cond_width) - 1) << sc->prediv.shift;
334         else
335                 sc->prediv.cond_mask = clkdef->prediv.cond_mask;
336         sc->prediv.cond_value = clkdef->prediv.cond_value;
337
338         sc->mux_shift = clkdef->mux_shift;
339         sc->mux_mask = ((1 << clkdef->mux_width) - 1) << sc->mux_shift;
340
341         sc->gate_shift = clkdef->gate_shift;
342
343         sc->lock_shift = clkdef->lock_shift;
344         sc->lock_retries = clkdef->lock_retries;
345
346         sc->flags = clkdef->flags;
347
348         clknode_register(clkdom, clk);
349
350         return (0);
351 }