]> CyberLeo.Net >> Repos - FreeBSD/FreeBSD.git/blob - sys/dev/uart/uart_cpu_arm64.c
libfdt: Update to 1.4.6, switch to using libfdt for overlay support
[FreeBSD/FreeBSD.git] / sys / dev / uart / uart_cpu_arm64.c
1 /*-
2  * Copyright (c) 2016 The FreeBSD Foundation
3  * All rights reserved.
4  *
5  * This software was developed by Andrew Turner under sponsorship from
6  * the FreeBSD Foundation.
7  *
8  * Redistribution and use in source and binary forms, with or without
9  * modification, are permitted provided that the following conditions
10  * are met:
11  * 1. Redistributions of source code must retain the above copyright
12  *    notice, this list of conditions and the following disclaimer.
13  * 2. Redistributions in binary form must reproduce the above copyright
14  *    notice, this list of conditions and the following disclaimer in the
15  *    documentation and/or other materials provided with the distribution.
16  *
17  * THIS SOFTWARE IS PROVIDED BY THE AUTHOR AND CONTRIBUTORS ``AS IS'' AND
18  * ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
19  * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
20  * ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE LIABLE
21  * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL
22  * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS
23  * OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION)
24  * HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
25  * LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY
26  * OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF
27  * SUCH DAMAGE.
28  */
29
30 #include "opt_acpi.h"
31 #include "opt_platform.h"
32
33 #include <sys/cdefs.h>
34 __FBSDID("$FreeBSD$");
35
36 #include <sys/param.h>
37 #include <sys/bus.h>
38 #include <sys/kernel.h>
39 #include <sys/module.h>
40 #include <sys/systm.h>
41
42 #include <vm/vm.h>
43 #include <vm/pmap.h>
44
45 #include <machine/bus.h>
46
47 #include <dev/uart/uart.h>
48 #include <dev/uart/uart_bus.h>
49 #include <dev/uart/uart_cpu.h>
50
51 #ifdef DEV_ACPI
52 #include <contrib/dev/acpica/include/acpi.h>
53 #include <contrib/dev/acpica/include/accommon.h>
54 #include <contrib/dev/acpica/include/actables.h>
55 #include <dev/uart/uart_cpu_acpi.h>
56 #endif
57
58 #ifdef FDT
59 #include <dev/fdt/fdt_common.h>
60 #include <dev/ofw/ofw_bus.h>
61 #include <dev/ofw/ofw_bus_subr.h>
62 #include <dev/uart/uart_cpu_fdt.h>
63 #endif
64
65 /*
66  * UART console routines.
67  */
68 bus_space_tag_t uart_bus_space_io;
69 bus_space_tag_t uart_bus_space_mem;
70
71 int
72 uart_cpu_eqres(struct uart_bas *b1, struct uart_bas *b2)
73 {
74
75         if (pmap_kextract(b1->bsh) == 0)
76                 return (0);
77         if (pmap_kextract(b2->bsh) == 0)
78                 return (0);
79         return ((pmap_kextract(b1->bsh) == pmap_kextract(b2->bsh)) ? 1 : 0);
80 }
81
82 #ifdef DEV_ACPI
83 static struct acpi_uart_compat_data *
84 uart_cpu_acpi_scan(uint8_t interface_type)
85 {
86         struct acpi_uart_compat_data **cd;
87
88         SET_FOREACH(cd, uart_acpi_class_and_device_set) {
89                 if ((*cd)->port_subtype == interface_type)
90                         return (*cd);
91         }
92
93         SET_FOREACH(cd, uart_acpi_class_set) {
94                 if ((*cd)->port_subtype == interface_type)
95                         return (*cd);
96         }
97
98         return (NULL);
99 }
100
101 static int
102 uart_cpu_acpi_probe(struct uart_class **classp, bus_space_tag_t *bst,
103     bus_space_handle_t *bsh, int *baud, u_int *rclk, u_int *shiftp,
104     u_int *iowidthp)
105 {
106         struct acpi_uart_compat_data *cd;
107         ACPI_TABLE_SPCR *spcr;
108         vm_paddr_t spcr_physaddr;
109         int err;
110
111         err = ENXIO;
112         spcr_physaddr = acpi_find_table(ACPI_SIG_SPCR);
113         if (spcr_physaddr == 0)
114                 return (ENXIO);
115
116         spcr = acpi_map_table(spcr_physaddr, ACPI_SIG_SPCR);
117
118         cd = uart_cpu_acpi_scan(spcr->InterfaceType);
119         if (cd == NULL)
120                 goto out;
121
122         switch(spcr->BaudRate) {
123         case 3:
124                 *baud = 9600;
125                 break;
126         case 4:
127                 *baud = 19200;
128                 break;
129         case 6:
130                 *baud = 57600;
131                 break;
132         case 7:
133                 *baud = 115200;
134                 break;
135         default:
136                 goto out;
137         }
138
139         err = acpi_map_addr(&spcr->SerialPort, bst, bsh, PAGE_SIZE);
140         if (err != 0)
141                 goto out;
142
143         *classp = cd->clas;
144         *rclk = 0;
145         *shiftp = 2;
146         *iowidthp = spcr->SerialPort.BitWidth / 8;
147
148 out:
149         acpi_unmap_table(spcr);
150         return (err);
151 }
152 #endif
153
154 int
155 uart_cpu_getdev(int devtype, struct uart_devinfo *di)
156 {
157         struct uart_class *class;
158         bus_space_handle_t bsh;
159         bus_space_tag_t bst;
160         u_int rclk, shift, iowidth;
161         int br, err;
162
163         /* Allow overriding the FDT using the environment. */
164         class = &uart_ns8250_class;
165         err = uart_getenv(devtype, di, class);
166         if (err == 0)
167                 return (0);
168
169         if (devtype != UART_DEV_CONSOLE)
170                 return (ENXIO);
171
172         err = ENXIO;
173 #ifdef DEV_ACPI
174         err = uart_cpu_acpi_probe(&class, &bst, &bsh, &br, &rclk, &shift,
175             &iowidth);
176 #endif
177 #ifdef FDT
178         if (err != 0) {
179                 err = uart_cpu_fdt_probe(&class, &bst, &bsh, &br, &rclk,
180                     &shift, &iowidth);
181         }
182 #endif
183         if (err != 0)
184                 return (err);
185
186         /*
187          * Finalize configuration.
188          */
189         di->bas.chan = 0;
190         di->bas.regshft = shift;
191         di->bas.regiowidth = iowidth;
192         di->baudrate = br;
193         di->bas.rclk = rclk;
194         di->ops = uart_getops(class);
195         di->databits = 8;
196         di->stopbits = 1;
197         di->parity = UART_PARITY_NONE;
198         di->bas.bst = bst;
199         di->bas.bsh = bsh;
200         uart_bus_space_mem = di->bas.bst;
201         uart_bus_space_io = NULL;
202
203         return (0);
204 }