]> CyberLeo.Net >> Repos - FreeBSD/FreeBSD.git/tree - contrib/compiler-rt/lib/xray/
Import DTS from Linux 4.20
[FreeBSD/FreeBSD.git] / contrib / compiler-rt / lib / xray /
drwxr-xr-x   ..
-rw-r--r-- 88 weak_symbols.txt
-rw-r--r-- 4699 xray_AArch64.cc
-rw-r--r-- 4556 xray_allocator.h
-rw-r--r-- 255 xray_always_instrument.txt
-rw-r--r-- 5977 xray_arm.cc
-rw-r--r-- 1530 xray_basic_flags.cc
-rw-r--r-- 1180 xray_basic_flags.h
-rw-r--r-- 983 xray_basic_flags.inc
-rw-r--r-- 18225 xray_basic_logging.cc
-rw-r--r-- 1695 xray_basic_logging.h
-rw-r--r-- 5181 xray_buffer_queue.cc
-rw-r--r-- 6882 xray_buffer_queue.h
-rw-r--r-- 686 xray_defs.h
-rw-r--r-- 1475 xray_fdr_flags.cc
-rw-r--r-- 1202 xray_fdr_flags.h
-rw-r--r-- 1280 xray_fdr_flags.inc
-rw-r--r-- 2471 xray_fdr_log_records.h
-rw-r--r-- 48822 xray_fdr_logging.cc
-rw-r--r-- 1535 xray_fdr_logging.h
-rw-r--r-- 2796 xray_flags.cc
-rw-r--r-- 1123 xray_flags.h
-rw-r--r-- 2317 xray_flags.inc
-rw-r--r-- 16805 xray_function_call_trie.h
-rw-r--r-- 3783 xray_init.cc
-rw-r--r-- 14751 xray_interface.cc
-rw-r--r-- 2387 xray_interface_internal.h
-rw-r--r-- 7679 xray_log_interface.cc
-rw-r--r-- 7304 xray_mips.cc
-rw-r--r-- 7811 xray_mips64.cc
-rw-r--r-- 282 xray_never_instrument.txt
-rw-r--r-- 3637 xray_powerpc64.cc
-rw-r--r-- 991 xray_powerpc64.inc
-rw-r--r-- 12298 xray_profile_collector.cc
-rw-r--r-- 3592 xray_profile_collector.h
-rw-r--r-- 11670 xray_profiling.cc
-rw-r--r-- 1349 xray_profiling_flags.cc
-rw-r--r-- 1166 xray_profiling_flags.h
-rw-r--r-- 1276 xray_profiling_flags.inc
-rw-r--r-- 1813 xray_recursion_guard.h
-rw-r--r-- 11914 xray_segmented_array.h
-rw-r--r-- 5507 xray_trampoline_AArch64.S
-rw-r--r-- 3756 xray_trampoline_arm.S
-rw-r--r-- 2709 xray_trampoline_mips.S
-rw-r--r-- 3530 xray_trampoline_mips64.S
-rw-r--r-- 420 xray_trampoline_powerpc64.cc
-rw-r--r-- 3999 xray_trampoline_powerpc64_asm.S
-rw-r--r-- 6988 xray_trampoline_x86_64.S
-rw-r--r-- 2303 xray_tsc.h
-rw-r--r-- 3724 xray_utils.cc
-rw-r--r-- 2156 xray_utils.h
-rw-r--r-- 11514 xray_x86_64.cc
-rw-r--r-- 969 xray_x86_64.inc